-
Notifications
You must be signed in to change notification settings - Fork 0
/
CNTRL_SIM.v
72 lines (55 loc) · 1.43 KB
/
CNTRL_SIM.v
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
`timescale 1ns / 1ps
//Engineer: Bruno E. Gracia Villalobos
//16oh4.com
//DECEMBER 4, 2019
//MIT LICENSE
module CNTRL_SIM();
localparam BITS = 16;
localparam ORDER = 4;
localparam ROWS = 16;
//CREATE 100 MHz CLOCK
reg clk;
initial clk = 1'b0;
always #5 clk = ~clk;
//CONTROLLER WIRES
wire [BITS*2-1:0] y;
/*
wire [3:0] state_test;
wire [3:0] next_state_test;
wire ctrl_clk_test;
wire [31:0] loop_test;
wire [31:0] filter_loop_test;
//DPU WIRES
wire [$clog2(ROWS)-1:0] dpu_h_rom_addr_test;
wire [BITS*(ORDER+1)-1:0] dpu_h_rom_data_test;
wire [BITS*(ORDER+1)-1:0] dpu_h_regs_test;
wire [BITS-1:0] dpu_x_rom_data_test;
wire [BITS-1:0] dpu_x_regs_test;
wire [BITS*2*(ORDER+1)-1:0] dpu_y_test;
wire [ORDER:0] dpu_enables_test;
wire inv_dpu_clk_test;
*/
CNTRL UUT(
.dpu_clk(clk),
.y(y)
/*
//DIAGNOSTICS
.state_test (state_test),
.next_state_test (next_state_test),
.ctrl_clk_test (ctrl_clk_test),
.loop_test (loop_test),
.filter_loop_test (filter_loop_test),
.dpu_h_rom_addr_test (dpu_h_rom_addr_test),
.dpu_h_rom_data_test (dpu_h_rom_data_test),
.dpu_h_regs_test (dpu_h_regs_test),
.dpu_x_rom_data_test (dpu_x_rom_data_test),
.dpu_x_regs_test (dpu_x_regs_test),
.dpu_y_test (dpu_y_test),
.dpu_enables_test (dpu_enables_test),
.inv_dpu_clk_test (inv_dpu_clk_test)
*/
);
defparam UUT.BITS = BITS;
defparam UUT.ORDER = ORDER;
defparam UUT.ROWS = ROWS;
endmodule