From 1cec931debe4001eabd9448bd3d044ef1ffb8cd6 Mon Sep 17 00:00:00 2001 From: jakubcabal Date: Fri, 15 Dec 2023 13:39:53 +0000 Subject: [PATCH] =?UTF-8?q?Deploying=20to=20gh-pages=20from=20@=20CESNET/n?= =?UTF-8?q?dk-app-minimal@de32dba2df53d1c2d95d10fe93ccd9e6ca9ee035=20?= =?UTF-8?q?=F0=9F=9A=80?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- .../edit/frame_extender/readme.rst.txt | 6 + .../edit/frame_trimmer/readme.rst.txt | 6 + devel/_sources/ofm_doc/mfb.rst.txt | 2 + devel/app-minimal.html | 2 +- devel/genindex.html | 2 +- devel/index.html | 2 +- devel/ndk_cards/amd/alveo-u200/readme.html | 2 +- devel/ndk_cards/amd/vcu118/readme.html | 2 +- devel/ndk_cards/bittware/ia-420f/readme.html | 2 +- .../ndk_cards/intel/dk-dev-1sdx-p/readme.html | 2 +- .../intel/dk-dev-agi027res/readme.html | 2 +- .../reflexces/agi-fh400g/readme.html | 2 +- devel/ndk_cards/silicom/fb2cghh/readme.html | 2 +- devel/ndk_cards/silicom/fb4cgg3/readme.html | 2 +- devel/ndk_cards/silicom/n6010/readme.html | 2 +- devel/ndk_core/doc/configuration.html | 2 +- devel/ndk_core/doc/devtree.html | 2 +- devel/ndk_core/doc/faq.html | 2 +- devel/ndk_core/doc/how_to_start.html | 2 +- devel/ndk_core/doc/terminology.html | 2 +- devel/ndk_core/doc/testing.html | 2 +- devel/ndk_core/intel/cocotb/README.html | 2 +- devel/ndk_core/intel/doc/app.html | 2 +- devel/ndk_core/intel/doc/dma.html | 2 +- devel/ndk_core/intel/doc/eth.html | 2 +- devel/ndk_core/intel/doc/mem.html | 2 +- devel/ndk_core/intel/doc/mi.html | 2 +- devel/ndk_core/intel/doc/pcie.html | 2 +- devel/ndk_core/intel/doc/tsu.html | 2 +- devel/ndk_core/intel/readme.html | 2 +- .../doc/f-tile_multirate_ip.html | 2 +- .../src/comp/network_mod/uvm/readme.html | 2 +- devel/objects.inv | Bin 7560 -> 7628 bytes devel/ofm_doc/async.html | 2 +- devel/ofm_doc/base.html | 2 +- devel/ofm_doc/build/readme.html | 2 +- .../comp/base/dsp/dsp_comparator/readme.html | 2 +- .../comp/base/fifo/asfifox/readme.html | 2 +- .../ofm_doc/comp/base/fifo/fifox/readme.html | 2 +- .../comp/base/fifo/fifox_multi/readme.html | 2 +- .../base/logic/barrel_shifter/readme.html | 2 +- .../base/logic/cnt_multi_memx/readme.html | 2 +- .../comp/base/logic/n_loop_op/readme.html | 2 +- .../comp/base/logic/sr_sync_latch/readme.html | 2 +- .../ofm_doc/comp/base/mem/mp_bram/readme.html | 2 +- .../comp/base/mem/np_lutram/readme.html | 2 +- .../comp/base/mem/sdp_bram/readme.html | 2 +- .../comp/base/misc/crossbarx/readme.html | 2 +- .../comp/base/misc/event_counter/readme.html | 2 +- .../comp/base/misc/packet_planner/readme.html | 2 +- .../comp/base/misc/pulse_short/readme.html | 2 +- .../comp/base/misc/trans_sorter/readme.html | 2 +- devel/ofm_doc/comp/base/pkg/readme.html | 2 +- .../ofm_doc/comp/ctrls/sdm_client/readme.html | 2 +- .../debug/data_logger/mem_logger/readme.html | 2 +- .../comp/debug/data_logger/readme.html | 2 +- .../comp/debug/histogramer/readme.html | 2 +- .../comp/debug/latency_meter/readme.html | 2 +- .../comp/debug/mem_tester/amm_gen/readme.html | 2 +- .../debug/mem_tester/amm_probe/readme.html | 2 +- .../ofm_doc/comp/debug/mem_tester/readme.html | 2 +- .../comp/debug/mem_tester/sw/readme.html | 2 +- .../comp/rx/comp/hdr_insertor/readme.html | 2 +- .../rx/comp/hdr_manager/comp/comp/readme.html | 2 +- .../comp/rx/comp/hdr_manager/comp/readme.html | 2 +- .../comp/rx/comp/hdr_manager/readme.html | 2 +- .../comp/rx/comp/input_buffer/readme.html | 2 +- .../comp/rx/comp/software_manager/readme.html | 2 +- .../comp/rx/comp/trans_buffer/readme.html | 2 +- .../comp/dma/dma_calypte/comp/rx/readme.html | 2 +- .../tx/comp/chan_start_stop_ctrl/readme.html | 2 +- .../tx/comp/metadata_extractor/readme.html | 2 +- .../tx/comp/packet_dispatcher/readme.html | 2 +- .../tx/comp/pcie_trans_buffer/readme.html | 2 +- .../comp/tx/comp/software_manager/readme.html | 2 +- .../comp/dma/dma_calypte/comp/tx/readme.html | 2 +- .../ofm_doc/comp/dma/dma_calypte/readme.html | 2 +- devel/ofm_doc/comp/flu_tools/readme.html | 2 +- .../debug/gen_loop_switch/readme.html | 4 +- .../mfb_tools/debug/generator/readme.html | 4 +- .../mfb_tools/edit/frame_extender/readme.html | 420 ++++++++++++++++++ .../mfb_tools/edit/frame_trimmer/readme.html | 353 +++++++++++++++ .../flow/crossbarx_stream2/readme.html | 4 +- .../mfb_tools/flow/cutter_simple/readme.html | 4 +- .../comp/mfb_tools/flow/dropper/readme.html | 4 +- .../comp/mfb_tools/flow/enabler/readme.html | 4 +- .../mfb_tools/flow/frame_masker/readme.html | 8 +- .../mfb_tools/flow/frame_unpacker/readme.html | 4 +- .../comp/mfb_tools/flow/loopback/readme.html | 4 +- .../comp/mfb_tools/flow/merger/readme.html | 4 +- .../mfb_tools/flow/merger_simple/readme.html | 4 +- .../flow/metadata_insertor/readme.html | 12 +- .../mfb_tools/flow/packet_delayer/readme.html | 4 +- .../comp/mfb_tools/flow/pipe/readme.html | 4 +- .../mfb_tools/flow/rate_limiter/readme.html | 4 +- .../mfb_tools/flow/reconfigurator/readme.html | 4 +- .../comp/mfb_tools/flow/splitter/readme.html | 4 +- .../flow/splitter_simple/readme.html | 4 +- .../flow/timestamp_limiter/readme.html | 4 +- .../mfb_tools/flow/transformer/readme.html | 4 +- .../logic/auxiliary_signals/readme.html | 4 +- .../logic/checksum_calculator/readme.html | 4 +- .../logic/crossbarx_stream/readme.html | 8 +- devel/ofm_doc/comp/mfb_tools/readme.html | 4 +- .../mfb_tools/storage/asfifox/readme.html | 4 +- .../crossbarx_output_buffer/readme.html | 4 +- .../comp/mfb_tools/storage/fifox/readme.html | 4 +- .../mfb_tools/storage/pd_asfifo/readme.html | 4 +- .../storage/pd_asfifo_simple/readme.html | 4 +- devel/ofm_doc/comp/mi_tools/async/readme.html | 2 +- .../mi_tools/converters/mi2avmm/readme.html | 2 +- .../mi_tools/converters/mi2axi4/readme.html | 2 +- .../comp/mi_tools/indirect_access/readme.html | 2 +- devel/ofm_doc/comp/mi_tools/pipe/readme.html | 2 +- devel/ofm_doc/comp/mi_tools/readme.html | 2 +- .../ofm_doc/comp/mi_tools/reconf/readme.html | 2 +- .../mi_tools/splitter_plus_gen/readme.html | 2 +- .../mvb_tools/flow/channel_router/readme.html | 2 +- .../comp/mvb_tools/flow/demux/readme.html | 2 +- .../comp/mvb_tools/flow/discard/readme.html | 2 +- .../mvb_tools/flow/merge_items/readme.html | 2 +- .../mvb_tools/flow/merge_n_to_m/readme.html | 2 +- .../mvb_tools/flow/merge_streams/readme.html | 2 +- .../comp/mvb_tools/flow/mux/readme.html | 2 +- .../comp/mvb_tools/flow/mvb2mfb/readme.html | 2 +- .../comp/mvb_tools/flow/operation/readme.html | 2 +- .../comp/mvb_tools/flow/shakedown/readme.html | 2 +- devel/ofm_doc/comp/mvb_tools/readme.html | 2 +- .../comp/mvb_tools/storage/fifox/readme.html | 2 +- .../storage/lookup_table/readme.html | 2 +- .../ofm_doc/comp/nic/eth_phy/40ge/readme.html | 2 +- .../rx_mac_lite/comp/buffer/uvm/readme.html | 2 +- .../comp/nic/mac_lite/rx_mac_lite/readme.html | 2 +- .../comp/adapters/lbus/reconf/readme.html | 2 +- .../comp/nic/mac_lite/tx_mac_lite/readme.html | 2 +- devel/ofm_doc/comp/pcie/common/readme.html | 2 +- .../ofm_doc/comp/pcie/convertors/readme.html | 2 +- .../comp/pcie/logic/byte_count/readme.html | 2 +- .../pcie/logic/byte_en_decoder/readme.html | 2 +- devel/ofm_doc/comp/pcie/mtc/readme.html | 2 +- .../comp/pcie/others/hdr_gen/readme.html | 2 +- .../pcie/ptc/comp/tag_manager/readme.html | 2 +- devel/ofm_doc/comp/pcie/ptc/readme.html | 2 +- .../comp/tsu/tsu_format_to_ns/readme.html | 2 +- devel/ofm_doc/comp/tsu/tsu_gen/readme.html | 2 +- devel/ofm_doc/comp/uvm/axi/readme.html | 2 +- devel/ofm_doc/comp/uvm/byte_array/readme.html | 2 +- .../comp/uvm/byte_array_lii/readme.html | 2 +- .../comp/uvm/byte_array_lii_rx/readme.html | 2 +- .../comp/uvm/byte_array_mfb/readme.html | 2 +- .../comp/uvm/byte_array_mii/readme.html | 2 +- .../comp/uvm/byte_array_pma/readme.html | 2 +- devel/ofm_doc/comp/uvm/common/readme.html | 2 +- devel/ofm_doc/comp/uvm/componets.html | 2 +- .../comp/uvm/intel_mac_seg/readme.html | 2 +- devel/ofm_doc/comp/uvm/lii/readme.html | 2 +- devel/ofm_doc/comp/uvm/lii_rx/readme.html | 2 +- .../ofm_doc/comp/uvm/logic_vector/readme.html | 2 +- .../comp/uvm/logic_vector_array/readme.html | 2 +- .../uvm/logic_vector_array_axi/readme.html | 2 +- .../readme.html | 2 +- .../uvm/logic_vector_array_mfb/readme.html | 2 +- .../comp/uvm/logic_vector_mvb/readme.html | 2 +- devel/ofm_doc/comp/uvm/manual.html | 2 +- devel/ofm_doc/comp/uvm/mfb/readme.html | 2 +- devel/ofm_doc/comp/uvm/mi/readme.html | 2 +- devel/ofm_doc/comp/uvm/mvb/readme.html | 2 +- devel/ofm_doc/comp/uvm/pma/readme.html | 2 +- devel/ofm_doc/comp/uvm/reset/readme.html | 2 +- devel/ofm_doc/comp/uvm/sim_manual.html | 2 +- devel/ofm_doc/ctrls.html | 2 +- devel/ofm_doc/debug.html | 2 +- devel/ofm_doc/dsp.html | 2 +- devel/ofm_doc/fifo.html | 2 +- devel/ofm_doc/fl.html | 2 +- devel/ofm_doc/flu.html | 2 +- devel/ofm_doc/index.html | 2 +- devel/ofm_doc/logic.html | 2 +- devel/ofm_doc/memory.html | 2 +- devel/ofm_doc/mfb.html | 6 +- devel/ofm_doc/mi.html | 2 +- devel/ofm_doc/misc.html | 2 +- devel/ofm_doc/mvb.html | 2 +- devel/ofm_doc/nic.html | 2 +- devel/ofm_doc/pcie.html | 2 +- devel/ofm_doc/shift.html | 2 +- devel/ofm_doc/ver.html | 2 +- devel/search.html | 2 +- devel/searchindex.js | 2 +- devel/vhdl-typeindex.html | 2 +- 190 files changed, 1044 insertions(+), 189 deletions(-) create mode 100644 devel/_sources/ofm_doc/comp/mfb_tools/edit/frame_extender/readme.rst.txt create mode 100644 devel/_sources/ofm_doc/comp/mfb_tools/edit/frame_trimmer/readme.rst.txt create mode 100644 devel/ofm_doc/comp/mfb_tools/edit/frame_extender/readme.html create mode 100644 devel/ofm_doc/comp/mfb_tools/edit/frame_trimmer/readme.html diff --git a/devel/_sources/ofm_doc/comp/mfb_tools/edit/frame_extender/readme.rst.txt b/devel/_sources/ofm_doc/comp/mfb_tools/edit/frame_extender/readme.rst.txt new file mode 100644 index 000000000..dc9568c29 --- /dev/null +++ b/devel/_sources/ofm_doc/comp/mfb_tools/edit/frame_extender/readme.rst.txt @@ -0,0 +1,6 @@ +.. _MFB_FRAME_EXTENDER: + +MFB FRAME EXTENDER +------------------ + +.. vhdl:autoentity:: MFB_FRAME_EXTENDER diff --git a/devel/_sources/ofm_doc/comp/mfb_tools/edit/frame_trimmer/readme.rst.txt b/devel/_sources/ofm_doc/comp/mfb_tools/edit/frame_trimmer/readme.rst.txt new file mode 100644 index 000000000..c5158cbbb --- /dev/null +++ b/devel/_sources/ofm_doc/comp/mfb_tools/edit/frame_trimmer/readme.rst.txt @@ -0,0 +1,6 @@ +.. MFB_FRAME_TRIMMER: + +MFB FRAME TRIMMER +----------------- + +.. vhdl:autoentity:: MFB_FRAME_TRIMMER diff --git a/devel/_sources/ofm_doc/mfb.rst.txt b/devel/_sources/ofm_doc/mfb.rst.txt index 5c9a8e233..f42347648 100644 --- a/devel/_sources/ofm_doc/mfb.rst.txt +++ b/devel/_sources/ofm_doc/mfb.rst.txt @@ -28,6 +28,8 @@ Components using the MFB bus are typically located in the ``comp/mfb_tools/`` di comp/mfb_tools/flow/loopback/readme comp/mfb_tools/flow/crossbarx_stream2/readme comp/mfb_tools/flow/frame_masker/readme + comp/mfb_tools/edit/frame_trimmer/readme + comp/mfb_tools/edit/frame_extender/readme comp/mfb_tools/logic/crossbarx_stream/readme comp/mfb_tools/logic/checksum_calculator/readme comp/mfb_tools/logic/auxiliary_signals/readme diff --git a/devel/app-minimal.html b/devel/app-minimal.html index a0486c3a1..a0faafcad 100644 --- a/devel/app-minimal.html +++ b/devel/app-minimal.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/genindex.html b/devel/genindex.html index d425628c2..8dd212b29 100644 --- a/devel/genindex.html +++ b/devel/genindex.html @@ -35,7 +35,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/index.html b/devel/index.html index f83dc9895..cff322271 100644 --- a/devel/index.html +++ b/devel/index.html @@ -37,7 +37,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ndk_cards/amd/alveo-u200/readme.html b/devel/ndk_cards/amd/alveo-u200/readme.html index 45bf411d2..f8b8de363 100644 --- a/devel/ndk_cards/amd/alveo-u200/readme.html +++ b/devel/ndk_cards/amd/alveo-u200/readme.html @@ -36,7 +36,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ndk_cards/amd/vcu118/readme.html b/devel/ndk_cards/amd/vcu118/readme.html index 57c581f3e..d0668d1a9 100644 --- a/devel/ndk_cards/amd/vcu118/readme.html +++ b/devel/ndk_cards/amd/vcu118/readme.html @@ -36,7 +36,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ndk_cards/bittware/ia-420f/readme.html b/devel/ndk_cards/bittware/ia-420f/readme.html index 8ec282fb6..85f165361 100644 --- a/devel/ndk_cards/bittware/ia-420f/readme.html +++ b/devel/ndk_cards/bittware/ia-420f/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ndk_cards/intel/dk-dev-1sdx-p/readme.html b/devel/ndk_cards/intel/dk-dev-1sdx-p/readme.html index cbb1647d8..6c00e0815 100644 --- a/devel/ndk_cards/intel/dk-dev-1sdx-p/readme.html +++ b/devel/ndk_cards/intel/dk-dev-1sdx-p/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ndk_cards/intel/dk-dev-agi027res/readme.html b/devel/ndk_cards/intel/dk-dev-agi027res/readme.html index a37b016e5..ad8a40a86 100644 --- a/devel/ndk_cards/intel/dk-dev-agi027res/readme.html +++ b/devel/ndk_cards/intel/dk-dev-agi027res/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ndk_cards/reflexces/agi-fh400g/readme.html b/devel/ndk_cards/reflexces/agi-fh400g/readme.html index 58eda53f4..70eac9d64 100644 --- a/devel/ndk_cards/reflexces/agi-fh400g/readme.html +++ b/devel/ndk_cards/reflexces/agi-fh400g/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ndk_cards/silicom/fb2cghh/readme.html b/devel/ndk_cards/silicom/fb2cghh/readme.html index 8445f1860..4bd6efba8 100644 --- a/devel/ndk_cards/silicom/fb2cghh/readme.html +++ b/devel/ndk_cards/silicom/fb2cghh/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ndk_cards/silicom/fb4cgg3/readme.html b/devel/ndk_cards/silicom/fb4cgg3/readme.html index 5af3a6a7e..753a80998 100644 --- a/devel/ndk_cards/silicom/fb4cgg3/readme.html +++ b/devel/ndk_cards/silicom/fb4cgg3/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ndk_cards/silicom/n6010/readme.html b/devel/ndk_cards/silicom/n6010/readme.html index 4ed6d8f0d..fdc25e450 100644 --- a/devel/ndk_cards/silicom/n6010/readme.html +++ b/devel/ndk_cards/silicom/n6010/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ndk_core/doc/configuration.html b/devel/ndk_core/doc/configuration.html index caa68a06e..f02e345e2 100644 --- a/devel/ndk_core/doc/configuration.html +++ b/devel/ndk_core/doc/configuration.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ndk_core/doc/devtree.html b/devel/ndk_core/doc/devtree.html index ab1900f5e..59c430c69 100644 --- a/devel/ndk_core/doc/devtree.html +++ b/devel/ndk_core/doc/devtree.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ndk_core/doc/faq.html b/devel/ndk_core/doc/faq.html index 03f8dd182..f5c421657 100644 --- a/devel/ndk_core/doc/faq.html +++ b/devel/ndk_core/doc/faq.html @@ -36,7 +36,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ndk_core/doc/how_to_start.html b/devel/ndk_core/doc/how_to_start.html index a3c48ee65..07951b850 100644 --- a/devel/ndk_core/doc/how_to_start.html +++ b/devel/ndk_core/doc/how_to_start.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ndk_core/doc/terminology.html b/devel/ndk_core/doc/terminology.html index 5a603482c..6a5f8b03c 100644 --- a/devel/ndk_core/doc/terminology.html +++ b/devel/ndk_core/doc/terminology.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ndk_core/doc/testing.html b/devel/ndk_core/doc/testing.html index 915ced75e..2a5a0a57d 100644 --- a/devel/ndk_core/doc/testing.html +++ b/devel/ndk_core/doc/testing.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ndk_core/intel/cocotb/README.html b/devel/ndk_core/intel/cocotb/README.html index f3aab11f6..563a60184 100644 --- a/devel/ndk_core/intel/cocotb/README.html +++ b/devel/ndk_core/intel/cocotb/README.html @@ -36,7 +36,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ndk_core/intel/doc/app.html b/devel/ndk_core/intel/doc/app.html index 63da646cb..69a1026b2 100644 --- a/devel/ndk_core/intel/doc/app.html +++ b/devel/ndk_core/intel/doc/app.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ndk_core/intel/doc/dma.html b/devel/ndk_core/intel/doc/dma.html index fd1818f8e..c8b437ac8 100644 --- a/devel/ndk_core/intel/doc/dma.html +++ b/devel/ndk_core/intel/doc/dma.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ndk_core/intel/doc/eth.html b/devel/ndk_core/intel/doc/eth.html index dd1b412ba..c191adc2b 100644 --- a/devel/ndk_core/intel/doc/eth.html +++ b/devel/ndk_core/intel/doc/eth.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ndk_core/intel/doc/mem.html b/devel/ndk_core/intel/doc/mem.html index 72858ba3e..49e495adb 100644 --- a/devel/ndk_core/intel/doc/mem.html +++ b/devel/ndk_core/intel/doc/mem.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ndk_core/intel/doc/mi.html b/devel/ndk_core/intel/doc/mi.html index 414478a2a..2d461304a 100644 --- a/devel/ndk_core/intel/doc/mi.html +++ b/devel/ndk_core/intel/doc/mi.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ndk_core/intel/doc/pcie.html b/devel/ndk_core/intel/doc/pcie.html index 67075fbae..c2caefb4d 100644 --- a/devel/ndk_core/intel/doc/pcie.html +++ b/devel/ndk_core/intel/doc/pcie.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ndk_core/intel/doc/tsu.html b/devel/ndk_core/intel/doc/tsu.html index 0ff0bad60..bc97934d2 100644 --- a/devel/ndk_core/intel/doc/tsu.html +++ b/devel/ndk_core/intel/doc/tsu.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ndk_core/intel/readme.html b/devel/ndk_core/intel/readme.html index a0774c5db..87b96a522 100644 --- a/devel/ndk_core/intel/readme.html +++ b/devel/ndk_core/intel/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ndk_core/intel/src/comp/network_mod/comp/network_mod_core/doc/f-tile_multirate_ip.html b/devel/ndk_core/intel/src/comp/network_mod/comp/network_mod_core/doc/f-tile_multirate_ip.html index 2bb1fe1bd..b1308beee 100644 --- a/devel/ndk_core/intel/src/comp/network_mod/comp/network_mod_core/doc/f-tile_multirate_ip.html +++ b/devel/ndk_core/intel/src/comp/network_mod/comp/network_mod_core/doc/f-tile_multirate_ip.html @@ -36,7 +36,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ndk_core/intel/src/comp/network_mod/uvm/readme.html b/devel/ndk_core/intel/src/comp/network_mod/uvm/readme.html index 941954512..aaf295b19 100644 --- a/devel/ndk_core/intel/src/comp/network_mod/uvm/readme.html +++ b/devel/ndk_core/intel/src/comp/network_mod/uvm/readme.html @@ -36,7 +36,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/objects.inv b/devel/objects.inv index 3fee68230b7f6917514187031d87006981c0d37d..6bb8ce6a5907da1063dcd2deb4fb5cdf33451c65 100644 GIT binary patch delta 7460 zcmV+<9oyoFJIp(fatLH)GcsgiVKR|=K7Zpll782(U_^Cn^u#V&RW;Rn$Hcf~*`;X9 z_F8sL%@c#BD2Zzx8j_Oj`SqKLg9LGqfb5=qaFqxkzb{GRNPvk%^jPQ7%chF*qz>w4 z{hwL%m}CL@6qK7hT$k}QF7y2~-#ms*S!VULN}_e1{IYBE?88sNMS4sEE*)j*wtq<0 zL0SY+P^ZNUJTK`K>lnvx&K~yCH!evR51kJ8IY0ov{*kufhw6YZL#E`qOx2mU}DLn z1$aE9*?Rilaa66t0$$0Vrc0hRiRw+dDZ{*6A2QpE#u6NymL{U~`2p@A&dT^H_&KUy zi+ER+MR}1T|bd^awJgn(2jq_#4qzn(AKYp}vM)`V5OMek8W#DS_pgEogXLuPrz$GKVHW7+n zbrUvm=GU+&38oYAt8NIQbfCY$C1cw>evY@ho$X%g6dHV)PkAx@W`z8T6%62dcDdXA z`L~BpzupRpFY$K!$8ic;%tXQDJBlx}%k3Zk{QcoSI71qdFP}edYz&WS(>z1ZJ>}(e z3V#9cm6r?_XP9uo;ER9#`03-A`m~Ua%w&b?*LcN1>rWroFRnHBQ@ws6dmWvEbQO2i zU_E#VfbslCzuF$-!>3RGK2&8{37vyWVpaVOfjBs7=&ESwBVPaW=WzSc)6tygpqNxF>IDaM; zyn`X5Yage{v@5BMnYXjWJeb{Ff1ls27B|lKRUed5v`kWokm-*W#53_rV@%s1R{~R;xYrv zp-J1kK5a;33Ltn z-78E9hF(Ge51yBtLdpJJKz{~-41y3}K3>j)IrP<40R!9Zx4#A(;C#rS2Gnq;$v;!8 zr8Y>+6q|qXIfp-$n2LcUq4+cPLo3`uEhJOkZ6eg1JRSIKw zxsmY>HCwJ(-kb-=s7j+pnC?$}RtkFS1S_`Y!&VB|(t!KIfN5EPEeg;aW^=kDvGP4U zn$8SVhRiI~37Sf5vbal=Dyrh$tDm`oHWHgBb^zbnU;L#`HrXM3Jk-#Zl6c@gu$aEm z2B`#u2^GG$dI;bmz<-N$BbJotDqMId&;m=`W)qvNe4h5@`mC5mTF48$3?BHULKxOC z>&QWs_;;Wt9XOf5(4Q9TM5$IHm94ui@aJTk)gc`{oK#}t4B)^pQ|`n1Ic?(poE`88 z!vm`$xPnr_ik1p~zPwufVX}j+a(FG1n)D_1!1a`u?lC|bU4O=;#{D$-nbNa7FXKZF-4vT*K@TD?YMx1pnlK4Viue^K zhK++_z0y6^cn)DWSL`aFR};hPTlA9pFWG@mpA(A^R)jCuA+CikDUNAX7Wh<58eyG+ zKYy)2XiO_8f`5O3S=z2ye)Q4@irY0oEDc;{e$yv~@=yiOyD}U7urR@;`xDH^POL`_ z$-NU8hNfJKvI6f5hvxS8K&T_sC@8_%g`CYIo zH*{4Fn5u0O7Vyx+!O6?9*GJ1z3L#{Wf;3r^Jb%0n?{C5-5H%5f-f2B9uJHG#Ff_}YG^pd5#nG)trEHLRg_ zMp^AKOVVU@R#AcobjmMM!PKIuR1<0ncs4WSKsiM1n-N&Po5hufW`AgaVzY6yDa7-% zU}#!&^M6oQj&QD7yVi3<(}S-xUD1!tCqKJaFimtoApt(SyIHNip54KO{Qho!w*1VL zI5f1;ruaS6LjhS#C#SiDgW}tn(G+;$m_muyV8HKvEIcltkqIEAIcL8qK~msEz=MDb zXaoWX3FhoKCGc;Z=C;|j%&uKBn-Zo#NwIdkhks<9Hq*YGPDSm}7M60}oh@NH{o{Uq zeLlaF$n&T^!2)Me7Ge%bX{i;yqzROiE`>RNL1?YqL|=?Rz77q^F2xmPLc9184088d z6A~It3xzCK{DP9uam8!MsJ4dZ#$gbCNqP8-0!)3X=;ZhU6W0o5)ozFBd zD}TwNSD(A=%W3p1n+VujdKsLvOGdor!=hKaYo&C?H6I%3jS9lF#;;y{pzQPFcN53S zp$9u*s6^rN=#@1x+bixkrSAFmoZoD)S}bp`=1h-t?=d1^X`KuqD8b^EfmTVk^x$Js z_2o1o1r{mqdPxRy_f=tk4aC|oV$?9Vq<^EOS3?z28j;9oo9Bur^vO=?928+YwbDTd z4dgBYKi-+)MbLFB31;((K%WcwQ*ib5VHGH~^XK~?H2n_-9m6^Xd!tIrFMt0? zZAr(v;=h6~L)!iN|DxTmop!$(wcA0PuKuyA?Fdq`mQKGxZOSV5s_mVSP9_0jg}SdI zemTk0&(Sd-oC2quhJSp=JL6KyV#RXt`Rx00Nikp2FDGDvlmYX{0xD4&Qg1sKP+GA6 z5K_XVmkSu7{(3z_ypgG5YMLpgY=5G-Ff2KPKw7{{OyVYt;+THT8An${R5p=KI3h5M zq<|$M@7NG4$n?QAm)zCxO39t8WjUUhV%LI;eQ7M=9a%ZG!xLlIS_?ktY&9-=agV{&EV*;W^ioR48FH( z21h!teLC#J23IJ&C}cs6iDeRoiiB4*A-Kl`%FEVPVHC}4-vwDJq*1apSq6xVbp9DE z@LD2d>ssEFT+Bi$Ks#FIy~2roYMrUhi5e&B8-BxPAEcaNTM-Ss1Ajw`8*xR6>Rt4d ztjlK)qEcD8t>WF_yXn1O1V#ah1V5l7 ziJL=}OoXrVTc1wrTyJ|kQEOpRfBT?$-FNJk%>nXfYnOcl>b`*iM{YZ`?(ePnc#pc! zo6(2-?R6J>7ngT4&xnispi{i#77+(x+Y+aquQ_yZ3Mencv>-?^TdrRlj6QA|2 z&{?hyqGFBSHW76-sV8<&?a|n&y@{YzfZ>!_DR@5j%}I`FoWO)AN%|<8=x2Pmni)7$IHuYfy4oYyeG{MRdp6bIgNk?pJ z3u2@?uB^;#VFftbdLE^ci_gMwx`MCu3Xgz27q1gl~D* zd>em~k7A0EO2R}j`?$rRp%!2b7=;%js{{|CxJqvuYZ*R{OHKss046bDT1%mhY2FWI z_;KXvI9e)!rGo?bNso2pfu8s%2Opwp^_rWOYWGrHS=3|)%jT~Qwljb^Bok4MVg5VZ zs(&u6PH+UpF0WSnzJun_lvNsKJ!tFeA4JAlz;(Wx{)K-F6q}Fh82ZF`7gWhMt=mbJ zu)T&}ps>Ce7YJxpSn}L<-f&CdW4aCZDea0psvHO5o23YV5CK9o3Pd>_n@acVG&Df$ zI29VY*n2t;6IY0?FmY1s!|c#Fem86j_kRa~J>d}7x53rJeSn`05r8h_hV?7F=G=8l z9OMw1O1Rutya-nGVj|?a&+Xh|>}Bv(J}CeWujF+LfVX6l$8>H_Qg^j`{8%tCscV#0 zZ^x{?!=6(Uy6aX|b#JOufS+MPTSTDN(cWRNpaX-BKaSqpKj_M#Z#wL4H1vvKG=I!F z7Wznyb>tPz!-d)&2E-Ki!}U9p3$yXtl=R8VCRbM>Hr+6bx@~} zn7Ft`4AWX%DKr-fx6X8&%h>&@ovtocuRZTc5fF>U)RlI9h_c~ZGet5O;j{)nkV1fX z?AUf-vu^ZOwvt=Kxs&%kL%$6VT7RP)Row=By_e8}nq`Vn{m9;})Wr^NJtrrY>f z9E-ZFyq>N>im#hV{y;05Z6Mx1ZJnsPa@`Y*w$dO^i^ffbejw{SjI$J8?HIJBJZ}W& z%V2i3m|x#de77!I30=26%6lE1!ftpl_N)gfHiy$T(1BTIBVQ0I~XH|Cc=P1 z|Hn=I%3f8wCZ0gNLbE6U?FLsr$UG_{Y>KQCqPcHW(uT+@36JWsWToDs?Yk=4>(Oyt zhAAp-a61kBy_7V(asNk{7Ju4C+tV~N013woX)CTfUdC zBx7iLOL=r{Db0KKHqGzU+cYnys?&Qu9?jX4u4j(fIMgUrR&--HD@z2c6XG&ic|F29 zS%Nuv?VtD2L*IC>Y_<0eQ{ccp663%W&|n;?snR?@QH#E;s>J=>V!5>IFc`|iL67lJ zAcmkHzEM4#^{_<*F@MDWa1Zn0%5De_(hCUU9{0nQ(GV@97a_zmRE8_9Ey{)-RKq)D zou3M(cz0@SJ&%|guH>dr9R27U&QUg8DfQ4Cay$;-U>km{w)WHy$#F_7DtA2wVp$m? zS9yp3a8<+}J46i>;uxmFnONC;+Xb{33>Sf}Q2qD?u7n0rK7ai|hba-?z&lojGrcv? zg(f)3*7OuJs#=$T|yzq-M;H-r?K7ksK;G|G- z4mvo*nzKZeJMr)dXPrjQuP~lfaZ1>6cZCMRmh17@2jlBGaH=oBX%=LTQ|Q1p5a6&E z)bLI5{53>WVZA%Au)T;q$Ff{D0B4RK}HB8^D# zMcl)PIJ4=&M|uHA{Niu8vRWc{XpuTR!!i5O>yey;)wi?X=I1wmxt{n20r8_Y1Q0QV z3vr7K;>>KQRbzFk9~H=%NslGeMiTM~OXA9B3}>PQF!6~};>v4`Zlc6984Qx-K%^lL z^!LZptbf3xgBAGQYz4Z60rDWW1rgF?2DzNMVf zxR17GM}ii@l}bhanZ8S>r`*&B{0nh$GHTFREJj<;fDG>fRu91i&BXnBMUC`F!&eUh z<{OKHM|a|n6|-NAJ2d`S1ZUesZGBj@J3!n8SS!uPF>GLG^dRtPj0XDF z)Q?J%(CFYzTrCy>@AdfkJ-LGl;kZlMkkC6R;$Wd$B{~%>M<)%!c)x_)^b)$m-{Icr zr-Bq)W|TQAvd<$=MLG$SB9QC!65NJtt$(D5l7mU@Il(3oJS3SP;5q=zO0}TkWM!== z-_;M6Nu6w6lr{;-Vad>#SVE&&ZEbOlne6t{CqXPx8c@l9y+}L zt{B6_H{OMP>tJ#7pAiT%+Ax9KAb+oee?lv^mF`Y3RitT#hy#da`e6mu_1-8|@4Nl{ zu|9CNX9Ov7?V-PPP0T21d{~=I`1~sRZL)*8{GoFa?n`K=l=d>1)Xl{*c)$R=mxpxS z*%w`?3q_P>$gvq%$s|ki-p%D~QSQrEKu{CTf(m-%c3GF50c!`tl06fYs`J7!u%Ku@eoBgkiL`}R8LNqRvY?4G_HY3 zie~U$mzL?GzK9}69$H_KgygQJVqe`ZD)*h_9KvP0AJEl5oJ$Ix-QTzEbd!X?L7yaifOI@-kD#=?#78%cbc3A84p1 z%wxx|Ym03iSGPXqL125vPU5KIa-h{iO(e+edx>ERda0+820Dmha{rlU%IRpci zRuf%7hgKjd3*-@jr>;mUe6$A%qZ+*m-te+jK+{qhw zKU6OSSV;ZcRzz)+9e*kU*wMP%KYgkyqZ`_(K6ylttkp=}StjK4=x8G~JQkYCHt4jl5<3R8?%--i0bOUmQ@~+X~N> zpf&@om%}G}ogBMBXhO{hS|^dn9MFL4B=503RVCX#U7-%m7k>}Nu*+TgrtW&ipye|> zGD13`Q1U1FF0{@7!%!5S50Feaf)tQPM6GfOWN3N)VQGAV!}4!& zvHXOyh-^+@U?+BNU%e1#ZYKm;qoRGND}p(Mff-|@)rUPk05FIW?s5|D@N}7U?;eb@ z#UKKMAO-;pGJkPAb(Fxr>12eni9n6WJ;B9ETar|f3 z!w1`HhuTULQ8{Rsll5kcGWLOWavaGJa!eNVnqNCH%73?{d}<#c8T&H-0Wt~8#K_%% zHSnpPe{Of6Q;(=b7L*hp=bJYfO#N60ADX_wq=ZHgREDS{C-6E&FljY{ax54+!E%Ih z4n#NMs_L;uPE~X`YKc%&lp-CUA)X0WZvQ057CBY1!5}3ox-!Tl$d#BG44xdIl?4P1=5yTpBSy7qO)SX#z zNzj-9%gJ9y*CDK4ywp&f7z~;aKs-g6y?R!Jhkv7tss>^?`OcsYY<+u#+pq|ZoLp9M zn&GJY``b9Osr(!_gfk=JN8Dz>v>!+zwqEzSC!g0py zn5AN6tTritl9Rv~ti>&cfnz4&I0io%mCLDD8up6OczG{nb(TRLq|e-TUg;w@CE-FN zK7S7sezXTPb$ck)c{)&>vFeiRwFP9B@~ahJI|o3H23|}CMmm0Af9xx-7u))A3-4{VZ~hnp^r90KzzBS i7+6aCf_vPpGlB=k8dlQ#dE8SNF8Lbz{{;c;Qh}pf8C)3v delta 7349 zcmV;m97^NNJBT}watJtKHa0aeV>gj{K7ZRtl78o}K!iIsdSbU)w#U79OpHuXGNVO_ zD@q>E69NK35-S`W0Hmb(^_y7-P^bf_qSUhwvWcq7?@Iu6BQq;{tn=t)Q$=}F2X(Xl z&n$XOvVeRF%1s`w%lIrV^Zi-Ac?_Gf%<8i$iPm}Y%dW|@4?hK$=`jhobd;srB7a#2 zX%R$0ofg|H37*Sp{VzdLHjtYIQI!M;mnBUSG`sS!-A$rA54TA%z`af$58Jam$-^e8 zo1{A9B0W_gjUdeVVi8`=ZYb=&DjySHU@D|RGbjXK-ratkO``pt6XB&~ zDjO_#nVXB>Ff&Wzs7cEL<-@ovHh<|h%%i7dlV*yd#rg>fRLL3B7E2x~D$A7uCYDTE zfX73ct=RFrHLqgaezCBvod}Pevazb zBHmSHQ6B0)1ef#6+nR&F3_R(_s7@&U8853;qw^Ia{g^yPRh496y-PRnJ_p7CvvQlp zXOlbvLVq})2CLcCguc2jA3ttC8EOOMVe{K$$xEEnXVEq#n;jPJF=8>oAns_{>1-AJ z*jGtiuYLsQS95at4YD~GfQ0KO8yQvkZ2d&m$&oC78|TZ8Nf{nKfBb0UjPmsvEq_I@l!2?wgXVY=>z=a4}O=#PxT=Oge*Q}5%eWaqc7|7 z4AOmOo=+t7DNhTgZQ3L*nlL|PO&Y==_JmLuQkcRgIEjU1o32GcPQMFAP z^DVJ)vZpzS5H51RW0MpC0n?dw7{&AuA4a&Y_hxX&kT9_tB}FZZq-bgYDu0ei z1@B;J(zTD%HjG4>nd=^Y^Z@$m&R`c7N;NfO|znb6^5HpM8Zk>hur!DKXJi9^2 z6B7)?A%Md=!{B_qhRIz(FCw@Z9KafQXy3nGTnGCoeu}mU(<6U^p)t-5>*NIT{D}x* zy#6JBn#5IE*D$D$R@FSM;Zl@V9)H}Fr~l~i!bhClgzGfg!d&(Z=xr*Yh(sU~i7PHM zm^n0Qo|K0sd`{QRE`)Bgco$j_vD5e53mHYbyk?3!;B!V z--W9MyW&42qJ3F4@5927miVuPUpG)X_vx<{Q41T#ZR;nFNRS{g!w6N>xPL@}2_UYp z-sL7KD3L(nr3p=GlX$l~6}L#61<+lj!S;IYeO1@T#4>^rXDT3P(O^v`AjyodooOK*QX_1R%97+kf?ELxl+n6G`|w zg|WNb$asgEZPzSrFM?xKrO_iS_a{C(1-*TO72ES+I|XcOza5)a%5 z7SngyAeDeHp~9Ef4}Sq%1bC5d#Fi4>g$oY_&cG74)x;(%pU?VoeOAmOE#xI$1`qsF zAq;C+b>yH*{5w#S4xCJ2=ueAvqEsu9%J$vn_;a$&>X42ePAai+25?}QDfeOhoHlWP z%?@~k;lZpUxQ0@}ik1p~zPeuhVX}j+a(FM3PU%bRf$K9~x_`$2X>=QtPVT=!20V5c z3~*Qm{rIoR4w`a_mC9ksyyI=^iNG$&{YuMHwG*=%&~b3wjWFQ}bNf)PzM? zQpB&YFl-zY>y_@Q#&Zb6xn@@Zy_y(y-=de)f6ESp`kYvVup)fJ4sk7XNpVc8vcRWe z(g^Dm{P}AILVsggK@t25%+hwv@}rkNP~5HwVrk$q^P4^?l!q#K-j&(thlL4l-JdXh z?8JK1kSr#WizFBG$nNui&zA%hmm^$U+1Ey-5gathGaB%T*?Df&IltKs@q#zAU0Dpk*?rIj^g!i}M0*IQ3KJT=s z>DGn&h@goolq{FDzzd!N9X?B$AmI21iH;Wfz$$_ntLei8wl#sZxcu6Frl1^$mo!VG z>NTvPc1Bt4u}acpbyiV=2z1IXQemn^Q>i9&D&X17kOSoqwLgu(^4%(~JT&`50~DK$ zg)L(EXeQg zX6K8~Oo>B78*Pf;Gd&cL#dLC-OE@ULoheO$7mg{EcnuTyz0VAf3ut5l2x%_ZZ%U99 zxDfCl-~t+f078Np`%MY_Tc^2gb}h4Omuya%M}PGRlVB#L)6lsnhoo$DBD|mpl$7p9 zId9^(6U{{54?w;S4au&=6=lMy=_AaE-EU1uXf!PpvRv~EN^4QuA%jIo{&Z0YJx&6>3MFR_iEPD01%f6gO&$5YtUDC_of?YD=H6NM0 zs%L&Hr8BPi=tOT+5T-SLc;aJKpBFz}IIhn;*a<@=3YSO6sFB%TamOk3kjo2xv%zY< zSYFSV9_ikrQ^wLd8A4Ek`I3QFNw@m!qhgG1|&Y$mp(DXkTbPVelhWsimzx*S$B^~RE{|degY4_{@i*~b{Ejf8``kKS#%Wa21+z8vgwo z?~F?+`vr^n=kxE21;uXU@kUl_ zscEs6vbEaWub2WPFy}UCHWaI+E;E*s5t%FK|g5+U+>PL!8GyMPBj!!TgLq~ z_!;i(9vgQQ(=Fj?Su|Cd!RihMh2!Jr;jK?~e~AgGe>C5}euh2+;l^6b(YU{HGF;3S z4?h?%pZU8(GXWi(15x<-YI=TmK?z2e?MH`Y`>|cNe{YxVN4lII zWfF#pe}vaGA-Kl`%FDJlVHC}4-#t+(q*1bMQ3i;Nbp093@meBeTT9-QT+Bi$Ks#Dy zK*EWAYMrUhi5e&B8-7M+--MiDTM-Ss14D{iaYc#hUG$W!%V!UwRzxG}z-Zqft|t7e zU%7WPgKUfU2nxEX0yh)fgx9yX%kXyD0lS(Ee>f3HwgUKhI@<3CEh>=#%5aeN6F~vA zbdekWHh|)GU4~kmFyTNzUd%p;b0u%{;Kt~cchbox}bK|!@oz}kH_IRRp ze}+j--h<|K-?3Zl^W`tcE~fmd>HdH%wH;bFxz^0AN3Gb+=tCy&x|O?&)w!8x#7ce8 zF5S^f!-3f7*fHYF;pC8F<{tB48F5<~^R6(H4tQc%oG->)EXH^=cMP)!#;_~IFp1_g zp?FHL?f|>+Y+aquQ_yZ3Mencw>*B73e|t;k(-WWeuFzhs4x(a>1}_n{_NXUzQ0>v! zslADyRlvk4u~P7SZXS~y(>Q?zQIhmgHqqa#2$095FRSElhooq-*WkQ{sYviYhonX| z6_s}7Gj15wO;j~jRJjm?|5iSuc|J|)1HxUy=vBgG?++uI%}Zp|HN-qC8sL!UO~(0>Qg)q3BWO^fLqoG-W<6;FOPbvX7?kM1 zZj$D?te*JZfeA2A@)1BN(E)Npm2P=xH^Qwfo6VPR$k?X-ZojR_O?9!5~HNQb+e z&Xa)$+0=&-I4Hr<(j1pfxEKFWf25jrmTuWNnX}B{y0Ud8c6QmDL!(yDm8No&(aoHXMNLP+q!U>XZlb-$@KPa7OWGrGNQ!tf6!-UlLtS_ zBSh4JQ1pdEYf{l0G9VBz^onZL90Yx*YESiHnWQ7OwFNQKn;)1M>lr2#9U1erq23Iu z4fYftQt>Mf6V^t5`i#65qfElslQFM%@3+kS;4SZ(d(tQQD5e;xBuo^uk6R2HY5~@O zQFt-3O7I|xyY#lPmf_>Lf8<2a4qy@krnMC6nCAUZh95_sj-#a#SUNa>pY&Kq9_WdW za_}LlvtD!4Qs=!CR~9wRgXQV34Yr#Ab4VtlPKNpKaI0FCI>8YXySiTS`wp5zQ&wq| z^`Nb@WOVpz!~#W9Sp(T~H<4v~CwwV(vBc0>$i$e{q3;HVaFh+s+$q zDSS+~;Xb8Zkw=x|AlzSx00^zfMB~#Ew&;p_{#@^DuFR=o%9z#Xigq zjpKL2ws3y{*b@$Mvka~u?gRX6hyZjWH>_XbHRrBgX^=x`D&cZp@gi8!i;0lyKDYB3 zV=sg6@<}mhcqOk}e*nBClRT#L`6M-0yT^|O6O+0|Y4vu@+B>*?n$TUnMOF7urx-iK zgtnkOt*yI*yPgAsjz5mxbK!I4(Ea{;zJ6XogoZiCq7SLzhP(o5xKP_8ahO7HxPE7H zVK#o7l0JFaEV+x(jH5iHm8(e=x1ZltMG1aO+IRxs2Vf z+Ue?I_1g2E6alemOigLmhbSAqHB&Hw5l(CT0VxWHhtsqJo7LA^@#eOOb0hD4hIShs zv_?6`%MG)aEXzuK$m#_85qJR}teOy~#Poos+xS>0iMp)3o~}WP@0&^XKr5PUAl^T1 zov6BU-4l$qf6^dNi^ffbb|C9KjI$J8?HIJBJZ}USi(q;^pWWO~e7y&)gsz^4vb&*E zhR-t0jNkKyDgJi=pfE$%rMJ39W3Fj;+t74Kl5xRE22!|^8DIFo(>CH*+HP?S;t zN*DK2ceY+F(S1}M9Z}u+`o611Z`HvtEi@4Z6#75xj`*#QsUKt> z6%jT?)(O$vH!5jEw21I z1|VUWA$h~PfbpS}XP)r%*!1EZo}K|npQrdd!xNS1*|q%Wg^?PUZ}`oi zT`}9|e}{iz-GvjGlN53Qj!nHH4_qc*g-lnMyt+yPwXmfq^#4YhRHTQ~rQ`PA` zACKnjN!Oc>**MfdQC4(gL@7%UsS`pYS$RDoe=AwyDS7$N`{EZz0UDg?t)d~Nk5M>TJ zIK()!L^C__&;w_kM$Szzo{?}$xNCQXe+I&3>v6ybTiyZ=fE})Sg#jM z?@e*!JoIn~NM{W?=MkOELnHHZae69hSSAlvV??Kc2vVoTY&u0DTMw=Ucp4ADVW+o- z>*%6%cm^UF=NgV-(nc`x3|8XJtHe2Jqn!A}2pK0Gh%I6YBr+N^WSmi-?(x7Pe?y^F z#$gr2vCR@=U=kM=HI9iPYKdP=l5x_(XeQ>sCEjr{>{_@6)i6cSa1E8=%C3dikb-Ks z$IftO(<5&5qHOpDop5EfgsafPQ+S2}_M_J$2nVZg=fBM^ZvS#K@r``pM{S6jVTh68 z77oLi*-)#->Qp}zjx&=UK&K6#f8!I2!3LkZ$B7#YZcNJAdz z?~kX=0*?-}!0+Z+piAr<4`N&796eBu%ULg0;-Rx)oKK{&2g0k=KzMvwZ}vZY3r^1) z(GBQS1(eUmcs%I!{C+lhpLl?7`glhebc-74MtG{C$_G00gX8Dw<@tEuf2S91?N`Ft zkNa?XzMMFm**Au7I^xfAz@IM84bky5TWa>|#Ay+dn7`r)wbWX6m@_HDHN5Qg_{48i zQnC%A?Ci@-aKQ>qhT_u=lPYoSMx)p{p4l=?YCq;>;`=cV&bNu$`mktsaJ37tR+^7v*uc)|0o2hL4fL(4 zAC)Ab(ZQRzp3ei`>+y4Aat9T{aXYLbp?6ZmVTNv%=v1&A*)s^^fBh12(@W?M9fy0T zp9)fJnNjAf$Ucue73m~Qia@T@OK=;qwUQz<3?{Yb)0#x^a9)0J=m0P))q;u>eYK*d zL*WOpt*6NISX|Ulew~C=QNv!*prtjX^3@vO3S2{Gx30*JGIjG+&%Wxrc?=G!U zGVymtJlK5>9y;c!e}Z~knajCkF0kHp)DeRz`PlDntkrku95i2T)V|i?m^9ae(A-r~ z4o@3CD@}ufY1)BDptP~EBEkg{U68*gRSGmTtl7i&Md$Rd%ep;VZA%G1*_ms4?o_Mu zRNZ8@G#tk#u0CYkwXh&M4p{0awOpLr5UZq48W&8tAYaeVe^#@58*ZKEp~L&{iZM)l z<6W$?4i-J$j6j&t#>V3l@;dk@oW-`%-N~7XG|dpf@32hYmmZJ1H%Zm|&WSzN2hR3j z97V1@Y?khc86}MmYm*5>S4F=~b}*Mebe6Gw3GH;uUIvr8y<7wj7*+P_kgmJ=MHk;e z5v3V&Kmt}Wf60=(cXK)O#4;eToYsR7OR2#SL?m`qa`Pxzg!FE;AI_~yZ5ypxypGKR zHNUw9{7SMcDw6Ub$sNs#9Ur^K+-EAxkFgLBQN+OLOR16MULAPZzSgsBij8`s{V;oe^SKgzO%B^5!QD9W~Ki7<~H`>k!Z zbzI&4m%qapU%(GcSsJ|F|iHBp)+>+o}k!3Jty9=Ij~gM20o zgY==te~vjD2Np+~^~wHx>NXea0+82fLTcT_qTy_2nHhBVugwmRW{^*%k!_wm4x+PIN`JtNfA&DMp4oKu60V~>te+jK+{s?6AF3Au zETn#JE28FEhKc}owCBlrBjxe|2FK6^Oi!Fra@@W=@1ghI)m z0}MlGJtP{ZUbHB{-o$5qCn1rSA1rEz!;%4~?2k=;r zjsHn9`|8E@ayu5s8Vc+~T@lP749pl9f2=<2@d3buDB&h2;SP^fN%!s%7+VY?FbHB0 zz#tPCqnKH1TZd{7=%l zT8PS#vYcjbw$NH1SSQDk3~{z(L9g|-6Qg`f%BS`LlCdxIA0U&kObk~ISOcHx`R8^A zI`v#eWI;*salU!egsC3@;6u}&Fe&i>1eGDw#tFPmVLMulpd5<;POu!IoC6^}xT<=9 zjZ+mJ4%H#l6s1VVX9(iKmD@kbe*ra4RctUahtLbCh(x8w>){iF4fzhooPO!F!yAlgK0q2lsm`bdaYmomDF&jH ziz9PeQOeaCLyV|yHrHXOxP8YkH0zx{M>u>0>#%)_!bK2kz+^>bPE&Vgf5jw0V+JfI zdmUYeuzK-QLos47XhHz-6lM160SO+4GO8Mgqm9g5S z07^yzW3U#p7zU1+gyR_Oe`HiHr(S8;Dn{ewy_D5h26d1=bK7~PkKB}m3ys)3Q25av z(A4drRA=cxamK1kuGbcjS;((eeC-?nIT~~Usm`bYaik+`{13q~jZ-|I9+x2vR^wfk zsh>ir&SU~{sPV%Hl4c-5v6!T6DuH;?ac;w)ihTryl!O&?34}h{BPIgk%PqygQrZ{X b<8GZ1JSEkzlittcmcnq!_t5_j77_Ho+6NxN diff --git a/devel/ofm_doc/async.html b/devel/ofm_doc/async.html index f8f1bddb2..d6d42ec16 100644 --- a/devel/ofm_doc/async.html +++ b/devel/ofm_doc/async.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/base.html b/devel/ofm_doc/base.html index 2c19998ee..2bf556eb1 100644 --- a/devel/ofm_doc/base.html +++ b/devel/ofm_doc/base.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/build/readme.html b/devel/ofm_doc/build/readme.html index 424633341..d002a8991 100644 --- a/devel/ofm_doc/build/readme.html +++ b/devel/ofm_doc/build/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/base/dsp/dsp_comparator/readme.html b/devel/ofm_doc/comp/base/dsp/dsp_comparator/readme.html index 88c784efa..7383a1f9e 100644 --- a/devel/ofm_doc/comp/base/dsp/dsp_comparator/readme.html +++ b/devel/ofm_doc/comp/base/dsp/dsp_comparator/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/base/fifo/asfifox/readme.html b/devel/ofm_doc/comp/base/fifo/asfifox/readme.html index 7f049c967..cf2fc2088 100644 --- a/devel/ofm_doc/comp/base/fifo/asfifox/readme.html +++ b/devel/ofm_doc/comp/base/fifo/asfifox/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/base/fifo/fifox/readme.html b/devel/ofm_doc/comp/base/fifo/fifox/readme.html index dfb98b31a..b6acde699 100644 --- a/devel/ofm_doc/comp/base/fifo/fifox/readme.html +++ b/devel/ofm_doc/comp/base/fifo/fifox/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/base/fifo/fifox_multi/readme.html b/devel/ofm_doc/comp/base/fifo/fifox_multi/readme.html index 44c8256cd..02d29c4ec 100644 --- a/devel/ofm_doc/comp/base/fifo/fifox_multi/readme.html +++ b/devel/ofm_doc/comp/base/fifo/fifox_multi/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/base/logic/barrel_shifter/readme.html b/devel/ofm_doc/comp/base/logic/barrel_shifter/readme.html index b3db613b3..954a96f0a 100644 --- a/devel/ofm_doc/comp/base/logic/barrel_shifter/readme.html +++ b/devel/ofm_doc/comp/base/logic/barrel_shifter/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/base/logic/cnt_multi_memx/readme.html b/devel/ofm_doc/comp/base/logic/cnt_multi_memx/readme.html index 4560b0c8c..fecb5cd6d 100644 --- a/devel/ofm_doc/comp/base/logic/cnt_multi_memx/readme.html +++ b/devel/ofm_doc/comp/base/logic/cnt_multi_memx/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/base/logic/n_loop_op/readme.html b/devel/ofm_doc/comp/base/logic/n_loop_op/readme.html index 5350837cb..c1cf686d6 100644 --- a/devel/ofm_doc/comp/base/logic/n_loop_op/readme.html +++ b/devel/ofm_doc/comp/base/logic/n_loop_op/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/base/logic/sr_sync_latch/readme.html b/devel/ofm_doc/comp/base/logic/sr_sync_latch/readme.html index bbaa61dcf..14ef42cd0 100644 --- a/devel/ofm_doc/comp/base/logic/sr_sync_latch/readme.html +++ b/devel/ofm_doc/comp/base/logic/sr_sync_latch/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/base/mem/mp_bram/readme.html b/devel/ofm_doc/comp/base/mem/mp_bram/readme.html index dd587a2ff..f94287034 100644 --- a/devel/ofm_doc/comp/base/mem/mp_bram/readme.html +++ b/devel/ofm_doc/comp/base/mem/mp_bram/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/base/mem/np_lutram/readme.html b/devel/ofm_doc/comp/base/mem/np_lutram/readme.html index f61b558d0..cf6c649b9 100644 --- a/devel/ofm_doc/comp/base/mem/np_lutram/readme.html +++ b/devel/ofm_doc/comp/base/mem/np_lutram/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/base/mem/sdp_bram/readme.html b/devel/ofm_doc/comp/base/mem/sdp_bram/readme.html index a1b5001af..41424f912 100644 --- a/devel/ofm_doc/comp/base/mem/sdp_bram/readme.html +++ b/devel/ofm_doc/comp/base/mem/sdp_bram/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/base/misc/crossbarx/readme.html b/devel/ofm_doc/comp/base/misc/crossbarx/readme.html index 56026b99d..39d93ccab 100644 --- a/devel/ofm_doc/comp/base/misc/crossbarx/readme.html +++ b/devel/ofm_doc/comp/base/misc/crossbarx/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/base/misc/event_counter/readme.html b/devel/ofm_doc/comp/base/misc/event_counter/readme.html index 789128ccd..49886f577 100644 --- a/devel/ofm_doc/comp/base/misc/event_counter/readme.html +++ b/devel/ofm_doc/comp/base/misc/event_counter/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/base/misc/packet_planner/readme.html b/devel/ofm_doc/comp/base/misc/packet_planner/readme.html index b45e583c0..a79aae458 100644 --- a/devel/ofm_doc/comp/base/misc/packet_planner/readme.html +++ b/devel/ofm_doc/comp/base/misc/packet_planner/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/base/misc/pulse_short/readme.html b/devel/ofm_doc/comp/base/misc/pulse_short/readme.html index a83fd260e..b34b8f44b 100644 --- a/devel/ofm_doc/comp/base/misc/pulse_short/readme.html +++ b/devel/ofm_doc/comp/base/misc/pulse_short/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/base/misc/trans_sorter/readme.html b/devel/ofm_doc/comp/base/misc/trans_sorter/readme.html index 8851ace76..1cc142d1c 100644 --- a/devel/ofm_doc/comp/base/misc/trans_sorter/readme.html +++ b/devel/ofm_doc/comp/base/misc/trans_sorter/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/base/pkg/readme.html b/devel/ofm_doc/comp/base/pkg/readme.html index d049073aa..26328b1ef 100644 --- a/devel/ofm_doc/comp/base/pkg/readme.html +++ b/devel/ofm_doc/comp/base/pkg/readme.html @@ -36,7 +36,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/ctrls/sdm_client/readme.html b/devel/ofm_doc/comp/ctrls/sdm_client/readme.html index bd990bb80..da85cd6e4 100644 --- a/devel/ofm_doc/comp/ctrls/sdm_client/readme.html +++ b/devel/ofm_doc/comp/ctrls/sdm_client/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/debug/data_logger/mem_logger/readme.html b/devel/ofm_doc/comp/debug/data_logger/mem_logger/readme.html index 0b1656282..7b8fecb8a 100644 --- a/devel/ofm_doc/comp/debug/data_logger/mem_logger/readme.html +++ b/devel/ofm_doc/comp/debug/data_logger/mem_logger/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/debug/data_logger/readme.html b/devel/ofm_doc/comp/debug/data_logger/readme.html index 37af94201..db936c510 100644 --- a/devel/ofm_doc/comp/debug/data_logger/readme.html +++ b/devel/ofm_doc/comp/debug/data_logger/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/debug/histogramer/readme.html b/devel/ofm_doc/comp/debug/histogramer/readme.html index 731e37701..732cfc4e4 100644 --- a/devel/ofm_doc/comp/debug/histogramer/readme.html +++ b/devel/ofm_doc/comp/debug/histogramer/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/debug/latency_meter/readme.html b/devel/ofm_doc/comp/debug/latency_meter/readme.html index c7c93960e..8a2cbcd8b 100644 --- a/devel/ofm_doc/comp/debug/latency_meter/readme.html +++ b/devel/ofm_doc/comp/debug/latency_meter/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/debug/mem_tester/amm_gen/readme.html b/devel/ofm_doc/comp/debug/mem_tester/amm_gen/readme.html index e1f98ca4d..996bbe8d3 100644 --- a/devel/ofm_doc/comp/debug/mem_tester/amm_gen/readme.html +++ b/devel/ofm_doc/comp/debug/mem_tester/amm_gen/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/debug/mem_tester/amm_probe/readme.html b/devel/ofm_doc/comp/debug/mem_tester/amm_probe/readme.html index 34118dd81..1daca638e 100644 --- a/devel/ofm_doc/comp/debug/mem_tester/amm_probe/readme.html +++ b/devel/ofm_doc/comp/debug/mem_tester/amm_probe/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/debug/mem_tester/readme.html b/devel/ofm_doc/comp/debug/mem_tester/readme.html index 9c19216d8..d0315ebdf 100644 --- a/devel/ofm_doc/comp/debug/mem_tester/readme.html +++ b/devel/ofm_doc/comp/debug/mem_tester/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/debug/mem_tester/sw/readme.html b/devel/ofm_doc/comp/debug/mem_tester/sw/readme.html index eb0099416..013939df9 100644 --- a/devel/ofm_doc/comp/debug/mem_tester/sw/readme.html +++ b/devel/ofm_doc/comp/debug/mem_tester/sw/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_insertor/readme.html b/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_insertor/readme.html index e6ac9ec4f..113cd97fb 100644 --- a/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_insertor/readme.html +++ b/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_insertor/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/comp/comp/readme.html b/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/comp/comp/readme.html index 0c49f08cd..f10350d8b 100644 --- a/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/comp/comp/readme.html +++ b/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/comp/comp/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/comp/readme.html b/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/comp/readme.html index 04b89a19a..f66453c67 100644 --- a/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/comp/readme.html +++ b/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/comp/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/readme.html b/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/readme.html index 46eb2f3de..012c2272e 100644 --- a/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/readme.html +++ b/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/input_buffer/readme.html b/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/input_buffer/readme.html index da44b256e..fcc35834d 100644 --- a/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/input_buffer/readme.html +++ b/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/input_buffer/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/software_manager/readme.html b/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/software_manager/readme.html index bd54fff47..b871259a9 100644 --- a/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/software_manager/readme.html +++ b/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/software_manager/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/trans_buffer/readme.html b/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/trans_buffer/readme.html index a6ee8fb97..b1ad5ad7a 100644 --- a/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/trans_buffer/readme.html +++ b/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/comp/trans_buffer/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/readme.html b/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/readme.html index a6a335abd..7377e5d0c 100644 --- a/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/readme.html +++ b/devel/ofm_doc/comp/dma/dma_calypte/comp/rx/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/chan_start_stop_ctrl/readme.html b/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/chan_start_stop_ctrl/readme.html index 35819bfb4..bded3a6f4 100644 --- a/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/chan_start_stop_ctrl/readme.html +++ b/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/chan_start_stop_ctrl/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/metadata_extractor/readme.html b/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/metadata_extractor/readme.html index 37055ba37..0679ba8fd 100644 --- a/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/metadata_extractor/readme.html +++ b/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/metadata_extractor/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/packet_dispatcher/readme.html b/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/packet_dispatcher/readme.html index a5e2d0730..c92c6d91f 100644 --- a/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/packet_dispatcher/readme.html +++ b/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/packet_dispatcher/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/pcie_trans_buffer/readme.html b/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/pcie_trans_buffer/readme.html index 90e0ef17f..5ca5af9b7 100644 --- a/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/pcie_trans_buffer/readme.html +++ b/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/pcie_trans_buffer/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/software_manager/readme.html b/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/software_manager/readme.html index 69d0fe7d9..ebc527bdb 100644 --- a/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/software_manager/readme.html +++ b/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/comp/software_manager/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/readme.html b/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/readme.html index c56fef9bf..dc46b9c94 100644 --- a/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/readme.html +++ b/devel/ofm_doc/comp/dma/dma_calypte/comp/tx/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/dma/dma_calypte/readme.html b/devel/ofm_doc/comp/dma/dma_calypte/readme.html index bd8c297af..38d55c3b1 100644 --- a/devel/ofm_doc/comp/dma/dma_calypte/readme.html +++ b/devel/ofm_doc/comp/dma/dma_calypte/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/flu_tools/readme.html b/devel/ofm_doc/comp/flu_tools/readme.html index fbdcf37cb..938f0bdb2 100644 --- a/devel/ofm_doc/comp/flu_tools/readme.html +++ b/devel/ofm_doc/comp/flu_tools/readme.html @@ -36,7 +36,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
diff --git a/devel/ofm_doc/comp/mfb_tools/debug/gen_loop_switch/readme.html b/devel/ofm_doc/comp/mfb_tools/debug/gen_loop_switch/readme.html index d2d99c08f..5c23b8b5d 100644 --- a/devel/ofm_doc/comp/mfb_tools/debug/gen_loop_switch/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/debug/gen_loop_switch/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
- Git branch: devel,
Git hash: 8a6651c7 + Git branch: devel,
Git hash: de32dba2
@@ -100,6 +100,8 @@
  • MFB Loopback
  • CROSSBARX STREAM2
  • MFB Frame Masker
  • +
  • MFB FRAME TRIMMER
  • +
  • MFB FRAME EXTENDER
  • CrossbarX Stream
  • Checksum Calculator
  • MFB Auxiliary Signals
  • diff --git a/devel/ofm_doc/comp/mfb_tools/debug/generator/readme.html b/devel/ofm_doc/comp/mfb_tools/debug/generator/readme.html index 26ae28a5d..137d09e73 100644 --- a/devel/ofm_doc/comp/mfb_tools/debug/generator/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/debug/generator/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    @@ -100,6 +100,8 @@
  • MFB Loopback
  • CROSSBARX STREAM2
  • MFB Frame Masker
  • +
  • MFB FRAME TRIMMER
  • +
  • MFB FRAME EXTENDER
  • CrossbarX Stream
  • Checksum Calculator
  • MFB Auxiliary Signals
  • diff --git a/devel/ofm_doc/comp/mfb_tools/edit/frame_extender/readme.html b/devel/ofm_doc/comp/mfb_tools/edit/frame_extender/readme.html new file mode 100644 index 000000000..3976961de --- /dev/null +++ b/devel/ofm_doc/comp/mfb_tools/edit/frame_extender/readme.html @@ -0,0 +1,420 @@ + + + + + + + MFB FRAME EXTENDER — Minimal NDK Application Docs documentation + + + + + + + + + + + + + + + + + + + + +
    + + +
    + +
    +
    +
    + +
    +
    +
    +
    + +
    +

    MFB FRAME EXTENDER

    +
    +
    +ENTITY MFB_FRAME_EXTENDER IS
    +

    The MFB_FRAME_EXTENDER component allows an MFB frame to be extended by adding +empty MFB blocks to its beginning. This component can be used, for example, +to efficiently insert metadata into the framework.

    +Generics + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

    Generic

    Type

    Default

    Description

    MFB_REGIONS

    natural

    4

    The number of MFB regions

    MFB_REGION_SIZE

    natural

    8

    MFB region size in blocks, must be power of two

    MFB_BLOCK_SIZE

    natural

    8

    MFB block size in items, must be 8

    MFB_ITEM_WIDTH

    natural

    8

    MFB item size in bits, must be 8

    PKT_MTU

    natural

    2**14

    Maximum size of a MFB frame (in bytes)

    MVB_FIFO_DEPTH

    natural

    32

    Set the depth of RX MVB FIFOX Multi

    MFB_FIFO_DEPTH

    natural

    32

    Set the depth of RX MFB FIFOX Multi

    USERMETA_WIDTH

    natural

    32

    Width of User Metadata information

    DEVICE

    string

    “AGILEX”

    Target device: AGILEX, STRATIX10, ULTRASCALE,…

    +Ports + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

    Port

    Type

    Mode

    Description

    =====

    Clock and Resets inputs

    =====

    =====

    CLK

    std_logic

    in

    RESET

    std_logic

    in

    =====

    RX MFB+MVB interface

    =====

    =====

    RX_MVB_USERMETA

    std_logic_vector(MFB_REGIONS*USERMETA_WIDTH-1 downto 0)

    in

    RX_MVB_FRAME_LENGTH

    std_logic_vector(MFB_REGIONS*log2(PKT_MTU)-1 downto 0)

    in

    RX MFB frame size in MFB items

    RX_MVB_EXT_SIZE

    std_logic_vector(MFB_REGIONS*log2(PKT_MTU)-1 downto 0)

    in

    Frame extension size in MFB items, but must be divisible by MFB_BLOCK_SIZE

    RX_MVB_EXT_ONLY

    std_logic_vector(MFB_REGIONS-1 downto 0)

    in

    It only uses the new part (EXT_SIZE) of the frame, the rest is discarded. +This can be useful, for example, when we need to send only metadata instead of the frame.

    RX_MVB_EXT_EN

    std_logic_vector(MFB_REGIONS-1 downto 0)

    in

    Enables the extension of the MFB frame

    RX_MVB_VLD

    std_logic_vector(MFB_REGIONS-1 downto 0)

    in

    RX_MVB_SRC_RDY

    std_logic

    in

    RX_MVB_DST_RDY

    std_logic

    out

    RX_MFB_DATA

    std_logic_vector(MFB_REGIONS*MFB_REGION_SIZE*MFB_BLOCK_SIZE*MFB_ITEM_WIDTH-1 downto 0)

    in

    RX_MFB_SOF

    std_logic_vector(MFB_REGIONS-1 downto 0)

    in

    RX_MFB_EOF

    std_logic_vector(MFB_REGIONS-1 downto 0)

    in

    RX_MFB_SOF_POS

    std_logic_vector(MFB_REGIONS*max(1,log2(MFB_REGION_SIZE))-1 downto 0)

    in

    RX_MFB_EOF_POS

    std_logic_vector(MFB_REGIONS*max(1,log2(MFB_REGION_SIZE*MFB_BLOCK_SIZE))-1 downto 0)

    in

    RX_MFB_SRC_RDY

    std_logic

    in

    RX_MFB_DST_RDY

    std_logic

    out

    =====

    TX MFB interface

    =====

    =====

    TX_MVB_USERMETA

    std_logic_vector(MFB_REGIONS*USERMETA_WIDTH-1 downto 0)

    out

    TX_MVB_VLD

    std_logic_vector(MFB_REGIONS-1 downto 0)

    out

    TX_MVB_SRC_RDY

    std_logic

    out

    TX_MVB_DST_RDY

    std_logic

    in

    TX_MFB_DATA

    std_logic_vector(MFB_REGIONS*MFB_REGION_SIZE*MFB_BLOCK_SIZE*MFB_ITEM_WIDTH-1 downto 0)

    out

    TX_MFB_USERMETA

    std_logic_vector(MFB_REGIONS*USERMETA_WIDTH-1 downto 0)

    out

    TX_MFB_SOF

    std_logic_vector(MFB_REGIONS-1 downto 0)

    out

    TX_MFB_EOF

    std_logic_vector(MFB_REGIONS-1 downto 0)

    out

    TX_MFB_SOF_POS

    std_logic_vector(MFB_REGIONS*max(1,log2(MFB_REGION_SIZE))-1 downto 0)

    out

    TX_MFB_EOF_POS

    std_logic_vector(MFB_REGIONS*max(1,log2(MFB_REGION_SIZE*MFB_BLOCK_SIZE))-1 downto 0)

    out

    TX_MFB_SRC_RDY

    std_logic

    out

    TX_MFB_DST_RDY

    std_logic

    in

    +
    + +
    + + +
    +
    + +
    +
    +
    +
    + + + + \ No newline at end of file diff --git a/devel/ofm_doc/comp/mfb_tools/edit/frame_trimmer/readme.html b/devel/ofm_doc/comp/mfb_tools/edit/frame_trimmer/readme.html new file mode 100644 index 000000000..7c3b205e4 --- /dev/null +++ b/devel/ofm_doc/comp/mfb_tools/edit/frame_trimmer/readme.html @@ -0,0 +1,353 @@ + + + + + + + MFB FRAME TRIMMER — Minimal NDK Application Docs documentation + + + + + + + + + + + + + + + + + + + + +
    + + +
    + +
    +
    +
    + +
    +
    +
    +
    + +
    +

    MFB FRAME TRIMMER

    +
    +
    +ENTITY MFB_FRAME_TRIMMER IS
    +

    The MFB_FRAME_TRIMMER component allows shortening of MFB frames to a selected +length. The current implementation is minimalist and does not allow any data +shifts. Therefore, there are few restrictions for the new frame length: +- max value is original frame length +- min value is ((BLOCK_SIZE*ITEM_WIDTH)-(ITEM_WIDTH-1))

    +Generics + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

    Generic

    Type

    Default

    Description

    REGIONS

    natural

    4

    REGION_SIZE

    natural

    8

    BLOCK_SIZE

    natural

    8

    ITEM_WIDTH

    natural

    8

    META_WIDTH

    natural

    8

    LEN_WIDTH

    natural

    14

    DEVICE

    string

    “AGILEX”

    +Ports + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

    Port

    Type

    Mode

    Description

    CLK

    std_logic

    in

    RESET

    std_logic

    in

    RX_TRIM_EN

    std_logic_vector(REGIONS-1 downto 0)

    in

    Enable frame trimming, valid with RX_SOF.

    RX_TRIM_LEN

    std_logic_vector(REGIONS*LEN_WIDTH-1 downto 0)

    in

    New frame length after trimming in ITEMS, max value is original frame +length, min value is ((BLOCK_SIZE*ITEM_WIDTH)-(ITEM_WIDTH-1)). +The new length is valid with RX_TRIM_EN.

    RX_DATA

    std_logic_vector(REGIONS*REGION_SIZE*BLOCK_SIZE*ITEM_WIDTH-1 downto 0)

    in

    RX_META

    std_logic_vector(REGIONS*META_WIDTH-1 downto 0)

    in

    User metadata valid with RX_SOF.

    RX_SOF_POS

    std_logic_vector(REGIONS*max(1,log2(REGION_SIZE))-1 downto 0)

    in

    RX_EOF_POS

    std_logic_vector(REGIONS*max(1,log2(REGION_SIZE*BLOCK_SIZE))-1 downto 0)

    in

    RX_SOF

    std_logic_vector(REGIONS-1 downto 0)

    in

    RX_EOF

    std_logic_vector(REGIONS-1 downto 0)

    in

    RX_SRC_RDY

    std_logic

    in

    RX_DST_RDY

    std_logic

    out

    TX_DATA

    std_logic_vector(REGIONS*REGION_SIZE*BLOCK_SIZE*ITEM_WIDTH-1 downto 0)

    out

    TX_META

    std_logic_vector(REGIONS*META_WIDTH-1 downto 0)

    out

    User metadata valid with TX_SOF.

    TX_SOF_POS

    std_logic_vector(REGIONS*max(1,log2(REGION_SIZE))-1 downto 0)

    out

    TX_EOF_POS

    std_logic_vector(REGIONS*max(1,log2(REGION_SIZE*BLOCK_SIZE))-1 downto 0)

    out

    TX_SOF

    std_logic_vector(REGIONS-1 downto 0)

    out

    TX_EOF

    std_logic_vector(REGIONS-1 downto 0)

    out

    TX_SRC_RDY

    std_logic

    out

    TX_DST_RDY

    std_logic

    in

    +
    + +
    + + +
    +
    + +
    +
    +
    +
    + + + + \ No newline at end of file diff --git a/devel/ofm_doc/comp/mfb_tools/flow/crossbarx_stream2/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/crossbarx_stream2/readme.html index 1e00d4867..67c847821 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/crossbarx_stream2/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/crossbarx_stream2/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    @@ -100,6 +100,8 @@
  • MFB Loopback
  • CROSSBARX STREAM2
  • MFB Frame Masker
  • +
  • MFB FRAME TRIMMER
  • +
  • MFB FRAME EXTENDER
  • CrossbarX Stream
  • Checksum Calculator
  • MFB Auxiliary Signals
  • diff --git a/devel/ofm_doc/comp/mfb_tools/flow/cutter_simple/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/cutter_simple/readme.html index 647dbd05b..515fe4887 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/cutter_simple/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/cutter_simple/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    @@ -100,6 +100,8 @@
  • MFB Loopback
  • CROSSBARX STREAM2
  • MFB Frame Masker
  • +
  • MFB FRAME TRIMMER
  • +
  • MFB FRAME EXTENDER
  • CrossbarX Stream
  • Checksum Calculator
  • MFB Auxiliary Signals
  • diff --git a/devel/ofm_doc/comp/mfb_tools/flow/dropper/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/dropper/readme.html index 361a890ae..e0baa8d47 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/dropper/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/dropper/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    @@ -100,6 +100,8 @@
  • MFB Loopback
  • CROSSBARX STREAM2
  • MFB Frame Masker
  • +
  • MFB FRAME TRIMMER
  • +
  • MFB FRAME EXTENDER
  • CrossbarX Stream
  • Checksum Calculator
  • MFB Auxiliary Signals
  • diff --git a/devel/ofm_doc/comp/mfb_tools/flow/enabler/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/enabler/readme.html index ac763a3fc..4a97375cf 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/enabler/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/enabler/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    @@ -100,6 +100,8 @@
  • MFB Loopback
  • CROSSBARX STREAM2
  • MFB Frame Masker
  • +
  • MFB FRAME TRIMMER
  • +
  • MFB FRAME EXTENDER
  • CrossbarX Stream
  • Checksum Calculator
  • MFB Auxiliary Signals
  • diff --git a/devel/ofm_doc/comp/mfb_tools/flow/frame_masker/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/frame_masker/readme.html index ac3d50af8..fa3e3f324 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/frame_masker/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/frame_masker/readme.html @@ -22,7 +22,7 @@ - + @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2

    diff --git a/devel/ofm_doc/comp/mfb_tools/flow/frame_unpacker/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/frame_unpacker/readme.html index b41a20945..041d08860 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/frame_unpacker/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/frame_unpacker/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    @@ -105,6 +105,8 @@
  • MFB Loopback
  • CROSSBARX STREAM2
  • MFB Frame Masker
  • +
  • MFB FRAME TRIMMER
  • +
  • MFB FRAME EXTENDER
  • CrossbarX Stream
  • Checksum Calculator
  • MFB Auxiliary Signals
  • diff --git a/devel/ofm_doc/comp/mfb_tools/flow/loopback/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/loopback/readme.html index a26c34def..5275896d4 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/loopback/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/loopback/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    @@ -100,6 +100,8 @@
  • MFB Loopback
  • CROSSBARX STREAM2
  • MFB Frame Masker
  • +
  • MFB FRAME TRIMMER
  • +
  • MFB FRAME EXTENDER
  • CrossbarX Stream
  • Checksum Calculator
  • MFB Auxiliary Signals
  • diff --git a/devel/ofm_doc/comp/mfb_tools/flow/merger/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/merger/readme.html index c2eb94e0e..cfda2699e 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/merger/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/merger/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    @@ -100,6 +100,8 @@
  • MFB Loopback
  • CROSSBARX STREAM2
  • MFB Frame Masker
  • +
  • MFB FRAME TRIMMER
  • +
  • MFB FRAME EXTENDER
  • CrossbarX Stream
  • Checksum Calculator
  • MFB Auxiliary Signals
  • diff --git a/devel/ofm_doc/comp/mfb_tools/flow/merger_simple/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/merger_simple/readme.html index 410a9cc2e..c4c82801e 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/merger_simple/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/merger_simple/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    @@ -100,6 +100,8 @@
  • MFB Loopback
  • CROSSBARX STREAM2
  • MFB Frame Masker
  • +
  • MFB FRAME TRIMMER
  • +
  • MFB FRAME EXTENDER
  • CrossbarX Stream
  • Checksum Calculator
  • MFB Auxiliary Signals
  • diff --git a/devel/ofm_doc/comp/mfb_tools/flow/metadata_insertor/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/metadata_insertor/readme.html index d78793cc2..f9abf6758 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/metadata_insertor/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/metadata_insertor/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    @@ -100,6 +100,8 @@
  • MFB Loopback
  • CROSSBARX STREAM2
  • MFB Frame Masker
  • +
  • MFB FRAME TRIMMER
  • +
  • MFB FRAME EXTENDER
  • CrossbarX Stream
  • Checksum Calculator
  • MFB Auxiliary Signals
  • @@ -229,7 +231,13 @@

    Input MVB FIFO size. Set to 0 for no FIFO at all

    -

    DEVICE

    +

    MVB_FIFOX_MULTI

    +

    boolean

    +

    False

    +

    Enable FIFOX Multi for better effectivity +MVB_FIFO_SIZE must be >= 16

    + +

    DEVICE

    string

    “ULTRASCALE”

    diff --git a/devel/ofm_doc/comp/mfb_tools/flow/packet_delayer/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/packet_delayer/readme.html index 3c0bf6ca7..7dc10abaf 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/packet_delayer/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/packet_delayer/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    @@ -103,6 +103,8 @@
  • MFB Loopback
  • CROSSBARX STREAM2
  • MFB Frame Masker
  • +
  • MFB FRAME TRIMMER
  • +
  • MFB FRAME EXTENDER
  • CrossbarX Stream
  • Checksum Calculator
  • MFB Auxiliary Signals
  • diff --git a/devel/ofm_doc/comp/mfb_tools/flow/pipe/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/pipe/readme.html index 3ebe42d3d..b661cc146 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/pipe/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/pipe/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    @@ -100,6 +100,8 @@
  • MFB Loopback
  • CROSSBARX STREAM2
  • MFB Frame Masker
  • +
  • MFB FRAME TRIMMER
  • +
  • MFB FRAME EXTENDER
  • CrossbarX Stream
  • Checksum Calculator
  • MFB Auxiliary Signals
  • diff --git a/devel/ofm_doc/comp/mfb_tools/flow/rate_limiter/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/rate_limiter/readme.html index 05886c74b..53bcbb16e 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/rate_limiter/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/rate_limiter/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    @@ -106,6 +106,8 @@
  • MFB Loopback
  • CROSSBARX STREAM2
  • MFB Frame Masker
  • +
  • MFB FRAME TRIMMER
  • +
  • MFB FRAME EXTENDER
  • CrossbarX Stream
  • Checksum Calculator
  • MFB Auxiliary Signals
  • diff --git a/devel/ofm_doc/comp/mfb_tools/flow/reconfigurator/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/reconfigurator/readme.html index e5890d48d..fe6d9ba44 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/reconfigurator/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/reconfigurator/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    @@ -107,6 +107,8 @@
  • MFB Loopback
  • CROSSBARX STREAM2
  • MFB Frame Masker
  • +
  • MFB FRAME TRIMMER
  • +
  • MFB FRAME EXTENDER
  • CrossbarX Stream
  • Checksum Calculator
  • MFB Auxiliary Signals
  • diff --git a/devel/ofm_doc/comp/mfb_tools/flow/splitter/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/splitter/readme.html index f2916a7a4..3e6967d24 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/splitter/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/splitter/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    @@ -100,6 +100,8 @@
  • MFB Loopback
  • CROSSBARX STREAM2
  • MFB Frame Masker
  • +
  • MFB FRAME TRIMMER
  • +
  • MFB FRAME EXTENDER
  • CrossbarX Stream
  • Checksum Calculator
  • MFB Auxiliary Signals
  • diff --git a/devel/ofm_doc/comp/mfb_tools/flow/splitter_simple/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/splitter_simple/readme.html index 525aac2af..b4ed62704 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/splitter_simple/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/splitter_simple/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    @@ -100,6 +100,8 @@
  • MFB Loopback
  • CROSSBARX STREAM2
  • MFB Frame Masker
  • +
  • MFB FRAME TRIMMER
  • +
  • MFB FRAME EXTENDER
  • CrossbarX Stream
  • Checksum Calculator
  • MFB Auxiliary Signals
  • diff --git a/devel/ofm_doc/comp/mfb_tools/flow/timestamp_limiter/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/timestamp_limiter/readme.html index de7397eed..85c4dcc06 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/timestamp_limiter/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/timestamp_limiter/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    @@ -103,6 +103,8 @@
  • MFB Loopback
  • CROSSBARX STREAM2
  • MFB Frame Masker
  • +
  • MFB FRAME TRIMMER
  • +
  • MFB FRAME EXTENDER
  • CrossbarX Stream
  • Checksum Calculator
  • MFB Auxiliary Signals
  • diff --git a/devel/ofm_doc/comp/mfb_tools/flow/transformer/readme.html b/devel/ofm_doc/comp/mfb_tools/flow/transformer/readme.html index 65174d50b..72122bae9 100644 --- a/devel/ofm_doc/comp/mfb_tools/flow/transformer/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/flow/transformer/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    @@ -100,6 +100,8 @@
  • MFB Loopback
  • CROSSBARX STREAM2
  • MFB Frame Masker
  • +
  • MFB FRAME TRIMMER
  • +
  • MFB FRAME EXTENDER
  • CrossbarX Stream
  • Checksum Calculator
  • MFB Auxiliary Signals
  • diff --git a/devel/ofm_doc/comp/mfb_tools/logic/auxiliary_signals/readme.html b/devel/ofm_doc/comp/mfb_tools/logic/auxiliary_signals/readme.html index 0e40f5c09..b3d943300 100644 --- a/devel/ofm_doc/comp/mfb_tools/logic/auxiliary_signals/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/logic/auxiliary_signals/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    @@ -100,6 +100,8 @@
  • MFB Loopback
  • CROSSBARX STREAM2
  • MFB Frame Masker
  • +
  • MFB FRAME TRIMMER
  • +
  • MFB FRAME EXTENDER
  • CrossbarX Stream
  • Checksum Calculator
  • MFB Auxiliary Signals
  • diff --git a/devel/ofm_doc/comp/mfb_tools/logic/checksum_calculator/readme.html b/devel/ofm_doc/comp/mfb_tools/logic/checksum_calculator/readme.html index 94d6bb611..6484a0b17 100644 --- a/devel/ofm_doc/comp/mfb_tools/logic/checksum_calculator/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/logic/checksum_calculator/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    @@ -100,6 +100,8 @@
  • MFB Loopback
  • CROSSBARX STREAM2
  • MFB Frame Masker
  • +
  • MFB FRAME TRIMMER
  • +
  • MFB FRAME EXTENDER
  • CrossbarX Stream
  • Checksum Calculator
  • MFB Auxiliary Signals
  • diff --git a/devel/ofm_doc/comp/mfb_tools/logic/crossbarx_stream/readme.html b/devel/ofm_doc/comp/mfb_tools/logic/crossbarx_stream/readme.html index dd28ffc4d..9725d0d39 100644 --- a/devel/ofm_doc/comp/mfb_tools/logic/crossbarx_stream/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/logic/crossbarx_stream/readme.html @@ -23,7 +23,7 @@ - + @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/mfb_tools/readme.html b/devel/ofm_doc/comp/mfb_tools/readme.html index 6d9266e60..f00c6e730 100644 --- a/devel/ofm_doc/comp/mfb_tools/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    @@ -115,6 +115,8 @@
  • MFB Loopback
  • CROSSBARX STREAM2
  • MFB Frame Masker
  • +
  • MFB FRAME TRIMMER
  • +
  • MFB FRAME EXTENDER
  • CrossbarX Stream
  • Checksum Calculator
  • MFB Auxiliary Signals
  • diff --git a/devel/ofm_doc/comp/mfb_tools/storage/asfifox/readme.html b/devel/ofm_doc/comp/mfb_tools/storage/asfifox/readme.html index a8a2d9a96..f8e61cf62 100644 --- a/devel/ofm_doc/comp/mfb_tools/storage/asfifox/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/storage/asfifox/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    @@ -100,6 +100,8 @@
  • MFB Loopback
  • CROSSBARX STREAM2
  • MFB Frame Masker
  • +
  • MFB FRAME TRIMMER
  • +
  • MFB FRAME EXTENDER
  • CrossbarX Stream
  • Checksum Calculator
  • MFB Auxiliary Signals
  • diff --git a/devel/ofm_doc/comp/mfb_tools/storage/crossbarx_output_buffer/readme.html b/devel/ofm_doc/comp/mfb_tools/storage/crossbarx_output_buffer/readme.html index 5f153dae8..80053fbcc 100644 --- a/devel/ofm_doc/comp/mfb_tools/storage/crossbarx_output_buffer/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/storage/crossbarx_output_buffer/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    @@ -100,6 +100,8 @@
  • MFB Loopback
  • CROSSBARX STREAM2
  • MFB Frame Masker
  • +
  • MFB FRAME TRIMMER
  • +
  • MFB FRAME EXTENDER
  • CrossbarX Stream
  • Checksum Calculator
  • MFB Auxiliary Signals
  • diff --git a/devel/ofm_doc/comp/mfb_tools/storage/fifox/readme.html b/devel/ofm_doc/comp/mfb_tools/storage/fifox/readme.html index c00022f60..b8f97bc05 100644 --- a/devel/ofm_doc/comp/mfb_tools/storage/fifox/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/storage/fifox/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    @@ -100,6 +100,8 @@
  • MFB Loopback
  • CROSSBARX STREAM2
  • MFB Frame Masker
  • +
  • MFB FRAME TRIMMER
  • +
  • MFB FRAME EXTENDER
  • CrossbarX Stream
  • Checksum Calculator
  • MFB Auxiliary Signals
  • diff --git a/devel/ofm_doc/comp/mfb_tools/storage/pd_asfifo/readme.html b/devel/ofm_doc/comp/mfb_tools/storage/pd_asfifo/readme.html index 91739b428..47456532c 100644 --- a/devel/ofm_doc/comp/mfb_tools/storage/pd_asfifo/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/storage/pd_asfifo/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    @@ -100,6 +100,8 @@
  • MFB Loopback
  • CROSSBARX STREAM2
  • MFB Frame Masker
  • +
  • MFB FRAME TRIMMER
  • +
  • MFB FRAME EXTENDER
  • CrossbarX Stream
  • Checksum Calculator
  • MFB Auxiliary Signals
  • diff --git a/devel/ofm_doc/comp/mfb_tools/storage/pd_asfifo_simple/readme.html b/devel/ofm_doc/comp/mfb_tools/storage/pd_asfifo_simple/readme.html index 2efb7170c..af7cf011d 100644 --- a/devel/ofm_doc/comp/mfb_tools/storage/pd_asfifo_simple/readme.html +++ b/devel/ofm_doc/comp/mfb_tools/storage/pd_asfifo_simple/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    @@ -100,6 +100,8 @@
  • MFB Loopback
  • CROSSBARX STREAM2
  • MFB Frame Masker
  • +
  • MFB FRAME TRIMMER
  • +
  • MFB FRAME EXTENDER
  • CrossbarX Stream
  • Checksum Calculator
  • MFB Auxiliary Signals
  • diff --git a/devel/ofm_doc/comp/mi_tools/async/readme.html b/devel/ofm_doc/comp/mi_tools/async/readme.html index 6bc6c08c7..60f23d020 100644 --- a/devel/ofm_doc/comp/mi_tools/async/readme.html +++ b/devel/ofm_doc/comp/mi_tools/async/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/mi_tools/converters/mi2avmm/readme.html b/devel/ofm_doc/comp/mi_tools/converters/mi2avmm/readme.html index a101b04e7..bd8aba81f 100644 --- a/devel/ofm_doc/comp/mi_tools/converters/mi2avmm/readme.html +++ b/devel/ofm_doc/comp/mi_tools/converters/mi2avmm/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/mi_tools/converters/mi2axi4/readme.html b/devel/ofm_doc/comp/mi_tools/converters/mi2axi4/readme.html index 1cd97a2f5..9140607d5 100644 --- a/devel/ofm_doc/comp/mi_tools/converters/mi2axi4/readme.html +++ b/devel/ofm_doc/comp/mi_tools/converters/mi2axi4/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/mi_tools/indirect_access/readme.html b/devel/ofm_doc/comp/mi_tools/indirect_access/readme.html index bc682685a..ba5067317 100644 --- a/devel/ofm_doc/comp/mi_tools/indirect_access/readme.html +++ b/devel/ofm_doc/comp/mi_tools/indirect_access/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/mi_tools/pipe/readme.html b/devel/ofm_doc/comp/mi_tools/pipe/readme.html index a8e6fa36f..4171c3669 100644 --- a/devel/ofm_doc/comp/mi_tools/pipe/readme.html +++ b/devel/ofm_doc/comp/mi_tools/pipe/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/mi_tools/readme.html b/devel/ofm_doc/comp/mi_tools/readme.html index 6670f3e34..6a187dd8f 100644 --- a/devel/ofm_doc/comp/mi_tools/readme.html +++ b/devel/ofm_doc/comp/mi_tools/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/mi_tools/reconf/readme.html b/devel/ofm_doc/comp/mi_tools/reconf/readme.html index ecfab0755..899ed89d9 100644 --- a/devel/ofm_doc/comp/mi_tools/reconf/readme.html +++ b/devel/ofm_doc/comp/mi_tools/reconf/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/mi_tools/splitter_plus_gen/readme.html b/devel/ofm_doc/comp/mi_tools/splitter_plus_gen/readme.html index adcd79ac3..c03ff79ff 100644 --- a/devel/ofm_doc/comp/mi_tools/splitter_plus_gen/readme.html +++ b/devel/ofm_doc/comp/mi_tools/splitter_plus_gen/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/mvb_tools/flow/channel_router/readme.html b/devel/ofm_doc/comp/mvb_tools/flow/channel_router/readme.html index 3b5467b2f..82ef8a019 100644 --- a/devel/ofm_doc/comp/mvb_tools/flow/channel_router/readme.html +++ b/devel/ofm_doc/comp/mvb_tools/flow/channel_router/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/mvb_tools/flow/demux/readme.html b/devel/ofm_doc/comp/mvb_tools/flow/demux/readme.html index 752cce026..118854a4f 100644 --- a/devel/ofm_doc/comp/mvb_tools/flow/demux/readme.html +++ b/devel/ofm_doc/comp/mvb_tools/flow/demux/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/mvb_tools/flow/discard/readme.html b/devel/ofm_doc/comp/mvb_tools/flow/discard/readme.html index eae7c66a3..0e9e86835 100644 --- a/devel/ofm_doc/comp/mvb_tools/flow/discard/readme.html +++ b/devel/ofm_doc/comp/mvb_tools/flow/discard/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/mvb_tools/flow/merge_items/readme.html b/devel/ofm_doc/comp/mvb_tools/flow/merge_items/readme.html index 11003f63e..9cba95f91 100644 --- a/devel/ofm_doc/comp/mvb_tools/flow/merge_items/readme.html +++ b/devel/ofm_doc/comp/mvb_tools/flow/merge_items/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/mvb_tools/flow/merge_n_to_m/readme.html b/devel/ofm_doc/comp/mvb_tools/flow/merge_n_to_m/readme.html index 54bc5e7a2..947c87d75 100644 --- a/devel/ofm_doc/comp/mvb_tools/flow/merge_n_to_m/readme.html +++ b/devel/ofm_doc/comp/mvb_tools/flow/merge_n_to_m/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/mvb_tools/flow/merge_streams/readme.html b/devel/ofm_doc/comp/mvb_tools/flow/merge_streams/readme.html index b157d68de..c6f0060c2 100644 --- a/devel/ofm_doc/comp/mvb_tools/flow/merge_streams/readme.html +++ b/devel/ofm_doc/comp/mvb_tools/flow/merge_streams/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/mvb_tools/flow/mux/readme.html b/devel/ofm_doc/comp/mvb_tools/flow/mux/readme.html index 7c0145ef6..b0c009c56 100644 --- a/devel/ofm_doc/comp/mvb_tools/flow/mux/readme.html +++ b/devel/ofm_doc/comp/mvb_tools/flow/mux/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/mvb_tools/flow/mvb2mfb/readme.html b/devel/ofm_doc/comp/mvb_tools/flow/mvb2mfb/readme.html index 0054c83a0..f241a5e8e 100644 --- a/devel/ofm_doc/comp/mvb_tools/flow/mvb2mfb/readme.html +++ b/devel/ofm_doc/comp/mvb_tools/flow/mvb2mfb/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/mvb_tools/flow/operation/readme.html b/devel/ofm_doc/comp/mvb_tools/flow/operation/readme.html index aa0f0f4c4..8ca89cd3a 100644 --- a/devel/ofm_doc/comp/mvb_tools/flow/operation/readme.html +++ b/devel/ofm_doc/comp/mvb_tools/flow/operation/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/mvb_tools/flow/shakedown/readme.html b/devel/ofm_doc/comp/mvb_tools/flow/shakedown/readme.html index be5db827f..d8e853f9c 100644 --- a/devel/ofm_doc/comp/mvb_tools/flow/shakedown/readme.html +++ b/devel/ofm_doc/comp/mvb_tools/flow/shakedown/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/mvb_tools/readme.html b/devel/ofm_doc/comp/mvb_tools/readme.html index b3f5281c4..5e14d0045 100644 --- a/devel/ofm_doc/comp/mvb_tools/readme.html +++ b/devel/ofm_doc/comp/mvb_tools/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/mvb_tools/storage/fifox/readme.html b/devel/ofm_doc/comp/mvb_tools/storage/fifox/readme.html index d59829012..3ca19e1cb 100644 --- a/devel/ofm_doc/comp/mvb_tools/storage/fifox/readme.html +++ b/devel/ofm_doc/comp/mvb_tools/storage/fifox/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/mvb_tools/storage/lookup_table/readme.html b/devel/ofm_doc/comp/mvb_tools/storage/lookup_table/readme.html index dd3fe5101..d0aae5e59 100644 --- a/devel/ofm_doc/comp/mvb_tools/storage/lookup_table/readme.html +++ b/devel/ofm_doc/comp/mvb_tools/storage/lookup_table/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/nic/eth_phy/40ge/readme.html b/devel/ofm_doc/comp/nic/eth_phy/40ge/readme.html index 26fb6456e..d78f9212e 100644 --- a/devel/ofm_doc/comp/nic/eth_phy/40ge/readme.html +++ b/devel/ofm_doc/comp/nic/eth_phy/40ge/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/nic/mac_lite/rx_mac_lite/comp/buffer/uvm/readme.html b/devel/ofm_doc/comp/nic/mac_lite/rx_mac_lite/comp/buffer/uvm/readme.html index 6eaeb78b3..eb5533eee 100644 --- a/devel/ofm_doc/comp/nic/mac_lite/rx_mac_lite/comp/buffer/uvm/readme.html +++ b/devel/ofm_doc/comp/nic/mac_lite/rx_mac_lite/comp/buffer/uvm/readme.html @@ -36,7 +36,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/nic/mac_lite/rx_mac_lite/readme.html b/devel/ofm_doc/comp/nic/mac_lite/rx_mac_lite/readme.html index 542820adb..ee5836e80 100644 --- a/devel/ofm_doc/comp/nic/mac_lite/rx_mac_lite/readme.html +++ b/devel/ofm_doc/comp/nic/mac_lite/rx_mac_lite/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/nic/mac_lite/tx_mac_lite/comp/adapters/lbus/reconf/readme.html b/devel/ofm_doc/comp/nic/mac_lite/tx_mac_lite/comp/adapters/lbus/reconf/readme.html index d21084f3a..835142018 100644 --- a/devel/ofm_doc/comp/nic/mac_lite/tx_mac_lite/comp/adapters/lbus/reconf/readme.html +++ b/devel/ofm_doc/comp/nic/mac_lite/tx_mac_lite/comp/adapters/lbus/reconf/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/nic/mac_lite/tx_mac_lite/readme.html b/devel/ofm_doc/comp/nic/mac_lite/tx_mac_lite/readme.html index 64079e3bf..d6e53d3e7 100644 --- a/devel/ofm_doc/comp/nic/mac_lite/tx_mac_lite/readme.html +++ b/devel/ofm_doc/comp/nic/mac_lite/tx_mac_lite/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/pcie/common/readme.html b/devel/ofm_doc/comp/pcie/common/readme.html index 91ab03ae7..6ffc7ee6b 100644 --- a/devel/ofm_doc/comp/pcie/common/readme.html +++ b/devel/ofm_doc/comp/pcie/common/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/pcie/convertors/readme.html b/devel/ofm_doc/comp/pcie/convertors/readme.html index 01d6323a4..563f33762 100644 --- a/devel/ofm_doc/comp/pcie/convertors/readme.html +++ b/devel/ofm_doc/comp/pcie/convertors/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/pcie/logic/byte_count/readme.html b/devel/ofm_doc/comp/pcie/logic/byte_count/readme.html index e8400b7e8..57f01b74f 100644 --- a/devel/ofm_doc/comp/pcie/logic/byte_count/readme.html +++ b/devel/ofm_doc/comp/pcie/logic/byte_count/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/pcie/logic/byte_en_decoder/readme.html b/devel/ofm_doc/comp/pcie/logic/byte_en_decoder/readme.html index 1882d85f4..0d8760682 100644 --- a/devel/ofm_doc/comp/pcie/logic/byte_en_decoder/readme.html +++ b/devel/ofm_doc/comp/pcie/logic/byte_en_decoder/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/pcie/mtc/readme.html b/devel/ofm_doc/comp/pcie/mtc/readme.html index c03fdcf6a..8a17c5c3f 100644 --- a/devel/ofm_doc/comp/pcie/mtc/readme.html +++ b/devel/ofm_doc/comp/pcie/mtc/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/pcie/others/hdr_gen/readme.html b/devel/ofm_doc/comp/pcie/others/hdr_gen/readme.html index 73b2de3e4..d3372838c 100644 --- a/devel/ofm_doc/comp/pcie/others/hdr_gen/readme.html +++ b/devel/ofm_doc/comp/pcie/others/hdr_gen/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/pcie/ptc/comp/tag_manager/readme.html b/devel/ofm_doc/comp/pcie/ptc/comp/tag_manager/readme.html index 123aed087..18d10d61b 100644 --- a/devel/ofm_doc/comp/pcie/ptc/comp/tag_manager/readme.html +++ b/devel/ofm_doc/comp/pcie/ptc/comp/tag_manager/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/pcie/ptc/readme.html b/devel/ofm_doc/comp/pcie/ptc/readme.html index 972e77ea6..389d3bdc1 100644 --- a/devel/ofm_doc/comp/pcie/ptc/readme.html +++ b/devel/ofm_doc/comp/pcie/ptc/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/tsu/tsu_format_to_ns/readme.html b/devel/ofm_doc/comp/tsu/tsu_format_to_ns/readme.html index d3a5d2df9..1101e53e2 100644 --- a/devel/ofm_doc/comp/tsu/tsu_format_to_ns/readme.html +++ b/devel/ofm_doc/comp/tsu/tsu_format_to_ns/readme.html @@ -36,7 +36,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/tsu/tsu_gen/readme.html b/devel/ofm_doc/comp/tsu/tsu_gen/readme.html index 980fc5f15..26c749c01 100644 --- a/devel/ofm_doc/comp/tsu/tsu_gen/readme.html +++ b/devel/ofm_doc/comp/tsu/tsu_gen/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/uvm/axi/readme.html b/devel/ofm_doc/comp/uvm/axi/readme.html index 88f016251..3283d5cd4 100644 --- a/devel/ofm_doc/comp/uvm/axi/readme.html +++ b/devel/ofm_doc/comp/uvm/axi/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/uvm/byte_array/readme.html b/devel/ofm_doc/comp/uvm/byte_array/readme.html index e308b04a8..5bcafa796 100644 --- a/devel/ofm_doc/comp/uvm/byte_array/readme.html +++ b/devel/ofm_doc/comp/uvm/byte_array/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/uvm/byte_array_lii/readme.html b/devel/ofm_doc/comp/uvm/byte_array_lii/readme.html index 04af0b6cb..a1545c862 100644 --- a/devel/ofm_doc/comp/uvm/byte_array_lii/readme.html +++ b/devel/ofm_doc/comp/uvm/byte_array_lii/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/uvm/byte_array_lii_rx/readme.html b/devel/ofm_doc/comp/uvm/byte_array_lii_rx/readme.html index 62de4894d..1c3d1dcb3 100644 --- a/devel/ofm_doc/comp/uvm/byte_array_lii_rx/readme.html +++ b/devel/ofm_doc/comp/uvm/byte_array_lii_rx/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/uvm/byte_array_mfb/readme.html b/devel/ofm_doc/comp/uvm/byte_array_mfb/readme.html index dcf80c47d..66bd73370 100644 --- a/devel/ofm_doc/comp/uvm/byte_array_mfb/readme.html +++ b/devel/ofm_doc/comp/uvm/byte_array_mfb/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/uvm/byte_array_mii/readme.html b/devel/ofm_doc/comp/uvm/byte_array_mii/readme.html index ad275505a..e8ffa1f81 100644 --- a/devel/ofm_doc/comp/uvm/byte_array_mii/readme.html +++ b/devel/ofm_doc/comp/uvm/byte_array_mii/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/uvm/byte_array_pma/readme.html b/devel/ofm_doc/comp/uvm/byte_array_pma/readme.html index 3e04bdb36..1867c606e 100644 --- a/devel/ofm_doc/comp/uvm/byte_array_pma/readme.html +++ b/devel/ofm_doc/comp/uvm/byte_array_pma/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/uvm/common/readme.html b/devel/ofm_doc/comp/uvm/common/readme.html index 43b968fb1..71363c9f9 100644 --- a/devel/ofm_doc/comp/uvm/common/readme.html +++ b/devel/ofm_doc/comp/uvm/common/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/uvm/componets.html b/devel/ofm_doc/comp/uvm/componets.html index 5eaa15018..63b905144 100644 --- a/devel/ofm_doc/comp/uvm/componets.html +++ b/devel/ofm_doc/comp/uvm/componets.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/uvm/intel_mac_seg/readme.html b/devel/ofm_doc/comp/uvm/intel_mac_seg/readme.html index 4f14a3489..08b0fb845 100644 --- a/devel/ofm_doc/comp/uvm/intel_mac_seg/readme.html +++ b/devel/ofm_doc/comp/uvm/intel_mac_seg/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/uvm/lii/readme.html b/devel/ofm_doc/comp/uvm/lii/readme.html index 9325edcf0..21ad79d48 100644 --- a/devel/ofm_doc/comp/uvm/lii/readme.html +++ b/devel/ofm_doc/comp/uvm/lii/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/uvm/lii_rx/readme.html b/devel/ofm_doc/comp/uvm/lii_rx/readme.html index e01bf27cb..b64b332ad 100644 --- a/devel/ofm_doc/comp/uvm/lii_rx/readme.html +++ b/devel/ofm_doc/comp/uvm/lii_rx/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/uvm/logic_vector/readme.html b/devel/ofm_doc/comp/uvm/logic_vector/readme.html index d9ca67f3e..e7b5e6058 100644 --- a/devel/ofm_doc/comp/uvm/logic_vector/readme.html +++ b/devel/ofm_doc/comp/uvm/logic_vector/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/uvm/logic_vector_array/readme.html b/devel/ofm_doc/comp/uvm/logic_vector_array/readme.html index 65057aacd..a7126b8e1 100644 --- a/devel/ofm_doc/comp/uvm/logic_vector_array/readme.html +++ b/devel/ofm_doc/comp/uvm/logic_vector_array/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/uvm/logic_vector_array_axi/readme.html b/devel/ofm_doc/comp/uvm/logic_vector_array_axi/readme.html index 22c5600e9..30c66446c 100644 --- a/devel/ofm_doc/comp/uvm/logic_vector_array_axi/readme.html +++ b/devel/ofm_doc/comp/uvm/logic_vector_array_axi/readme.html @@ -37,7 +37,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/uvm/logic_vector_array_intel_mac_seg/readme.html b/devel/ofm_doc/comp/uvm/logic_vector_array_intel_mac_seg/readme.html index 9f9b6f901..de6152438 100644 --- a/devel/ofm_doc/comp/uvm/logic_vector_array_intel_mac_seg/readme.html +++ b/devel/ofm_doc/comp/uvm/logic_vector_array_intel_mac_seg/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/uvm/logic_vector_array_mfb/readme.html b/devel/ofm_doc/comp/uvm/logic_vector_array_mfb/readme.html index 0e07dd6d1..e9bd3b30c 100644 --- a/devel/ofm_doc/comp/uvm/logic_vector_array_mfb/readme.html +++ b/devel/ofm_doc/comp/uvm/logic_vector_array_mfb/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/uvm/logic_vector_mvb/readme.html b/devel/ofm_doc/comp/uvm/logic_vector_mvb/readme.html index 1568a8b1f..802e2602e 100644 --- a/devel/ofm_doc/comp/uvm/logic_vector_mvb/readme.html +++ b/devel/ofm_doc/comp/uvm/logic_vector_mvb/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/uvm/manual.html b/devel/ofm_doc/comp/uvm/manual.html index eb3859349..7d47fcce6 100644 --- a/devel/ofm_doc/comp/uvm/manual.html +++ b/devel/ofm_doc/comp/uvm/manual.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/uvm/mfb/readme.html b/devel/ofm_doc/comp/uvm/mfb/readme.html index 19c773185..c196a02b2 100644 --- a/devel/ofm_doc/comp/uvm/mfb/readme.html +++ b/devel/ofm_doc/comp/uvm/mfb/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/uvm/mi/readme.html b/devel/ofm_doc/comp/uvm/mi/readme.html index 4fdaa2606..a248aa568 100644 --- a/devel/ofm_doc/comp/uvm/mi/readme.html +++ b/devel/ofm_doc/comp/uvm/mi/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/uvm/mvb/readme.html b/devel/ofm_doc/comp/uvm/mvb/readme.html index bb4e11458..51bb7bd43 100644 --- a/devel/ofm_doc/comp/uvm/mvb/readme.html +++ b/devel/ofm_doc/comp/uvm/mvb/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/uvm/pma/readme.html b/devel/ofm_doc/comp/uvm/pma/readme.html index c71475f96..d4347ba20 100644 --- a/devel/ofm_doc/comp/uvm/pma/readme.html +++ b/devel/ofm_doc/comp/uvm/pma/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/uvm/reset/readme.html b/devel/ofm_doc/comp/uvm/reset/readme.html index 570a3e4ef..fafb466c5 100644 --- a/devel/ofm_doc/comp/uvm/reset/readme.html +++ b/devel/ofm_doc/comp/uvm/reset/readme.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/comp/uvm/sim_manual.html b/devel/ofm_doc/comp/uvm/sim_manual.html index ebec33f99..3ddfddc81 100644 --- a/devel/ofm_doc/comp/uvm/sim_manual.html +++ b/devel/ofm_doc/comp/uvm/sim_manual.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/ctrls.html b/devel/ofm_doc/ctrls.html index 612734313..6eda267c8 100644 --- a/devel/ofm_doc/ctrls.html +++ b/devel/ofm_doc/ctrls.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/debug.html b/devel/ofm_doc/debug.html index f3f660a01..a51a6e3d7 100644 --- a/devel/ofm_doc/debug.html +++ b/devel/ofm_doc/debug.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/dsp.html b/devel/ofm_doc/dsp.html index 89ef1cd06..d14a2a978 100644 --- a/devel/ofm_doc/dsp.html +++ b/devel/ofm_doc/dsp.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/fifo.html b/devel/ofm_doc/fifo.html index dff6a365b..48a740bbb 100644 --- a/devel/ofm_doc/fifo.html +++ b/devel/ofm_doc/fifo.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/fl.html b/devel/ofm_doc/fl.html index 0d463bac9..7b777c077 100644 --- a/devel/ofm_doc/fl.html +++ b/devel/ofm_doc/fl.html @@ -36,7 +36,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/flu.html b/devel/ofm_doc/flu.html index 3c81f38bd..3f69012be 100644 --- a/devel/ofm_doc/flu.html +++ b/devel/ofm_doc/flu.html @@ -36,7 +36,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/index.html b/devel/ofm_doc/index.html index 13dcf9da5..55b058d0a 100644 --- a/devel/ofm_doc/index.html +++ b/devel/ofm_doc/index.html @@ -36,7 +36,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/logic.html b/devel/ofm_doc/logic.html index c2aa38b37..b64e32d7e 100644 --- a/devel/ofm_doc/logic.html +++ b/devel/ofm_doc/logic.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/memory.html b/devel/ofm_doc/memory.html index 022a39555..e4ec1b185 100644 --- a/devel/ofm_doc/memory.html +++ b/devel/ofm_doc/memory.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/mfb.html b/devel/ofm_doc/mfb.html index 211ee08c0..b994c66c0 100644 --- a/devel/ofm_doc/mfb.html +++ b/devel/ofm_doc/mfb.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    @@ -100,6 +100,8 @@
  • MFB Loopback
  • CROSSBARX STREAM2
  • MFB Frame Masker
  • +
  • MFB FRAME TRIMMER
  • +
  • MFB FRAME EXTENDER
  • CrossbarX Stream
  • Checksum Calculator
  • MFB Auxiliary Signals
  • @@ -173,6 +175,8 @@

    MFB ToolsMFB Loopback
  • CROSSBARX STREAM2
  • MFB Frame Masker
  • +
  • MFB FRAME TRIMMER
  • +
  • MFB FRAME EXTENDER
  • CrossbarX Stream
  • Checksum Calculator
  • MFB Auxiliary Signals
  • diff --git a/devel/ofm_doc/mi.html b/devel/ofm_doc/mi.html index d0340f052..9ba2aeb20 100644 --- a/devel/ofm_doc/mi.html +++ b/devel/ofm_doc/mi.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/misc.html b/devel/ofm_doc/misc.html index 43c2c383e..49eefc5fd 100644 --- a/devel/ofm_doc/misc.html +++ b/devel/ofm_doc/misc.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/mvb.html b/devel/ofm_doc/mvb.html index 00c1dc7eb..98f613cd1 100644 --- a/devel/ofm_doc/mvb.html +++ b/devel/ofm_doc/mvb.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/nic.html b/devel/ofm_doc/nic.html index 67bb24442..bff8ebcb7 100644 --- a/devel/ofm_doc/nic.html +++ b/devel/ofm_doc/nic.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/pcie.html b/devel/ofm_doc/pcie.html index 0041c0372..64f7f7450 100644 --- a/devel/ofm_doc/pcie.html +++ b/devel/ofm_doc/pcie.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/shift.html b/devel/ofm_doc/shift.html index 411985131..85771832a 100644 --- a/devel/ofm_doc/shift.html +++ b/devel/ofm_doc/shift.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/ofm_doc/ver.html b/devel/ofm_doc/ver.html index ef3626ded..25da2a5aa 100644 --- a/devel/ofm_doc/ver.html +++ b/devel/ofm_doc/ver.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/search.html b/devel/search.html index 0e05560cb..2f9329b90 100644 --- a/devel/search.html +++ b/devel/search.html @@ -38,7 +38,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2
    diff --git a/devel/searchindex.js b/devel/searchindex.js index ef1d7dc5d..361cb2770 100644 --- a/devel/searchindex.js +++ b/devel/searchindex.js @@ -1 +1 @@ -Search.setIndex({"docnames": ["app-minimal", "index", "ndk_cards/amd/alveo-u200/readme", "ndk_cards/amd/vcu118/readme", "ndk_cards/bittware/ia-420f/readme", "ndk_cards/intel/dk-dev-1sdx-p/readme", "ndk_cards/intel/dk-dev-agi027res/readme", "ndk_cards/reflexces/agi-fh400g/readme", "ndk_cards/silicom/fb2cghh/readme", "ndk_cards/silicom/fb4cgg3/readme", "ndk_cards/silicom/n6010/readme", "ndk_core/doc/configuration", "ndk_core/doc/devtree", "ndk_core/doc/faq", "ndk_core/doc/how_to_start", "ndk_core/doc/terminology", "ndk_core/doc/testing", "ndk_core/intel/cocotb/README", "ndk_core/intel/doc/app", "ndk_core/intel/doc/dma", "ndk_core/intel/doc/eth", "ndk_core/intel/doc/mem", "ndk_core/intel/doc/mi", "ndk_core/intel/doc/pcie", "ndk_core/intel/doc/tsu", "ndk_core/intel/readme", "ndk_core/intel/src/comp/network_mod/comp/network_mod_core/doc/f-tile_multirate_ip", "ndk_core/intel/src/comp/network_mod/uvm/readme", "ofm_doc/async", "ofm_doc/base", "ofm_doc/build/readme", "ofm_doc/comp/base/dsp/dsp_comparator/readme", "ofm_doc/comp/base/fifo/asfifox/readme", "ofm_doc/comp/base/fifo/fifox/readme", "ofm_doc/comp/base/fifo/fifox_multi/readme", "ofm_doc/comp/base/logic/barrel_shifter/readme", "ofm_doc/comp/base/logic/cnt_multi_memx/readme", "ofm_doc/comp/base/logic/n_loop_op/readme", "ofm_doc/comp/base/logic/sr_sync_latch/readme", "ofm_doc/comp/base/mem/mp_bram/readme", "ofm_doc/comp/base/mem/np_lutram/readme", "ofm_doc/comp/base/mem/sdp_bram/readme", "ofm_doc/comp/base/misc/crossbarx/readme", "ofm_doc/comp/base/misc/event_counter/readme", "ofm_doc/comp/base/misc/packet_planner/readme", "ofm_doc/comp/base/misc/pulse_short/readme", "ofm_doc/comp/base/misc/trans_sorter/readme", "ofm_doc/comp/base/pkg/readme", "ofm_doc/comp/ctrls/sdm_client/readme", "ofm_doc/comp/debug/data_logger/mem_logger/readme", "ofm_doc/comp/debug/data_logger/readme", "ofm_doc/comp/debug/histogramer/readme", "ofm_doc/comp/debug/latency_meter/readme", "ofm_doc/comp/debug/mem_tester/amm_gen/readme", "ofm_doc/comp/debug/mem_tester/amm_probe/readme", "ofm_doc/comp/debug/mem_tester/readme", "ofm_doc/comp/debug/mem_tester/sw/readme", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_insertor/readme", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/comp/comp/readme", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/comp/readme", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/readme", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/input_buffer/readme", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/software_manager/readme", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/trans_buffer/readme", "ofm_doc/comp/dma/dma_calypte/comp/rx/readme", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/chan_start_stop_ctrl/readme", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/metadata_extractor/readme", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/packet_dispatcher/readme", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/pcie_trans_buffer/readme", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/software_manager/readme", "ofm_doc/comp/dma/dma_calypte/comp/tx/readme", "ofm_doc/comp/dma/dma_calypte/readme", "ofm_doc/comp/flu_tools/readme", "ofm_doc/comp/mfb_tools/debug/gen_loop_switch/readme", "ofm_doc/comp/mfb_tools/debug/generator/readme", "ofm_doc/comp/mfb_tools/flow/crossbarx_stream2/readme", "ofm_doc/comp/mfb_tools/flow/cutter_simple/readme", "ofm_doc/comp/mfb_tools/flow/dropper/readme", "ofm_doc/comp/mfb_tools/flow/enabler/readme", "ofm_doc/comp/mfb_tools/flow/frame_masker/readme", "ofm_doc/comp/mfb_tools/flow/frame_unpacker/readme", "ofm_doc/comp/mfb_tools/flow/loopback/readme", "ofm_doc/comp/mfb_tools/flow/merger/readme", "ofm_doc/comp/mfb_tools/flow/merger_simple/readme", "ofm_doc/comp/mfb_tools/flow/metadata_insertor/readme", "ofm_doc/comp/mfb_tools/flow/packet_delayer/readme", "ofm_doc/comp/mfb_tools/flow/pipe/readme", "ofm_doc/comp/mfb_tools/flow/rate_limiter/readme", "ofm_doc/comp/mfb_tools/flow/reconfigurator/readme", "ofm_doc/comp/mfb_tools/flow/splitter/readme", "ofm_doc/comp/mfb_tools/flow/splitter_simple/readme", "ofm_doc/comp/mfb_tools/flow/timestamp_limiter/readme", "ofm_doc/comp/mfb_tools/flow/transformer/readme", "ofm_doc/comp/mfb_tools/logic/auxiliary_signals/readme", "ofm_doc/comp/mfb_tools/logic/checksum_calculator/readme", "ofm_doc/comp/mfb_tools/logic/crossbarx_stream/readme", "ofm_doc/comp/mfb_tools/readme", "ofm_doc/comp/mfb_tools/storage/asfifox/readme", "ofm_doc/comp/mfb_tools/storage/crossbarx_output_buffer/readme", "ofm_doc/comp/mfb_tools/storage/fifox/readme", "ofm_doc/comp/mfb_tools/storage/pd_asfifo/readme", "ofm_doc/comp/mfb_tools/storage/pd_asfifo_simple/readme", "ofm_doc/comp/mi_tools/async/readme", "ofm_doc/comp/mi_tools/converters/mi2avmm/readme", "ofm_doc/comp/mi_tools/converters/mi2axi4/readme", "ofm_doc/comp/mi_tools/indirect_access/readme", "ofm_doc/comp/mi_tools/pipe/readme", "ofm_doc/comp/mi_tools/readme", "ofm_doc/comp/mi_tools/reconf/readme", "ofm_doc/comp/mi_tools/splitter_plus_gen/readme", "ofm_doc/comp/mvb_tools/flow/channel_router/readme", "ofm_doc/comp/mvb_tools/flow/demux/readme", "ofm_doc/comp/mvb_tools/flow/discard/readme", "ofm_doc/comp/mvb_tools/flow/merge_items/readme", "ofm_doc/comp/mvb_tools/flow/merge_n_to_m/readme", "ofm_doc/comp/mvb_tools/flow/merge_streams/readme", "ofm_doc/comp/mvb_tools/flow/mux/readme", "ofm_doc/comp/mvb_tools/flow/mvb2mfb/readme", "ofm_doc/comp/mvb_tools/flow/operation/readme", "ofm_doc/comp/mvb_tools/flow/shakedown/readme", "ofm_doc/comp/mvb_tools/readme", "ofm_doc/comp/mvb_tools/storage/fifox/readme", "ofm_doc/comp/mvb_tools/storage/lookup_table/readme", "ofm_doc/comp/nic/eth_phy/40ge/readme", "ofm_doc/comp/nic/mac_lite/rx_mac_lite/comp/buffer/uvm/readme", "ofm_doc/comp/nic/mac_lite/rx_mac_lite/readme", "ofm_doc/comp/nic/mac_lite/tx_mac_lite/comp/adapters/lbus/reconf/readme", "ofm_doc/comp/nic/mac_lite/tx_mac_lite/readme", "ofm_doc/comp/pcie/common/readme", "ofm_doc/comp/pcie/convertors/readme", "ofm_doc/comp/pcie/logic/byte_count/readme", "ofm_doc/comp/pcie/logic/byte_en_decoder/readme", "ofm_doc/comp/pcie/mtc/readme", "ofm_doc/comp/pcie/others/hdr_gen/readme", "ofm_doc/comp/pcie/ptc/comp/tag_manager/readme", "ofm_doc/comp/pcie/ptc/readme", "ofm_doc/comp/tsu/tsu_format_to_ns/readme", "ofm_doc/comp/tsu/tsu_gen/readme", "ofm_doc/comp/uvm/axi/readme", "ofm_doc/comp/uvm/byte_array/readme", "ofm_doc/comp/uvm/byte_array_lii/readme", "ofm_doc/comp/uvm/byte_array_lii_rx/readme", "ofm_doc/comp/uvm/byte_array_mfb/readme", "ofm_doc/comp/uvm/byte_array_mii/readme", "ofm_doc/comp/uvm/byte_array_pma/readme", "ofm_doc/comp/uvm/common/readme", "ofm_doc/comp/uvm/componets", "ofm_doc/comp/uvm/intel_mac_seg/readme", "ofm_doc/comp/uvm/lii/readme", "ofm_doc/comp/uvm/lii_rx/readme", "ofm_doc/comp/uvm/logic_vector/readme", "ofm_doc/comp/uvm/logic_vector_array/readme", "ofm_doc/comp/uvm/logic_vector_array_axi/readme", "ofm_doc/comp/uvm/logic_vector_array_intel_mac_seg/readme", "ofm_doc/comp/uvm/logic_vector_array_mfb/readme", "ofm_doc/comp/uvm/logic_vector_mvb/readme", "ofm_doc/comp/uvm/manual", "ofm_doc/comp/uvm/mfb/readme", "ofm_doc/comp/uvm/mi/readme", "ofm_doc/comp/uvm/mvb/readme", "ofm_doc/comp/uvm/pma/readme", "ofm_doc/comp/uvm/reset/readme", "ofm_doc/comp/uvm/sim_manual", "ofm_doc/ctrls", "ofm_doc/debug", "ofm_doc/dsp", "ofm_doc/fifo", "ofm_doc/fl", "ofm_doc/flu", "ofm_doc/index", "ofm_doc/logic", "ofm_doc/memory", "ofm_doc/mfb", "ofm_doc/mi", "ofm_doc/misc", "ofm_doc/mvb", "ofm_doc/nic", "ofm_doc/pcie", "ofm_doc/shift", "ofm_doc/ver"], "filenames": ["app-minimal.rst", "index.rst", "ndk_cards/amd/alveo-u200/readme.rst", "ndk_cards/amd/vcu118/readme.rst", "ndk_cards/bittware/ia-420f/readme.rst", "ndk_cards/intel/dk-dev-1sdx-p/readme.rst", "ndk_cards/intel/dk-dev-agi027res/readme.rst", "ndk_cards/reflexces/agi-fh400g/readme.rst", "ndk_cards/silicom/fb2cghh/readme.rst", "ndk_cards/silicom/fb4cgg3/readme.rst", "ndk_cards/silicom/n6010/readme.rst", "ndk_core/doc/configuration.rst", "ndk_core/doc/devtree.rst", "ndk_core/doc/faq.rst", "ndk_core/doc/how_to_start.rst", "ndk_core/doc/terminology.rst", "ndk_core/doc/testing.rst", "ndk_core/intel/cocotb/README.rst", "ndk_core/intel/doc/app.rst", "ndk_core/intel/doc/dma.rst", "ndk_core/intel/doc/eth.rst", "ndk_core/intel/doc/mem.rst", "ndk_core/intel/doc/mi.rst", "ndk_core/intel/doc/pcie.rst", "ndk_core/intel/doc/tsu.rst", "ndk_core/intel/readme.rst", "ndk_core/intel/src/comp/network_mod/comp/network_mod_core/doc/f-tile_multirate_ip.rst", "ndk_core/intel/src/comp/network_mod/uvm/readme.rst", "ofm_doc/async.rst", "ofm_doc/base.rst", "ofm_doc/build/readme.rst", "ofm_doc/comp/base/dsp/dsp_comparator/readme.rst", "ofm_doc/comp/base/fifo/asfifox/readme.rst", "ofm_doc/comp/base/fifo/fifox/readme.rst", "ofm_doc/comp/base/fifo/fifox_multi/readme.rst", "ofm_doc/comp/base/logic/barrel_shifter/readme.rst", "ofm_doc/comp/base/logic/cnt_multi_memx/readme.rst", "ofm_doc/comp/base/logic/n_loop_op/readme.rst", "ofm_doc/comp/base/logic/sr_sync_latch/readme.rst", "ofm_doc/comp/base/mem/mp_bram/readme.rst", "ofm_doc/comp/base/mem/np_lutram/readme.rst", "ofm_doc/comp/base/mem/sdp_bram/readme.rst", "ofm_doc/comp/base/misc/crossbarx/readme.rst", "ofm_doc/comp/base/misc/event_counter/readme.rst", "ofm_doc/comp/base/misc/packet_planner/readme.rst", "ofm_doc/comp/base/misc/pulse_short/readme.rst", "ofm_doc/comp/base/misc/trans_sorter/readme.rst", "ofm_doc/comp/base/pkg/readme.rst", "ofm_doc/comp/ctrls/sdm_client/readme.rst", "ofm_doc/comp/debug/data_logger/mem_logger/readme.rst", "ofm_doc/comp/debug/data_logger/readme.rst", "ofm_doc/comp/debug/histogramer/readme.rst", "ofm_doc/comp/debug/latency_meter/readme.rst", "ofm_doc/comp/debug/mem_tester/amm_gen/readme.rst", "ofm_doc/comp/debug/mem_tester/amm_probe/readme.rst", "ofm_doc/comp/debug/mem_tester/readme.rst", "ofm_doc/comp/debug/mem_tester/sw/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_insertor/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/comp/comp/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/comp/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/input_buffer/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/software_manager/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/trans_buffer/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/rx/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/chan_start_stop_ctrl/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/metadata_extractor/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/packet_dispatcher/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/pcie_trans_buffer/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/software_manager/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/tx/readme.rst", "ofm_doc/comp/dma/dma_calypte/readme.rst", "ofm_doc/comp/flu_tools/readme.rst", "ofm_doc/comp/mfb_tools/debug/gen_loop_switch/readme.rst", "ofm_doc/comp/mfb_tools/debug/generator/readme.rst", "ofm_doc/comp/mfb_tools/flow/crossbarx_stream2/readme.rst", "ofm_doc/comp/mfb_tools/flow/cutter_simple/readme.rst", "ofm_doc/comp/mfb_tools/flow/dropper/readme.rst", "ofm_doc/comp/mfb_tools/flow/enabler/readme.rst", "ofm_doc/comp/mfb_tools/flow/frame_masker/readme.rst", "ofm_doc/comp/mfb_tools/flow/frame_unpacker/readme.rst", "ofm_doc/comp/mfb_tools/flow/loopback/readme.rst", "ofm_doc/comp/mfb_tools/flow/merger/readme.rst", "ofm_doc/comp/mfb_tools/flow/merger_simple/readme.rst", "ofm_doc/comp/mfb_tools/flow/metadata_insertor/readme.rst", "ofm_doc/comp/mfb_tools/flow/packet_delayer/readme.rst", "ofm_doc/comp/mfb_tools/flow/pipe/readme.rst", "ofm_doc/comp/mfb_tools/flow/rate_limiter/readme.rst", "ofm_doc/comp/mfb_tools/flow/reconfigurator/readme.rst", "ofm_doc/comp/mfb_tools/flow/splitter/readme.rst", "ofm_doc/comp/mfb_tools/flow/splitter_simple/readme.rst", "ofm_doc/comp/mfb_tools/flow/timestamp_limiter/readme.rst", "ofm_doc/comp/mfb_tools/flow/transformer/readme.rst", "ofm_doc/comp/mfb_tools/logic/auxiliary_signals/readme.rst", "ofm_doc/comp/mfb_tools/logic/checksum_calculator/readme.rst", "ofm_doc/comp/mfb_tools/logic/crossbarx_stream/readme.rst", "ofm_doc/comp/mfb_tools/readme.rst", "ofm_doc/comp/mfb_tools/storage/asfifox/readme.rst", "ofm_doc/comp/mfb_tools/storage/crossbarx_output_buffer/readme.rst", "ofm_doc/comp/mfb_tools/storage/fifox/readme.rst", "ofm_doc/comp/mfb_tools/storage/pd_asfifo/readme.rst", "ofm_doc/comp/mfb_tools/storage/pd_asfifo_simple/readme.rst", "ofm_doc/comp/mi_tools/async/readme.rst", "ofm_doc/comp/mi_tools/converters/mi2avmm/readme.rst", "ofm_doc/comp/mi_tools/converters/mi2axi4/readme.rst", "ofm_doc/comp/mi_tools/indirect_access/readme.rst", "ofm_doc/comp/mi_tools/pipe/readme.rst", "ofm_doc/comp/mi_tools/readme.rst", "ofm_doc/comp/mi_tools/reconf/readme.rst", "ofm_doc/comp/mi_tools/splitter_plus_gen/readme.rst", "ofm_doc/comp/mvb_tools/flow/channel_router/readme.rst", "ofm_doc/comp/mvb_tools/flow/demux/readme.rst", "ofm_doc/comp/mvb_tools/flow/discard/readme.rst", "ofm_doc/comp/mvb_tools/flow/merge_items/readme.rst", "ofm_doc/comp/mvb_tools/flow/merge_n_to_m/readme.rst", "ofm_doc/comp/mvb_tools/flow/merge_streams/readme.rst", "ofm_doc/comp/mvb_tools/flow/mux/readme.rst", "ofm_doc/comp/mvb_tools/flow/mvb2mfb/readme.rst", "ofm_doc/comp/mvb_tools/flow/operation/readme.rst", "ofm_doc/comp/mvb_tools/flow/shakedown/readme.rst", "ofm_doc/comp/mvb_tools/readme.rst", "ofm_doc/comp/mvb_tools/storage/fifox/readme.rst", "ofm_doc/comp/mvb_tools/storage/lookup_table/readme.rst", "ofm_doc/comp/nic/eth_phy/40ge/readme.rst", "ofm_doc/comp/nic/mac_lite/rx_mac_lite/comp/buffer/uvm/readme.rst", "ofm_doc/comp/nic/mac_lite/rx_mac_lite/readme.rst", "ofm_doc/comp/nic/mac_lite/tx_mac_lite/comp/adapters/lbus/reconf/readme.rst", "ofm_doc/comp/nic/mac_lite/tx_mac_lite/readme.rst", "ofm_doc/comp/pcie/common/readme.rst", "ofm_doc/comp/pcie/convertors/readme.rst", "ofm_doc/comp/pcie/logic/byte_count/readme.rst", "ofm_doc/comp/pcie/logic/byte_en_decoder/readme.rst", "ofm_doc/comp/pcie/mtc/readme.rst", "ofm_doc/comp/pcie/others/hdr_gen/readme.rst", "ofm_doc/comp/pcie/ptc/comp/tag_manager/readme.rst", "ofm_doc/comp/pcie/ptc/readme.rst", "ofm_doc/comp/tsu/tsu_format_to_ns/readme.rst", "ofm_doc/comp/tsu/tsu_gen/readme.rst", "ofm_doc/comp/uvm/axi/readme.rst", "ofm_doc/comp/uvm/byte_array/readme.rst", "ofm_doc/comp/uvm/byte_array_lii/readme.rst", "ofm_doc/comp/uvm/byte_array_lii_rx/readme.rst", "ofm_doc/comp/uvm/byte_array_mfb/readme.rst", "ofm_doc/comp/uvm/byte_array_mii/readme.rst", "ofm_doc/comp/uvm/byte_array_pma/readme.rst", "ofm_doc/comp/uvm/common/readme.rst", "ofm_doc/comp/uvm/componets.rst", "ofm_doc/comp/uvm/intel_mac_seg/readme.rst", "ofm_doc/comp/uvm/lii/readme.rst", "ofm_doc/comp/uvm/lii_rx/readme.rst", "ofm_doc/comp/uvm/logic_vector/readme.rst", "ofm_doc/comp/uvm/logic_vector_array/readme.rst", "ofm_doc/comp/uvm/logic_vector_array_axi/readme.rst", "ofm_doc/comp/uvm/logic_vector_array_intel_mac_seg/readme.rst", "ofm_doc/comp/uvm/logic_vector_array_mfb/readme.rst", "ofm_doc/comp/uvm/logic_vector_mvb/readme.rst", "ofm_doc/comp/uvm/manual.rst", "ofm_doc/comp/uvm/mfb/readme.rst", "ofm_doc/comp/uvm/mi/readme.rst", "ofm_doc/comp/uvm/mvb/readme.rst", "ofm_doc/comp/uvm/pma/readme.rst", "ofm_doc/comp/uvm/reset/readme.rst", "ofm_doc/comp/uvm/sim_manual.rst", "ofm_doc/ctrls.rst", "ofm_doc/debug.rst", "ofm_doc/dsp.rst", "ofm_doc/fifo.rst", "ofm_doc/fl.rst", "ofm_doc/flu.rst", "ofm_doc/index.rst", "ofm_doc/logic.rst", "ofm_doc/memory.rst", "ofm_doc/mfb.rst", "ofm_doc/mi.rst", "ofm_doc/misc.rst", "ofm_doc/mvb.rst", "ofm_doc/nic.rst", "ofm_doc/pcie.rst", "ofm_doc/shift.rst", "ofm_doc/ver.rst"], "titles": ["Minimal NDK application", "Documentation of Minimal NDK Application", "AMD Alveo U200", "AMD VCU118@VU9P", "Bittware IA-420F", "Intel Stratix 10 DX FPGA DK", "Intel Agilex I-Series FPGA DK", "ReflexCES XpressSX AGI-FH400G", "Silicom fb2CGhh@KU15P", "Silicom fb4CGg3@VU9P", "Silicom N6010", "Configuration files and parameters", "Device Tree", "Frequently Asked Questions", "How to start", "NDK Terminology", "NDK testing", "Cocotb toplevel simulation core", "The Application", "The DMA module", "The Network Module", "The Memory Controller", "The MI bus interconnect", "The PCIe module", "Time Stamp Unit", "NDK architecture", "F-Tile Multirate IP", "BUFFER", "Asynchronous modules", "Basic Tools", "Build System", "DSP Comparator", "ASFIFOX", "FIFOX", "FIFOX Multi", "Barrel Shifter", "Multi MEMx Counter", "N_LOOP_OP", "Synchronous SR latch", "Multi-port BRAM", "NP LUT RAM", "Simple dual-port BRAM", "CrossbarX", "Event Counter", "Packet Planner", "Pulse short", "Transaction Sorter", "Packages", "SDM CLIENT", "Mem logger", "Data logger", "Histogramer", "Latency meter", "AMM_GEN", "AMM_PROBE", "DDR4 Memory Tester", "MEM_TESTER Software", "Header Insertor", "Address Manager", "PCIe Addres Generator", "Header Manager", "Input Buffer", "Software Manager", "Transaction Buffer", "RX DMA Calypte", "Channel Start/stop control", "Metadata Extractor", "Packet Dispatcher", "Transaction buffer", "Software Manager", "TX DMA Calypte", "DMA Calypte", "FLU bus specification", "Gen Loop Switch (GLS)", "MFB Generator", "CROSSBARX STREAM2", "MFB Cutter Simple", "MFB Dropper", "MFB Enabler", "MFB Frame Masker", "Frame Unpacker", "MFB Loopback", "MFB Merger", "MFB Merger Simple", "Metadata Insertor", "Packet Delayer", "MFB PIPE", "Rate Limiter", "MFB Reconfigurator", "MFB Splitter", "MFB Splitter Simple", "Timestamp Limiter", "MFB Trasformer", "MFB Auxiliary Signals", "Checksum Calculator", "CrossbarX Stream", "MFB specification", "MFB ASFIFOX", "Crossbarx Output Buffer", "MFB FIFOX", "MFB Packet Discard ASFIFO", "MFB PD ASFIFO SIMPLE", "MI ASYNC", "MI2AVMM", "MI2AXI4", "MI indirect access", "MI Pipe", "MI bus specification", "MI Reconfigurator", "MI Splitter Plus Gen", "MVB Channel Router", "MVB DEMUX", "MVB DISCARD", "MVB Merge Items", "SHAKEDOWN", "MVB Merge Streams", "MVB MUX", "MVB2MFB", "MVB Operation", "MVB Shakedown", "MVB Specification", "MVB FIFOX", "MVB Lookup Table", "40GE Ethernet PHY for Ultrascale+ FPGAs", "BUFFER", "RX MAC LITE", "MFB -> LBUS reconfigurator (TX LBUS)", "TX MAC LITE", "PCI_EXT_CAP", "PCIE CONVERSION UNITS", "PCIE Byte Count", "PCIe Byte Enable Decoder", "MTC (MI Transaction Controller)", "PCIE Header parsing/deparsing", "PTC Tag Manager", "PTC (PCIe Transaction Controller)", "TSU Format to ns Convertor", "TSU GEN", "AXI Agent", "Byte Array agent", "Byte Array to LII convert enviroment", "Byte Array to LII convert enviroment", "Byte_array_mfb environment", "Byte array to MII transitional environment", "Byte array to pma convert enviroment", "Common package", "Components", "Intel MAC SEG", "LII agent", "LII agent", "Logic vector agent", "Logic Vector Array agent", "logic_vector_array_axi environment", "byte array to MAC SEG", "logic_vector_array_mfb environment", "logic_vector_mvb environment", "SystemVerilog and UVM tutorial", "MFB Agent", "MI agent", "MVB agent", "PMA agent", "RESET agent", "UVM simulation", "Controllers & TSU", "Debug Tools", "DSP components", "FIFO components", "FL Tools", "FLU Tools", "OFM User Guide", "Basic logic elements", "Memory modules", "MFB Tools", "MI Tools", "Miscellaneous", "MVB Tools", "Network Tools", "PCIe Tools", "Shift registers", "UVM Verification"], "terms": {"base": [0, 1, 11, 12, 20, 21, 23, 25, 29, 30, 33, 42, 50, 51, 53, 54, 55, 58, 59, 62, 80, 87, 89, 96, 98, 106, 108, 109, 116, 118, 123, 126, 128, 132, 133, 134, 135, 137, 139, 142, 143, 150, 152, 154, 155, 156, 159, 166, 170, 171, 174], "i": [0, 1, 3, 4, 5, 7, 9, 12, 14, 15, 16, 18, 19, 20, 21, 22, 23, 24, 25, 26, 28, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 164, 166, 170, 171, 174, 177], "simpl": [0, 1, 11, 13, 16, 20, 21, 30, 34, 37, 64, 66, 68, 71, 81, 82, 85, 88, 96, 107, 109, 110, 119, 120, 122, 132, 138, 139, 145, 146, 150, 151, 156, 157, 159, 170, 172, 174], "how": [0, 1, 16, 19, 32, 33, 34, 37, 43, 45, 47, 50, 79, 96, 99, 107, 109, 120, 121, 142, 145, 152, 154, 155, 156], "build": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 16, 17, 20, 25, 123, 156], "an": [0, 1, 4, 5, 6, 7, 11, 15, 16, 18, 19, 20, 21, 22, 23, 24, 26, 30, 33, 34, 37, 43, 44, 47, 51, 67, 70, 73, 74, 79, 81, 83, 87, 88, 90, 91, 96, 100, 102, 107, 109, 122, 123, 126, 128, 132, 135, 143, 145, 148, 149, 151, 152, 156, 158, 162, 164, 170, 171, 174], "fpga": [0, 1, 2, 3, 4, 7, 8, 9, 10, 11, 16, 18, 19, 20, 21, 22, 23, 25, 26, 30, 31, 32, 33, 39, 41, 42, 43, 48, 55, 60, 70, 73, 74, 79, 80, 85, 86, 90, 91, 94, 95, 99, 101, 102, 106, 109, 110, 113, 115, 117, 118, 121, 125, 127, 129, 132, 135, 137, 164, 166, 169, 170, 171, 174, 176], "us": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 19, 21, 22, 23, 24, 25, 26, 28, 31, 32, 33, 34, 35, 36, 37, 39, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 60, 62, 63, 64, 71, 72, 74, 75, 78, 79, 81, 82, 85, 87, 88, 91, 94, 95, 96, 97, 99, 100, 102, 103, 106, 107, 108, 110, 114, 115, 119, 120, 122, 123, 125, 126, 127, 128, 130, 132, 134, 135, 136, 137, 138, 139, 140, 141, 143, 144, 145, 146, 148, 149, 150, 151, 156, 157, 158, 159, 160, 161, 165, 166, 167, 168, 170, 171, 172, 173, 174, 175, 176, 177], "It": [0, 1, 11, 12, 18, 20, 22, 25, 28, 30, 43, 48, 53, 62, 69, 73, 75, 79, 80, 83, 85, 88, 90, 97, 98, 107, 109, 110, 117, 123, 125, 128, 132, 134, 135, 136, 138, 142, 143, 151, 152, 154, 155, 156, 158, 162, 166, 170, 171, 174], "can": [0, 1, 2, 3, 4, 7, 12, 14, 15, 16, 18, 19, 20, 22, 23, 24, 25, 26, 28, 30, 31, 32, 33, 34, 35, 37, 38, 42, 43, 44, 45, 46, 49, 50, 51, 52, 53, 55, 56, 60, 61, 65, 66, 68, 70, 71, 75, 78, 79, 80, 83, 85, 87, 88, 91, 94, 95, 96, 97, 100, 102, 105, 106, 107, 108, 109, 110, 113, 114, 115, 118, 119, 120, 122, 123, 125, 126, 127, 134, 135, 136, 139, 142, 143, 145, 150, 151, 152, 154, 155, 156, 158, 161, 162, 166, 170, 174], "also": [0, 1, 4, 5, 6, 9, 11, 12, 16, 18, 19, 20, 22, 23, 25, 26, 30, 31, 32, 34, 35, 37, 41, 44, 49, 50, 55, 61, 62, 72, 79, 80, 87, 88, 95, 98, 102, 105, 107, 109, 120, 123, 125, 126, 134, 135, 140, 141, 142, 143, 144, 145, 152, 154, 156, 159, 170, 171, 177], "start": [0, 1, 4, 7, 10, 11, 16, 18, 23, 30, 42, 43, 44, 52, 60, 69, 70, 72, 73, 74, 75, 78, 79, 80, 83, 87, 88, 96, 100, 109, 117, 120, 125, 126, 127, 129, 135, 137, 140, 141, 143, 144, 145, 148, 149, 156, 158, 160, 162], "point": [0, 1, 22, 42, 70, 80, 96, 100, 134], "your": [0, 1, 11, 13, 14, 16, 17, 18, 37, 49, 55, 91, 114, 145, 162], "doe": [0, 1, 12, 14, 18, 23, 30, 32, 37, 46, 48, 66, 72, 79, 80, 88, 96, 103, 107, 108, 114, 119, 125, 126, 127, 132, 136, 139, 150, 151, 156], "process": [0, 1, 11, 18, 22, 30, 37, 42, 44, 46, 47, 51, 57, 66, 79, 80, 87, 96, 100, 108, 120, 126, 127, 129, 132, 135, 142, 145, 151, 152, 154, 155, 156, 161, 174], "network": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 14, 15, 16, 18, 24, 25, 47, 94, 110, 125, 156, 169], "packet": [0, 1, 15, 16, 19, 24, 25, 57, 59, 60, 61, 62, 63, 64, 65, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 87, 89, 90, 91, 94, 95, 98, 125, 127, 129, 139, 142, 145, 150, 151, 152, 154, 156, 162, 172, 174, 176], "ani": [0, 1, 12, 14, 16, 30, 33, 37, 42, 44, 46, 50, 76, 77, 78, 79, 84, 86, 88, 96, 97, 99, 105, 107, 108, 109, 111, 120, 125, 142, 143, 152, 154, 155, 156, 161], "wai": [0, 1, 11, 12, 20, 37, 38, 42, 43, 44, 80, 84, 87, 88, 100, 108, 109, 125, 126, 134, 135, 139, 144, 148, 149, 150, 160, 162], "onli": [0, 1, 4, 5, 6, 9, 11, 12, 13, 14, 18, 19, 20, 21, 23, 28, 30, 31, 32, 33, 34, 37, 39, 41, 42, 43, 44, 45, 46, 47, 50, 55, 58, 63, 70, 73, 74, 78, 79, 80, 82, 85, 87, 88, 90, 91, 95, 96, 99, 100, 102, 103, 106, 107, 108, 109, 110, 118, 120, 121, 122, 123, 125, 126, 127, 128, 129, 132, 133, 134, 135, 137, 138, 139, 140, 141, 144, 145, 148, 149, 150, 151, 152, 156, 157, 158, 159, 160, 162, 166, 170, 171, 174, 179], "send": [0, 1, 11, 16, 18, 22, 35, 37, 42, 46, 48, 55, 57, 70, 71, 74, 78, 80, 96, 98, 100, 105, 110, 120, 129, 132, 135, 138, 140, 141, 142, 143, 144, 145, 152, 154, 155, 156, 157, 158, 160, 161, 162], "receiv": [0, 1, 13, 16, 23, 25, 30, 43, 44, 49, 53, 54, 55, 58, 59, 64, 65, 70, 80, 90, 96, 98, 107, 110, 111, 113, 116, 118, 120, 123, 125, 126, 129, 135, 137, 138, 156, 158, 166, 174, 176], "them": [0, 1, 11, 12, 14, 18, 20, 21, 23, 26, 37, 43, 46, 50, 57, 61, 79, 80, 84, 87, 88, 95, 100, 107, 109, 120, 123, 126, 132, 134, 135, 140, 141, 142, 143, 151, 152, 154, 155, 156, 158, 160, 162], "If": [0, 1, 12, 13, 14, 16, 18, 19, 20, 23, 26, 30, 32, 39, 41, 43, 44, 46, 50, 55, 59, 67, 78, 79, 83, 87, 88, 95, 97, 100, 107, 109, 116, 119, 125, 127, 132, 134, 140, 141, 145, 156, 158, 159], "dma": [0, 1, 2, 3, 14, 16, 22, 23, 25, 57, 58, 60, 62, 63, 65, 66, 67, 68, 69, 73, 91, 110, 134, 135, 177], "ip": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 13, 14, 16, 20, 21, 48, 53, 55, 56, 71, 123, 125, 127, 132, 134, 135, 174, 177], "enabl": [0, 1, 7, 13, 16, 18, 19, 20, 23, 24, 30, 31, 32, 33, 34, 35, 37, 39, 42, 43, 44, 45, 48, 50, 55, 66, 71, 73, 74, 75, 76, 77, 79, 81, 82, 83, 89, 91, 94, 95, 97, 100, 102, 103, 107, 108, 109, 110, 113, 114, 115, 118, 125, 127, 129, 130, 132, 133, 135, 136, 137, 143, 148, 149, 156, 158, 170, 171, 172, 177], "see": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 11, 12, 16, 18, 19, 20, 21, 30, 33, 34, 37, 41, 42, 43, 47, 48, 50, 73, 85, 87, 88, 91, 95, 97, 99, 102, 103, 109, 110, 117, 120, 123, 125, 126, 127, 129, 135, 137, 139, 150, 151, 152, 154, 155, 156, 157, 162], "modul": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 12, 14, 15, 21, 22, 24, 25, 29, 47, 49, 50, 59, 62, 64, 71, 72, 73, 75, 81, 110, 125, 127, 132, 135, 137, 163, 169, 174], "chapter": [0, 1, 11, 12, 14, 15, 16, 18, 19, 29, 125, 127, 163, 164, 167, 168, 172, 173, 175, 176, 177], "forward": [0, 1, 19, 20, 23, 80, 87, 100, 125, 127], "from": [0, 1, 11, 12, 13, 14, 15, 16, 19, 21, 22, 23, 24, 25, 26, 30, 34, 37, 38, 39, 41, 42, 43, 44, 46, 48, 49, 50, 53, 54, 55, 56, 62, 63, 65, 66, 67, 69, 70, 71, 73, 76, 78, 79, 80, 81, 83, 84, 85, 87, 88, 91, 92, 94, 95, 96, 100, 106, 107, 109, 110, 113, 118, 119, 120, 122, 123, 125, 126, 127, 128, 130, 132, 134, 135, 137, 138, 139, 142, 143, 144, 145, 148, 149, 150, 151, 152, 154, 156, 157, 158, 159, 160, 162, 170, 171, 174], "comput": [0, 1, 80, 135], "top": [0, 11, 12, 20, 21, 25, 30, 71, 91, 146, 148, 149, 154], "level": [0, 11, 12, 20, 21, 25, 30, 70, 71, 79, 138, 139, 142, 143, 144, 146, 150, 151, 153, 154, 155, 157, 161], "provid": [0, 11, 14, 18, 20, 22, 23, 25, 30, 37, 38, 39, 42, 44, 50, 62, 64, 69, 70, 81, 87, 95, 96, 97, 102, 119, 120, 123, 129, 130, 132, 145, 156, 165], "ethernet": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 16, 20, 22, 24, 25, 26, 47, 73, 74, 110, 125, 127, 148, 149, 174, 176], "configur": [0, 2, 3, 4, 5, 6, 7, 8, 9, 12, 13, 14, 18, 20, 24, 28, 43, 48, 50, 55, 57, 62, 64, 69, 73, 74, 76, 78, 79, 88, 92, 93, 107, 110, 120, 122, 123, 125, 126, 127, 128, 129, 132, 137, 138, 140, 141, 144, 146, 148, 149, 157, 160, 161, 169], "bu": [0, 12, 16, 18, 19, 20, 21, 23, 25, 38, 42, 43, 48, 49, 50, 61, 62, 63, 64, 65, 68, 69, 70, 71, 75, 76, 81, 82, 86, 88, 89, 93, 96, 102, 103, 108, 109, 110, 111, 116, 117, 120, 122, 123, 125, 127, 129, 132, 133, 135, 137, 143, 146, 167, 168, 172, 173, 174, 175], "connect": [0, 2, 3, 4, 5, 6, 7, 12, 14, 16, 18, 19, 20, 23, 25, 32, 33, 37, 38, 42, 44, 45, 53, 55, 62, 71, 72, 73, 79, 88, 91, 103, 107, 108, 109, 123, 125, 127, 128, 135, 139, 140, 141, 142, 144, 145, 148, 149, 150, 151, 152, 154, 155, 156, 158, 159, 160, 161, 170, 174], "individu": [0, 12, 18, 19, 20, 22, 23, 26, 28, 30, 80, 82, 85, 91, 107, 125, 156], "app": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 12, 14, 16, 18, 21, 22], "subcor": 0, "One": [0, 30, 53, 66, 88, 90, 116, 118, 121, 138, 142, 154, 156, 159, 170], "independ": [0, 11, 15, 18, 20, 30, 37, 41, 42, 44, 80, 83, 110, 115, 119, 134, 135, 148, 149, 156, 166], "instanti": [0, 12, 16, 18, 19, 20, 21, 30, 118, 143, 156], "each": [0, 11, 12, 13, 15, 16, 18, 19, 20, 21, 22, 23, 24, 26, 30, 34, 37, 39, 42, 44, 47, 50, 51, 55, 63, 68, 69, 70, 73, 76, 77, 78, 79, 80, 82, 85, 87, 88, 89, 90, 91, 93, 94, 96, 98, 100, 101, 105, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 120, 123, 125, 126, 127, 128, 129, 130, 134, 135, 145, 156, 159, 162, 166, 170, 174], "stream": [0, 16, 18, 19, 20, 23, 42, 44, 71, 73, 75, 78, 80, 82, 83, 84, 87, 91, 94, 113, 123, 125, 127, 145, 156, 172, 175], "ar": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 15, 16, 18, 19, 20, 21, 22, 23, 24, 26, 29, 30, 31, 32, 33, 34, 37, 38, 39, 41, 42, 43, 44, 46, 47, 48, 49, 51, 53, 57, 58, 60, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 78, 79, 80, 82, 83, 84, 85, 87, 88, 91, 92, 94, 95, 96, 102, 103, 105, 107, 109, 110, 111, 114, 117, 118, 120, 123, 125, 126, 127, 128, 129, 131, 133, 134, 135, 137, 142, 143, 144, 145, 148, 149, 152, 154, 155, 156, 158, 159, 160, 162, 163, 164, 167, 168, 170, 171, 172, 173, 174, 175, 176, 177], "implement": [0, 11, 12, 16, 18, 19, 20, 22, 23, 24, 31, 32, 33, 36, 37, 39, 41, 43, 60, 62, 80, 83, 87, 88, 96, 97, 99, 102, 103, 106, 118, 119, 121, 122, 123, 125, 127, 135, 143, 145, 156, 158, 166, 169, 170, 171, 174], "mfb": [0, 18, 19, 20, 23, 43, 57, 61, 63, 64, 65, 66, 67, 68, 70, 71, 73, 75, 80, 84, 87, 91, 94, 95, 98, 117, 120, 125, 127, 129, 132, 134, 135, 139, 142, 146, 150, 151, 154, 156, 175, 176], "buse": [0, 18, 19, 20, 23, 28, 106, 120], "mvb": [0, 18, 19, 20, 23, 33, 44, 57, 60, 70, 73, 75, 80, 82, 84, 89, 94, 98, 114, 117, 125, 134, 135, 146, 155, 156], "block": [0, 11, 18, 19, 20, 23, 25, 31, 35, 39, 41, 51, 61, 63, 64, 68, 70, 71, 73, 74, 75, 81, 82, 83, 87, 88, 89, 90, 93, 94, 96, 118, 123, 125, 126, 127, 129, 132, 144, 151, 156, 159, 160, 166, 170], "diagram": [0, 7, 11, 18, 19, 20, 23, 25, 73, 88, 126, 132], "below": [0, 2, 3, 7, 11, 14, 16, 18, 19, 20, 22, 23, 24, 30, 44, 47, 80, 87, 91, 107, 109, 120, 129, 134, 142, 143, 145, 152, 154, 155, 156, 159, 160], "show": [0, 7, 11, 16, 20, 25, 37, 55, 79, 96, 107, 109, 120, 126, 138, 139, 142, 145, 150, 151, 152, 154, 155, 156, 157, 162], "In": [0, 12, 15, 16, 18, 20, 21, 23, 30, 34, 37, 38, 42, 48, 55, 60, 79, 88, 91, 95, 96, 103, 107, 108, 109, 110, 120, 126, 127, 134, 135, 142, 143, 145, 148, 149, 152, 154, 155, 156, 159, 161, 162], "case": [0, 11, 12, 14, 16, 18, 21, 23, 26, 30, 31, 32, 34, 37, 38, 44, 46, 60, 79, 88, 91, 96, 105, 107, 108, 109, 119, 120, 125, 126, 127, 133, 135, 143, 156, 162], "just": [0, 11, 14, 18, 20, 39, 55, 79, 103, 109, 143, 156, 158, 159], "one": [0, 11, 15, 18, 19, 20, 23, 25, 28, 30, 31, 33, 35, 37, 38, 39, 41, 42, 43, 44, 45, 46, 51, 53, 55, 58, 59, 63, 69, 72, 77, 79, 80, 82, 83, 84, 87, 88, 89, 90, 91, 92, 96, 100, 102, 103, 105, 107, 109, 111, 113, 115, 116, 118, 119, 120, 125, 126, 127, 132, 134, 135, 137, 138, 139, 140, 141, 142, 143, 144, 145, 148, 149, 150, 151, 152, 154, 155, 156, 158, 159, 161, 162, 166, 170, 171, 172, 174, 175], "number": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 14, 15, 16, 18, 19, 20, 23, 24, 26, 31, 32, 33, 34, 36, 37, 39, 41, 42, 43, 44, 47, 49, 50, 51, 52, 54, 55, 56, 57, 58, 59, 60, 61, 62, 64, 65, 68, 69, 70, 71, 73, 74, 75, 76, 80, 81, 82, 83, 85, 87, 88, 89, 90, 91, 92, 94, 95, 96, 97, 98, 99, 101, 103, 105, 107, 109, 110, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 125, 126, 127, 128, 130, 132, 133, 134, 136, 137, 139, 140, 141, 143, 145, 150, 151, 156, 160, 161, 162, 170, 171], "eth": [0, 12, 13, 14, 16, 18, 20, 73, 110, 125, 127, 145], "more": [0, 2, 3, 11, 13, 14, 15, 16, 18, 20, 23, 25, 28, 30, 33, 37, 41, 42, 44, 52, 55, 66, 72, 78, 79, 80, 87, 88, 95, 96, 97, 99, 103, 105, 107, 109, 114, 115, 123, 125, 128, 135, 137, 143, 148, 149, 152, 154, 155, 156, 157, 158, 161, 162], "than": [0, 11, 13, 20, 23, 25, 28, 30, 31, 32, 42, 50, 53, 55, 73, 75, 79, 80, 88, 96, 109, 118, 125, 127, 143, 156, 159, 170], "merger": [0, 20, 135, 172], "chan": [0, 110], "mod": [0, 156, 170], "split": [0, 18, 20, 30, 61, 88, 89, 90, 91, 145], "merg": [0, 20, 75, 82, 83, 88, 91, 114, 119, 140, 141, 145, 156, 175], "channel": [0, 11, 14, 16, 18, 19, 20, 26, 36, 47, 57, 58, 59, 60, 62, 64, 66, 67, 68, 69, 70, 71, 73, 74, 91, 96, 98, 107, 120, 143, 145, 156, 166, 170, 175], "thi": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 18, 19, 20, 22, 23, 24, 26, 28, 29, 30, 31, 33, 34, 37, 38, 39, 42, 43, 44, 45, 46, 48, 50, 53, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 78, 79, 80, 81, 82, 83, 87, 88, 90, 91, 92, 94, 95, 96, 97, 98, 99, 100, 103, 104, 105, 107, 108, 109, 110, 111, 113, 114, 118, 119, 120, 122, 125, 126, 127, 129, 130, 131, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 179], "avail": [0, 14, 16, 18, 20, 22, 23, 24, 25, 30, 32, 44, 48, 50, 55, 67, 74, 79, 100, 110, 123, 125, 127, 134, 135, 137, 156], "within": [0, 12, 13, 30, 42, 62, 64, 65, 68, 69, 80, 85, 87, 88, 91, 94, 95, 96, 120, 145, 148, 149, 169], "singl": [0, 7, 18, 20, 23, 28, 30, 34, 35, 43, 44, 46, 65, 80, 83, 88, 90, 91, 96, 100, 109, 119, 120, 126, 129, 132, 148, 149, 151, 156, 171], "evenli": [0, 18, 20, 44, 120], "divid": [0, 19, 22, 23, 55, 88, 96, 107, 110, 151, 156, 162], "between": [0, 16, 20, 22, 25, 30, 37, 42, 43, 44, 48, 49, 52, 53, 55, 72, 73, 75, 80, 85, 87, 88, 91, 95, 96, 97, 102, 103, 109, 115, 119, 120, 123, 134, 135, 139, 142, 143, 145, 150, 151, 152, 154, 155, 156, 158, 160, 170, 174], "all": [0, 11, 12, 13, 14, 15, 18, 20, 23, 26, 30, 34, 37, 42, 43, 44, 46, 47, 50, 53, 55, 56, 65, 66, 67, 68, 69, 72, 73, 74, 78, 79, 80, 81, 84, 87, 88, 89, 91, 95, 96, 100, 107, 108, 109, 110, 111, 120, 123, 125, 127, 128, 129, 134, 135, 136, 139, 140, 141, 142, 143, 144, 145, 148, 149, 150, 151, 152, 154, 156, 158, 159, 160, 161, 162, 170], "tx": [0, 14, 15, 16, 18, 20, 35, 47, 57, 69, 71, 73, 75, 78, 79, 80, 81, 82, 83, 84, 85, 87, 88, 89, 90, 91, 92, 94, 95, 97, 99, 101, 108, 110, 111, 112, 113, 115, 116, 118, 119, 125, 138, 142, 143, 148, 149, 152, 154, 155, 156, 157, 159, 176], "direct": [0, 15, 22, 23, 30, 35, 42, 48, 70, 71, 72, 73, 96, 107, 108, 120, 126, 142, 152, 154, 155, 156, 159, 170], "static": [0, 18, 19], "map": [0, 16, 18, 19, 20, 30, 49, 50, 51, 52, 55, 103, 106, 107, 123, 128, 134, 135, 145], "accord": [0, 11, 12, 20, 23, 32, 39, 41, 60, 65, 66, 79, 80, 87, 90, 91, 95, 96, 102, 103, 107, 109, 110, 120, 123, 125, 126, 127, 156, 174], "msb": [0, 96, 109, 120, 126], "For": [0, 2, 3, 11, 14, 15, 16, 18, 20, 25, 28, 30, 34, 37, 39, 42, 43, 44, 55, 56, 66, 67, 76, 78, 88, 96, 97, 99, 100, 107, 109, 111, 116, 123, 125, 126, 127, 128, 129, 131, 133, 134, 135, 138, 140, 141, 142, 145, 152, 154, 155, 156, 157, 159, 161, 162, 164], "4": [0, 11, 15, 16, 18, 19, 26, 34, 37, 43, 44, 47, 48, 50, 53, 54, 55, 57, 60, 61, 63, 64, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 82, 86, 87, 90, 93, 94, 95, 96, 97, 98, 99, 101, 107, 108, 109, 110, 112, 113, 114, 115, 117, 119, 120, 121, 122, 123, 125, 127, 129, 130, 133], "32": [0, 16, 18, 20, 23, 24, 32, 33, 38, 43, 44, 47, 49, 50, 55, 57, 60, 62, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 81, 82, 84, 87, 88, 89, 91, 92, 96, 99, 102, 103, 104, 105, 106, 107, 109, 110, 112, 113, 114, 115, 118, 121, 122, 123, 125, 129, 132, 133, 136, 137, 145, 148, 149, 160, 162, 174], "2": [0, 2, 3, 8, 9, 11, 14, 16, 18, 23, 26, 31, 32, 33, 34, 37, 38, 39, 42, 43, 44, 45, 47, 48, 50, 51, 53, 54, 55, 57, 59, 60, 62, 64, 65, 67, 69, 70, 71, 72, 73, 74, 75, 76, 77, 79, 80, 82, 83, 84, 85, 87, 88, 89, 90, 91, 92, 94, 95, 97, 98, 101, 102, 103, 104, 106, 107, 108, 110, 111, 115, 116, 119, 123, 125, 127, 129, 130, 132, 133, 135, 136, 137, 148, 149, 156, 159, 160, 161, 170, 171], "bit": [0, 14, 16, 18, 20, 22, 23, 24, 28, 31, 32, 33, 34, 35, 37, 38, 39, 41, 42, 43, 45, 46, 47, 48, 50, 53, 54, 55, 56, 58, 59, 60, 66, 70, 71, 72, 73, 74, 75, 76, 80, 81, 82, 83, 85, 87, 88, 89, 90, 91, 94, 95, 96, 102, 103, 104, 105, 107, 108, 110, 112, 113, 114, 115, 117, 120, 123, 125, 127, 128, 129, 132, 133, 136, 137, 148, 149, 156, 159, 160, 161, 170, 174], "taken": [0, 44, 100, 126], "3": [0, 7, 11, 16, 18, 19, 23, 26, 33, 37, 38, 40, 46, 50, 53, 54, 55, 57, 60, 72, 74, 79, 87, 88, 96, 103, 104, 105, 107, 108, 119, 120, 123, 125, 127, 128, 131, 132, 133, 137], "so": [0, 11, 12, 16, 20, 26, 30, 34, 37, 38, 42, 44, 48, 79, 80, 87, 90, 91, 96, 100, 105, 107, 109, 126, 156, 160, 162, 174], "0": [0, 7, 10, 14, 16, 18, 19, 20, 23, 24, 26, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 136, 137, 138, 143, 145, 148, 149, 150, 151, 156, 158, 159, 161, 171], "7": [0, 16, 18, 20, 37, 48, 49, 50, 54, 55, 72, 74, 75, 94, 109, 110, 123, 125, 127, 132, 133, 170, 171], "would": [0, 11, 15, 43, 79, 88, 109, 125, 126, 156, 158], "rout": [0, 23, 42, 47, 109, 110, 123], "8": [0, 11, 14, 15, 16, 18, 20, 23, 36, 39, 41, 48, 49, 50, 54, 55, 57, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 101, 102, 103, 104, 106, 107, 108, 109, 110, 117, 120, 121, 122, 123, 125, 126, 127, 129, 132, 133, 137, 148, 149, 156, 158, 162], "15": [0, 18, 20, 47, 50, 74, 110, 125, 137], "1": [0, 4, 6, 7, 11, 14, 16, 18, 23, 24, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 97, 98, 99, 100, 101, 102, 103, 104, 106, 107, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 121, 122, 123, 125, 127, 129, 130, 132, 133, 135, 136, 137, 138, 144, 145, 148, 149, 150, 151, 156, 158, 159, 160, 161, 162, 170, 171], "etc": [0, 12, 20, 23, 29, 72, 107, 119, 151, 156], "rx": [0, 13, 14, 15, 16, 18, 20, 30, 47, 57, 62, 70, 71, 73, 75, 79, 80, 81, 82, 83, 84, 85, 87, 88, 89, 90, 91, 92, 94, 95, 97, 99, 101, 108, 110, 111, 112, 114, 115, 116, 118, 119, 127, 138, 143, 146, 156, 157, 159, 176], "again": [0, 16, 18, 79, 83, 87, 107, 134], "user": [0, 1, 5, 6, 7, 12, 18, 19, 20, 21, 23, 25, 26, 30, 31, 34, 37, 42, 43, 44, 46, 48, 49, 50, 55, 64, 66, 67, 70, 71, 73, 74, 75, 79, 80, 87, 88, 91, 100, 107, 109, 110, 117, 129, 133, 143, 156, 158, 161, 162, 166, 171], "perform": [0, 16, 30, 34, 37, 42, 44, 48, 50, 55, 88, 92, 120, 123, 125, 126, 127, 128, 137, 156, 170, 174], "router": [0, 175], "By": [0, 23, 73, 87, 127], "default": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 18, 19, 23, 24, 26, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 45, 49, 50, 51, 52, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 97, 98, 99, 101, 102, 103, 104, 105, 106, 107, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 121, 122, 125, 127, 129, 130, 132, 133, 136, 137, 142, 148, 149, 152, 154, 155, 156, 158, 160, 161, 162, 170], "ha": [0, 2, 3, 11, 12, 13, 14, 18, 19, 20, 22, 28, 30, 33, 34, 37, 38, 43, 44, 46, 53, 55, 57, 58, 67, 68, 69, 70, 72, 79, 80, 82, 87, 88, 91, 95, 96, 100, 107, 108, 109, 113, 120, 125, 126, 129, 134, 135, 139, 140, 141, 142, 144, 145, 148, 149, 150, 151, 152, 154, 155, 156, 158, 160, 162, 170], "portion": 0, "which": [0, 11, 12, 13, 15, 18, 20, 22, 23, 26, 28, 30, 31, 37, 42, 43, 44, 46, 55, 56, 60, 61, 63, 65, 67, 68, 69, 70, 72, 76, 78, 79, 80, 85, 87, 88, 91, 95, 96, 100, 105, 107, 109, 111, 116, 120, 125, 126, 127, 134, 135, 138, 139, 140, 141, 142, 144, 145, 148, 149, 150, 151, 152, 154, 155, 156, 157, 158, 159, 160, 161, 162, 166, 171, 174], "And": [0, 11, 57, 79, 88, 159], "state": [0, 18, 38, 55, 56, 65, 85, 87, 91, 102, 107, 109, 132, 137, 142, 144, 152, 154, 155, 156, 160, 161, 166, 170], "round": [0, 34, 59, 74, 80, 88, 108, 110, 174], "robin": [0, 74, 110, 174], "mode": [0, 7, 11, 16, 18, 19, 20, 23, 28, 31, 32, 33, 34, 35, 36, 38, 39, 40, 41, 45, 49, 50, 51, 52, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 121, 122, 123, 125, 126, 127, 129, 130, 131, 132, 133, 134, 136, 137, 148, 149, 156, 158, 171, 174], "contain": [0, 7, 11, 12, 16, 18, 19, 20, 21, 23, 24, 25, 30, 37, 42, 43, 44, 50, 55, 61, 66, 69, 73, 74, 79, 80, 82, 88, 89, 96, 98, 100, 102, 109, 111, 120, 122, 125, 127, 130, 133, 135, 137, 138, 139, 142, 143, 145, 148, 149, 150, 151, 152, 154, 155, 156, 158, 160, 161, 162, 166, 167, 168, 169, 170, 172, 173, 175, 179], "extern": [0, 13, 16, 18, 21, 24, 25, 48, 53, 55, 56, 91, 114, 123, 137, 164], "control": [0, 12, 14, 15, 16, 18, 20, 22, 25, 34, 43, 45, 62, 69, 70, 74, 81, 87, 107, 110, 123, 125, 127, 137, 138, 143, 156, 157, 160, 162, 174, 177], "These": [0, 11, 18, 19, 20, 22, 24, 26, 30, 42, 72, 73, 79, 80, 88, 96, 103, 107, 109, 120, 129, 135, 143, 145, 156], "make": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 17, 26, 30, 44, 50, 56, 80, 87, 96, 107, 109, 123, 125, 134, 143, 156], "easi": [0, 7, 13, 16, 22, 144, 148, 149, 160], "test": [0, 2, 3, 14, 21, 22, 33, 55, 56, 71, 79, 119, 129, 142, 145, 152, 154, 155, 162, 164], "oper": [0, 12, 14, 30, 80, 88, 109, 123, 125, 127, 134, 156, 170, 175], "measur": [0, 16, 21, 43, 49, 52, 54, 55, 156], "properti": [0, 12, 21, 103, 139, 150, 151], "throughput": [0, 1, 13, 16, 19, 20, 21, 25, 28, 42, 44, 46, 82, 87, 88, 95, 96, 135, 142, 152, 154, 155], "latenc": [0, 13, 19, 21, 23, 31, 34, 35, 36, 37, 42, 49, 54, 55, 71, 79, 88, 103, 107, 118, 148, 149, 164, 170, 171], "avalon": [0, 18, 20, 21, 23, 48, 55, 103], "mm": [0, 18, 21, 48, 103], "access": [0, 11, 12, 21, 22, 25, 37, 43, 48, 51, 55, 62, 64, 69, 71, 74, 87, 107, 125, 127, 128, 137, 156, 173, 174], "interfac": [0, 2, 3, 12, 14, 15, 16, 21, 23, 25, 32, 33, 36, 37, 42, 43, 44, 46, 48, 49, 50, 51, 53, 55, 57, 58, 59, 60, 62, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 75, 76, 77, 78, 79, 81, 82, 83, 86, 87, 88, 89, 90, 91, 92, 93, 97, 98, 99, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 114, 116, 118, 119, 122, 125, 126, 127, 129, 132, 133, 134, 135, 137, 138, 139, 142, 143, 145, 146, 150, 151, 152, 154, 155, 157, 158, 161, 162, 174, 177], "specif": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 12, 13, 14, 18, 20, 21, 22, 23, 28, 30, 37, 45, 46, 50, 52, 55, 57, 58, 60, 65, 69, 80, 87, 91, 123, 125, 126, 128, 129, 135, 143, 162, 166, 167, 168, 171, 172, 173, 174, 175], "run": [0, 7, 11, 12, 15, 16, 17, 19, 23, 30, 37, 42, 43, 55, 56, 65, 87, 97, 98, 101, 123, 138, 139, 142, 143, 150, 151, 152, 154, 155, 157, 158, 161, 162], "rpm": [0, 14], "packag": [0, 7, 12, 14, 17, 18, 20, 22, 30, 49, 50, 55, 123, 125, 128, 138, 139, 142, 146, 150, 151, 152, 154, 157, 158, 161], "python3": [0, 16, 49, 50, 55, 56], "nfb": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 13, 14, 16, 18, 20, 22, 24, 49, 50, 55], "requir": [0, 4, 5, 6, 7, 11, 13, 14, 15, 16, 17, 20, 23, 28, 30, 33, 34, 37, 43, 53, 79, 82, 88, 95, 108, 109, 113, 117, 118, 125, 126, 127, 134, 135, 138, 142, 145, 152, 154, 155, 156, 157, 161, 170, 171], "you": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 16, 18, 19, 20, 23, 26, 34, 35, 37, 42, 44, 45, 48, 49, 50, 55, 59, 87, 88, 91, 105, 107, 109, 110, 114, 125, 127, 138, 142, 145, 152, 154, 155, 156, 157, 161, 162, 164, 170], "obtain": [0, 11, 16, 48, 96, 128], "cesnet": [0, 7, 11, 12, 13, 169], "framework": [0, 4, 7, 10, 12, 13, 55, 156], "copr": 0, "repositori": [0, 11, 12, 13, 29, 30, 125, 127, 163, 164, 167, 168, 169, 172, 173, 175, 176, 177], "instal": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 12, 14, 17, 49, 50, 55], "data_logg": [0, 49, 50, 55], "python": [0, 7, 16, 17, 26, 49, 50, 55, 56], "sourc": [0, 1, 11, 12, 14, 18, 19, 20, 24, 30, 42, 47, 72, 74, 85, 86, 91, 95, 110, 112, 113, 115, 125, 127, 129, 137, 156, 159, 169, 170, 174, 177], "code": [0, 11, 28, 30, 33, 109, 145, 170, 179], "follow": [0, 7, 11, 14, 16, 18, 20, 30, 37, 43, 55, 58, 61, 64, 70, 71, 78, 79, 80, 81, 87, 88, 96, 100, 107, 108, 109, 110, 120, 123, 125, 126, 135, 138, 139, 142, 150, 151, 152, 154, 156, 157, 162], "command": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 14, 30, 48, 50, 56, 105, 123, 125, 127, 156, 161, 162], "cd": [0, 7, 49, 50, 55], "xxx_root_directori": [0, 14, 16, 22], "ofm": [0, 11, 12, 16, 29, 55, 125, 127, 128, 163, 164, 167, 168, 172, 173, 175, 176, 177], "comp": [0, 16, 29, 55, 56, 106, 148, 149, 156, 163, 164, 167, 168, 172, 173, 175, 176, 177], "debug": [0, 2, 3, 7, 16, 19, 22, 43, 44, 55, 65, 70, 71, 125, 156, 174], "sw": [0, 12, 16, 43, 56, 58, 59, 62, 64, 69, 71, 87, 122, 125, 127], "setup": [0, 26, 30, 42, 43, 49, 50, 55, 95, 161], "py": [0, 7, 16, 26, 49, 50, 55], "Then": [0, 11, 12, 20, 49, 53, 55, 80, 87, 91, 96, 107, 109, 135, 156, 158, 161], "go": [0, 12, 14, 107, 109, 156], "mem": [0, 18, 21, 50], "tool": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 12, 13, 14, 16, 18, 20, 22, 24, 30, 50, 125, 127, 137, 156, 162], "directori": [0, 11, 12, 14, 17, 29, 30, 137, 156, 163, 164, 167, 168, 172, 173, 175, 176, 177, 179], "mem_test": [0, 49, 55], "output": [0, 14, 16, 18, 19, 20, 23, 28, 31, 32, 33, 34, 35, 37, 38, 39, 41, 42, 43, 44, 45, 46, 50, 55, 56, 57, 60, 63, 64, 65, 66, 67, 68, 70, 71, 72, 76, 77, 78, 79, 80, 81, 82, 83, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 97, 100, 103, 105, 106, 107, 109, 110, 112, 113, 114, 116, 117, 118, 119, 122, 123, 125, 126, 127, 129, 130, 131, 133, 134, 136, 137, 145, 156, 166, 170, 172, 174], "wa": [0, 11, 20, 26, 37, 50, 55, 71, 79, 87, 88, 107, 109, 118, 125, 134, 156, 161, 162, 170, 172, 175], "success": [0, 18, 55, 128, 156], "mem_logg": [0, 49, 50, 54, 55], "statist": [0, 36, 37, 43, 49, 50, 55, 70, 71, 78, 107, 123, 125, 127, 156, 170, 174], "write": [0, 4, 5, 6, 11, 12, 13, 16, 22, 23, 30, 32, 33, 37, 39, 41, 42, 43, 44, 46, 47, 48, 49, 50, 51, 53, 54, 55, 56, 62, 68, 69, 70, 74, 87, 91, 98, 102, 103, 105, 107, 110, 123, 125, 127, 128, 132, 133, 134, 135, 137, 140, 141, 144, 145, 148, 149, 156, 158, 159, 160, 162, 166, 170, 171], "request": [0, 14, 16, 18, 19, 20, 22, 23, 25, 32, 34, 37, 47, 48, 49, 50, 51, 53, 54, 55, 58, 59, 60, 65, 69, 70, 71, 79, 87, 91, 97, 102, 103, 105, 107, 108, 110, 119, 125, 127, 128, 129, 132, 133, 134, 135, 137, 140, 141, 158, 162, 166, 174], "33554431": 0, "word": [0, 13, 18, 23, 32, 33, 34, 39, 41, 42, 44, 47, 48, 49, 53, 54, 55, 56, 57, 61, 63, 66, 72, 73, 74, 78, 79, 80, 81, 82, 83, 85, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 108, 110, 112, 113, 115, 118, 120, 121, 125, 126, 127, 129, 132, 133, 138, 142, 143, 144, 145, 148, 149, 152, 154, 155, 156, 157, 160, 162], "134217724": 0, "read": [0, 12, 13, 14, 16, 20, 22, 23, 32, 33, 36, 37, 39, 41, 42, 43, 44, 47, 48, 49, 50, 51, 53, 54, 55, 56, 60, 62, 67, 68, 69, 70, 79, 85, 87, 96, 98, 100, 102, 103, 105, 107, 108, 110, 118, 119, 122, 123, 125, 126, 127, 128, 132, 133, 134, 135, 137, 142, 143, 152, 154, 156, 158, 161, 162, 166, 170, 171], "flow": [0, 2, 3, 18, 20, 49, 55, 84, 87, 174], "160": 0, "78": [0, 16], "gb": [0, 55, 87], "": [0, 11, 12, 14, 18, 20, 23, 24, 26, 28, 30, 31, 34, 37, 42, 43, 44, 48, 55, 58, 59, 72, 74, 78, 79, 80, 85, 87, 88, 91, 95, 96, 100, 105, 107, 109, 123, 125, 135, 136, 145, 156, 158, 159, 169], "161": 0, "68": 0, "total": [0, 16, 23, 36, 37, 44, 55, 62, 64, 65, 68, 69, 71, 75, 96, 110, 125, 127], "23": [0, 18, 20, 47, 110, 125], "time": [0, 11, 12, 20, 25, 26, 30, 32, 34, 37, 38, 39, 41, 43, 44, 46, 50, 51, 52, 55, 79, 82, 85, 87, 88, 91, 97, 100, 108, 110, 115, 117, 125, 126, 134, 137, 145, 156, 161], "427": 0, "42": [0, 123], "m": [0, 34, 43, 55, 56, 114, 161, 170], "425": 0, "04": [0, 55], "852": 0, "46": 0, "min": [0, 50, 54, 55, 74, 76, 95, 110, 125, 139, 142, 150, 151, 152, 154, 155], "96": [0, 16, 72, 133], "00": [0, 14, 31, 55, 109, 133], "n": [0, 20, 30, 34, 37, 39, 40, 41, 55, 79, 85, 90, 91, 110, 114, 123, 139, 145, 150, 151, 156, 161, 170], "max": [0, 18, 23, 33, 39, 41, 50, 52, 54, 55, 57, 61, 63, 64, 65, 66, 67, 68, 70, 71, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 97, 98, 99, 101, 110, 111, 116, 117, 122, 125, 127, 129, 132, 137, 139, 142, 150, 151, 152, 154, 155, 156, 161, 162], "555": 0, "avg": [0, 55, 95], "131": 0, "56": 0, "histogram": [0, 49, 50, 54, 55, 164], "93": [0, 55], "117": [0, 55], "5": [0, 16, 20, 30, 44, 48, 50, 54, 55, 74, 79, 87, 95, 109, 110, 123, 125, 132, 148, 149, 160], "12613618": 0, "141": 0, "6": [0, 4, 7, 10, 16, 18, 20, 37, 47, 50, 54, 55, 74, 96, 109, 123, 125, 127, 133], "13893635": 0, "165": 0, "6618217": 0, "503": 0, "527": 0, "74899": 0, "551": 0, "265549": 0, "575": [0, 45], "88513": 0, "error": [0, 11, 18, 20, 26, 47, 55, 105, 107, 123, 125, 145, 156], "zero": [0, 37, 52, 55, 72, 74, 111, 125, 156, 160], "burst": [0, 18, 53, 54, 55, 103, 155, 156, 158], "count": [0, 18, 24, 34, 43, 44, 49, 50, 53, 54, 55, 76, 87, 95, 111, 119, 125, 127, 170, 174, 177], "simultan": [0, 34, 37, 55, 75, 96], "r": [0, 6, 7, 14, 17, 18, 22, 23, 53, 54, 55, 56, 87, 123, 125, 127, 135], "w": [0, 14, 18, 22, 53, 54, 55, 56, 87, 125, 127], "paralel": [0, 52], "13": [0, 50, 65, 66, 72, 130, 133], "10": [0, 13, 14, 18, 20, 21, 23, 25, 28, 31, 48, 50, 54, 55, 75, 85, 91, 107, 123, 125, 127, 133, 136, 137, 145, 156, 158, 160, 162, 166, 171, 174], "83": [0, 16, 123], "27238": 0, "12": [0, 18, 50, 54, 59, 60, 62, 69, 71, 72, 73, 80, 96, 98, 117, 123, 133], "4294967295": 0, "16": [0, 7, 11, 14, 18, 20, 23, 33, 47, 50, 55, 57, 58, 59, 60, 62, 64, 65, 66, 67, 68, 71, 72, 74, 80, 87, 94, 98, 99, 107, 110, 113, 118, 121, 125, 126, 133, 137, 145, 156, 162], "13345442": 0, "document": [0, 11, 14, 16, 19, 20, 26, 30, 33, 34, 42, 72, 80, 96, 97, 99, 135, 142, 152, 154, 155, 156, 157, 162, 166, 170, 174], "detail": [0, 7, 11, 14, 18, 19, 20, 28, 30, 41, 42, 44, 48, 95, 120, 123, 129, 135, 148, 149, 156, 166, 170, 174], "descript": [0, 7, 12, 18, 19, 20, 22, 23, 24, 25, 28, 31, 32, 33, 34, 35, 36, 38, 39, 40, 41, 42, 44, 45, 47, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 97, 98, 99, 101, 102, 103, 104, 105, 106, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 121, 122, 123, 125, 126, 127, 128, 129, 130, 131, 132, 133, 135, 136, 137, 139, 142, 145, 146, 150, 151, 152, 154, 155, 158, 159, 162, 164, 167, 168, 172, 173, 174, 175, 177], "assum": [0, 23, 156], "consist": [0, 12, 19, 25, 43, 65, 80, 87, 88, 90, 95, 100, 109, 120, 126, 134, 135, 156], "two": [0, 4, 11, 18, 19, 20, 23, 26, 30, 32, 33, 34, 42, 46, 59, 61, 72, 75, 76, 77, 79, 80, 82, 83, 84, 87, 88, 89, 90, 91, 92, 93, 96, 97, 100, 101, 102, 106, 107, 109, 110, 113, 115, 117, 120, 125, 126, 129, 134, 137, 138, 139, 142, 143, 144, 145, 148, 149, 150, 151, 152, 154, 155, 156, 157, 158, 160, 161, 162, 166, 171, 174], "0x0000000": [0, 20], "0x07fffff": 0, "regist": [0, 12, 14, 20, 22, 23, 25, 29, 31, 32, 34, 35, 37, 39, 41, 42, 43, 44, 46, 48, 50, 53, 54, 55, 56, 62, 64, 69, 71, 73, 74, 79, 82, 87, 89, 91, 97, 105, 106, 107, 109, 110, 112, 113, 114, 118, 122, 123, 126, 128, 130, 134, 136, 137, 156, 166, 170, 171, 174], "distribut": [0, 18, 20, 32, 40, 44, 107, 110, 120, 123, 139, 143, 150, 151, 161, 170], "reserv": [0, 22, 30, 74, 123, 125, 127, 128], "space": [0, 12, 16, 18, 20, 21, 23, 25, 30, 31, 32, 42, 43, 44, 46, 47, 53, 54, 55, 60, 62, 66, 73, 74, 81, 91, 100, 107, 109, 110, 125, 127, 132, 134, 135, 137, 142, 145, 152, 154, 155, 156, 160, 174], "0x0800000": 0, "0x0ffffff": 0, "0x1000000": [0, 12], "0x17fffff": 0, "0x1800000": 0, "0x1ffffff": 0, "final": [0, 11, 31, 75, 91, 96, 107, 109, 156, 162], "address": [0, 12, 16, 18, 20, 23, 37, 39, 41, 42, 43, 44, 47, 48, 53, 54, 55, 56, 59, 60, 62, 64, 66, 67, 68, 70, 73, 74, 81, 91, 95, 96, 100, 102, 103, 104, 107, 108, 110, 122, 125, 127, 132, 133, 137, 156, 158, 171, 174], "sum": [0, 43, 44, 50, 54, 80, 113], "core": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 16, 18, 22, 25, 30, 37, 64, 70, 71, 74, 123, 128, 134, 135], "0x02000000": [0, 22], "0x02800000": 0, "welcom": [1, 169], "The": [1, 7, 11, 12, 13, 14, 15, 16, 24, 25, 26, 28, 29, 31, 32, 33, 34, 35, 37, 38, 39, 41, 42, 43, 44, 46, 50, 51, 53, 55, 57, 58, 59, 60, 61, 63, 65, 66, 68, 69, 70, 71, 74, 75, 76, 78, 79, 80, 81, 85, 87, 88, 89, 91, 94, 95, 96, 97, 100, 102, 103, 105, 107, 108, 109, 110, 112, 115, 116, 120, 122, 123, 125, 126, 127, 128, 129, 130, 132, 133, 134, 135, 137, 138, 139, 142, 143, 145, 150, 151, 152, 154, 155, 156, 157, 158, 159, 162, 163, 164, 166, 170, 171, 172, 174, 175, 176, 177], "refer": [1, 2, 3, 11, 12, 14, 15, 16, 18, 20, 21, 103, 123, 143, 156], "develop": [1, 5, 6, 11, 14, 19, 25, 30, 156, 162, 169, 172, 175], "kit": [1, 3, 5, 6, 14, 25], "allow": [1, 7, 11, 14, 18, 19, 20, 22, 23, 25, 26, 31, 32, 34, 37, 39, 41, 42, 43, 44, 45, 46, 60, 62, 64, 69, 71, 73, 74, 77, 79, 88, 96, 97, 102, 112, 113, 120, 122, 123, 125, 126, 127, 132, 134, 143, 148, 149, 156, 164, 166, 170, 171], "quickli": [1, 16, 25, 142, 154, 155], "easili": [1, 12, 25, 61, 87, 106], "acceler": [1, 25, 165], "optim": [1, 19, 25, 26, 37, 82, 106, 110, 166, 170, 171, 174], "high": [1, 13, 15, 19, 25, 42, 44, 55, 74, 93, 96, 105, 110, 123, 125, 127, 137, 142, 143, 144, 152, 154, 159, 161, 170], "scalabl": [1, 25, 42], "support": [1, 11, 14, 15, 16, 19, 20, 21, 25, 30, 32, 34, 37, 39, 41, 47, 48, 56, 60, 70, 71, 72, 73, 74, 75, 85, 87, 88, 91, 96, 103, 108, 110, 125, 126, 127, 129, 133, 135, 137, 166, 171, 172, 175], "up": [1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 13, 15, 18, 19, 20, 23, 30, 34, 42, 59, 72, 80, 88, 100, 123, 125, 126, 127, 128, 139, 143, 145, 148, 149, 150, 156, 160, 161, 170], "400": [1, 13, 19, 25, 123, 125, 127], "gigabit": [1, 15, 25], "exampl": [1, 7, 11, 14, 15, 16, 17, 18, 20, 23, 25, 34, 35, 37, 43, 44, 46, 47, 49, 50, 55, 88, 91, 107, 110, 125, 127, 131, 137, 139, 142, 145, 150, 151, 152, 154, 155, 161, 164, 170], "memori": [1, 4, 5, 6, 13, 18, 20, 22, 23, 25, 29, 32, 33, 37, 40, 46, 47, 48, 49, 53, 56, 70, 73, 97, 99, 102, 103, 107, 121, 125, 134, 140, 141, 164, 166, 170, 174], "medusa": [1, 177], "part": [1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 14, 16, 18, 19, 20, 22, 23, 30, 37, 46, 64, 70, 72, 73, 74, 79, 96, 98, 107, 108, 109, 125, 126, 127, 134, 135, 137], "open": [1, 2, 3, 14, 19, 48, 55, 169], "get": [1, 12, 15, 18, 19, 20, 30, 37, 43, 55, 80, 84, 87, 107, 114, 128, 132, 142, 145, 152, 154, 155, 156, 158, 159], "includ": [1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 12, 13, 14, 16, 18, 19, 20, 21, 22, 23, 30, 32, 49, 55, 56, 75, 88, 123, 125, 127, 132, 156, 166, 177], "profession": [1, 19], "through": [1, 12, 13, 16, 18, 19, 20, 25, 30, 32, 43, 44, 79, 87, 91, 95, 96, 97, 98, 100, 105, 107, 110, 122, 125, 127, 128, 137, 138, 139, 150, 151, 155, 156, 157, 174], "our": [1, 14, 15, 19, 20, 37, 43, 96, 107, 109, 156], "partner": [1, 19, 20], "brnolog": [1, 19], "card": [2, 3, 4, 5, 6, 7, 8, 9, 10, 16, 17, 18, 20, 21, 22, 23, 25, 30, 47, 55], "inform": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 14, 16, 20, 23, 24, 37, 42, 48, 60, 66, 69, 71, 73, 75, 87, 88, 94, 97, 98, 99, 100, 103, 125, 129, 134, 135, 143, 152, 154, 155, 156, 157, 162, 174], "vendor": [2, 3, 4, 5, 6, 7, 8, 9, 10, 12, 23, 60, 128], "xilinx": [2, 3, 8, 9, 11, 13, 14, 20, 21, 23, 32, 33, 71, 86, 99, 106, 118, 121, 123, 125, 127, 129, 135, 166, 170, 171, 174], "name": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 14, 18, 20, 24, 26, 30, 37, 42, 47, 71, 72, 79, 80, 85, 90, 91, 94, 95, 96, 107, 110, 120, 125, 126, 127, 128, 137, 142, 145, 148, 149, 152, 154, 155, 156, 157, 158, 159, 160, 161], "port": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 16, 20, 23, 25, 30, 31, 32, 33, 34, 35, 36, 37, 38, 40, 45, 47, 53, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 97, 98, 99, 101, 102, 103, 104, 105, 106, 107, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 121, 122, 123, 126, 129, 130, 131, 132, 133, 135, 136, 137, 139, 140, 141, 144, 145, 146, 150, 151, 156, 158, 159, 166, 170, 171], "2x": [2, 3, 5, 6, 8, 9, 10, 54, 125], "qsfp28": [2, 3, 8, 9, 15], "pcie": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 14, 18, 19, 22, 25, 47, 57, 60, 64, 65, 66, 68, 70, 71, 96, 128, 132, 134], "conector": [2, 3, 4, 5, 6, 7, 8, 9, 10], "edg": [2, 3, 4, 5, 6, 7, 8, 9, 10, 28, 53, 55, 96, 170], "connector": [2, 3, 4, 5, 6, 7, 8, 9, 10, 23, 25], "websit": [2, 3, 4, 5, 6, 7, 8, 9, 10], "xcu200": 2, "fsgd2104": 2, "e": [2, 4, 5, 8, 10, 11, 13, 16, 17, 18, 20, 30, 37, 38, 43, 44, 68, 80, 87, 88, 91, 96, 103, 107, 108, 109, 120, 125, 126, 127, 129, 156, 162], "basic": [2, 12, 14, 16, 20, 21, 30, 50, 55, 56, 91, 110, 126, 142, 148, 149, 151, 152, 154, 155, 160], "same": [2, 11, 13, 14, 18, 20, 28, 30, 37, 38, 42, 44, 46, 51, 52, 54, 55, 56, 72, 73, 79, 81, 83, 87, 88, 91, 95, 96, 98, 100, 103, 107, 108, 109, 113, 118, 120, 125, 126, 127, 128, 133, 139, 144, 145, 148, 149, 150, 151, 156, 157, 158, 159, 162, 166, 170, 171, 174], "vu9p": 2, "hard": [2, 3, 4, 5, 6, 7, 8, 9, 10, 16, 20, 53, 55, 125, 127, 132], "cmac": [2, 3, 8, 9, 13, 20, 125, 127], "100g": [2, 3, 4, 5, 8, 9, 10, 26, 96], "usp": [2, 3, 8, 9, 23, 132], "gen3": [2, 3, 8, 9, 23, 71], "x16": [2, 3, 4, 5, 6, 7, 8, 9, 10, 23, 71], "app_root_directori": [2, 3, 4, 5, 6, 7, 8, 9], "config": [2, 3, 4, 5, 6, 7, 8, 9, 11, 74, 142, 146, 152, 154, 155, 156], "card_conf": [2, 3, 4, 5, 6, 7, 8, 9], "tcl": [2, 3, 4, 5, 6, 7, 8, 9, 12, 14, 22], "file": [2, 3, 4, 5, 6, 7, 8, 9, 12, 13, 14, 17, 18, 22, 26, 55, 143, 156, 162], "makefil": [2, 3, 4, 5, 6, 7, 8, 9, 10, 19, 23, 26], "target": [2, 3, 4, 5, 6, 7, 8, 9, 10, 13, 14, 20, 23, 25, 28, 31, 33, 37, 42, 44, 55, 71, 75, 84, 87, 88, 98, 101, 103, 104, 107, 108, 109, 127, 133], "valid": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 14, 18, 20, 24, 26, 32, 34, 39, 41, 42, 43, 46, 47, 51, 53, 57, 60, 66, 72, 75, 76, 77, 78, 79, 80, 82, 83, 84, 85, 87, 88, 90, 91, 93, 94, 95, 96, 97, 98, 100, 101, 103, 107, 108, 110, 112, 113, 114, 115, 117, 118, 119, 120, 123, 125, 127, 128, 129, 132, 133, 134, 137, 140, 141, 142, 144, 148, 149, 154, 156, 158, 159, 160, 170], "minim": [2, 3, 4, 5, 6, 7, 8, 9, 10, 18, 21, 50, 54, 55, 87, 125, 127], "mai": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 16, 18, 30, 32, 33, 34, 44, 46, 72, 79, 88, 96, 107, 114, 120, 125, 127, 132, 134, 135, 145, 156], "vari": [2, 3, 4, 5, 6, 7, 8, 9, 10, 14, 23, 37], "other": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 14, 16, 20, 23, 26, 31, 34, 36, 37, 41, 42, 44, 46, 50, 55, 65, 70, 72, 74, 76, 78, 79, 82, 83, 84, 86, 88, 89, 96, 98, 104, 107, 108, 109, 111, 120, 123, 125, 127, 133, 134, 136, 139, 142, 143, 145, 148, 149, 150, 152, 154, 155, 160, 161, 162], "100g2": [2, 3, 4, 5, 8, 9, 10], "2x100gbe": [2, 3, 8, 9], "100g0": [2, 3], "disabl": [2, 3, 14, 23, 24, 31, 33, 34, 50, 73, 74, 78, 81, 94, 106, 110, 114, 121, 123, 125, 127, 137, 156], "applic": [2, 3, 16, 19, 21, 22, 24, 25, 30, 87, 120, 129], "remain": [2, 3, 96, 97, 101, 126, 143, 159, 166], "experiment": [2, 3], "featur": [2, 3, 11, 12, 20, 24, 75, 96, 125, 171], "boot": [2, 3, 7, 8, 9, 10, 14, 22, 91], "NO": [2, 3, 5, 6, 26, 158], "jtag": [2, 3, 4, 5, 6], "buld": [2, 3], "describ": [2, 3, 11, 12, 14, 16, 18, 19, 20, 22, 24, 29, 30, 42, 72, 87, 96, 120, 123, 134, 135, 139, 142, 143, 150, 151, 152, 154, 155, 156, 159, 160, 162, 163, 164, 176, 177], "abov": [2, 3, 11, 20, 25, 30, 31, 42, 72, 80, 87, 88, 96, 109, 120, 135, 137, 139, 142, 150, 151, 154, 155, 159], "gener": [2, 3, 7, 11, 13, 14, 16, 17, 19, 20, 22, 23, 24, 25, 26, 28, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 43, 44, 45, 47, 57, 58, 60, 61, 62, 63, 64, 67, 71, 73, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 97, 98, 99, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 122, 126, 129, 130, 132, 133, 134, 135, 136, 137, 138, 139, 142, 143, 144, 145, 146, 150, 151, 152, 154, 155, 157, 158, 161, 162, 164, 170, 171, 172, 174], "bitstream": [2, 3, 4, 5, 6, 14], "vivado": [2, 3, 8, 9, 13, 14, 30, 136], "gui": [2, 3, 156], "usb": [2, 3, 4, 5, 6], "cabl": [2, 3, 4, 5, 6], "hardwar": [2, 3, 11, 30, 62, 64, 69, 70, 71], "manag": [2, 3, 20, 48, 51, 57, 59, 64, 67, 70, 135, 136, 177], "2022": [2, 3, 14], "manual": [2, 3, 16, 53, 55, 56, 87, 156], "To": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 14, 20, 37, 38, 42, 43, 44, 46, 53, 55, 58, 59, 80, 87, 88, 91, 100, 108, 109, 120, 125, 127, 134, 143, 144], "must": [2, 3, 4, 5, 6, 7, 8, 9, 10, 12, 13, 14, 18, 20, 22, 23, 24, 30, 32, 33, 34, 37, 39, 41, 42, 44, 48, 55, 72, 73, 74, 75, 80, 85, 91, 94, 95, 96, 97, 100, 101, 102, 103, 104, 105, 107, 109, 110, 113, 114, 115, 116, 117, 118, 119, 122, 125, 126, 127, 128, 132, 134, 135, 137, 139, 145, 148, 149, 150, 151, 156, 158, 159], "have": [2, 3, 4, 5, 6, 7, 8, 9, 10, 14, 16, 18, 20, 26, 30, 34, 37, 42, 43, 44, 50, 53, 55, 59, 73, 76, 79, 80, 88, 96, 100, 105, 107, 108, 109, 111, 120, 125, 126, 127, 134, 135, 142, 145, 152, 154, 156, 158, 159, 160, 161, 162, 170], "licens": [2, 3, 4, 5, 6, 7, 8, 9, 10, 13, 14, 125, 127], "been": [2, 3, 12, 30, 37, 38, 42, 43, 44, 57, 67, 76, 79, 82, 96, 120, 125, 126, 129, 134, 135, 142, 145, 152, 156, 158, 170], "properli": [2, 3, 12, 19, 125, 137, 156], "although": [2, 3, 30, 87, 96], "virtex": [3, 171], "ultrascal": [3, 13, 20, 21, 23, 30, 31, 32, 33, 34, 39, 41, 49, 52, 58, 59, 60, 64, 65, 66, 67, 68, 70, 71, 75, 79, 80, 81, 82, 84, 85, 88, 89, 90, 91, 94, 95, 97, 98, 99, 102, 110, 116, 118, 121, 125, 127, 129, 132, 133, 137, 166, 171, 176], "evalu": [3, 30, 80], "xcvu9p": [3, 9], "flgb2104": [3, 9], "1x": [4, 7], "qsfp": [4, 6, 7, 10, 11, 12, 15, 16, 20, 22, 25, 123], "dd": [4, 6, 7, 15], "agfb014r24b2e2v": 4, "tile": [4, 5, 6, 7, 10, 13, 16, 20, 23, 96, 125, 127, 135], "p": [4, 5, 6, 10, 16, 23, 55, 56, 96, 135, 169], "gen4": [4, 5, 10, 23], "2x100ge": [4, 5, 10], "25g8": [4, 5, 6, 7, 10], "8x25ge": [4, 5, 10, 20], "10g8": [4, 5, 6, 7, 10], "8x10ge": [4, 5, 10, 20], "ye": [4, 7, 8, 9, 10, 13, 158], "version": [4, 7, 10, 11, 12, 13, 14, 56, 87, 97, 127, 128, 171], "17": [4, 50, 133], "intel": [4, 7, 10, 11, 13, 14, 20, 21, 22, 23, 26, 32, 33, 48, 55, 86, 99, 103, 106, 118, 121, 125, 127, 129, 132, 133, 135, 146, 164, 166, 171, 174], "quartu": [4, 5, 6, 7, 10, 12, 13, 14, 30, 136], "prime": [4, 5, 6, 7, 10, 13, 14], "pro": [4, 5, 6, 7, 10, 13, 14], "befor": [4, 11, 18, 19, 20, 23, 30, 33, 36, 42, 43, 44, 47, 74, 79, 83, 88, 91, 95, 96, 100, 105, 107, 109, 118, 125, 127, 134, 135, 142, 145, 152, 154, 156, 158], "flash": [4, 5, 6, 22, 25, 48], "regular": [4, 11], "programm": 4, "after": [4, 5, 6, 12, 14, 16, 20, 26, 30, 31, 42, 43, 44, 48, 51, 55, 56, 57, 58, 67, 69, 70, 78, 79, 80, 83, 85, 87, 88, 91, 95, 103, 105, 107, 109, 125, 126, 134, 144, 145, 156, 157, 174], "complet": [4, 5, 6, 12, 14, 20, 23, 37, 42, 47, 56, 57, 70, 71, 78, 87, 96, 100, 129, 132, 133, 134, 156], "call": [4, 5, 6, 11, 12, 18, 20, 30, 49, 91, 96, 120, 154, 155, 156], "my_bitstream": [4, 5, 6], "sof": [4, 5, 6, 14, 18, 66, 75, 76, 77, 78, 79, 80, 83, 84, 85, 88, 90, 91, 94, 96, 98, 100, 117, 125, 126, 127, 129, 140, 141, 142, 148, 149, 154, 156, 157, 161], "script": [4, 5, 6, 11, 12, 14, 16, 26, 30, 49, 55, 56], "generate_j": 4, "sh": [4, 5, 6], "convert": [4, 5, 6, 11, 20, 21, 23, 46, 48, 103, 104, 108, 109, 117, 119, 125, 126, 127, 129, 134, 135, 136, 142, 146, 152, 154, 155, 170, 174], "jic": 4, "format": [4, 5, 6, 14, 16, 18, 20, 23, 47, 74, 80, 85, 91, 107, 110, 123, 125, 128, 135, 137, 156, 160], "On": [4, 5, 6, 14, 20, 44, 120, 126, 134, 135, 148, 149, 160], "host": [4, 5, 6, 13, 18, 23, 25, 48, 103, 132, 135], "pc": [4, 5, 6, 16, 20, 22, 23, 25, 125, 127, 132], "where": [4, 5, 6, 11, 12, 14, 15, 16, 18, 21, 30, 32, 34, 35, 46, 48, 58, 66, 70, 79, 80, 81, 87, 91, 95, 96, 100, 114, 122, 126, 127, 134, 137, 156, 158, 161, 162, 170], "write_j": 4, "power": [4, 5, 6, 32, 34, 55, 75, 76, 77, 79, 80, 83, 85, 88, 91, 94, 95, 97, 101, 102, 108, 110, 115, 117, 125, 127], "off": [4, 5, 6, 20, 80, 110, 123, 127, 158], "cycl": [4, 5, 6, 28, 31, 33, 34, 37, 42, 43, 44, 45, 50, 51, 54, 72, 79, 83, 87, 91, 96, 103, 107, 108, 110, 120, 122, 126, 134, 135, 137, 138, 144, 148, 149, 156, 157, 158, 161, 166, 170, 171, 172, 174, 175], "new": [4, 5, 6, 11, 14, 16, 18, 25, 42, 46, 51, 56, 59, 79, 80, 100, 107, 125, 134, 135, 137, 139, 142, 145, 150, 151, 152, 154, 155, 156, 162, 179], "load": [4, 5, 6, 7, 11, 12, 25, 30, 43, 46, 53, 55, 79, 87, 135, 156, 170], "procedur": [4, 5, 6, 11, 30], "dev": [5, 6, 55], "1sdx": [5, 6], "qsfp56": 5, "option": [5, 6, 7, 12, 19, 30, 31, 32, 33, 38, 44, 45, 55, 71, 74, 79, 80, 84, 85, 91, 94, 97, 99, 102, 103, 107, 112, 118, 121, 123, 125, 127, 130, 136, 145, 148, 149], "upi": 5, "guid": [5, 6, 20, 26, 48, 55, 156, 166, 171], "schemat": [5, 6], "1sd280pt2f55e1vg": [5, 30], "bellow": [5, 6], "generate_pof": [5, 6], "pof": [5, 6], "write_pof": [5, 6], "agi027r": 6, "mcio": 6, "agib027r29a1e2vr0": [6, 7], "f": [6, 7, 13, 16, 20, 55, 87, 125, 127], "400g": [6, 7, 96], "gen5": [6, 7, 23], "400g1": [6, 7], "1x400gbe": [6, 7], "200g2": [6, 7], "2x200gbe": [6, 7], "100g4": [6, 7, 9, 11], "4x100gbe": [6, 7, 9], "50g8": [6, 7], "8x50gbe": [6, 7], "40g2": [6, 7], "2x40gbe": [6, 7], "8x25gbe": [6, 7], "8x10gbe": [6, 7], "cooper": [7, 156], "hsi": 7, "agib027r29a1e2vr3": 7, "exist": [7, 12, 20, 22, 30, 80, 119, 142, 156], "multipl": [7, 11, 15, 16, 18, 19, 20, 23, 25, 30, 33, 34, 36, 37, 41, 42, 44, 46, 48, 52, 55, 65, 69, 70, 71, 75, 79, 80, 83, 88, 92, 96, 103, 107, 108, 109, 117, 120, 134, 135, 136, 137, 145, 156, 161, 166, 170, 171, 172, 175], "board_rev": [7, 14], "correct": [7, 14, 23, 32, 33, 39, 41, 43, 60, 102, 108, 125, 128, 129, 130, 132, 156, 159], "select": [7, 11, 12, 14, 15, 16, 18, 20, 25, 30, 32, 33, 39, 41, 42, 50, 53, 55, 56, 60, 73, 75, 89, 90, 91, 94, 97, 99, 102, 110, 111, 112, 116, 121, 125, 127, 129, 132, 137, 139, 150, 151, 156, 158, 166], "paramet": [7, 12, 16, 18, 19, 20, 23, 26, 30, 32, 39, 41, 45, 50, 55, 56, 60, 61, 63, 65, 68, 72, 79, 83, 86, 87, 88, 97, 99, 101, 102, 105, 107, 110, 115, 117, 126, 127, 129, 132, 138, 139, 142, 145, 148, 149, 150, 151, 152, 154, 155, 156, 157, 158, 159, 160, 162], "valu": [7, 12, 13, 14, 16, 18, 19, 23, 26, 30, 31, 32, 33, 34, 35, 36, 37, 39, 41, 42, 43, 45, 46, 47, 50, 51, 55, 60, 62, 64, 71, 72, 74, 76, 78, 79, 80, 82, 85, 86, 87, 88, 89, 91, 94, 95, 96, 97, 99, 103, 107, 108, 109, 110, 111, 116, 117, 119, 122, 125, 126, 127, 128, 129, 132, 133, 134, 137, 140, 141, 144, 145, 156, 158, 159, 161, 162, 170, 175], "first": [7, 11, 12, 14, 16, 18, 20, 23, 24, 26, 30, 32, 33, 34, 42, 43, 44, 47, 49, 53, 54, 55, 56, 70, 72, 78, 79, 80, 85, 87, 88, 91, 95, 96, 97, 107, 109, 120, 125, 126, 127, 129, 130, 131, 133, 140, 141, 142, 144, 145, 148, 149, 154, 156, 158, 159, 160, 162, 170, 174], "prototyp": 7, "second": [7, 11, 12, 18, 20, 24, 43, 47, 60, 79, 88, 96, 107, 109, 120, 126, 136, 137, 142, 144, 145, 154, 156, 159, 162, 166], "sever": [7, 16, 18, 19, 25, 30, 87, 117, 125, 127], "switchabl": 7, "loopback": [7, 14, 16, 19, 20, 73, 123, 172], "path": [7, 11, 13, 16, 30, 46, 56, 72, 84, 86, 107, 123, 135, 156, 174], "usual": [7, 30, 71, 107, 126, 156], "gen": [7, 16, 19, 55, 82, 127, 163, 172, 173], "loop": [7, 16, 19, 37, 87, 119, 156, 172], "switch": [7, 14, 16, 19, 42, 44, 82, 83, 87, 89, 115, 125, 156, 172], "gl": [7, 19, 22, 172], "A": [7, 11, 12, 13, 16, 18, 20, 22, 23, 32, 33, 37, 41, 42, 43, 46, 51, 65, 72, 79, 85, 96, 126, 134, 142, 145, 151, 152, 154, 155, 156, 158, 177], "simplifi": [7, 23, 25, 79, 96, 120, 123, 126, 139, 142, 150, 151, 152, 154, 155, 156], "capabl": [7, 12, 23, 33, 81, 128], "found": [7, 11, 12, 14, 22, 28, 49, 66, 78, 96, 125, 156, 166, 170, 174], "prerequisit": [7, 30], "linux": [7, 13, 14], "server": 7, "driver": [7, 12, 13, 14, 55, 62, 138, 139, 140, 141, 144, 146, 150, 151, 157, 158, 161], "mi_debug": 7, "warn": [7, 34, 42, 88, 95, 156], "bottom": [7, 80], "readm": [7, 13, 14], "pytest": 7, "pip3": 7, "depend": [7, 13, 18, 20, 21, 30, 37, 42, 44, 46, 59, 87, 88, 99, 108, 109, 118, 120, 121, 125, 134, 135, 140, 141, 144, 148, 149, 156, 158, 160], "html": [7, 156], "themselv": [7, 37], "written": [7, 32, 33, 34, 39, 41, 54, 56, 67, 68, 79, 96, 98, 105, 107, 125, 156, 158, 179], "possibl": [7, 11, 12, 13, 16, 18, 26, 37, 41, 42, 43, 44, 50, 71, 72, 79, 81, 92, 95, 103, 105, 107, 109, 117, 125, 127, 134, 142, 145, 152, 154, 155, 156, 162, 166], "test_pci": 7, "self": [7, 123], "bt": 7, "whole": [7, 18, 20, 22, 35, 38, 43, 53, 54, 55, 57, 61, 63, 66, 80, 87, 88, 96, 108, 118, 120, 125, 127, 132, 137, 139, 143, 148, 149, 150, 151, 156, 160], "take": [7, 11, 12, 15, 18, 20, 33, 42, 44, 79, 84, 87, 95, 107, 108, 109, 120, 123, 126, 135, 140, 141, 143, 144, 156], "approxim": 7, "14": [7, 50, 69, 70, 71, 75, 80, 94], "minut": 7, "displai": [7, 18, 20, 79, 145], "result": [7, 20, 31, 37, 42, 43, 55, 87, 94, 96, 123, 134, 145, 156, 174], "remov": [7, 12, 13, 20, 34, 36, 38, 44, 73, 88, 100, 125, 170, 174], "sudo": [7, 14, 55], "modprob": 7, "add": [7, 11, 18, 20, 35, 37, 46, 50, 72, 88, 137, 143, 156, 158, 162, 170], "flag": [7, 18, 20, 23, 24, 30, 33, 34, 47, 50, 56, 75, 76, 77, 78, 87, 93, 101, 112, 123, 125, 127, 128, 129], "xcku15p": 8, "ffve1760": 8, "variant": [9, 20, 23, 28, 82, 125, 127, 129, 163, 170, 171], "fb2cgg3": 9, "4x": 9, "agfb014r24a2e2v": 10, "18": [10, 43], "pacsign": 10, "three": [11, 20, 21, 82, 96, 98, 107, 110, 120, 138, 142, 145, 148, 149, 152, 154, 155, 156, 158, 160, 161, 162], "abrevi": 11, "via": [11, 16, 18, 20, 22, 23, 25, 30, 50, 53, 55, 87, 91, 96, 120, 123, 137, 174, 177], "link": [11, 12, 14, 16, 18, 20, 23, 72, 123, 125, 127, 148, 149], "core_root_directori": 11, "some": [11, 14, 16, 20, 23, 25, 30, 34, 37, 38, 44, 45, 46, 55, 69, 70, 74, 79, 87, 88, 96, 107, 109, 120, 123, 125, 135, 139, 150, 151, 156, 161, 170, 174], "info": [11, 14, 20, 35, 42, 98, 125, 156], "its": [11, 12, 13, 14, 16, 18, 20, 22, 23, 26, 30, 38, 42, 44, 46, 48, 51, 55, 58, 65, 69, 70, 71, 79, 80, 87, 91, 95, 96, 100, 107, 109, 126, 134, 135, 139, 145, 150, 151, 152, 155, 156, 159, 162], "own": [11, 13, 16, 18, 20, 23, 42, 44, 58, 69, 73, 80, 91, 114, 126, 134, 135, 139, 140, 141, 145, 148, 149, 150, 151, 156, 160], "hierarchi": 11, "shown": [11, 18, 19, 20, 23, 26, 96, 107, 109, 120, 126, 135, 156], "section": [11, 18, 20, 42, 48, 87, 94, 103, 120, 123, 156, 162], "page": [11, 72, 96, 120, 156, 165], "order": [11, 26, 30, 33, 34, 36, 37, 42, 44, 46, 47, 48, 55, 67, 72, 87, 88, 91, 94, 107, 113, 115, 119, 123, 126, 133, 134, 145, 155, 156, 174], "place": [11, 34, 44, 55, 88, 120, 123, 125, 126, 156, 160, 174], "core_boostrap": 11, "list": [11, 13, 16, 20, 23, 26, 28, 56, 139, 150, 151, 156, 162], "chang": [11, 12, 13, 14, 26, 39, 42, 43, 53, 74, 79, 84, 87, 88, 92, 107, 108, 109, 110, 125, 126, 127, 129, 132, 135, 142, 144, 145, 152, 154, 155, 156, 161, 162], "comment": [11, 12, 156], "mean": [11, 18, 20, 23, 42, 43, 47, 57, 72, 79, 80, 87, 88, 96, 105, 107, 108, 109, 118, 120, 137, 156, 157, 158, 162, 170], "becaus": [11, 12, 20, 26, 30, 37, 44, 45, 55, 57, 63, 79, 88, 100, 107, 109, 126, 139, 150, 151, 156, 159], "underli": 11, "platform": [11, 12, 14, 15, 16, 20, 25], "g": [11, 17, 18, 30, 37, 38, 43, 44, 88, 91, 103, 108, 126, 162], "mani": [11, 21, 43, 47, 50, 99, 107, 109, 121, 135, 143, 156, 170], "combin": [11, 18, 37, 107, 109, 114, 127, 136, 162], "howev": [11, 12, 13, 16, 20, 33, 37, 43, 55, 79, 80, 96, 107, 117, 126, 151, 156], "find": [11, 14, 18, 23, 55, 142, 152, 154, 155, 156], "unsupport": [11, 23], "caus": [11, 42, 46, 88, 107, 125, 126, 127], "dure": [11, 26, 30, 43, 51, 55, 72, 79, 80, 87, 120, 127, 156], "synthesi": [11, 14, 170], "should": [11, 12, 19, 20, 30, 33, 42, 44, 45, 48, 49, 50, 51, 54, 55, 56, 60, 72, 83, 87, 95, 100, 107, 109, 125, 137, 145, 156, 158, 179], "deliber": 11, "thei": [11, 12, 16, 18, 20, 42, 44, 46, 61, 78, 79, 80, 91, 95, 96, 107, 109, 113, 114, 134, 142, 143, 145, 152, 154, 155, 156, 159, 170, 174], "purpos": [11, 16, 20, 23, 30, 44, 55, 61, 78, 96, 126, 128, 129, 133, 134, 135, 143, 145, 156, 161, 162, 170], "specifi": [11, 12, 30, 31, 37, 43, 44, 47, 49, 51, 63, 75, 76, 77, 79, 80, 94, 96, 100, 109, 120, 125, 140, 141, 145, 156, 160, 161, 179], "visibl": [11, 79], "chosen": [11, 33, 66, 87], "when": [11, 12, 16, 18, 20, 26, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 41, 42, 43, 44, 45, 46, 50, 51, 53, 55, 57, 60, 65, 69, 72, 73, 77, 78, 79, 80, 81, 82, 83, 84, 85, 87, 88, 91, 93, 95, 96, 98, 99, 100, 102, 103, 107, 108, 109, 111, 114, 115, 118, 119, 120, 121, 125, 126, 127, 128, 134, 135, 139, 140, 141, 142, 143, 144, 145, 148, 149, 150, 151, 154, 156, 158, 159, 160, 161, 170], "anoth": [11, 12, 15, 30, 43, 44, 46, 55, 80, 88, 91, 96, 100, 107, 109, 126, 137, 145, 152, 154, 156, 162, 171, 174], "condition": 11, "assign": [11, 18, 26, 42, 44, 109, 125, 134, 135, 159, 174], "locat": [11, 20, 29, 30, 80, 156, 162, 163, 164, 166, 167, 168, 171, 172, 173, 175, 176, 177], "correspond": [11, 13, 15, 16, 18, 19, 20, 34, 42, 46, 80, 87, 91, 96, 123, 126, 134, 135, 159], "condit": [11, 23, 117, 125, 126, 156], "set": [11, 13, 14, 16, 18, 20, 23, 26, 30, 31, 32, 33, 34, 35, 36, 37, 38, 42, 43, 44, 45, 46, 47, 48, 50, 51, 53, 55, 56, 57, 58, 59, 60, 61, 63, 69, 70, 71, 72, 73, 74, 77, 79, 81, 82, 83, 84, 87, 91, 94, 95, 96, 97, 100, 101, 105, 106, 107, 110, 117, 118, 119, 120, 125, 126, 127, 128, 129, 134, 135, 137, 138, 139, 140, 141, 142, 143, 144, 145, 150, 151, 152, 154, 155, 156, 157, 158, 159, 161, 162, 166, 170, 171], "pcie_lan": [11, 23], "pcie_endpoint": [11, 18, 23], "pcie_endpoint_mod": [11, 23], "third": [11, 18, 20, 47, 60, 96, 107, 109, 156, 162], "statement": 11, "check": [11, 20, 26, 33, 44, 48, 79, 83, 88, 105, 107, 125, 127, 134, 135, 148, 149, 156, 158, 159, 174], "compat": [11, 12, 14, 37, 55, 56, 86, 174], "incompat": 11, "detect": [11, 16, 28, 37, 42, 53, 55, 87, 123, 134, 137, 166, 170, 174], "shell": 11, "rais": [11, 88], "stop": [11, 18, 44, 59, 60, 67, 69, 70, 74, 87, 100, 126, 156], "compil": [11, 12, 19, 23, 30, 156], "freeli": 11, "necessari": [11, 12, 13, 18, 23, 30, 31, 107, 120, 125, 127, 145, 156, 162], "variabl": [11, 37, 55, 138, 139, 142, 150, 151, 152, 154, 155, 156, 157, 158, 159, 161, 170], "environ": [11, 30, 33, 138, 139, 144, 146, 150, 151, 157, 162, 179], "lowest": [11, 37, 48, 71, 72, 73, 74, 96, 108, 109, 120], "prioriti": [11, 37, 51, 87], "ones": [11, 72, 109, 126, 131, 156, 161, 162, 170], "highest": [11, 41, 74, 96, 109, 120, 137, 156], "last": [11, 20, 26, 30, 34, 44, 47, 49, 54, 72, 78, 79, 80, 87, 96, 100, 105, 107, 109, 126, 129, 130, 131, 133, 134, 139, 140, 141, 143, 144, 148, 149, 150, 151, 156, 159, 160, 161, 162, 166, 170], "often": [11, 21, 30, 33, 34, 96, 107, 120, 126, 156], "mechan": [11, 12, 28, 30, 42, 72, 120, 128, 156, 161], "affect": [11, 32, 42, 62, 64, 71, 84, 85], "As": [11, 18, 20, 96, 107, 109, 125, 127, 143], "system": [11, 14, 24, 48, 65, 128], "modular": [11, 125, 127], "hierarch": 11, "organ": [11, 96], "archgrp": [11, 30], "across": [11, 43, 79], "parent": [11, 12, 128, 142, 145, 152, 154, 155, 156], "adjust": [11, 35, 79, 95, 156], "descend": 11, "core_archgrp": 11, "associ": [11, 13, 23, 82, 89, 109, 129, 156], "arrai": [11, 46, 93, 134, 142, 146, 152, 154, 156, 159, 162, 170, 171], "initi": [11, 22, 50, 62, 156], "common": [11, 30, 31, 41, 42, 49, 50, 55, 72, 79, 82, 89, 103, 105, 106, 109, 114, 123, 146, 179], "inc": [11, 30, 156], "clariti": 11, "declar": [11, 26, 30, 72, 88, 96, 120], "entiti": [11, 18, 30, 31, 32, 33, 34, 35, 36, 38, 39, 40, 41, 45, 49, 50, 51, 52, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 97, 98, 99, 101, 102, 103, 104, 105, 106, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 121, 122, 123, 125, 126, 127, 129, 130, 131, 132, 133, 136, 137, 145], "back": [11, 16, 19, 20, 23, 30, 44, 87, 91, 95, 100, 156, 159], "dynam": [11, 13, 20, 26, 30, 134, 135], "combo_user_const": 11, "were": [11, 33, 79, 107, 125, 127, 145, 156], "previous": [11, 44, 88, 107, 118, 126, 134, 135], "vhdlpkggen": 11, "folder": [11, 14, 30, 50, 55, 56, 162, 166, 171, 174], "vhdlpkgstring": 11, "fancy_str": 11, "vhdlpkgint": 11, "some_integ": 11, "vhdlpkgbool": 11, "some_boolean": 11, "important_boolean": 11, "true": [11, 30, 31, 32, 34, 35, 39, 41, 42, 50, 51, 71, 73, 74, 78, 79, 81, 82, 83, 86, 89, 91, 93, 95, 97, 98, 102, 109, 110, 111, 112, 114, 115, 118, 119, 122, 125, 127, 132, 137, 156], "vhdlpkghexvector": 11, "large_vector": 11, "64": [11, 16, 18, 23, 24, 32, 33, 35, 36, 37, 40, 41, 43, 49, 55, 58, 59, 60, 62, 64, 69, 70, 71, 72, 74, 83, 85, 88, 91, 95, 97, 98, 99, 104, 111, 116, 118, 121, 125, 133, 136, 139, 150, 151], "abcdef0123456789": 11, "recommend": [11, 14, 16, 18, 20, 30, 31, 33, 107, 143, 156], "structur": [11, 12, 30, 156, 162, 166, 170, 171], "similar": [11, 68, 107, 123, 126, 162, 166], "relev": 11, "those": [11, 30, 37, 71, 96, 107, 125, 126, 127, 139, 140, 141, 150, 156, 159], "mostli": [11, 30, 96], "ti": [11, 125], "like": [11, 15, 16, 20, 30, 37, 50, 74, 96, 107, 109, 126, 128, 135, 142, 151, 152, 154, 155, 156, 158, 162], "differ": [11, 15, 19, 20, 21, 30, 31, 37, 42, 43, 46, 50, 55, 68, 72, 87, 88, 95, 107, 108, 110, 113, 117, 125, 126, 127, 129, 134, 135, 137, 139, 143, 145, 150, 151, 156, 158, 159, 162, 163], "higher": [11, 13, 42, 74, 79, 107, 109, 117, 126, 137, 139, 150, 151, 156, 170], "ensur": [11, 12, 18, 75, 87, 102, 135], "done": [11, 18, 37, 42, 43, 50, 55, 69, 80, 88, 108, 116, 125, 126, 134, 135, 145, 156, 161], "here": [11, 12, 14, 20, 26, 28, 34, 37, 42, 43, 44, 46, 79, 91, 96, 108, 125, 126, 148, 149, 162, 164, 166, 170, 174], "consid": [11, 12, 16, 20, 42, 44, 73, 74, 96, 109, 119, 120, 156], "transceiv": [11, 12, 20, 123], "most": [11, 23, 30, 33, 44, 56, 79, 87, 88, 96, 108, 109, 114, 120, 125, 156, 162], "either": [11, 30, 53, 55, 57, 76, 79, 80, 87, 88, 96, 107], "vhd": [11, 12, 22, 30, 55, 156], "too": [11, 42, 95, 156], "stage": [11, 30, 44, 80, 90, 100, 106], "major": [11, 13, 96, 107, 126, 139, 145, 150, 151, 161], "variou": [11, 13, 71, 125, 126, 127, 128, 156, 166], "built": [11, 14, 112], "There": [11, 12, 16, 18, 20, 23, 26, 30, 32, 33, 37, 48, 50, 55, 63, 72, 82, 85, 87, 91, 92, 107, 109, 112, 114, 125, 126, 127, 135, 140, 141, 142, 143, 144, 145, 148, 149, 154, 155, 156, 157, 158, 159, 160, 162, 170], "veri": [11, 21, 30, 42, 88, 91, 107, 142, 152, 154, 155, 156], "card_archgrp": 11, "card_root_directori": 11, "src": [11, 22, 74, 110, 119, 156], "concaten": [11, 80, 113], "share": [11, 30, 72, 88, 93, 170], "both": [11, 13, 18, 20, 26, 31, 32, 33, 37, 38, 42, 44, 49, 51, 71, 73, 81, 87, 88, 96, 100, 102, 103, 107, 108, 109, 113, 118, 120, 123, 126, 133, 135, 136, 142, 152, 154, 155, 156, 158, 159], "hand": [11, 96, 120, 134, 135], "subcompon": [11, 12, 20, 22, 30, 73, 127, 156], "application_cor": [11, 18], "choos": [11, 31, 33, 87, 109, 142, 144, 152, 154, 155], "sometim": [11, 20, 30, 43, 44, 126, 156], "launch": [11, 30], "less": [11, 32, 33, 75, 107, 109, 125, 127, 171], "constrain": 11, "about": [11, 12, 14, 18, 20, 23, 30, 37, 42, 48, 49, 50, 60, 66, 97, 98, 99, 100, 109, 125, 129, 143, 156], "visit": [11, 156], "instruct": [11, 14, 19, 20, 30, 42, 58, 59, 73, 95], "_const": 11, "_conf": 11, "throughout": [11, 18, 22], "issu": [11, 37, 67, 91, 107, 156], "goe": [11, 20, 30, 109, 126], "dma_typ": [11, 14, 19], "architectur": [11, 14, 19, 20, 21, 23, 30, 33, 34, 37, 74, 82, 99, 118, 121, 134, 156, 166, 171], "logic": [11, 18, 19, 23, 29, 31, 34, 37, 44, 53, 55, 62, 64, 67, 70, 71, 72, 81, 84, 88, 95, 100, 102, 109, 112, 114, 119, 123, 127, 134, 137, 138, 142, 143, 145, 146, 148, 149, 152, 154, 156, 157, 158, 159, 161, 166, 174], "app_archgrp": 11, "well": [11, 12, 20, 21, 30, 35, 42, 44, 67, 70, 71, 73, 78, 96, 100, 107, 108, 109, 156], "recip": 11, "achiev": [11, 20, 31, 42, 115, 156], "goal": 11, "stai": [11, 50, 74, 79, 83, 87, 96, 100, 107, 109, 110], "application_core_entity_onli": 11, "fals": [11, 23, 30, 33, 34, 35, 39, 41, 42, 50, 51, 55, 64, 73, 79, 81, 82, 86, 91, 93, 94, 95, 98, 106, 109, 110, 111, 113, 114, 118, 119, 121, 125, 127, 129, 130, 137], "custom": [11, 12, 50, 158, 162], "code_archgrp": 11, "my_param_1": 11, "my_param_2": 11, "thu": [11, 23, 42, 43, 44, 48, 88, 96, 103], "my_param": 11, "propag": [11, 30, 37, 39, 41, 42, 43, 44, 46, 71, 80, 84, 94, 95, 100, 108, 134, 135], "fpga_common": [11, 12], "notic": [11, 79, 107, 126], "alreadi": [11, 12, 14, 30, 44, 67, 79, 126, 135], "element": [11, 29, 165], "snippet": 11, "lappend": [11, 30, 156], "entity_name_1": 11, "path_to_entity_1": 11, "full": [11, 16, 30, 33, 34, 44, 55, 82, 85, 87, 88, 91, 100, 101, 135, 156, 166, 174], "field": [11, 42, 80, 87, 125, 129, 139, 150, 151, 169], "entity_name_2": 11, "path_to_entity_2": 11, "archgrp_arr": 11, "thing": [11, 16, 79, 80, 91, 96, 100, 156, 161], "elseif": [11, 30], "overwritten": [11, 30, 87, 95, 100], "commentari": 11, "especi": [11, 12, 16], "els": [11, 31, 107, 109, 110, 127, 142, 145, 151, 154, 155, 156], "avoid": [11, 18, 20, 37, 44, 48, 79, 91, 105], "crash": 11, "unsuccess": 11, "iclud": 11, "pcie_gen": 11, "dma_rx_blocking_mod": 11, "directli": [11, 12, 16, 20, 23, 30, 39, 41, 44, 46, 49, 79, 87, 103, 109, 123, 137, 156], "requier": 11, "belong": [11, 20, 96, 109], "adher": [11, 57, 126, 156], "respect": [11, 30, 33, 37, 48, 66, 79, 88, 107, 109, 120, 126], "suggest": 11, "regard": [11, 42], "miss": 11, "unansw": 11, "question": 11, "valekv": 11, "cz": [11, 12], "we": [12, 14, 15, 16, 18, 20, 23, 37, 40, 43, 46, 88, 100, 107, 109, 156], "content": [12, 51, 60, 63, 66, 122, 125, 126, 127, 159, 171], "util": [12, 14, 20, 43, 107], "softwar": [12, 13, 18, 20, 22, 48, 55, 64, 67, 70, 71, 87, 107, 123, 125, 127, 128, 137], "certain": [12, 43, 79, 109, 174], "extent": 12, "commun": [12, 18, 19, 21, 22, 23, 25, 54, 96, 120, 132, 135, 138, 148, 149, 156, 157, 158, 177], "hw": [12, 16, 58, 59, 60], "close": [12, 19, 177], "insid": [12, 16, 23, 30, 42, 46, 51, 53, 55, 56, 80, 96, 100, 120, 125, 126, 127, 145, 156, 174], "translat": [12, 22, 30, 47], "devtre": [12, 22, 30, 55], "insert": [12, 18, 20, 30, 58, 59, 73, 80, 81, 84, 94, 95, 123, 125, 127, 135, 162], "form": [12, 15, 20, 30, 31, 50, 79, 87, 88, 92, 96, 109, 123, 126, 128], "current": [12, 13, 19, 20, 21, 30, 32, 34, 39, 42, 44, 47, 53, 55, 56, 57, 60, 66, 67, 70, 78, 79, 80, 82, 83, 85, 87, 88, 91, 96, 97, 98, 100, 103, 105, 107, 120, 125, 126, 127, 132, 134, 135, 143, 156, 158, 171], "revis": [12, 14], "author": [12, 14], "function": [12, 20, 23, 30, 31, 33, 47, 48, 71, 85, 95, 123, 126, 132, 133, 139, 142, 144, 145, 150, 151, 152, 154, 155, 158, 159, 161], "dts_build_project": 12, "dts_build_netcop": 12, "project": [12, 14, 17, 30, 107], "typic": [12, 13, 15, 18, 29, 30, 47, 128, 156, 163, 164, 167, 168, 172, 173, 175, 176, 177], "eg": [12, 118], "type": [12, 15, 18, 20, 21, 23, 26, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 45, 47, 49, 50, 51, 52, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 101, 102, 103, 104, 105, 106, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 125, 126, 127, 129, 130, 131, 132, 133, 135, 136, 137, 139, 142, 143, 144, 145, 148, 149, 150, 151, 152, 154, 155, 156, 160, 166, 171], "itself": [12, 20, 30, 37, 44, 71, 134], "instanc": [12, 20, 23, 30, 34, 55, 143, 156, 159, 162], "dts_boot_control": 12, "dts_dma_modul": 12, "dts_applic": 12, "pass": [12, 16, 20, 30, 42, 43, 44, 46, 91, 123, 125, 134, 156, 174], "vhdl": [12, 22, 23, 30, 37, 128], "creat": [12, 13, 14, 17, 18, 20, 30, 42, 58, 62, 73, 80, 82, 88, 89, 96, 106, 120, 135, 139, 142, 143, 145, 148, 149, 150, 151, 152, 154, 155, 158, 159, 160, 162, 170], "std_logic_vector": [12, 18, 23, 31, 32, 33, 34, 35, 36, 38, 39, 40, 41, 45, 49, 50, 51, 52, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 97, 98, 99, 101, 102, 103, 104, 105, 106, 107, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 121, 122, 123, 125, 126, 127, 129, 130, 131, 132, 133, 136, 137], "dtb_data": 12, "binari": [12, 30, 43, 96, 109, 170], "represent": [12, 33, 79], "dtc": [12, 14], "compress": [12, 128], "xz": [12, 128], "input": [12, 18, 19, 20, 30, 31, 33, 34, 35, 36, 37, 38, 39, 41, 42, 44, 45, 46, 50, 51, 57, 60, 62, 63, 64, 65, 67, 68, 69, 70, 71, 72, 73, 75, 76, 77, 78, 79, 81, 82, 83, 84, 85, 86, 87, 88, 92, 93, 94, 95, 98, 103, 105, 106, 109, 110, 112, 113, 114, 115, 116, 117, 119, 122, 125, 126, 127, 131, 133, 135, 136, 137, 143, 145, 166, 170, 174], "pci_ext_cap": [12, 23, 177], "next": [12, 30, 37, 43, 44, 55, 78, 79, 80, 82, 85, 87, 95, 96, 100, 107, 109, 115, 119, 120, 126, 128, 143, 148, 149, 156, 161], "constant": [12, 16, 45, 58, 71, 80, 87, 91, 96, 103, 109, 120, 125, 127, 170], "cannot": [12, 34, 37, 44, 79, 88, 100, 127, 135, 143, 156, 158, 161, 170], "user_const": [12, 30], "need": [12, 26, 30, 34, 37, 43, 44, 45, 47, 49, 50, 55, 56, 63, 69, 79, 87, 88, 96, 109, 119, 126, 127, 128, 135, 143, 156, 170, 174], "blob": [12, 128], "pci": [12, 14, 23, 47, 67, 70, 71, 107, 128, 129, 131], "extens": [12, 23, 30, 128, 158], "vsec": [12, 23, 128], "extend": [12, 23, 75, 79, 80, 95, 123, 128, 142, 145, 152, 154, 155, 156, 170], "rel": [12, 30], "small": [12, 44, 80, 96, 126, 145, 156, 171, 174], "few": [12, 26, 30, 32, 33, 34, 55, 80, 87, 88, 97, 122, 162], "entir": [12, 16, 18, 20, 22, 30, 42, 47, 126, 135], "store": [12, 16, 30, 32, 33, 34, 37, 42, 43, 44, 46, 51, 58, 68, 70, 79, 85, 97, 100, 107, 125, 127, 128, 134, 135, 140, 141, 156, 170, 174], "bram": [12, 32, 33, 51, 68, 97, 99, 100, 102, 118, 121, 122, 166, 171], "mi": [12, 16, 18, 19, 20, 23, 25, 43, 48, 49, 62, 64, 69, 70, 71, 73, 74, 81, 87, 91, 103, 104, 110, 123, 125, 127, 137, 146, 156, 174, 177], "accident": 12, "design": [12, 14, 18, 20, 22, 26, 33, 37, 38, 41, 58, 62, 66, 70, 71, 72, 96, 120, 123, 126, 135, 156, 174], "nfb0": [12, 55], "ref_nam": 12, "popul": 12, "empti": [12, 30, 33, 34, 44, 96, 140, 141, 161, 166], "my_comp": 12, "dts_my_comp": 12, "reg": [12, 50, 79, 86, 106, 134], "indic": [12, 26, 32, 55, 66, 78, 80, 87, 96, 105, 107, 109, 120, 129, 136, 156], "intern": [12, 16, 18, 19, 34, 37, 42, 44, 68, 69, 70, 71, 73, 81, 87, 91, 96, 100, 112, 120, 126, 142, 152, 154, 156], "size": [12, 16, 18, 23, 33, 35, 36, 42, 43, 44, 47, 58, 59, 60, 62, 64, 66, 69, 70, 71, 73, 75, 76, 80, 81, 82, 84, 85, 86, 87, 88, 89, 91, 92, 94, 95, 98, 99, 125, 127, 128, 130, 132, 139, 142, 145, 150, 151, 152, 154, 156, 158, 161, 162, 174], "submodul": [12, 125, 127, 177], "bound": 12, "string": [12, 18, 23, 30, 31, 32, 33, 34, 36, 39, 40, 41, 49, 52, 55, 58, 59, 60, 62, 64, 65, 66, 67, 68, 69, 70, 71, 73, 74, 75, 79, 80, 81, 82, 84, 85, 86, 87, 88, 89, 90, 91, 94, 95, 97, 98, 99, 101, 102, 103, 104, 106, 109, 110, 111, 113, 115, 116, 117, 118, 119, 121, 122, 125, 127, 129, 132, 133, 137, 142, 145, 152, 154, 155, 156], "node": [12, 14], "subnod": 12, "mandatori": [12, 30, 80], "item": [12, 18, 20, 32, 33, 34, 37, 39, 40, 41, 42, 43, 47, 73, 74, 75, 76, 80, 81, 82, 83, 84, 85, 87, 88, 89, 90, 91, 93, 94, 95, 96, 97, 98, 99, 107, 109, 110, 111, 112, 114, 115, 116, 117, 118, 119, 120, 121, 122, 125, 127, 129, 132, 145, 146, 155, 156, 157, 158, 175], "work": [12, 13, 16, 18, 20, 30, 34, 37, 42, 44, 48, 49, 50, 51, 52, 58, 65, 87, 88, 100, 107, 109, 110, 114, 125, 127, 135, 142, 145, 152, 154, 158, 161], "without": [12, 13, 16, 18, 19, 20, 23, 32, 44, 72, 79, 80, 84, 96, 97, 102, 118, 120, 125, 126, 127, 129, 133, 143, 156, 170], "term": [12, 14, 15, 120], "addit": [12, 20, 23, 30, 35, 72, 102, 170, 171], "larg": [12, 30, 43, 44, 83, 126, 156], "possibli": [12, 96], "base_address": 12, "0x40": [12, 54, 125], "netcop": [12, 56], "0x00010004": 12, "reduc": [12, 44, 50, 55, 88, 108], "v1": 12, "cabal": [12, 28], "ff3f73b": 12, "0x6143474c": 12, "mi0": 12, "mi_bus0": [12, 16], "cell": 12, "0x01": [12, 74, 125, 127], "resourc": [12, 37, 44, 50, 55, 80, 82, 88, 109, 117, 119, 125, 166, 170, 171], "pci0": 12, "bar0": [12, 23, 132], "width": [12, 14, 18, 20, 23, 24, 31, 32, 33, 34, 35, 36, 38, 39, 41, 42, 43, 46, 49, 50, 51, 52, 53, 54, 55, 58, 59, 60, 62, 64, 68, 69, 70, 71, 72, 73, 74, 75, 76, 80, 82, 84, 85, 87, 89, 90, 91, 94, 95, 96, 97, 98, 99, 102, 103, 104, 105, 107, 108, 109, 110, 112, 113, 114, 115, 116, 117, 118, 119, 121, 125, 127, 129, 132, 133, 135, 137, 144, 148, 149, 156, 158, 159, 160, 166, 170], "0x20": [12, 54, 74, 125, 127, 137], "tsu": [12, 18, 20, 24, 25, 47, 85, 91, 125], "0x4000": 12, "0x1000": 12, "dma_modul": 12, "0x01000000": [12, 22], "dma_ctrl_ndp_rx0": 12, "dma_ctrl_ndp_rx": 12, "0x80": [12, 125], "0x20000": 12, "0x00": [12, 53, 54, 74, 81, 87, 91, 103, 105, 125, 127, 128, 137], "dma_ctrl_ndp_rx1": 12, "0x1000080": 12, "dma_ctrl_ndp_rx2": 12, "0x1000100": 12, "dma_ctrl_ndp_rx3": 12, "0x1000180": 12, "dma_ctrl_ndp_rx4": 12, "0x1000200": 12, "dma_ctrl_ndp_rx5": 12, "0x1000280": 12, "dma_ctrl_ndp_rx6": 12, "0x1000300": 12, "dma_ctrl_ndp_rx7": 12, "0x1000380": 12, "dma_ctrl_ndp_tx0": 12, "dma_ctrl_ndp_tx": 12, "0x1200000": 12, "dma_ctrl_ndp_tx1": 12, "0x1200080": 12, "dma_ctrl_ndp_tx2": 12, "0x1200100": 12, "dma_ctrl_ndp_tx3": 12, "0x1200180": 12, "dma_ctrl_ndp_tx4": 12, "0x1200200": 12, "dma_ctrl_ndp_tx5": 12, "0x1200280": 12, "dma_ctrl_ndp_tx6": 12, "0x1200300": 12, "dma_ctrl_ndp_tx7": 12, "0x1200380": 12, "i2c0": 12, "i2c": [12, 20], "0x800010": 12, "0x08": [12, 53, 54, 74, 87, 91, 105, 125, 127, 128, 137], "phandl": 12, "pmd0": 12, "0x02": [12, 125, 127], "param": [12, 156], "addr": [12, 55, 56, 58, 60, 67, 107, 108, 127, 156, 158, 162], "0xf0": 12, "txmac0": 12, "txmac": 12, "tx_mac_lit": [12, 20, 127], "speed": [12, 13, 14, 15, 16, 20, 25, 26, 73, 79, 87, 91, 115, 123, 125, 127, 135, 143, 156, 162, 170], "100": [12, 13, 15, 19, 20, 23, 25, 42, 87, 125, 127, 136, 137, 142, 152, 154, 156, 158], "0x8000": 12, "0x200": [12, 20], "mtu": [12, 18, 73, 125], "0x3fff": 12, "0x04": [12, 53, 54, 74, 81, 87, 91, 103, 105, 125, 127, 128, 137], "rxmac0": 12, "rxmac": 12, "rx_mac_lit": [12, 20, 30, 125], "0x8200": 12, "0x03": [12, 103, 125], "eth0": 12, "pmd": [12, 20, 22, 123], "i2c1": 12, "0x800110": 12, "0x05": 12, "pmd1": 12, "0x06": 12, "0xf8": 12, "txmac1": 12, "0xa000": 12, "rxmac1": 12, "0xa200": 12, "0x07": [12, 103], "eth1": 12, "adc_sensor": [12, 174], "0x7c": 12, "stratix_adc_sensor": 12, "nic_appl": 12, "0x2000000": 12, "0x10": [12, 53, 54, 74, 87, 105, 125, 127, 128, 137], "mi1": 12, "mi_bus1": 12, "pci1": 12, "uniqu": [12, 18, 20, 47, 128, 134, 135, 156], "identifi": [12, 107, 123, 128, 156], "With": [12, 34, 44, 55, 79, 107, 156, 170], "referenc": 12, "know": [12, 37, 79, 100, 156], "familiar": 12, "libfdt": [12, 14], "librari": [12, 13, 30, 50, 146], "syntax": 12, "hi": [12, 139, 140, 141, 148, 149, 150, 160], "ie": 12, "account": [12, 44, 79], "Such": [12, 37, 46, 79, 145], "do": [12, 14, 16, 26, 30, 33, 34, 74, 79, 87, 88, 91, 100, 109, 110, 111, 125, 129, 132, 145, 156, 162, 170], "modif": [12, 75, 126], "bug": [12, 156], "fix": [12, 26, 44, 120, 156, 158], "increas": [12, 37, 42, 58, 84, 88, 92, 95, 108, 125, 126, 139, 150, 151, 170], "minor": [12, 87], "present": [12, 18, 30, 37, 44, 79, 84, 88, 99, 118, 121, 128], "ideal": [12, 44], "entity_bas": [12, 30, 156], "updat": [12, 30, 37, 48, 53, 58, 59, 60, 67, 80, 134], "match": [12, 123, 125, 156], "otherwis": [12, 30, 32, 44, 81, 87, 100, 114, 125, 127, 142, 152, 154, 155, 156], "correctli": [12, 14, 18, 79, 108, 125, 143, 156], "import": [12, 30, 79, 88, 142, 152, 154, 156], "main": [12, 13, 20, 23, 30, 37, 42, 46, 47, 72, 85, 91, 123, 125, 127, 135, 137, 139, 150, 151, 160], "univers": [13, 32, 33, 166, 171], "czech": 13, "republ": 13, "academi": 13, "scienc": 13, "primarili": [13, 110], "probe": [13, 55, 79], "monitor": [13, 43, 123, 146, 169, 174], "backbon": 13, "fw": [13, 162], "amd": [13, 71, 148, 149, 160], "md": [13, 14, 55], "manufactur": [13, 14], "agilex": [13, 20, 21, 30, 31, 32, 33, 39, 41, 48, 55, 75, 79, 80, 85, 87, 90, 91, 94, 101, 103, 104, 115, 117, 118, 119, 122, 125, 127, 132, 133, 166, 171], "stratix": [13, 14, 20, 21, 23, 48, 55, 125, 127, 166, 171, 174], "32b": [13, 137], "data": [13, 14, 15, 16, 18, 19, 20, 23, 25, 32, 33, 34, 35, 37, 38, 39, 41, 42, 43, 44, 47, 49, 53, 54, 55, 56, 58, 60, 61, 63, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 79, 80, 81, 82, 83, 85, 86, 87, 90, 91, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 107, 108, 109, 110, 112, 113, 114, 115, 118, 119, 120, 121, 123, 125, 127, 128, 129, 132, 133, 135, 137, 138, 139, 142, 143, 144, 145, 148, 149, 150, 151, 152, 154, 155, 156, 157, 158, 159, 160, 161, 162, 164, 166, 170, 171, 174], "transfer": [13, 14, 18, 19, 20, 22, 23, 25, 28, 37, 42, 72, 87, 95, 96, 103, 107, 120, 122, 159, 170, 174], "alwai": [13, 14, 20, 22, 23, 42, 48, 57, 61, 63, 107, 108, 109, 120, 125, 126, 156, 158], "100gbase": 13, "handl": [13, 19, 22, 23, 30, 51, 55, 75, 126, 142, 152, 154, 161], "10gbase": 13, "25gbase": 13, "even": [13, 18, 20, 37, 42, 45, 46, 50, 55, 74, 80, 107, 110, 156], "No": [13, 19, 72, 118, 134, 142, 152, 154], "line": [13, 14, 15, 33, 156], "layer": [13, 15, 123, 125, 127, 135], "l2": [13, 16], "frame": [13, 16, 18, 20, 47, 65, 66, 67, 70, 72, 73, 74, 75, 76, 78, 82, 84, 86, 88, 89, 93, 94, 96, 99, 100, 110, 125, 126, 127, 129, 135, 140, 141, 143, 144, 145, 148, 149, 156, 160, 161, 172], "crc": [13, 16, 18, 20, 47, 125, 127], "ad": [13, 30, 55, 71, 80, 87, 125, 126, 136, 156], "16383": [13, 73], "b": [13, 42, 46, 55, 72, 80, 107, 126, 145, 156], "maximum": [13, 16, 18, 23, 24, 31, 34, 37, 42, 43, 44, 45, 49, 50, 60, 62, 64, 69, 71, 73, 74, 75, 76, 80, 83, 87, 94, 95, 97, 98, 101, 117, 120, 125, 127, 132, 137, 139, 142, 145, 150, 151, 152, 154, 155, 161], "mac": [13, 16, 18, 22, 30, 47, 74, 123, 146, 176], "discard": [13, 18, 20, 47, 60, 65, 75, 78, 79, 95, 101, 125, 127, 145, 156, 172, 175], "larger": [13, 31, 34, 50, 53, 55, 88], "1522": 13, "limit": [13, 33, 55, 96, 107, 110, 117, 120, 158, 172], "Not": [13, 73, 91, 96, 120, 129], "low": [13, 19, 23, 37, 42, 74, 95, 110, 125, 127, 137, 138, 143, 146, 148, 149, 153, 157, 161], "calypt": [13, 19, 62, 69, 177], "under": [13, 19, 87, 142, 152, 154, 155, 156], "compon": [13, 16, 18, 20, 22, 24, 29, 33, 34, 38, 42, 43, 44, 45, 48, 53, 56, 57, 58, 60, 61, 63, 65, 66, 67, 68, 70, 73, 74, 75, 76, 77, 78, 80, 81, 83, 85, 86, 87, 88, 90, 91, 92, 94, 95, 97, 98, 99, 102, 103, 104, 105, 107, 108, 110, 112, 113, 114, 115, 116, 117, 118, 119, 120, 122, 123, 125, 126, 127, 129, 130, 131, 132, 133, 136, 137, 139, 143, 145, 148, 149, 150, 151, 156, 160, 161, 162, 164, 167, 168, 170, 171, 172, 173, 174, 175, 176, 177, 179], "four": [13, 20, 96, 107, 125, 127, 142, 154, 155, 158], "signal": [13, 18, 20, 26, 28, 34, 35, 38, 39, 41, 42, 44, 45, 48, 50, 52, 55, 57, 58, 59, 60, 62, 64, 65, 66, 69, 70, 71, 74, 79, 86, 87, 88, 90, 91, 94, 96, 97, 98, 99, 100, 101, 103, 107, 108, 109, 110, 111, 115, 116, 123, 125, 126, 127, 129, 130, 131, 132, 133, 137, 142, 143, 145, 148, 149, 152, 154, 155, 156, 157, 158, 159, 160, 161, 162, 166, 170, 171, 172, 174], "200": [13, 43, 87, 123, 158], "300": 13, "mhz": [13, 23, 43, 71, 87, 123], "100mhz": 13, "200mhz": [13, 87], "slower": [13, 14, 20], "degrad": [13, 123], "overal": [13, 37, 96], "api": [13, 18, 22], "separ": [13, 15, 20, 30, 37, 50, 55, 70, 77, 96, 98, 110, 120, 125, 127, 134, 135, 145, 156, 177], "unfortun": [13, 156], "rate": [13, 16, 19, 123, 172], "gbp": [13, 15, 16, 19, 123, 125, 127], "rather": [13, 107], "try": [13, 20, 37, 55, 109], "expans": [13, 75, 132], "rtl": 13, "step": [14, 16, 26, 30, 44, 53, 60, 72, 143, 156, 162], "rhel": 14, "o": [14, 55, 169], "rocki": 14, "dnf": 14, "your_card": 14, "modifi": [14, 16, 30, 37, 51, 75, 87, 88, 108, 143, 145, 156, 162, 170], "app_conf": 14, "instead": [14, 18, 30, 33, 34, 79, 82, 88, 96, 109, 142, 152, 154, 155, 156], "wait": [14, 43, 53, 55, 56, 79, 83, 95, 103, 116, 118, 126, 127, 134, 135, 145, 156, 159], "until": [14, 16, 42, 44, 46, 53, 55, 56, 78, 79, 80, 87, 103, 107, 116, 135, 143, 156, 159, 161, 166], "successfulli": [14, 42, 125, 127, 156], "finish": [14, 55, 156], "nfw": 14, "pcie_conf": [14, 23], "1xgen4x16": 14, "board": [14, 18, 25], "proper": [14, 18, 20, 126], "plug": 14, "slot": [14, 23, 25], "pre": [14, 46, 48], "deb": 14, "experi": 14, "over": [14, 18, 20, 22, 37, 43, 44, 47, 55, 74, 80, 87, 106, 125, 126, 170], "malfunct": 14, "expect": [14, 37, 79, 90, 107, 109, 156], "method": [14, 110, 145, 148, 149, 156, 160], "pleas": [14, 16, 107, 138, 156, 157], "upload": 14, "dx": 14, "copi": [14, 17, 75, 88, 111, 139, 148, 149, 150, 151, 160, 162], "your_ndk_firmwar": 14, "f0": 14, "seen": [14, 42, 50], "ndk_minim": 14, "09": 14, "24": [14, 18, 20, 43, 47, 55, 60, 64, 67, 70, 71, 81, 95, 96, 118, 156], "44": [14, 123], "19": [14, 123], "22": [14, 55, 125], "94": 14, "06": 14, "08": 14, "sc": [14, 156], "edit": [14, 53, 55], "repli": [14, 158], "liberout": [14, 148, 149], "org": [14, 148, 149], "queue": [14, 15, 34, 91], "endpoint": [14, 18, 19, 23, 47, 62, 64, 65, 68, 69, 71, 132], "0000": [14, 34, 96, 107, 120, 131, 133], "82": [14, 123], "gt": [14, 123], "x8": [14, 23, 71], "numa": 14, "81": [14, 123, 129], "want": [14, 16, 20, 26, 35, 37, 43, 59, 79, 88, 107, 109, 116, 125, 156, 162], "learn": [14, 18, 20, 156], "frequent": [14, 15, 42], "explain": [15, 20, 109, 156, 162], "physic": [15, 19, 20, 123, 160], "optic": [15, 123], "cage": 15, "serial": [15, 20, 23, 44, 48, 80, 123], "protocol": [15, 20, 72, 102, 138, 156, 157, 158, 161], "standard": [15, 23, 30, 47, 79, 109, 118, 123, 125, 127, 143, 156, 170], "gbe": 15, "25": [15, 18, 20, 31, 47, 123], "28": [15, 18, 20, 47, 125], "togeth": [15, 30, 42, 43, 46, 47, 88, 92, 100, 107, 109, 120, 128, 135, 142, 151, 154, 156, 159, 161, 170], "enough": [15, 20, 44, 96, 156, 158], "group": [15, 87, 96, 110, 156], "transmit": [15, 16, 23, 24, 25, 28, 47, 66, 70, 85, 87, 90, 91, 96, 111, 116, 118, 119, 120, 123, 125, 126, 127, 143, 160, 176], "therefor": [15, 19, 20, 43, 53, 55, 72, 80, 87, 95, 96, 107, 109, 120, 137, 156], "transmiss": [15, 16, 25, 57, 72, 85, 96, 115, 117, 120, 126, 127, 156], "firmwar": [16, 18, 19, 21, 22, 23, 25, 107, 128], "hdl": [16, 156], "execut": [16, 22, 30, 42, 51, 108, 111, 118], "verif": [16, 30, 87, 119, 144, 145, 146, 148, 149, 158, 161, 162], "mainli": [16, 23, 30, 44, 87], "uvm": [16, 119, 142, 146, 152, 154, 155, 158, 159], "simul": [16, 30, 44, 55, 72, 87, 95, 152, 156, 159, 161, 174, 179], "almost": [16, 33, 34, 44, 85, 91, 101, 166, 174], "cocotb": 16, "yet": [16, 19, 20, 24, 32, 70, 71, 103, 107], "publicli": 16, "among": [16, 18, 20, 22], "devicetre": [16, 18, 22, 23], "offset": [16, 20, 44, 48, 58, 73, 80, 85, 87, 91, 94, 103, 123, 125, 127, 128], "0x0": [16, 73, 109, 110, 123], "byte": [16, 18, 20, 23, 43, 44, 47, 57, 58, 59, 60, 62, 63, 64, 65, 66, 68, 69, 70, 71, 72, 73, 74, 75, 80, 87, 94, 102, 103, 107, 108, 110, 125, 127, 128, 129, 132, 133, 137, 142, 146, 148, 149, 150, 151, 152, 154, 155, 158, 160, 162, 171, 177], "0x42": 16, "mi_test_spac": 16, "00000000": 16, "00000042": 16, "similarli": [16, 30, 70], "futur": [16, 39, 75, 97, 158], "meter": [16, 73, 162, 164], "datapath": 16, "come": [16, 20, 23, 37, 42, 65, 67, 95, 96, 107, 123, 126, 148, 149, 156], "mfb_tool": [16, 172], "gen_loop_switch": [16, 73], "gls_mod": 16, "port_list": 16, "black": 16, "hole": [16, 158], "ext": 16, "length": [16, 18, 20, 38, 42, 43, 44, 47, 55, 62, 64, 69, 71, 74, 75, 80, 87, 88, 94, 95, 96, 98, 110, 120, 125, 126, 127, 128, 133, 140, 141, 145, 170], "continu": [16, 19, 24, 34, 43, 53, 70, 72, 87, 100, 109, 126, 131, 161], "repeat": [16, 46, 53, 87, 134, 156, 161], "increment": [16, 20, 36, 37, 50, 51, 55, 67, 74, 85, 91, 107, 110, 125, 137, 158], "reach": [16, 42, 43, 44, 65, 71, 85, 87, 134], "simpli": [16, 30, 38, 91, 156], "pma": [16, 20, 22, 125, 127, 146], "local": [16, 18, 159], "calcul": [16, 18, 30, 32, 44, 49, 50, 66, 87, 91, 95, 96, 110, 120, 125, 127, 130, 134, 145, 156, 159, 170, 172, 174], "destin": [16, 18, 20, 42, 47, 72, 74, 83, 86, 110, 112, 113, 115, 125, 127, 129, 159, 170, 174], "end": [16, 18, 30, 37, 43, 44, 50, 52, 55, 57, 65, 72, 75, 78, 79, 80, 81, 87, 88, 91, 96, 107, 109, 125, 126, 127, 129, 140, 141, 142, 143, 144, 145, 148, 149, 154, 155, 156, 159, 161, 166], "payload": [16, 18, 23, 82, 89, 130, 132], "71": 16, "43": 16, "79": 16, "31": [16, 18, 20, 23, 24, 47, 72, 74, 110, 123, 125, 127, 128, 132, 137, 148, 149], "128": [16, 57, 60, 63, 72, 84, 88, 119, 122, 127, 132, 133, 139, 142, 150, 151, 152, 154, 155], "rang": [16, 18, 20, 22, 24, 26, 43, 47, 51, 55, 56, 109, 120, 137, 148, 149, 159], "report": [16, 33, 50], "pip": 17, "txt": 17, "let": [17, 37, 44, 87, 88, 107, 109], "proj_onli": [17, 30], "ndk": [18, 19, 20, 21, 22, 23, 71, 96, 148, 149], "deep": [18, 32, 97], "pipelin": [18, 42, 44, 80, 86, 88, 103, 109, 123, 143, 156, 174], "defin": [18, 20, 30, 33, 37, 42, 43, 48, 51, 52, 62, 64, 69, 71, 72, 80, 95, 99, 101, 107, 109, 118, 121, 123, 127, 132, 143, 156, 158, 160, 162], "devic": [18, 22, 23, 26, 30, 31, 32, 33, 34, 36, 39, 40, 41, 42, 48, 49, 52, 55, 56, 58, 59, 60, 62, 64, 65, 66, 67, 68, 69, 70, 71, 73, 74, 75, 79, 80, 81, 82, 84, 85, 86, 87, 88, 89, 90, 91, 94, 95, 97, 98, 99, 101, 102, 103, 104, 106, 109, 110, 111, 113, 115, 116, 117, 118, 119, 121, 122, 123, 125, 127, 129, 132, 133, 137, 156], "cpu": 18, "ddr4": [18, 21, 164], "csr": [18, 20, 22, 25], "header": [18, 20, 44, 47, 58, 59, 62, 63, 64, 65, 66, 67, 69, 70, 71, 72, 73, 80, 82, 89, 94, 98, 123, 128, 130, 135, 144, 145, 156, 160, 162, 174, 177], "metadata": [18, 20, 24, 35, 39, 41, 42, 46, 58, 59, 60, 70, 71, 73, 75, 76, 80, 81, 82, 83, 85, 87, 88, 90, 91, 94, 95, 97, 98, 99, 102, 103, 107, 117, 125, 142, 154, 158, 162, 172], "clock": [18, 20, 23, 24, 26, 28, 30, 31, 32, 33, 34, 36, 38, 39, 41, 42, 44, 45, 50, 51, 55, 57, 58, 59, 62, 69, 72, 73, 75, 76, 77, 78, 79, 80, 81, 83, 84, 85, 86, 87, 88, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 102, 103, 104, 107, 109, 110, 111, 112, 113, 114, 115, 116, 117, 119, 120, 122, 123, 125, 127, 132, 135, 137, 138, 143, 144, 148, 149, 156, 157, 158, 159, 160, 161, 171, 172, 174, 175], "equal": [18, 31, 51, 55, 95, 96, 107, 109, 137, 156], "prepar": [18, 30, 140, 141, 144, 158], "strongli": [18, 20, 30, 156], "enter": [18, 20, 23, 126, 145], "eth_rx_": 18, "carri": [18, 20, 107, 109, 170], "actual": [18, 20, 33, 34, 37, 42, 43, 62, 64, 69, 80, 109, 125, 127, 137, 156, 158, 159], "though": [18, 20, 46, 96, 109], "_dst_rdy": [18, 20, 72], "perman": [18, 20], "buffer": [18, 20, 23, 42, 44, 53, 55, 56, 57, 58, 59, 60, 64, 66, 67, 69, 70, 71, 75, 85, 91, 95, 100, 119, 123, 125, 126, 127, 172, 174], "fifo": [18, 20, 28, 29, 32, 33, 34, 36, 42, 43, 44, 46, 48, 52, 72, 73, 82, 84, 85, 88, 89, 91, 97, 99, 100, 101, 102, 113, 116, 117, 118, 121, 123, 134, 135, 140, 141, 143, 144, 146, 174], "fill": [18, 20, 30, 42, 43, 53, 56, 100, 126, 133, 143], "stuck": [18, 20, 132, 156, 162, 174], "eth_hdr_pack": [18, 20, 47, 125], "hdr": [18, 20, 47, 70, 160], "global": [18, 20, 30, 44, 47, 71, 123, 133], "mask": [18, 20, 39, 41, 47, 62, 79, 83, 96, 100, 104, 112, 120, 125], "OR": [18, 20, 47, 109, 170], "errorfram": [18, 20, 47], "26": [18, 20, 22, 47, 49, 55], "errormintu": [18, 20, 47], "mintu": [18, 20, 47, 125], "27": [18, 20, 47, 125], "errormaxtu": [18, 20, 47], "maxtu": [18, 20, 47], "errorcrc": [18, 20, 47], "29": [18, 20, 47], "errormac": [18, 20, 47], "30": [18, 20, 47], "broadcast": [18, 20, 47], "multicast": [18, 20, 47, 125], "hitmacvld": [18, 20, 47], "hit": [18, 20, 47], "tcam": [18, 20, 47], "33": [18, 20, 47, 123, 129], "36": [18, 20, 47], "hitmac": [18, 20, 47], "index": [18, 20, 34, 37, 47, 50, 53, 55, 60, 66, 107, 109, 120, 126, 128, 170], "37": [18, 20, 47], "timestampvld": [18, 20, 47], "timestamp": [18, 20, 22, 47, 85, 125, 136, 137, 163, 172], "38": [18, 20, 47], "101": [18, 20, 47, 136, 137], "doc": [18, 20, 47], "draft": [18, 20, 22, 47], "sent": [18, 19, 20, 23, 44, 53, 57, 58, 59, 60, 62, 69, 71, 78, 79, 91, 92, 98, 105, 107, 109, 118, 125, 126, 127, 139, 143, 150, 151, 152, 156, 158], "eth_tx_mfb_": 18, "special": [18, 20, 30, 44, 80, 174], "eth_tx_mfb_hdr": 18, "region": [18, 57, 61, 63, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 99, 125, 127, 129, 132, 138, 142, 152, 154, 155, 156, 157, 174], "further": [18, 20, 22, 30, 100], "minimum": [18, 20, 30, 32, 44, 49, 71, 74, 80, 82, 89, 97, 110, 117, 125, 127, 139, 150, 151, 161], "60b": [18, 20, 75, 126, 127], "pad": 18, "previou": [18, 20, 37, 44, 55, 79, 80, 88, 107, 109, 126, 134, 135, 152, 156, 159, 162], "dma_tx_": 18, "mvb_data": 18, "mvb_len": 18, "mvb_hdr_meta": 18, "mvb_channel": 18, "determin": [18, 32, 33, 34, 50, 68, 75, 80, 82, 87, 96, 99, 107, 109, 111, 115, 121, 125, 127, 129], "presenc": [18, 46, 88, 120, 129], "dma_tx_mvb_hdr_meta": [18, 73], "hdr_len": 18, "hdr_id": 18, "identif": [18, 128, 174], "definit": [18, 30, 37, 53, 54, 55, 125, 127], "11": [18, 31, 49, 50, 54, 70, 72, 107, 109, 123, 130, 133], "neth": 18, "dma_rx_": 18, "role": 18, "mvb_discard": [18, 112, 156], "dma_rx_mvb_hdr_meta": [18, 73], "orient": [18, 22, 33, 142, 152, 154], "wire": [18, 22, 33, 103, 106, 121, 132, 156, 170, 174], "alloc": [18, 23], "interconnect": [18, 25, 81], "good": [18, 30, 156], "idea": [18, 30, 43, 80, 156], "inspir": [18, 156], "tabl": [18, 20, 55, 87, 96, 109, 110, 120, 138, 139, 142, 150, 151, 152, 154, 155, 156, 157, 162, 170, 175], "eth_stream": 18, "natur": [18, 23, 31, 32, 33, 34, 36, 39, 41, 45, 57, 62, 64, 65, 66, 67, 68, 69, 70, 71, 73, 74, 75, 76, 77, 78, 80, 81, 82, 83, 84, 85, 87, 88, 90, 91, 93, 94, 95, 96, 97, 98, 99, 101, 102, 103, 104, 105, 110, 111, 112, 113, 115, 116, 117, 118, 119, 120, 121, 122, 125, 127, 129, 132, 133, 148, 149, 160], "eth_channel": 18, "per": [18, 20, 23, 24, 28, 39, 41, 42, 79, 80, 87, 91, 94, 96, 110, 119, 137, 144, 145, 160, 161], "dma_stream": 18, "dma_rx_channel": 18, "dma_tx_channel": 18, "dma_hdr_meta_width": 18, "dma_rx_frame_size_max": 18, "dma_tx_frame_size_max": 18, "mfb_region": [18, 67, 68, 75, 80, 82, 83, 84, 85, 87, 89, 91, 94, 95, 97, 98, 101, 117, 129, 132], "mfb_reg_siz": [18, 82, 89, 97], "mfb_block_siz": [18, 67, 68, 75, 80, 82, 83, 84, 85, 87, 89, 91, 94, 95, 97, 98, 101, 117, 129, 132], "mfb_item_width": [18, 67, 68, 75, 80, 82, 83, 84, 85, 87, 89, 91, 94, 95, 97, 98, 101, 117, 129, 132], "mem_port": 18, "emif": [18, 21, 53, 55, 56], "mem_addr_width": [18, 49], "avmm": [18, 103], "mem_burst_width": [18, 49], "mem_data_width": [18, 49], "512": [18, 32, 34, 36, 41, 49, 55, 71, 72, 73, 97, 98, 99, 101, 121, 129, 132, 156], "mem_refr_period_width": 18, "refresh": [18, 55], "period": [18, 30, 45, 55, 57, 79, 83, 91, 95, 123, 170, 174], "mem_def_refr_period": 18, "integ": [18, 30, 35, 37, 39, 40, 41, 49, 50, 51, 52, 55, 58, 59, 60, 61, 63, 79, 82, 83, 84, 86, 88, 89, 90, 92, 94, 95, 97, 106, 107, 109, 114, 137, 156, 159], "amm_freq_khz": [18, 49, 55], "266660": [18, 49, 55], "freq": [18, 54, 91], "amm": [18, 53, 54, 55, 56], "mi_data_width": [18, 49, 50, 53, 55, 87, 91, 104, 110, 132], "mi_addr_width": [18, 49, 50, 55, 87, 91, 110, 132], "fpga_id_width": 18, "id": [18, 20, 23, 26, 46, 47, 48, 75, 79, 80, 91, 105, 125, 133, 134, 135], "reset_width": 18, "reset": [18, 23, 24, 28, 31, 32, 33, 34, 35, 36, 38, 39, 41, 42, 43, 45, 50, 51, 54, 55, 56, 58, 59, 60, 62, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 98, 99, 100, 102, 103, 104, 105, 106, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 121, 122, 123, 125, 126, 127, 130, 132, 136, 137, 142, 145, 146, 148, 149, 152, 154, 155, 158, 174], "undefin": [18, 20, 34, 51, 52, 55, 58, 59, 96, 107, 161], "AND": [18, 23, 58, 76, 77, 78, 93, 99, 109, 110, 119, 125, 170], "clk_user": 18, "std_logic": [18, 23, 31, 32, 33, 34, 35, 36, 38, 39, 40, 41, 45, 49, 50, 51, 52, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 97, 98, 99, 101, 102, 103, 104, 105, 106, 107, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 121, 122, 123, 125, 126, 127, 129, 130, 132, 133, 136, 137], "clk_user_x2": 18, "doubl": [18, 20, 42, 88, 95, 125, 127, 134, 135, 166, 170], "frequenc": [18, 20, 28, 42, 43, 44, 55, 71, 87, 95, 123, 127, 135, 137, 171, 174], "clk_user_x3": 18, "tripl": 18, "clk_user_x4": 18, "quadrupl": 18, "reset_us": 18, "downto": [18, 23, 31, 32, 33, 34, 35, 36, 38, 39, 40, 41, 45, 49, 50, 51, 52, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 97, 98, 99, 101, 102, 103, 104, 105, 106, 107, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 121, 122, 123, 125, 126, 127, 128, 129, 130, 131, 132, 133, 136, 137], "synchron": [18, 24, 28, 34, 41, 72, 110, 111, 112, 113, 115, 116, 117, 123, 125, 127, 132, 142, 152, 154, 155, 161, 170, 174], "reset_user_x2": 18, "reset_user_x3": 18, "reset_user_x4": 18, "BY": 18, "mi_clk": [18, 23, 30, 55, 73, 81, 123, 125, 127, 137], "out": [18, 23, 31, 32, 33, 34, 35, 36, 38, 39, 40, 41, 42, 45, 46, 49, 50, 51, 52, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 97, 98, 99, 101, 102, 103, 104, 105, 106, 107, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 121, 122, 123, 125, 126, 127, 129, 130, 131, 132, 133, 136, 137, 156, 162, 174], "dma_clk": [18, 23], "dma_clk_x2": 18, "app_clk": 18, "mi_reset": [18, 23, 73, 81, 123, 125, 127, 137], "dma_reset": [18, 23], "dma_reset_x2": 18, "app_reset": 18, "timestap": 18, "tsu_clk": [18, 24], "tsu_reset": [18, 24], "tsu_ts_n": [18, 24, 125], "nanosecond": [18, 24, 125, 136, 137], "tsu_ts_vld": [18, 24], "statu": [18, 22, 23, 25, 33, 48, 50, 55, 62, 69, 73, 79, 87, 99, 105, 121, 123, 125, 127, 132, 133, 156, 166], "pcie_link_up": [18, 23], "activ": [18, 20, 23, 28, 37, 55, 69, 82, 87, 100, 107, 114, 115, 125, 127, 135, 137, 139, 142, 143, 148, 149, 150, 151, 152, 154, 155, 156, 159, 160, 161, 169], "ep": 18, "readi": [18, 19, 21, 32, 42, 53, 55, 72, 83, 86, 96, 97, 102, 103, 107, 110, 112, 113, 114, 115, 119, 120, 125, 127, 129, 132, 137, 159, 170, 174], "eth_rx_link_up": 18, "eth_tx_phy_rdi": 18, "phy": [18, 20, 176], "fpga_id": 18, "chip": [18, 48, 170], "fpga_id_vld": 18, "incom": [18, 20, 42, 60, 61, 65, 66, 76, 77, 85, 87, 91, 96, 100, 107, 109, 125, 130, 131, 135, 156], "appropri": [18, 20, 23, 30, 57, 95, 128, 162], "eth_rx_mvb_data": 18, "eth_rx_hdr_width": [18, 125], "eth_rx_mvb_vld": [18, 73], "eth_rx_mvb_src_rdi": [18, 73], "eth_rx_mvb_dst_rdi": [18, 73], "eth_rx_mfb_data": [18, 73], "eth_rx_mfb_sof": [18, 73], "Of": [18, 80, 125, 127, 129], "eth_rx_mfb_eof": [18, 73], "eof": [18, 57, 75, 76, 78, 79, 80, 83, 84, 88, 95, 96, 98, 100, 101, 125, 126, 127, 129, 140, 141, 142, 148, 149, 154, 156, 157, 161], "eth_rx_mfb_sof_po": [18, 73], "log2": [18, 23, 32, 33, 35, 36, 39, 40, 41, 42, 51, 52, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 101, 108, 110, 111, 116, 117, 121, 122, 125, 127, 129, 132], "posit": [18, 26, 30, 37, 38, 72, 76, 77, 96, 100, 107, 108, 109, 111, 123, 125, 127, 129, 160], "eth_rx_mfb_eof_po": [18, 73], "eth_rx_mfb_src_rdi": [18, 73], "eth_rx_mfb_dst_rdi": [18, 73], "outgo": [18, 126], "meta": [18, 89, 94, 102, 103, 109, 120, 133, 145, 156, 157, 158], "eth_tx_mfb_data": [18, 73], "eth_tx_hdr_width": 18, "eth_tx_mfb_sof": [18, 73], "eth_tx_mfb_eof": [18, 73], "eth_tx_mfb_sof_po": [18, 73], "eth_tx_mfb_eof_po": [18, 73], "eth_tx_mfb_src_rdi": [18, 73], "eth_tx_mfb_dst_rdi": [18, 73], "dma_rx_mvb_len": [18, 73], "dma_rx_mvb_channel": [18, 73], "dma_rx_mvb_discard": [18, 73], "dma_rx_mvb_vld": [18, 73], "dma_rx_mvb_src_rdi": [18, 73], "dma_rx_mvb_dst_rdi": [18, 73], "dma_rx_mfb_data": [18, 73], "dma_rx_mfb_sof": [18, 73], "dma_rx_mfb_eof": [18, 73], "dma_rx_mfb_sof_po": [18, 73], "dma_rx_mfb_eof_po": [18, 73], "dma_rx_mfb_src_rdi": [18, 73], "dma_rx_mfb_dst_rdi": [18, 73], "dma_tx_mvb_len": [18, 73], "dma_tx_mvb_channel": [18, 73], "dma_tx_mvb_vld": [18, 73], "dma_tx_mvb_src_rdi": [18, 73], "dma_tx_mvb_dst_rdi": [18, 73], "dma_tx_mfb_data": [18, 73], "dma_tx_mfb_sof": [18, 73], "dma_tx_mfb_eof": [18, 73], "dma_tx_mfb_sof_po": [18, 73], "dma_tx_mfb_eof_po": [18, 73], "dma_tx_mfb_src_rdi": [18, 73], "dma_tx_mfb_dst_rdi": [18, 73], "dma_tx_usr_choke_chan": 18, "paus": [18, 85, 91, 96, 120], "choke": 18, "slack": 18, "behind": [18, 80, 107], "mem_clk": [18, 49], "mem_rst": [18, 49], "mem_avmm_readi": [18, 49], "mem_avmm_read": [18, 49], "mem_avmm_writ": [18, 49], "mem_avmm_address": [18, 49], "slv_array_t": [18, 23, 39, 40, 50, 55, 75, 80, 83, 89, 90, 98, 105, 109, 115, 122], "mem_avmm_burstcount": [18, 49], "mem_avmm_writedata": [18, 49], "mem_avmm_readdata": [18, 49], "mem_avmm_readdatavalid": [18, 49], "mem_refr_period": 18, "mem_refr_req": 18, "mem_refr_ack": 18, "ack": 18, "emif_rst_req": [18, 55], "emif_rst_don": [18, 55], "emif_ecc_usr_int": 18, "ecc": [18, 55], "interupt": 18, "emif_cal_success": [18, 55], "calibr": [18, 55], "emif_cal_fail": [18, 55], "fail": [18, 55, 156], "emif_auto_precharg": [18, 55], "auto": [18, 33, 34, 55, 99, 118, 121, 122, 134], "precharg": [18, 55], "mi_dwr": [18, 23, 49, 50, 55, 62, 64, 69, 70, 71, 73, 74, 81, 87, 91, 103, 104, 110, 123, 125, 127, 132, 137], "master": [18, 22, 69, 102, 104, 107, 110, 123, 125, 127, 132, 137, 156, 158], "slave": [18, 102, 104, 107, 110, 123, 125, 127, 132, 137, 156, 158], "mi_addr": [18, 23, 49, 50, 55, 62, 64, 69, 70, 71, 73, 74, 81, 87, 91, 103, 104, 110, 123, 125, 127, 132, 137], "mi_b": [18, 23, 49, 50, 55, 62, 64, 69, 70, 71, 73, 74, 87, 91, 103, 104, 110, 123, 125, 127, 132, 137], "mi_rd": [18, 23, 49, 50, 55, 62, 64, 69, 70, 71, 73, 74, 81, 87, 91, 103, 104, 110, 123, 125, 127, 132, 137], "mi_wr": [18, 23, 49, 50, 55, 62, 64, 69, 70, 71, 73, 74, 81, 87, 91, 103, 104, 110, 123, 125, 127, 132, 137], "mi_ardi": [18, 23, 49, 50, 55, 62, 64, 69, 70, 71, 73, 74, 81, 87, 91, 103, 104, 110, 123, 125, 127, 132, 137], "mi_drd": [18, 23, 49, 50, 55, 62, 64, 69, 70, 71, 73, 74, 81, 87, 91, 103, 104, 110, 123, 125, 127, 132, 137], "mi_drdi": [18, 23, 49, 50, 55, 62, 64, 69, 70, 71, 73, 74, 81, 87, 91, 103, 104, 110, 123, 125, 127, 132, 137], "wrapper": [19, 41, 43, 106, 146, 174], "auxiliari": [19, 66, 79, 87, 129, 132, 172], "parametr": [19, 32], "still": [19, 44, 78, 120, 135], "desir": [19, 23, 43, 94, 105, 162], "automat": [19, 21, 23, 30, 33, 34, 43, 53, 79, 91, 156, 166, 171], "replac": [19, 33, 109, 121, 131], "immedi": [19, 28, 30, 79, 80, 105, 107, 126, 127], "sublay": 20, "client": [20, 163], "standardli": 20, "consequ": [20, 87], "That": [20, 80, 96, 105, 107, 109, 156], "adapt": [20, 23], "abil": [20, 43, 96, 100, 123], "backpressur": [20, 126], "adjac": 20, "side": [20, 32, 35, 46, 70, 71, 73, 79, 92, 100, 102, 103, 107, 109, 123, 125, 126, 127, 135, 156, 159], "rest": [20, 30, 55, 79, 80], "kei": 20, "realiti": 20, "much": [20, 45, 72, 82, 109, 135, 145], "look": [20, 26, 37, 109, 126, 137, 162, 170], "unit": [20, 22, 25, 37, 42, 43, 44, 46, 47, 73, 80, 82, 95, 96, 100, 103, 108, 118, 120, 125, 127, 128, 134, 135, 136, 137, 156, 163, 170, 174, 177], "nic": [20, 176], "repres": [20, 26, 33, 85, 137, 156], "splitter": [20, 25, 156, 172, 173], "mi_adc_port_ethmod": 20, "0x00800000": [20, 22], "0x00ffffff": [20, 22], "mi_adc_port_netmod": 20, "0x00008000": [20, 22], "0x0000ffff": [20, 22], "mi_adc_port_ethpmd": 20, "0x00003000": [20, 22], "0x00003fff": [20, 22], "subsect": [20, 162], "left": [20, 32, 33, 34, 35, 48, 71, 96, 99, 107, 120, 121, 126, 170], "rx_mfb_": [20, 126], "along": [20, 35, 80, 107, 125], "rx_mfb_hdr": 20, "tx_mfb_": 20, "tx_mvb_": 20, "tx_": 20, "eventu": 20, "lower": [20, 28, 33, 34, 42, 43, 46, 74, 76, 79, 82, 88, 95, 107, 109, 117, 125, 126, 133, 136, 137, 139, 150, 151, 156, 170], "behavior": [20, 37, 38, 69, 87, 102, 107, 110, 126, 136, 156, 159, 166, 170, 171, 174], "unders": 20, "0x00200000": 20, "transact": [20, 22, 23, 25, 42, 47, 53, 55, 57, 64, 66, 70, 71, 95, 96, 105, 107, 109, 111, 116, 117, 118, 119, 120, 122, 126, 127, 129, 130, 131, 133, 134, 139, 140, 141, 142, 143, 144, 145, 148, 149, 150, 151, 152, 154, 155, 156, 158, 160, 162, 174, 177], "Its": [20, 23, 55, 62, 126], "0x000000": [20, 74, 110], "0x1fffff": 20, "0x0200000": 20, "0x200000": 20, "0x3fffff": 20, "mention": [20, 30, 96, 109], "0x2000": 20, "0x0000": [20, 50, 55, 74], "0x0fff": 20, "0x2fff": 20, "right": [20, 26, 35, 48, 79, 91, 96, 107, 120, 125, 126, 140, 141, 144, 148, 149, 162, 170], "now": [20, 26, 37, 43, 54, 56, 75, 107, 109, 134, 156, 162], "tile_multir": 20, "pin": [20, 123], "slightli": [20, 21, 37, 42, 46, 156], "due": [20, 34, 55, 83, 87, 88, 91, 125, 127, 145], "matter": [20, 37], "xcvr": 20, "onc": [20, 25, 37, 42, 43, 44, 69, 79, 88, 100, 107, 144, 160], "fec": [20, 26], "eight": [20, 160], "altogeth": [20, 96], "number_of_channel": 20, "multir": 20, "drp": 20, "ge": 20, "pair": [20, 30, 128], "avst": [20, 125, 127], "segment": [20, 58, 59, 125, 127], "lbu": [20, 125, 127, 176], "diagnost": 20, "post": [20, 156], "mgmt": [20, 123], "re": [20, 87, 109, 134], "revers": [20, 74, 126, 170], "normal": [20, 139, 150, 151], "turn": [20, 33, 88, 123, 127], "emploi": 20, "mdio": 20, "task": [20, 23, 53, 123, 125, 127, 139, 140, 141, 145, 150, 151, 156, 158, 160, 161, 162], "record": 20, "suit": [20, 26], "feed": 20, "pictur": [20, 87, 107, 120, 126, 156], "somehow": 20, "domain": [20, 28, 45, 55, 97, 102, 161], "twice": [20, 79, 88], "problem": [20, 26, 37, 88, 145, 156, 170], "virtual": [20, 47, 96, 133, 142, 145, 152, 154, 155, 158, 162], "multi": [20, 28, 37, 42, 44, 46, 50, 69, 96, 100, 111, 116, 119, 120, 123, 128, 134, 143, 170], "tri": [20, 30, 83, 170], "illustr": [20, 80, 87, 109], "subsequ": 20, "addition": [20, 55, 122], "concern": [20, 30, 88], "4x25": 20, "notat": 20, "port_id": 20, "channel_id": 20, "0x01ff": 20, "0x0200": 20, "0x03ff": 20, "0x0400": 20, "0x05ff": 20, "0x0600": 20, "0x07ff": 20, "0x0800": 20, "0x09ff": 20, "0x0a00": 20, "0x0bff": 20, "0x0c00": 20, "0x0dff": 20, "0x0e00": 20, "0x21ff": 20, "0x2200": 20, "0x23ff": 20, "0x2400": 20, "0x25ff": 20, "0x2600": 20, "0x27ff": 20, "0x2800": 20, "0x29ff": 20, "0x2a00": 20, "0x2bff": 20, "0x2c00": 20, "0x2dff": 20, "0x2e00": 20, "x": [20, 23, 55, 79, 90, 96, 132, 144, 145, 156], "1x100ge": 20, "4x25ge": 20, "4x10ge": 20, "1x400ge": 20, "2x200ge": 20, "4x100ge": 20, "8x50ge": 20, "2x40ge": 20, "axi4": [21, 104], "keep": [21, 37, 38, 53, 87, 109], "tester": [21, 164], "mtc": [22, 23, 177], "act": [22, 48, 109, 158], "non": [22, 26, 37, 42, 131, 134, 156], "deadlock": [22, 44, 46], "ident": 22, "mi_addr_space_pkg": 22, "0x00000000": [22, 109], "0x000000ff": 22, "0x00000100": 22, "0x00000fff": 22, "0x00001000": 22, "0x00001fff": 22, "sdm": [22, 163], "sysmon": 22, "temp": 22, "asx4": 22, "0x00002000": 22, "0x00002fff": 22, "0x00004000": 22, "0x000040ff": 22, "0x00004100": 22, "0x00004fff": 22, "0x00005000": 22, "0x00007fff": 22, "0x0000bfff": 22, "0x0000c000": 22, "0x00010000": 22, "0x0001ffff": 22, "0x00020000": 22, "0x007fffff": 22, "0x013fffff": 22, "0x01400000": 22, "0x01ffffff": 22, "msix": 22, "0x03ffffff": 22, "transform": [23, 72, 88, 135, 143], "duplic": [23, 75], "bifurc": 23, "1xgen1x16": 23, "consol": 23, "1xgen3x16": 23, "2xgen4x8x8": 23, "x8x8": 23, "bifurac": 23, "2xgen5x8x8": 23, "1xgen3x8ll": 23, "axi": [23, 104, 129, 133, 135, 146, 152], "st": 23, "unifi": 23, "pcie_mod_arch": 23, "pcie_endpoint_typ": 23, "r_tile": 23, "express": [23, 30, 67, 70, 71, 128, 129, 131, 156], "p_tile": 23, "integr": [23, 30, 129], "respons": [23, 42, 58, 59, 70, 71, 103, 107, 118, 132, 134, 135, 138, 157, 158, 159, 162], "completit": [23, 133], "bar": [23, 132, 133], "bar2": [23, 132], "dma_bar_en": 23, "half": [23, 126, 137], "bar4": [23, 132], "ptc": [23, 177], "vice": [23, 65, 125], "versa": [23, 65, 125], "tag": [23, 47, 129, 133, 135, 145, 156, 177], "ptc_disabl": 23, "bar0_base_addr": [23, 132], "01000000": [23, 132], "bar1_base_addr": [23, 132], "02000000": [23, 132], "bar2_base_addr": [23, 132], "03000000": [23, 132], "bar3_base_addr": [23, 132], "04000000": [23, 132], "bar4_base_addr": [23, 132], "05000000": [23, 132], "bar5_base_addr": [23, 132], "06000000": [23, 132], "exp_rom_base_addr": [23, 132], "0a000000": [23, 132], "cq_mfb_region": 23, "cq_mfb_region_s": 23, "cq_mfb_block_siz": 23, "cq_mfb_item_width": 23, "rc_mfb_region": 23, "rc_mfb_region_s": 23, "rc_mfb_block_siz": 23, "rc_mfb_item_width": 23, "cc_mfb_region": 23, "cc_mfb_region_s": 23, "cc_mfb_block_siz": 23, "cc_mfb_item_width": 23, "rq_mfb_region": 23, "rq_mfb_region_s": 23, "rq_mfb_block_siz": 23, "rq_mfb_item_width": 23, "dma_port": 23, "dma_ep": 23, "pcie_ep": 23, "pcie_clk": 23, "pcie_con": 23, "lane": [23, 123, 143], "card_id_width": 23, "boolean": [23, 31, 32, 33, 34, 35, 39, 41, 51, 55, 64, 71, 73, 74, 78, 79, 81, 82, 83, 86, 89, 91, 93, 94, 95, 97, 98, 102, 106, 109, 110, 111, 112, 113, 114, 115, 118, 119, 121, 122, 125, 127, 129, 130, 132, 137], "rq": [23, 133], "rc": [23, 133], "cq": [23, 70, 71, 129, 132, 133], "cc": [23, 129, 132, 133], "xvc_enabl": 23, "xcv": 23, "stratix10": [23, 30, 31, 32, 33, 36, 39, 41, 62, 69, 73, 74, 75, 79, 80, 85, 89, 90, 91, 94, 95, 98, 99, 109, 113, 118, 121, 125, 127, 132, 133], "pcie_sysclk_p": 23, "pcie_sysclk_n": 23, "pcie_sysrst_n": 23, "init_done_n": 23, "ninit_don": 23, "releas": [23, 134, 166], "pcie_user_clk": 23, "pcie_user_reset": 23, "pcie_rx_p": 23, "pcie_rx_n": 23, "pcie_tx_p": 23, "pcie_tx_n": 23, "pcie_mp": 23, "pcie_mrr": 23, "pcie_ext_tag_en": 23, "pcie_10b_tag_req_en": 23, "pcie_rcb_siz": 23, "rcb": 23, "card_id": 23, "compar": [23, 37, 55, 69, 82, 109, 135, 139, 146, 148, 149, 150, 151, 156, 160, 170], "gap": [23, 44, 80, 87, 88, 95, 96, 120, 125, 126, 127, 142, 145, 152, 154, 156, 174], "src_rdy": [23, 32, 72, 96, 119, 120, 156, 157, 159, 170], "dma_rq_mfb_data": 23, "dma_rq_mfb_meta": 23, "pcie_rq_meta_width": [23, 71], "dma_rq_mfb_sof": 23, "dma_rq_mfb_eof": 23, "dma_rq_mfb_sof_po": 23, "dma_rq_mfb_eof_po": 23, "dma_rq_mfb_src_rdi": 23, "dma_rq_mfb_dst_rdi": 23, "dma_rq_mvb_data": 23, "dma_uphdr_width": [23, 82, 89], "dma_rq_mvb_vld": 23, "dma_rq_mvb_src_rdi": 23, "dma_rq_mvb_dst_rdi": 23, "dma_rc_mfb_data": 23, "dma_rc_mfb_meta": 23, "pcie_rc_meta_width": 23, "dma_rc_mfb_sof": 23, "dma_rc_mfb_eof": 23, "dma_rc_mfb_sof_po": 23, "dma_rc_mfb_eof_po": 23, "dma_rc_mfb_src_rdi": 23, "dma_rc_mfb_dst_rdi": 23, "dma_rc_mvb_data": 23, "dma_downhdr_width": [23, 82, 89], "dma_rc_mvb_vld": 23, "dma_rc_mvb_src_rdi": 23, "dma_rc_mvb_dst_rdi": 23, "dma_cq_mfb_data": 23, "dma_cq_mfb_meta": 23, "pcie_cq_meta_width": [23, 66, 70, 71, 132], "dma_cq_mfb_sof": 23, "dma_cq_mfb_eof": 23, "dma_cq_mfb_sof_po": 23, "dma_cq_mfb_eof_po": 23, "dma_cq_mfb_src_rdi": 23, "dma_cq_mfb_dst_rdi": 23, "dma_cc_mfb_data": 23, "dma_cc_mfb_meta": 23, "pcie_cc_meta_width": [23, 70, 71, 132], "dma_cc_mfb_sof": 23, "dma_cc_mfb_eof": 23, "dma_cc_mfb_sof_po": 23, "dma_cc_mfb_eof_po": 23, "dma_cc_mfb_src_rdi": 23, "dma_cc_mfb_dst_rdi": 23, "mi32": [23, 73, 81, 107, 123, 125, 127, 132, 137], "root": [23, 30, 156], "tree": [23, 148, 149, 170], "accur": [24, 25, 137], "tsu_gen": [24, 137], "puls": [24, 137, 148, 149, 170, 174], "pp": [24, 95, 137], "mark": [24, 34, 72, 100, 112, 114, 166], "recept": [24, 25], "unix": 24, "epoch": 24, "63": [24, 37, 57, 137], "999": [24, 137], "applianc": 25, "mediat": 25, "rom": [25, 132, 170], "dedic": [25, 30, 80, 174], "stamp": [25, 125], "qspi": [25, 48], "reboot": 25, "anyth": [26, 46, 85, 87, 109, 145], "100ge": 26, "25ge": 26, "agi": 26, "fh400g": 26, "pseudo": [26, 37, 55, 170], "occur": [26, 30, 37, 43, 44, 50, 51, 52, 54, 55, 67, 79, 82, 88, 96, 115, 120, 125, 126, 134, 135, 144, 145, 156, 174], "help": [26, 44, 55, 56, 80, 156], "analysi": [26, 139, 140, 141, 144, 145, 148, 149, 150, 151, 159, 160], "seem": [26, 37], "could": [26, 37, 38, 42, 44, 45, 79, 96, 109, 120, 156, 160], "asynchron": [26, 29, 32, 37, 81, 100, 102, 161], "clk": [26, 30, 31, 33, 34, 35, 36, 38, 39, 42, 49, 50, 51, 52, 54, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 99, 103, 104, 105, 106, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 121, 122, 126, 130, 132, 136, 137, 145, 156, 161], "sdc": 26, "reconfigur": [26, 172, 173, 176], "qsf": 26, "25g": 26, "91": 26, "134": 26, "10ge": [26, 148, 149], "profile_swap": 26, "made": [26, 43, 54, 55, 126, 156, 158, 161, 174], "swap": [26, 94], "five": [26, 96, 152, 157, 162], "s_ch": 26, "start_channel": 26, "ch": 26, "_start": 26, "channel_": 26, "treatment": 26, "choic": [26, 33, 162], "s_p": 26, "start_profil": 26, "appli": [26, 31, 45, 72, 79, 80, 81, 85, 88, 120, 125, 126], "e_p": 26, "end_profil": 26, "sp": 26, "_help_": 26, "d": [26, 55, 56, 72, 107], "async_bus_handshak": [28, 30], "cross": [28, 45, 53, 55, 81, 102, 156, 174], "handshak": [28, 120, 170], "significantli": [28, 30, 53], "dual": [28, 32, 53, 68, 171], "async_gener": 28, "rise": [28, 53, 55, 159, 170], "fall": [28, 32, 97, 100, 109, 134, 135], "async_open_loop": 28, "simpler": [28, 37, 171], "ratio": 28, "async_open_loop_smd": 28, "open_loop": 28, "set_max_delai": 28, "constraint": [28, 30, 144, 156], "counter": [28, 37, 42, 50, 52, 54, 55, 62, 64, 65, 67, 69, 70, 71, 74, 82, 85, 91, 115, 123, 125, 127, 162, 170, 174], "grai": 28, "async_reset": [28, 174], "deactiv": 28, "jakub": 28, "bachelor": 28, "thesi": [28, 42, 44, 135], "2014": 28, "2015": 28, "ram": [29, 32, 39, 41, 51, 58, 59, 60, 62, 64, 68, 69, 71, 102, 118], "multiplex": [29, 42, 116, 119, 170], "encod": [29, 123, 144, 160, 170], "decod": [29, 66, 107, 123, 170, 177], "dsp": [29, 69, 71, 127, 136, 137, 170], "shift": [29, 33, 35, 44, 48, 76, 99, 100, 106, 108, 118, 121, 126, 137, 166, 170], "miscellan": 29, "easier": [30, 37, 72, 109, 143], "uniform": [30, 139, 150, 151, 156], "sythesi": 30, "languag": [30, 156], "object": [30, 139, 142, 148, 149, 150, 151, 152, 154, 155, 160, 161], "complex": [30, 37, 44, 62, 64, 71, 87, 88, 134, 148, 149], "compos": [30, 96, 137, 159], "recurs": 30, "bundl": 30, "recomend": [30, 43], "exception": 30, "subdirectori": 30, "while": [30, 37, 43, 88, 100, 107, 125, 126, 145, 161, 174], "serv": [30, 69, 70, 79, 96, 123, 132, 140, 141, 144, 156, 170], "begin": [30, 37, 57, 61, 63, 75, 87, 96, 109, 126, 142, 143, 145, 154, 155, 156, 161], "package_nam": 30, "sooner": 30, "preserv": [30, 87], "verilog": 30, "scope": [30, 47], "distinguish": [30, 72, 87, 96], "commonli": [30, 107, 145, 146, 156, 162], "git": 30, "pars": [30, 66, 156, 177], "systemverilog": [30, 179], "dpi": 30, "doesn": [30, 108, 109, 125, 142, 145, 152, 154, 155, 156], "t": [30, 37, 42, 45, 50, 55, 56, 87, 96, 107, 108, 109, 123, 125, 137, 142, 145, 152, 154, 155, 156, 160], "prefix": [30, 47, 72, 156], "lib": 30, "nor": [30, 42, 88, 107, 170], "suffix": [30, 72, 79, 156], "dll": 30, "filenam": 30, "predefin": [30, 109, 139, 145, 150, 151], "everi": [30, 37, 50, 51, 55, 58, 63, 66, 68, 87, 95, 96, 126, 139, 144, 150, 151, 156, 158, 162], "Their": [30, 120], "ancestor": 30, "prefer": [30, 87], "myfil": 30, "better": [30, 32, 39, 41, 42, 47, 55, 91, 97, 106, 107, 110, 115, 117, 126, 145, 156], "faster": 30, "another_lib": 30, "sim_modul": 30, "glbl": 30, "overrid": [30, 42, 95], "constr_quartu": 30, "constr_vivado": 30, "vivado_ip_xact": 30, "xci": 30, "scoped_to_ref": 30, "set_properti": 30, "processing_ord": 30, "used_in": 30, "vivado_set_properti": 30, "vsim": [30, 156], "extra_modul": 30, "testbench": [30, 162], "sim_lib": 30, "l": 30, "extra_librari": 30, "dp_bmem_behav": 30, "quiet": 30, "file_typ": 30, "vhdl98": 30, "bus_handshak": 30, "xdc": 30, "late": 30, "make_param": 30, "hfe": [30, 162], "hfe_top": 30, "reli": 30, "file_to_work": 30, "anotherlib": 30, "file_to_anotherlib": 30, "hfe_empti": 30, "hfe_pip": 30, "hfe_pars": 30, "hfe_ful": 30, "anywher": [30, 87], "synth": 30, "synthes": 30, "top_level_": 30, "ofm_path": [30, 156], "top_level_path": 30, "top_level_archgrp": 30, "clk_port": 30, "clk_period": [30, 156, 162], "float": [30, 125, 127], "unspecifi": 30, "formula": 30, "lazi": 30, "rx_clk": [30, 95, 97, 101, 125, 127, 145], "tx_clk": [30, 95, 97, 101, 125, 127], "500": [30, 158, 162], "000": [30, 33, 43, 45, 136, 137], "phoni": 30, "comp_": 30, "fetch": 30, "quartust": 30, "constr_text": 30, "leav": [30, 109, 118], "untouch": 30, "unchang": [30, 38, 71, 87, 126], "targ": 30, "synthesis": 30, "date": 30, "rebuild": 30, "proc": 30, "target_default": 30, "practic": [30, 96, 156], "scheme": [30, 64, 70, 71], "synthfil": 30, "varabl": 30, "neccessari": 30, "interpret": [30, 119], "tune": 30, "nb_main": 30, "entri": [30, 70, 122], "fine": [30, 72], "tunnig": 30, "extra": [30, 125, 127, 156, 166], "program": 30, "being": [30, 38, 79, 87, 88, 91, 96, 107, 108, 120, 125, 127, 142, 154], "callback": 30, "modelsim": [30, 109, 156], "fdo": [30, 33], "impact": 30, "vhdl2008": 30, "newli": [30, 42, 43, 107], "At": [30, 42, 46, 80, 87, 88, 100, 107, 134, 140, 141, 156], "explicit": 30, "deserv": 30, "explan": 30, "awar": 30, "none": [30, 33, 43, 86, 87, 99, 116], "remad": 30, "suppli": 30, "gather": 30, "mk": 30, "approach": [30, 107, 156], "hide": 30, "caveat": 30, "unreli": 30, "henc": [30, 79, 107], "shadow": [30, 126], "real": [30, 87, 126, 128, 137], "aren": [30, 109], "export": [30, 140, 141, 142, 144, 152, 154, 155], "subprocess": 30, "except": [30, 42, 66, 76, 87, 148, 149], "keyword": 30, "tclsh": 30, "he": [30, 37, 42, 159, 162], "user_env": 30, "necess": 30, "trigger": [30, 32, 33, 34, 45, 95, 97, 99, 101, 121], "ttarget_": 30, "starget_": 30, "target_myproc": 30, "ttarget_myproc": 30, "stem": 30, "bare": 30, "ttarget": 30, "starget": 30, "best": [30, 71, 110], "dt": [30, 128], "dtb": [30, 128], "neither": [30, 42, 88, 107], "synth_onli": 30, "skip": [30, 79], "phase_sav": 30, "archiv": 30, "virtex7": [30, 129], "famili": 30, "xcvu7p": 30, "flvb2104": 30, "setup_flag": 30, "use_xpm_librari": 30, "xpm_cdc": 30, "xpm_memori": 30, "xpm_fifo": 30, "dsp_compar": 31, "blok": 31, "input_data_width": 31, "unlimit": 31, "input_regs_en": 31, "1st": [31, 87, 96], "2nd": [31, 87, 96], "smaller": [31, 55, 65, 88, 161], "dsp_enabl": 31, "7seri": [31, 32, 33, 39, 40, 41, 60, 79, 82, 84, 86, 89, 99, 106, 111, 118, 121, 133], "clk_en": [31, 143], "input_1": 31, "comparison": [31, 145], "input_2": 31, "01": [31, 107, 160], "dec": [31, 127], "suitabl": [32, 156, 166], "altera": [32, 106], "lutram": [32, 122], "mlab": 32, "data_width": [32, 33, 34, 38, 39, 40, 41, 52, 72, 102, 103, 105, 106, 107, 109, 111, 114, 116, 138, 148, 149, 152, 156, 158, 160, 162], "depth": [32, 33, 39, 41, 69, 73, 97, 99, 101, 113, 116, 118, 121], "ram_typ": [32, 33, 34, 97, 99, 102, 121], "lut": [32, 33, 69, 97, 99, 102, 118, 121, 166, 171], "effect": [32, 34, 97, 99, 118, 121, 123, 166, 171], "shallow": [32, 97], "approx": [32, 97], "fwft_mode": [32, 97], "rd_en": [32, 39, 41, 68, 97], "output_reg": [32, 35, 39, 41, 78, 97, 112, 113, 114, 122, 130], "flip": [32, 97], "flop": [32, 97], "arria10": [32, 33, 39, 41, 99, 118, 121], "almost_full_offset": [32, 33, 34, 97, 99, 121], "free": [32, 33, 34, 44, 73, 97, 99, 100, 101, 121, 123, 127, 134, 135, 143], "wr_aful": [32, 97], "currently_stor": [32, 33, 34], "almost_empty_offset": [32, 33, 34, 97, 99, 121], "rd_aempti": [32, 97], "wr_clk": [32, 41], "wr_rst": [32, 41], "wr_data": [32, 39, 41, 98], "wr_en": [32, 39, 41, 98], "wr_full": 32, "accept": [32, 42, 48, 57, 61, 65, 70, 72, 80, 85, 91, 96, 103, 107, 109, 119, 120, 158, 159], "ignor": [32, 37, 39, 41, 96, 97, 107, 125, 126, 127], "dst_rdy": [32, 72, 96, 100, 111, 119, 120, 134, 135, 142, 154, 155, 156, 157, 159, 170], "wr_statu": 32, "rd_clk": [32, 41], "rd_rst": [32, 41], "rd_data": [32, 39, 41, 68], "rd_empti": 32, "rd_statu": 32, "implicit": 33, "delai": [33, 42, 45, 59, 79, 87, 107, 145, 158, 161], "wr": [33, 34, 55, 95, 100, 107, 158], "di": [33, 34, 40, 94, 145, 170], "aful": [33, 34, 121], "aempti": [33, 34, 121], "least": [33, 44, 55, 78, 103, 108, 111], "fifox_ram_typ": [33, 34], "what": [33, 99, 109, 118, 121, 142, 156, 162], "fake_fifo": [33, 121], "straight": [33, 88, 121], "note": [33, 37, 73, 79, 91, 96, 107, 109, 120, 142, 152, 154], "example1": 33, "uram": [33, 99, 118, 121, 166, 171], "72": [33, 99, 118, 121], "288": 33, "rd": [33, 34, 55, 95, 107, 158], "todo": [33, 125, 156, 170, 174], "p\u0159idat": 33, "blokov\u00fd": 33, "komponenti": 33, "coverag": 33, "uncom": 33, "top_level": [33, 156], "scoreboard": [33, 145], "random": [33, 55, 109, 115, 139, 142, 143, 144, 146, 148, 149, 150, 151, 152, 154, 155, 156, 158, 159, 160, 161, 162, 170], "verifi": [33, 79, 82, 143, 156], "classic": 33, "3th": 33, "fifox_multi": [34, 80, 166], "fit": [34, 37, 43, 44], "amount": [34, 39, 44, 63, 80, 83, 87, 96, 111, 119, 120, 143, 144, 160, 171], "nearest": [34, 80], "write_port": [34, 39, 40], "read_port": [34, 39, 40], "shakedown": [34, 115, 118, 175], "spent": 34, "allow_single_fifo": 34, "lead": [34, 44, 84, 88, 95], "safe_read_mod": 34, "safe": [34, 42, 102], "attempt": 34, "wors": 34, "confirm": [34, 42, 46, 60, 174], "invalid": [34, 47, 80, 87, 88, 107, 120, 130, 158], "0001": [34, 120, 131, 133], "0011": [34, 131], "0111": [34, 131], "1111": [34, 131], "permut": 34, "forbidden": [34, 38, 72, 170], "barrel_shifter_gen": [35, 126], "256": [35, 55, 71, 72, 110, 129, 132, 162], "block_siz": [35, 58, 59, 61, 73, 74, 76, 77, 78, 79, 81, 83, 86, 88, 90, 92, 93, 96, 99, 142, 154, 155, 156, 157], "shift_left": 35, "data_in": [35, 38], "data_out": 35, "sel": [35, 54], "barrel_shifter_gen_pip": 35, "block_width": [35, 39, 41], "bar_shift_lat": 35, "input_reg": [35, 111], "metadata_width": [35, 39, 41, 42, 97], "rotat": [35, 42], "rx_sel": [35, 111], "unus": [35, 41, 72, 103, 137, 174], "mux_lat": 35, "rx_data": [35, 72, 76, 77, 78, 79, 80, 86, 88, 92, 93, 97, 99, 101, 110, 111, 112, 115, 116, 118, 119, 121], "rx_metadata": 35, "rx_src_rdy": [35, 72, 76, 77, 78, 79, 80, 86, 88, 92, 93, 97, 99, 101, 110, 111, 112, 115, 116, 118, 119, 121], "rx_dst_rdy": [35, 72, 76, 77, 79, 80, 86, 88, 92, 93, 97, 99, 101, 110, 111, 112, 115, 116, 118, 119, 121], "tx_data": [35, 72, 76, 77, 78, 79, 80, 86, 88, 92, 93, 97, 99, 101, 110, 111, 112, 113, 115, 116, 118, 119, 121], "tx_metadata": 35, "tx_src_rdy": [35, 72, 76, 77, 78, 79, 80, 86, 88, 92, 93, 97, 99, 101, 110, 111, 112, 113, 115, 116, 118, 121, 126], "tx_dst_rdy": [35, 72, 76, 77, 79, 80, 86, 88, 92, 93, 97, 99, 101, 110, 111, 112, 113, 115, 116, 118, 121, 126], "cnt_multi_memx": [36, 170], "traget": [36, 62, 69], "cnt_width": 36, "inc_width": 36, "inc_fifo_s": 36, "inc_ch": 36, "inc_val": 36, "inc_vld": 36, "inc_rdi": 36, "rst_ch": 36, "rst_vld": 36, "rd_ch": 36, "rd_vld": 36, "old": [36, 80, 82, 137, 171, 174], "appear": [36, 88, 96, 100, 126], "rd_val": 36, "parallel": [37, 42, 44, 52, 80, 108, 134, 170, 174], "friendli": 37, "reason": [37, 42, 43, 44, 72, 88, 125, 127, 134, 156, 161], "why": [37, 107, 156, 161], "solv": [37, 42, 46, 114, 170], "yourself": [37, 44], "consecut": [37, 43, 87, 96, 120, 126, 139, 150, 151], "put": [37, 92, 100, 118, 156], "asid": 37, "fast": [37, 148, 149, 170], "recent": 37, "complic": [37, 88, 108, 158], "nessesari": 37, "collis": [37, 42, 51], "np_lutram": [37, 40, 170, 171], "quadrat": 37, "consumpt": [37, 82, 109], "demonstr": [37, 79, 96, 120], "sai": [37, 42, 88, 107, 148, 149, 160, 166], "i0": 37, "i1": 37, "i2": 37, "decrement": [37, 158], "iset": 37, "cnt_next": 37, "cnt": [37, 50, 54, 55, 170], "sinc": [37, 42, 43, 44, 68, 88, 91, 100, 134], "worst": [37, 44], "might": [37, 42, 46, 88, 100, 107, 108, 109, 114, 156], "came": 37, "But": [37, 44, 96, 107, 158], "infrom": 37, "alon": 37, "deduc": 37, "whether": [37, 41, 45, 80, 85, 87, 91, 96, 100, 107, 125, 127, 137, 156, 158, 174], "inrement": 37, "luckili": 37, "i0_incr": 37, "i1_incr": 37, "i2_incr": 37, "i0_deccr": 37, "i1_deccr": 37, "i2_deccr": 37, "op_item_sel": 37, "op_oper": 37, "operatio": 37, "op_meta": 37, "don": [37, 42, 45, 55, 107, 109, 125, 156, 160], "op_": 37, "u": [37, 43, 109, 156], "later": [37, 43, 66, 107, 138], "generali": 37, "op_in_": 37, "op_out_data": 37, "someth": [37, 145], "operators_pr": 37, "op_in_sel": 37, "op_in_src": 37, "op_in_op": 37, "op_in_data": 37, "op_in_meta": 37, "tmp": [37, 50], "op_data_out": 37, "op_data_in": 37, "overwrit": [37, 80, 107], "join": [37, 88, 156], "reciev": [37, 105, 107], "quick_reset_en": 37, "reset_v": 37, "sr_sync_latch": [38, 170], "synchrnou": 38, "enhanc": 38, "assert": [38, 53, 55, 57, 80, 85, 90, 91, 95, 96, 103, 107, 111, 120, 123, 125, 127, 140, 141, 148, 149, 156, 158, 159, 162], "behav": [38, 68, 70, 79, 142, 152, 154, 155, 156, 159], "deassert": [38, 96, 103, 107, 120, 126, 162], "arbitrari": [38, 41, 42, 45, 62, 79, 83, 88, 95, 107, 120, 126, 156, 174], "driven": [38, 45], "pure": [38, 136, 145], "combinatori": 38, "circuit": 38, "advantag": [38, 42, 109, 156], "meet": [38, 126], "closur": 38, "latch_out": 38, "clear": [38, 51, 73, 96, 107, 125, 127, 162, 170], "usag": [38, 45, 53, 55, 56, 82, 134, 146, 170], "omit": 38, "mp_bram": [39, 171], "restrict": [39, 42, 62, 64, 71, 76, 86, 96, 99, 156, 171], "block_en": [39, 41], "4096": 39, "9": [39, 41, 50, 54, 67, 69, 94, 109, 123, 136, 137], "throw": [39, 41], "replic": 39, "wr_be": [39, 41], "wr_addr": [39, 41, 98], "rd_data_vld": [39, 41], "rd_pipe_en": [39, 41], "rd_meta_in": [39, 41], "rd_addr": [39, 41, 68], "rd_meta_out": [39, 41], "wclk": 40, "addra": 40, "addrb": 40, "dob": 40, "sdp_bram": [41, 171], "common_clock": 41, "sdp_bram_b": 41, "abstract": [41, 156], "effectiv": 41, "src_buf": [42, 174], "dst_buf": [42, 174], "tran": [42, 134, 174], "tick": [42, 49, 52, 54, 55, 87, 162], "overlap": [42, 55], "plan": [42, 44], "track": 42, "progress": 42, "data_dir": 42, "use_clk2": 42, "use_clk_arb": 42, "planner": [42, 74, 95, 174], "crossbar": 42, "clk_arb": [42, 95], "spike": 42, "trans_stream": 42, "buf_a_col": 42, "column": [42, 109], "buf_a_stream_row": 42, "row": [42, 87], "buf_b_col": 42, "buf_b_row": 42, "buf_a_sect": 42, "overflow": [42, 44, 50, 51, 54, 125, 134, 135], "buf_b_sect": 42, "row_item": 42, "item_width": [42, 61, 73, 74, 76, 77, 78, 79, 81, 83, 86, 88, 90, 92, 93, 96, 99, 110, 112, 118, 119, 120, 121, 142, 150, 151, 152, 154, 155, 156, 157, 159, 162], "transs": 42, "trans_mtu": 42, "trans_fifo_item": 42, "await": 42, "trans_comp_": 42, "moment": [42, 87, 125, 127, 142, 154], "never": [42, 44, 79, 107, 161], "color_timeout_width": 42, "color": [42, 109], "timeout": [42, 82, 115, 145], "expir": 42, "color_conf_delai": 42, "greater": [42, 73, 80, 95, 118, 125, 137, 159], "averag": [42, 44, 49, 50, 54, 95], "rd_latenc": 42, "data_mux_lat": 42, "data_mux_outreg_en": 42, "data_rot_lat": 42, "data_rot_outreg_en": 42, "arriv": [42, 46, 79, 100, 125, 126, 140, 141, 174], "new_rx_tran": 42, "rx_uinstr_src_rdi": 42, "break": [42, 119, 138, 156, 157], "dir": [42, 148, 149, 160], "dimens": [42, 148, 149, 160], "IN": [42, 148, 149, 160], "clk2": [42, 95, 170], "reset_arb": 42, "trans_a_col": 42, "trans_a_item": 42, "trans_b_col": 42, "trans_b_item": 42, "trans_len": 42, "trans_meta": 42, "trans_vld": 42, "trans_src_rdi": 42, "trans_dst_rdi": 42, "src_buf_rd_addr": 42, "src_buf_row": 42, "src_buf_col": 42, "src_buf_rd_data": 42, "dst_buf_wr_addr": 42, "dst_buf_row": 42, "dst_buf_col": 42, "dst_buf_wr_data": 42, "dst_buf_wr_i": 42, "dst_buf_wr_en": 42, "trans_comp_meta": 42, "trans_comp_src_rdi": 42, "trans_comp_dst_rdi": 42, "fifox": [42, 44, 46, 70, 89, 113, 118, 134, 156, 166, 172, 175], "compli": 42, "wide": [42, 43, 72, 88, 106, 107, 109, 128], "od": 42, "somewher": [42, 44, 142, 152, 154], "desctin": 42, "preprocess": 42, "disect": 42, "atom": [42, 125], "long": [42, 45, 46, 57, 96, 100, 107, 174], "colid": 42, "subset": 42, "sorter": 42, "elimin": [42, 44, 135], "starvat": 42, "conform": 42, "bear": 42, "fashion": 42, "intend": [42, 123], "jan": [42, 44, 135], "kubalek": [42, 44, 135], "2019": [42, 44, 135], "20": [42, 44, 55, 80, 123, 135, 145, 156], "interv": [43, 87], "accumult": 43, "concurr": 43, "max_concurrent_ev": 43, "older": [43, 79], "reffer": 43, "view": 43, "abl": [43, 44, 48, 49, 77, 87, 100, 107, 120, 125, 127, 134, 156, 174], "evolut": 43, "rough": 43, "lot": [43, 119, 156, 161], "exactli": [43, 55, 87, 116], "afterward": 43, "capture_en": 43, "capture_fifo_item": 43, "pop": 43, "traffic": [43, 85, 87, 139, 148, 149, 150, 151, 156, 160], "cours": [43, 105], "plot": 43, "graph": [43, 50, 55, 56], "mfb_auxiliary_sign": [43, 93], "vector": [43, 69, 94, 96, 104, 118, 120, 142, 146, 152, 154, 158, 159, 170, 174], "quantum": 43, "accumul": [43, 44, 80, 85, 91, 143, 144], "digit": 43, "024": 43, "milisecond": 43, "outsid": [44, 78, 174], "inter": [44, 127, 145, 156, 174], "align": [44, 48, 57, 61, 63, 72, 80, 88, 96, 108, 113, 117, 120, 123, 126, 133, 156, 174], "pointer": [44, 58, 59, 60, 62, 64, 66, 67, 69, 70, 71, 87, 98, 156], "deficit": [44, 95, 127, 174], "idl": [44, 87, 95, 120, 123, 127, 143, 144, 160, 174], "algorithm": [44, 94, 95, 110, 145, 156, 174], "freed": [44, 134], "meant": [44, 100, 107], "infinit": 44, "pakcet": 44, "linear": [44, 54], "origin": [44, 46, 76, 79, 84, 88, 108, 174], "global_out_en": 44, "stream_out_en": 44, "heavili": 44, "appart": [44, 88, 135], "reg0": [44, 46], "reg4": 44, "aproxim": 44, "bottleneck": 44, "og": 44, "reduct": [44, 88], "planned_pkt": 44, "cost": 44, "stream_out_aful": 44, "global_out_aful": 44, "save": [44, 50, 53, 56, 117, 148, 149, 156, 159, 170], "ca": 44, "pulse_short": [45, 174], "shorten": [45, 174], "bclk": 45, "outuput": [45, 67], "arbirarili": 45, "delay_count": 45, "cdc": 45, "async_mask": 45, "048": 45, "think": 45, "throgh": 45, "rst": [45, 49, 50, 51, 52, 55, 56, 57, 61, 63, 74, 83, 90, 99, 126, 156], "en": 45, "aclk": 45, "pulse_out": 45, "durat": [45, 52, 91], "sorag": 46, "correspons": 46, "happen": [46, 51, 78, 80, 100, 118, 156], "deem": 46, "plu": [46, 78, 173], "minu": 46, "down": [46, 87, 108, 109, 126], "crossbarx": [46, 127, 172, 174], "dma_bus_pack": 47, "lenght": 47, "dword": [47, 68, 128, 129, 130, 132, 133], "4b": [47, 128], "firstib": 47, "BE": [47, 87, 107, 108, 162], "lastib": 47, "unitid": 47, "64b": [47, 137], "vfid": 47, "pasid": 47, "granular": 47, "pasidvld": 47, "tlp": [47, 130], "relax": [47, 133], "bridg": [48, 55], "secur": [48, 169], "mailbox": [48, 156], "peripher": 48, "temperatur": [48, 174], "sensor": 48, "voltag": [48, 174], "quad": 48, "rsu": 48, "remot": 48, "32bit": [48, 156], "unalign": [48, 72, 88], "span": [48, 96], "argument": [48, 55, 56, 156], "sequenc": [48, 55, 123, 138, 145, 146, 157, 158, 161, 162], "waitrequest": [48, 103], "ground": 48, "capac": 48, "interrupt": [48, 55, 87, 126, 174], "irq": 48, "ier": 48, "isr": 48, "mi2avmm": [48, 173], "wrap": [49, 50, 55, 143], "around": [49, 88, 108, 109, 134, 135], "log": [49, 50, 57, 156], "latency_met": [49, 52], "mem_burst_count_width": 49, "mem_freq_khz": 49, "histogram_box": [49, 55], "255": [49, 72, 123], "precis": [49, 51, 55, 72, 85, 91, 137], "max_paralel_read": 49, "paral": 49, "latency_ticks_width": 49, "rst_done": [49, 50, 51], "mem_readi": 49, "mem_read": 49, "mem_writ": 49, "mem_address": 49, "mem_read_data": 49, "mem_write_data": 49, "mem_burst_count": 49, "mem_read_data_valid": 49, "mem_logger_i": 49, "mem_mi_dwr": 49, "mem_mi_addr": 49, "mem_mi_b": 49, "mem_mi_rd": 49, "mem_mi_wr": 49, "mem_mi_ardi": 49, "mem_mi_drd": 49, "mem_mi_drdi": 49, "event": [50, 52, 102, 148, 149, 174], "occurr": [50, 107, 144], "submit": 50, "maxim": [50, 54, 55, 125], "box": [50, 51], "ctrlo": 50, "ctrli": 50, "cnter_cnt": 50, "value_cnt": 50, "ctrlo_width": 50, "ctrli_width": 50, "cnter_width": 50, "value_width": 50, "i_array_t": [50, 109], "min_en": 50, "b_array_t": [50, 109], "max_en": 50, "sum_en": 50, "hist_en": 50, "sum_extra_width": 50, "hist_box_cnt": 50, "hist_box_width": 50, "ctrlo_default": 50, "instrfac": 50, "sw_rst": 50, "cnters_incr": 50, "cnters_submit": 50, "sumbit": 50, "cnters_diff": 50, "values_vld": 50, "data_logger_i": 50, "cnter_incr_2": 50, "cnter_incr_1": 50, "cnter_incr_0": 50, "cnter_diff_2": 50, "cnter_diff_1": 50, "cnter_diff_0": 50, "cnter_submit_2": 50, "cnter_submit_1": 50, "cnter_submit_0": 50, "value_vld_2": 50, "value_vld_1": 50, "value_vld_0": 50, "value_2": 50, "value_1": 50, "value_0": 50, "interact": [50, 87, 107], "matplotlib": 50, "pdf": [50, 56], "markdown": [50, 55], "ctrl": [50, 53, 54, 55, 125, 163, 174], "0x0004": [50, 55], "stat": [50, 78, 125, 127], "0x0008": [50, 55], "0x000c": [50, 55], "slice": [50, 53, 166, 170], "0x0010": [50, 55], "hist": [50, 54], "0x0014": [50, 55], "value_en": 50, "cnter": 50, "histogramm": 50, "sequenti": [51, 55, 156], "given": [51, 52, 87, 91, 96, 120, 156], "input_width": 51, "box_width": 51, "probabl": [51, 142, 152, 154], "box_cnt": 51, "read_prior": 51, "clear_by_read": 51, "eras": [51, 100], "clear_by_rst": 51, "input_vld": 51, "read_req": 51, "read_addr": 51, "adress": [51, 66, 70, 103], "read_box_vld": 51, "read_box": 51, "histogrammer_i": 51, "max_paralel_ev": 52, "start_ev": 52, "end_ev": 52, "latency_vld": 52, "fifo_ful": 52, "curent": 52, "fifo_item": [52, 97, 101], "latency_meter_i": 52, "dp_bram": [53, 171], "burst_cnt": [53, 56], "amm_data_width": [53, 55], "edge_detect": [53, 170], "fsm": [53, 55, 126], "buff": [53, 55, 56], "0x0c": [53, 54, 72, 74, 87, 105, 125, 127, 128, 137], "depreci": [54, 55, 56], "listen": 54, "req": [54, 156, 159, 161, 162], "0x14": [54, 74, 87, 105, 125, 127, 128, 137], "0x18": [54, 74, 87, 109, 125, 127, 128, 137], "0x1c": [54, 74, 125, 127, 128, 137], "0x24": [54, 74, 125, 127, 137], "0x28": [54, 125, 127], "0x2c": [54, 125, 127], "0x34": [54, 125], "0x38": [54, 125], "0x3c": [54, 125], "khz": [54, 55], "0x44": 54, "0x48": 54, "ddr": 55, "failur": 55, "workflow": 55, "realist": [55, 79], "mi_async": [55, 102], "adn": 55, "amm_gen": [55, 56], "amm_addr_width": 55, "amm_burst_count_width": 55, "rand_gen_data_width": 55, "seri": [55, 70, 131, 170], "alow": 55, "se": [55, 61], "lfsr_simple_random_gen": [55, 170], "rand_gen_addr_width": 55, "amm_addr": 55, "random_data_se": 55, "seed": 55, "random_addr_se": 55, "resiz": [55, 88, 135], "3fbf807": 55, "refr_req_before_test": 55, "refr_period_width": 55, "def_refr_period": 55, "amm_probe_en": 55, "default_burst_cnt": 55, "default_addr_limit": 55, "shoud": 55, "debug_rand_addr": 55, "forc": 55, "amm_clk": 55, "amm_rst": 55, "amm_readi": 55, "amm_read": 55, "amm_writ": 55, "amm_address": 55, "amm_read_data": 55, "amm_write_data": 55, "amm_burst_count": 55, "amm_read_data_valid": 55, "refr_period": 55, "refr_req": 55, "refr_ack": 55, "emif_ecc_isr": 55, "whenev": [55, 79, 90, 156], "mi_rst": 55, "print": [55, 56, 139, 145, 148, 149, 150, 151, 156, 160, 162], "concret": 55, "manuali": 55, "h": [55, 56, 135, 145], "c": [55, 107, 156], "logger": [55, 164], "scale": [55, 119], "gen_burst": 55, "messag": [55, 145, 156], "exit": 55, "relat": [55, 96, 98, 156], "rand": [55, 56, 156], "simult": 55, "16777215": 55, "67108860": 55, "137": [55, 162], "03": 55, "66": [55, 123], "41": 55, "80": [55, 72], "250": [55, 71], "75": 55, "1393": 55, "1643": 55, "97": 55, "630": 55, "69": 55, "16165552": 55, "87": 55, "62962": 55, "99": 55, "241581": 55, "111": [55, 136, 137], "128501": 55, "147": 55, "153": 55, "435": 55, "441": 55, "50118": 55, "453": 55, "459": 55, "465": 55, "471": 55, "477": 55, "2570": 55, "483": 55, "489": 55, "495": 55, "62961": 55, "501": 55, "573": 55, "579": 55, "627": 55, "633": 55, "autom": 55, "Will": 55, "tb": 55, "short": [55, 126, 127], "test_mem_test": 55, "report_gen": 55, "pandoc": 55, "texliv": 55, "latex": 55, "engin": [55, 156], "yum": 55, "mem_tester_report": [55, 56], "fig": [55, 56], "raw": [55, 56], "json": 55, "mem_tester_mi": 55, "mi_splitter_plus_gen": [55, 107, 109], "amm_mux": 55, "err": 55, "0x0018": 55, "0x0040": 55, "react": 55, "amm_prob": 55, "seq": [56, 139, 150, 151, 156], "burst_id": 56, "512b": [56, 125, 129], "hexa": 56, "vld": [56, 114, 156, 159], "sv": [56, 146, 156, 162], "xml": 56, "rx_dma_hdr_insertor": 57, "rx_region_s": [57, 63, 88, 125, 127], "rx_block_siz": [57, 63, 88, 125, 127], "rx_item_width": [57, 63, 88, 125, 127], "tx_region": [57, 88, 92, 125, 127], "tx_region_s": [57, 88, 125, 127], "tx_block_siz": [57, 88, 125, 127], "tx_item_width": [57, 88, 113, 125, 127], "pkt_size_max": [57, 62, 64, 65, 67, 69, 70, 98], "eof_po": [57, 88, 157], "sof_po": [57, 63, 126, 157], "rx_mfb_data": [57, 61, 63, 75, 80, 83, 84, 85, 87, 89, 90, 91, 94, 95, 125, 126, 127], "rx_mfb_sof": [57, 61, 63, 75, 80, 83, 84, 85, 87, 89, 90, 91, 94, 95, 125, 126, 127], "rx_mfb_eof": [57, 61, 63, 75, 80, 83, 84, 85, 87, 89, 90, 91, 94, 95, 125, 126, 127], "rx_mfb_src_rdy": [57, 61, 63, 75, 80, 83, 84, 85, 87, 89, 90, 91, 94, 95, 125, 126, 127], "rx_mfb_dst_rdy": [57, 61, 63, 75, 80, 83, 84, 85, 87, 89, 90, 91, 94, 95, 126, 127], "tx_mfb_data": [57, 61, 63, 74, 75, 80, 82, 83, 84, 85, 87, 89, 90, 91, 95, 98, 117, 125, 126, 127], "tx_mfb_sof": [57, 61, 63, 74, 75, 80, 82, 83, 84, 85, 87, 89, 90, 91, 95, 98, 117, 125, 126, 127], "tx_mfb_eof": [57, 61, 63, 74, 75, 80, 82, 83, 84, 85, 87, 89, 90, 91, 95, 98, 117, 125, 126, 127], "tx_mfb_sof_po": [57, 61, 63, 74, 75, 80, 82, 83, 84, 85, 87, 89, 90, 91, 95, 98, 117, 125, 126, 127], "tx_mfb_eof_po": [57, 61, 63, 74, 75, 80, 82, 83, 84, 85, 87, 89, 90, 91, 95, 98, 117, 125, 126, 127], "tx_mfb_src_rdy": [57, 61, 63, 74, 75, 80, 82, 83, 84, 85, 87, 89, 90, 91, 95, 98, 117, 125, 126, 127], "tx_mfb_dst_rdy": [57, 61, 63, 74, 75, 80, 82, 83, 84, 85, 87, 89, 90, 91, 95, 98, 117, 125, 126, 127], "hdrm_pcie_hdr_data": 57, "127": [57, 72], "hdrm_pcie_hdr_typ": 57, "dw": [57, 133], "hdrm_pcie_hdr_src_rdy_data_tran": 57, "hdrm_pcie_hdr_src_rdy_dma_hdr": 57, "hdrm_pcie_hdr_dst_rdi": 57, "hdrm_dma_chan_num": 57, "hdrm_pkt_drop": 57, "hdrm_dma_hdr_data": 57, "hdrm_dma_hdr_src_rdi": 57, "hdrm_dma_hdr_dst_rdi": 57, "hdrm_pkt_cntr_chan": 57, "hdrm_pkt_sent_inc": 57, "hdrm_pkt_disc_inc": 57, "hdrm_pkt_size": 57, "addr_manag": 58, "ring": [58, 59, 60], "cna": 58, "addr_width": [58, 59, 60, 102, 103, 104, 105, 106, 107, 109, 156, 158], "pointer_width": [58, 59, 60, 62, 64, 66, 68], "number_of_item": [58, 59, 60], "addr_channel": [58, 59], "addr_bas": [58, 59], "addr_mask": [58, 59, 104, 109], "righ": [58, 59], "number_of_max_item": [58, 59], "addr_sw_point": [58, 59], "pointer_update_chan": [58, 59], "pointer_update_data": [58, 59], "pointer_update_en": [58, 59], "FOR": [58, 59, 174], "channel_vld": 58, "channel_reset": [58, 59], "corespond": [58, 59], "pciex": [58, 59], "addr_vld": 58, "pcie_addr_gen": 59, "acord": 59, "genead": 59, "input_s": 59, "pkt_mtu": [59, 60, 73, 75, 80, 94, 95, 145], "input_disc": 59, "input_channel": 59, "input_src_rdi": [59, 114], "input_dst_rdi": [59, 114], "out_addr": [59, 106], "out_offset": 59, "out_addr_vld": 59, "out_disc": 59, "out_last": 59, "out_first": 59, "out_dst_rdi": 59, "rx_dma_hdr_manag": 60, "fist": [60, 145, 161], "ceil": 60, "pkt_size": 60, "dma_discard": 60, "action": [60, 88], "metadata_s": 60, "start_req_channel": 60, "start_req_vld": [60, 62, 65, 69], "start_req_don": 60, "stop_req_channel": 60, "whic": 60, "stop_req_vld": [60, 62, 65, 69], "stop_req_don": 60, "addr_data_channel": 60, "addr_data_bas": 60, "addr_data_mask": 60, "addr_data_sw_point": 60, "addr_header_channel": 60, "addr_header_bas": 60, "addr_header_mask": 60, "addr_header_sw_point": 60, "hdp_update_chan": 60, "hdp_update_data": 60, "hdp_update_en": 60, "hhp_update_chan": 60, "hhp_update_data": 60, "hhp_update_en": 60, "inf_meta": 60, "inf_channel": 60, "inf_pkt_siz": 60, "inf_vld": 60, "inf_src_rdi": 60, "inf_dst_rdi": 60, "pcie_hdr_siz": 60, "pcie_hdr": 60, "pcie_hdr_vld": 60, "pcie_hdr_src_rdy_data_tran": 60, "pcie_hdr_src_rdy_dma_hdr": 60, "pcie_hdr_dst_rdi": 60, "dma_channel": 60, "dma_hdr": 60, "dma_hdr_vld": 60, "allwai": [60, 82, 88], "dma_hdr_src_rdi": 60, "dma_hdr_dst_rdi": 60, "rx_dma_input_buff": 61, "region_s": [61, 73, 74, 76, 77, 78, 79, 81, 83, 86, 88, 90, 92, 93, 96, 99, 142, 154, 155, 156, 157], "rx_mfb_sof_po": [61, 75, 80, 83, 84, 85, 87, 89, 90, 91, 94, 95, 125, 126, 127], "rx_mfb_eof_po": [61, 63, 75, 80, 83, 84, 85, 87, 89, 90, 91, 94, 95, 125, 126, 127], "rx_dma_sw_manag": 62, "descriptor": [62, 64, 71], "sw_addr_width": [62, 64], "recv_pkt_cnt_width": [62, 69], "recv_bts_cnt_width": [62, 69], "disc_pkt_cnt_width": [62, 69], "disc_bts_cnt_width": [62, 69], "mi_width": [62, 64, 69, 70, 71], "discart": [62, 69, 127], "pkt_sent_chan": [62, 67, 69, 98], "pkt_sent_inc": [62, 67, 69], "pkt_sent_byt": [62, 67, 69], "pkt_discard_chan": [62, 69], "pkt_discard_inc": [62, 69], "pkt_discard_byt": [62, 69], "start_req_chan": [62, 65, 69], "start_req_ack": [62, 65, 69], "stop_force_chan": 62, "stop_forc": 62, "stop_req_chan": [62, 65, 69], "stop_req_ack": [62, 65, 69], "enabled_chan": [62, 67, 69], "sdp_rd_chan": 62, "sdp_rd_data": 62, "shp_rd_chan": 62, "shp_rd_data": 62, "hdp_wr_chan": [62, 69], "hdp_wr_data": [62, 69], "hdp_wr_en": [62, 69], "hhp_wr_chan": [62, 69], "hhp_wr_data": [62, 69], "hhp_wr_en": [62, 69], "dba_rd_chan": 62, "dba_rd_data": 62, "hba_rd_chan": 62, "hba_rd_data": 62, "dpm_rd_chan": 62, "dpm_rd_data": 62, "hpm_rd_chan": 62, "hpm_rd_data": 62, "rx_dma_trans_buff": 63, "contol": 63, "successful": 63, "buffered_data_s": 63, "insertor": [63, 64, 123, 172], "propabl": 63, "useless": 63, "figur": [64, 70, 71, 96, 109, 120, 126, 135], "rx_dma_calypt": 64, "user_rx_mfb_region": 64, "user_rx_mfb_region_s": 64, "user_rx_mfb_block_s": 64, "user_rx_mfb_item_width": 64, "pcie_up_mfb_region": 64, "pcie_up_mfb_region_s": 64, "pcie_up_mfb_block_s": 64, "pcie_up_mfb_item_width": 64, "cntrs_width": [64, 70], "hdr_meta_width": [64, 67, 70, 71, 73, 98], "trbuf_fifo_en": 64, "user_rx_mfb_meta_hdr_meta": 64, "user_rx_mfb_meta_chan": 64, "user_rx_mfb_meta_pkt_s": 64, "user_rx_mfb_data": 64, "user_rx_mfb_sof": 64, "user_rx_mfb_eof": 64, "user_rx_mfb_sof_po": 64, "user_rx_mfb_eof_po": 64, "user_rx_mfb_src_rdi": 64, "user_rx_mfb_dst_rdi": 64, "pcie_up_mfb_data": 64, "pcie_up_mfb_sof": 64, "pcie_up_mfb_eof": 64, "pcie_up_mfb_sof_po": 64, "pcie_up_mfb_eof_po": 64, "pcie_up_mfb_src_rdi": 64, "pcie_up_mfb_dst_rdi": 64, "tx_dma_chan_start_stop_ctrl": 65, "drop": [65, 67, 72, 75, 77, 123, 162], "usr_mfb_": 65, "till": [65, 107, 156], "delimit": [65, 66, 70, 143], "pcie_mfb_region": [65, 66], "pcie_mfb_region_s": [65, 66], "pcie_mfb_block_s": [65, 66], "pcie_mfb_item_width": [65, 66], "largest": [65, 68, 70], "usr_mfb": 65, "dbg_signal_width": 65, "pcie_mfb_data": [65, 66, 68], "pcie_mfb_meta": [65, 66, 68], "62": [65, 66, 67, 68, 133, 162], "pcie_mfb_sof": [65, 66, 68], "pcie_mfb_eof": [65, 66, 68], "pcie_mfb_sof_po": [65, 66, 68], "pcie_mfb_eof_po": [65, 66, 68], "pcie_mfb_src_rdi": [65, 66, 68], "pcie_mfb_dst_rdi": [65, 66, 68], "usr_mfb_data": [65, 66, 67], "usr_mfb_meta": 65, "usr_mfb_sof": [65, 66, 67], "usr_mfb_eof": [65, 66, 67], "usr_mfb_sof_po": [65, 66, 67], "usr_mfb_eof_po": [65, 66, 67], "usr_mfb_src_rdi": [65, 66, 67], "usr_mfb_dst_rdi": [65, 66, 67], "tx_dma_sw_manag": [65, 69], "pkt_disc_chan": 65, "pkt_disc_inc": 65, "pkt_disc_byt": 65, "st_sp_dbg_chan": [65, 70, 71], "st_sp_dbg_meta": [65, 70, 71], "dropper": [65, 162, 172], "tx_dma_metadata_extractor": 66, "care": [66, 95, 107, 126, 143], "useful": 66, "pcie_meta_pack": 66, "usr_mfb_meta_byte_en": 66, "usr_mfb_meta_is_dma_hdr": 66, "usr_mfb_meta_pcie_addr": 66, "usr_mfb_meta_chan_num": 66, "usr_mfb_meta_byte_cnt": 66, "depars": [66, 177], "cutter": [66, 125, 135, 172], "tx_dma_pkt_dispatch": 67, "accor": 67, "did": [67, 125], "mfb_region_s": [67, 68, 75, 80, 83, 84, 85, 87, 91, 94, 95, 98, 101, 117, 129, 132], "data_pointer_width": [67, 69, 70], "dma_hdr_pointer_width": [67, 69, 70], "usr_mfb_meta_hdr_meta": 67, "usr_mfb_meta_chan": 67, "usr_mfb_meta_pkt_s": 67, "hdr_buff_addr": 67, "hdr_buff_chan": 67, "hdr_buff_data": 67, "dma_hdr_width": 67, "hdr_buff_src_rdi": 67, "hdr_buff_dst_rdi": 67, "buff_rd_chan": 67, "buff_rd_data": 67, "buff_rd_addr": 67, "buff_rd_en": 67, "upd_hdp_chan": 67, "upd_hdp_data": 67, "upd_hdp_en": 67, "upd_hhp_chan": 67, "upd_hhp_data": 67, "upd_hhp_en": 67, "tx_dma_pcie_trans_buff": 68, "instantiati": 68, "constist": [68, 70], "footprint": 68, "quasi": 68, "resolut": [68, 88, 126], "rd_chan": 68, "barrel": [68, 170], "shifter": [68, 170], "acc": 69, "anot": 69, "signifi": [69, 96, 120], "channel_cor": 69, "pipe": [69, 73, 82, 89, 109, 114, 132, 170, 172, 173, 174], "np": 69, "memx": 69, "tx_dma_calypt": 70, "usr_tx_": 70, "pcie_cq_": 70, "unsort": 70, "usr_tx_mfb_region": 70, "usr_tx_mfb_region_s": 70, "usr_tx_mfb_block_s": 70, "usr_tx_mfb_item_width": 70, "pcie_cq_mfb_region": [70, 71], "pcie_cq_mfb_region_s": [70, 71], "pcie_cq_mfb_block_s": [70, 71], "pcie_cq_mfb_item_width": [70, 71], "pcie_cc_mfb_region": [70, 71], "pcie_cc_mfb_region_s": [70, 71], "pcie_cc_mfb_block_s": [70, 71], "pcie_cc_mfb_item_width": [70, 71], "st_sp_dbg_signal_w": [70, 71], "usr_tx_mfb": 70, "usr_tx_mfb_meta_pkt_s": [70, 71], "usr_tx_mfb_meta_chan": [70, 71], "usr_tx_mfb_meta_hdr_meta": [70, 71], "usr_tx_mfb_data": [70, 71], "usr_tx_mfb_sof": [70, 71], "usr_tx_mfb_eof": [70, 71], "usr_tx_mfb_sof_po": [70, 71], "usr_tx_mfb_eof_po": [70, 71], "usr_tx_mfb_src_rdi": [70, 71], "usr_tx_mfb_dst_rdi": [70, 71], "pcie_cq_mfb_data": [70, 71], "pcie_cq_mfb_meta": [70, 71], "pcie_cq_mfb_sof": [70, 71], "pcie_cq_mfb_eof": [70, 71], "pcie_cq_mfb_sof_po": [70, 71], "pcie_cq_mfb_eof_po": [70, 71], "pcie_cq_mfb_src_rdi": [70, 71], "pcie_cq_mfb_dst_rdi": [70, 71], "pcie_cc_mfb_data": [70, 71], "pcie_cc_mfb_meta": [70, 71], "pcie_cc_mfb_sof": [70, 71], "pcie_cc_mfb_eof": [70, 71], "pcie_cc_mfb_sof_po": [70, 71], "pcie_cc_mfb_eof_po": [70, 71], "pcie_cc_mfb_src_rdi": [70, 71], "pcie_cc_mfb_dst_rdi": [70, 71], "extractor": [70, 135], "dispatch": 70, "dma_calypt": 71, "primari": [71, 128, 161], "focus": [71, 107], "usr_mfb_region": 71, "usr_mfb_region_s": 71, "usr_mfb_block_s": 71, "usr_mfb_item_width": 71, "pcie_rq_mfb_region": 71, "configr": 71, "pcie_rq_mfb_region_s": 71, "pcie_rq_mfb_block_s": 71, "pcie_rq_mfb_item_width": 71, "extract": [71, 80, 125, 135, 143], "rx_channel": [71, 110], "rx_ptr_width": 71, "sdp": 71, "hdp": 71, "usr_rx_pkt_size_max": 71, "tx_channel": [71, 110], "tx_sel_channel": 71, "dma_endpoint": 71, "tx_ptr_width": 71, "usr_tx_pkt_size_max": 71, "area": 71, "dsp_cnt_width": 71, "rx_gen_en": 71, "tx_gen_en": 71, "usr_rx_mfb_meta_pkt_s": 71, "usr_rx_mfb_meta_chan": 71, "usr_rx_mfb_meta_hdr_meta": 71, "usr_rx_mfb_data": 71, "usr_rx_mfb_sof": 71, "usr_rx_mfb_eof": 71, "usr_rx_mfb_sof_po": 71, "usr_rx_mfb_eof_po": 71, "usr_rx_mfb_src_rdi": 71, "usr_rx_mfb_dst_rdi": 71, "pcie_rq_mfb_data": 71, "upstream": [71, 134, 135], "pcie_rq_mfb_meta": 71, "pcie_rq_mfb_sof": 71, "pcie_rq_mfb_eof": 71, "pcie_rq_mfb_sof_po": 71, "pcie_rq_mfb_eof_po": 71, "pcie_rq_mfb_src_rdi": 71, "pcie_rq_mfb_dst_rdi": 71, "downstream": [71, 134, 135], "kintex": 71, "framelinkunalign": 72, "successor": 72, "framelink": 72, "buss": 72, "wast": 72, "bandwidth": 72, "sop_po": 72, "treat": 72, "_n": 72, "1024": [72, 95, 132, 142, 152, 154, 155, 162], "sop_pos_width": 72, "sop": [72, 84], "eop_po": 72, "eop": 72, "infrastructur": [72, 169], "flu_": 72, "fl_": 72, "instant": 72, "text": [72, 156], "editor": 72, "p1": 72, "p2": 72, "135": 72, "48": [72, 85, 91, 125], "103": 72, "had": [72, 109], "125": [72, 123], "104": 72, "0x1f": 72, "248": 72, "511": [72, 126], "rx_sop_po": [72, 92], "rx_eop_po": [72, 92], "rx_sop": [72, 92], "rx_eop": [72, 92], "tx_sop_po": [72, 92], "tx_eop_po": [72, 92], "tx_sop": [72, 92], "tx_eop": [72, 92], "_data": [72, 156], "_sop_po": 72, "_eop_po": 72, "_sop": 72, "_eop": 72, "_src_rdy": 72, "plane": 73, "eth_rx": 73, "dma_rx": 73, "dma_tx": 73, "eth_tx": 73, "mux_c": 73, "mux_a": 73, "mux_b": 73, "mux_d": 73, "player": 73, "0x000": 73, "0x004": 73, "0x008": 73, "0x00c": 73, "0x040": 73, "0x04c": 73, "tic": 73, "0x4": [73, 109, 148, 149], "0x8": 73, "0xc": 73, "0x050": 73, "0x05c": 73, "0x060": 73, "0x06c": 73, "0x070": 73, "0x07c": 73, "0x080": 73, "0x0bf": 73, "0x0c0": 73, "0x0ff": 73, "0x100": 73, "0x17f": 73, "0x180": 73, "0x1bf": 73, "0x1c0": 73, "0x1ff": 73, "mfb_generator_mi32": [73, 74], "mux": [73, 106, 119, 170, 175], "rx_dma_channel": 73, "npp_hdr_size": 73, "npp": 73, "tx_dma_channel": 73, "player_fifo_depth": 73, "rx_hdr_ins_en": 73, "same_clk": [73, 81], "asynch": 73, "convers": [73, 123, 127, 132, 137, 139, 150, 151, 177], "mi_pipe_en": 73, "fake_switch": 73, "besid": [73, 81], "eth_rx_mvb_len": 73, "eth_rx_mvb_channel": 73, "eth_rx_mvb_hdr_meta": 73, "eth_rx_mvb_discard": 73, "eth_tx_mvb_len": 73, "eth_tx_mvb_channel": 73, "eth_tx_mvb_hdr_meta": 73, "eth_tx_mvb_vld": 73, "eth_tx_mvb_src_rdi": 73, "eth_tx_mvb_dst_rdi": 73, "dst": [74, 110, 119, 156], "cntr": 74, "burst_siz": 74, "incr": [74, 110], "ch_max": [74, 110], "ch_min": [74, 110], "rr": [74, 110], "begener": 74, "0xffff": 74, "length_width": [74, 80, 94], "channels_width": 74, "pkt_cnt_width": 74, "use_pacp_arch": 74, "tx_mfb_meta": [74, 80, 82, 83, 84, 85, 87, 90, 91, 95, 98, 117], "0xff0001": [74, 110], "0x070401": [74, 110], "0xff0002": [74, 110], "0x050501": [74, 110], "mfb_crossbarx_stream2": 75, "rearrang": 75, "trim": 75, "in_stream": 75, "pkt_id_width": 75, "mod_width": 75, "usermeta_width": 75, "clk_x2": 75, "rx_mvb_usermeta": 75, "rx_mvb_discard": 75, "rx_mvb_mod_sof_s": 75, "truncat": 75, "rx_mvb_mod_sof_en": 75, "rx_mvb_mod_sof_typ": 75, "rx_mvb_mod_eof_s": 75, "rx_mvb_mod_eof_en": 75, "rx_mvb_mod_eof_typ": 75, "rx_mvb_vld": [75, 80, 84, 89, 117, 122], "rx_mvb_src_rdy": [75, 80, 84, 89, 117, 122], "rx_mvb_dst_rdy": [75, 80, 84, 89, 117, 122], "tx_mvb_usermeta": 75, "tx_mvb_vld": [75, 80, 82, 89, 94, 98, 122, 125], "tx_mvb_src_rdy": [75, 80, 82, 89, 94, 98, 122, 125], "tx_mvb_dst_rdy": [75, 80, 82, 89, 94, 98, 122, 125], "mfb_cutter_simpl": 76, "cut": [76, 80, 125, 135, 140, 141, 144], "cutted_item": 76, "meta_width": [76, 77, 78, 79, 81, 83, 86, 88, 90, 92, 93, 99, 102, 103, 106, 107, 109, 117, 122, 142, 145, 154, 155, 156, 157, 158], "meta_align": 76, "WITH": [76, 77, 78], "rx_meta": [76, 77, 78, 79, 80, 86, 88, 92, 93, 97, 99, 101], "rx_sof": [76, 77, 78, 79, 86, 88, 93, 97, 99, 101, 126], "rx_eof": [76, 77, 78, 79, 86, 88, 93, 97, 99, 101, 126], "rx_sof_po": [76, 77, 78, 79, 86, 88, 93, 97, 99, 101, 126], "rx_eof_po": [76, 77, 78, 79, 86, 88, 93, 97, 99, 101], "rx_cut": 76, "tx_meta": [76, 77, 78, 79, 80, 86, 88, 92, 93, 97, 99, 101], "tx_sof": [76, 77, 78, 86, 88, 93, 97, 99, 101, 126], "tx_eof": [76, 77, 78, 86, 88, 93, 97, 99, 101, 126], "tx_sof_po": [76, 77, 78, 79, 86, 88, 93, 97, 99, 101], "tx_eof_po": [76, 77, 78, 79, 86, 88, 93, 97, 99, 101, 126], "mfb_dropper": 77, "rx_drop": 77, "mfb_enabl": 78, "tx_enabl": 78, "middl": [78, 96, 136, 137, 142, 144, 152, 154, 156, 161], "stat_discard": 78, "possit": [78, 86, 97, 99, 100], "OF": 78, "mfb_frame_mask": 79, "use_pip": 79, "mfb_pipe": [79, 86], "pipe_typ": [79, 86, 106, 109], "shreg": [79, 86, 106, 109, 156], "tx_sof_mask": [79, 80], "tx_eof_mask": 79, "unmask": 79, "withouth": 79, "partial": [79, 88, 145], "tx_sof_unmask": 79, "tx_eof_unmask": 79, "tx_src_rdy_unmask": 79, "layout": 79, "tx_sof_origin": 79, "tx_eof_origin": 79, "tx_src_rdy_origin": 79, "tx_mask": 79, "brand": 79, "demonst": 79, "sof_unmask": 79, "visual": 79, "situat": [79, 88, 96, 114, 126, 134, 156], "sof_origin": 79, "menawhil": 79, "rule": [79, 96, 110, 120, 126, 138, 156, 157], "unwant": [79, 87], "behaviour": [79, 118, 159], "intefac": [79, 89], "obligatori": 79, "func": 79, "cover": [79, 118], "ex_test": 79, "unverifi": 79, "intensli": 79, "tap": [79, 156], "model": [79, 145, 179], "frame_unpack": 80, "superpacket": 80, "header_length": 80, "60": [80, 125], "littl": [80, 87], "endian": 80, "deriv": [80, 88, 91, 96, 98], "mblock_siz": 80, "deal": 80, "po": [80, 94], "mvb_item": [80, 82, 84, 89, 98, 111, 115, 116, 117, 118, 122], "mvb_item_width": [80, 84, 89, 115, 117, 156], "prepend": 80, "16b": [80, 137], "necesari": 80, "unpacking_stag": 80, "meta_out_mod": 80, "rx_mvb_data": [80, 84, 89, 117], "getit_indv_hdr_data": 80, "tx_mvb_data": [80, 89, 94, 125], "subtract": 80, "search": [80, 123], "consum": [80, 88, 119], "processor": 80, "creator": 80, "offset_processor": 80, "op": [80, 118, 146], "mvb_aggregate_last_vld": 80, "mfb_meta_width": [80, 82, 83, 84, 85, 87, 91, 94, 95, 98, 101], "max_word": 80, "strech": 80, "offset_width": [80, 94], "last_vld_impl": 80, "prefixsum": 80, "inf": [80, 85], "rx_offset": [80, 94], "u_array_t": 80, "rx_length": [80, 94], "rx_word": 80, "rx_old_sof": 80, "rx_new_sof": 80, "rx_sof_mask": 80, "tx_offset": 80, "tx_word": 80, "tx_old_sof": 80, "sof_creat": 80, "lastli": 80, "hdr_length": 80, "region_numb": 80, "analyz": [80, 125], "withing": 80, "unsign": [80, 139, 145, 156, 159, 161], "tx_length": 80, "tx_new_sof": 80, "mfb_loopback": 81, "far": 81, "fake_loopback": 81, "rx_mfb_data_in": 81, "rx_mfb_meta_in": 81, "rx_mfb_sof_in": 81, "rx_mfb_eof_in": 81, "rx_mfb_sof_pos_in": 81, "rx_mfb_eof_pos_in": 81, "rx_mfb_src_rdy_in": 81, "rx_mfb_dst_rdy_in": 81, "rx_mfb_data_out": 81, "rx_mfb_meta_out": 81, "rx_mfb_sof_out": 81, "rx_mfb_eof_out": 81, "rx_mfb_sof_pos_out": 81, "rx_mfb_eof_pos_out": 81, "rx_mfb_src_rdy_out": 81, "rx_mfb_dst_rdy_out": 81, "tx_mfb_data_out": 81, "tx_mfb_meta_out": 81, "tx_mfb_sof_out": 81, "tx_mfb_eof_out": 81, "tx_mfb_sof_pos_out": 81, "tx_mfb_eof_pos_out": 81, "tx_mfb_src_rdy_out": 81, "tx_mfb_dst_rdy_out": 81, "tx_mfb_data_in": 81, "tx_mfb_meta_in": 81, "tx_mfb_sof_in": 81, "tx_mfb_eof_in": 81, "tx_mfb_sof_pos_in": 81, "tx_mfb_eof_pos_in": 81, "tx_mfb_src_rdy_in": 81, "tx_mfb_dst_rdy_in": 81, "mfb_merger": 82, "characterist": [82, 84, 88, 89], "hdr_width": [82, 89, 145, 156], "rx0_payload_en": 82, "rx1_payload_en": 82, "input_fifo_s": 82, "sw_timeout_width": 82, "in_pipe_en": 82, "out_pipe_en": [82, 89], "rx0_mvb_hdr": 82, "rx0_mvb_payload": 82, "rx0_mvb_vld": 82, "rx0_mvb_src_rdy": 82, "rx0_mvb_dst_rdy": 82, "rx0_mfb_data": 82, "rx0_mfb_meta": 82, "rx0_mfb_sof": 82, "rx0_mfb_eof": 82, "rx0_mfb_sof_po": 82, "rx0_mfb_eof_po": 82, "rx0_mfb_src_rdy": 82, "rx0_mfb_dst_rdy": 82, "rx1_mvb_hdr": 82, "rx1_mvb_payload": 82, "rx1_mvb_vld": 82, "rx1_mvb_src_rdy": 82, "rx1_mvb_dst_rdy": 82, "rx1_mfb_data": 82, "rx1_mfb_meta": 82, "rx1_mfb_sof": 82, "rx1_mfb_eof": 82, "rx1_mfb_sof_po": 82, "rx1_mfb_eof_po": 82, "rx1_mfb_src_rdy": 82, "rx1_mfb_dst_rdy": 82, "tx_mvb_hdr": 82, "tx_mvb_payload": [82, 89], "lesser": [82, 170], "preffer": 82, "suppos": 82, "mfb_merger_simpl": 83, "cnt_max": 83, "masking_en": 83, "rx_mfb0_data": 83, "rx_mfb0_meta": 83, "rx_mfb0_sof": 83, "rx_mfb0_sof_po": 83, "rx_mfb0_eof": 83, "rx_mfb0_eof_po": 83, "rx_mfb0_src_rdy": 83, "rx_mfb0_dst_rdy": 83, "rx_mfb1_data": 83, "rx_mfb1_meta": 83, "rx_mfb1_sof": 83, "rx_mfb1_sof_po": 83, "rx_mfb1_eof": 83, "rx_mfb1_eof_po": 83, "rx_mfb1_src_rdy": 83, "rx_mfb1_dst_rdy": 83, "mfb_merger_simple_gen": 83, "merger_input": 83, "rx_mfb_meta": [83, 84, 85, 87, 90, 91, 94, 95], "metadata_insertor": 84, "insert_mod": 84, "slight": [84, 126], "mvb_fifo_s": 84, "tx_mfb_meta_new": 84, "mfb_packet_delay": 85, "ts_format": 85, "ts_width": 85, "fifo_depth": [85, 99, 113, 116, 121], "2048": [85, 91], "mfb_fifox": [85, 99], "fifo_af_offset": 85, "time_reset": 85, "current_tim": 85, "decid": [85, 100, 109, 159], "ok": [85, 125], "qualiti": 85, "pause_request": 85, "rx_mfb_t": 85, "fake_pip": [86, 106], "use_dst_rdi": 86, "pattern": [87, 143, 156, 161], "slow": [87, 174], "interval_count": 87, "interval_length": 87, "section_length": 87, "proport": 87, "restor": 87, "won": 87, "suffici": [87, 96, 126], "big": [87, 170], "1000": [87, 131, 133], "arrow": 87, "10gb": [87, 127], "50gb": 87, "0gb": 87, "75gb": 87, "60gb": 87, "output_spe": 87, "recalcul": 87, "rate_limit": 87, "40": 87, "62500": 87, "0x": 87, "paragraph": 87, "sr": [87, 170], "busi": [87, 105], "ro": [87, 128, 137], "shape": [87, 108], "wo": [87, 137], "rw": [87, 125, 127, 128, 137], "whatev": 87, "wheter": 87, "accordingli": [87, 109, 126, 143], "ey": 87, "wish": [87, 156], "sure": [87, 107, 143], "rememb": [87, 108], "upon": 87, "encount": 87, "signific": [87, 109], "extrem": 87, "circumst": 87, "exce": 87, "border": 87, "parameter": 88, "bot": [88, 161], "attribut": 88, "cappabl": 88, "decreas": [88, 92, 95, 139, 150, 151], "mfb_item_reconfigur": 88, "NOT": [88, 125], "divis": 88, "bigger": [88, 120], "mfb_block_reconfigur": 88, "ineffici": 88, "frame_align": 88, "unnessesari": 88, "meta_mod": 88, "fifo_s": 88, "mfb_region_reconfigur": 88, "rx_region": [88, 92, 125, 127], "realiz": [88, 107, 109], "fact": [88, 140, 141, 144], "conenct": 88, "wider": [88, 108], "actuali": 88, "secondari": 88, "beginig": 88, "regino": 88, "prevent": [88, 134, 135], "frames_over_tx_block": 88, "frames_over_tx_region": 88, "sake": [88, 96, 156], "difficulti": 88, "othe": 88, "mfb_splitter": 89, "mvb_meta_width": 89, "mvb_output_fifo_s": 89, "use_outreg": [89, 106], "rx_mvb_hdr": 89, "rx_mvb_meta": [89, 117], "rx_mvb_switch": 89, "rx_mvb_payload": 89, "tx0_mvb_hdr": 89, "tx0_mvb_meta": 89, "tx0_mvb_payload": 89, "tx0_mvb_vld": 89, "tx0_mvb_src_rdy": 89, "tx0_mvb_dst_rdy": 89, "tx0_mfb_data": [89, 90], "tx0_mfb_sof": [89, 90], "tx0_mfb_eof": [89, 90], "tx0_mfb_sof_po": [89, 90], "tx0_mfb_eof_po": [89, 90], "tx0_mfb_src_rdy": [89, 90], "tx0_mfb_dst_rdy": [89, 90], "tx1_mvb_hdr": 89, "tx1_mvb_meta": 89, "tx1_mvb_payload": 89, "tx1_mvb_vld": 89, "tx1_mvb_src_rdy": 89, "tx1_mvb_dst_rdy": 89, "tx1_mfb_data": [89, 90], "tx1_mfb_sof": [89, 90], "tx1_mfb_eof": [89, 90], "tx1_mfb_sof_po": [89, 90], "tx1_mfb_eof_po": [89, 90], "tx1_mfb_src_rdy": [89, 90], "tx1_mfb_dst_rdy": [89, 90], "mfb_splitter_gen": 89, "splitter_output": [89, 90], "output_fifo_s": 89, "mfb_splitter_simpl": 90, "rx_mfb_sel": 90, "tx0_mfb_meta": 90, "tx1_mfb_meta": 90, "mfb_splitter_simple_gen": 90, "numer": [90, 156], "ofc": 90, "mfb_timestamp_limit": 91, "rx_mfb_timestamp": 91, "timestamp_format": 91, "kept": [91, 103, 134, 135], "delay": [91, 172], "clk_frequenc": 91, "external_time_src": 91, "external_tim": 91, "mi_reset_reg": 91, "mi_sel_queue_reg": 91, "return": [91, 107, 126, 145, 156, 161], "bypass": [91, 94], "redirect": 91, "ON": 91, "mi_top_speed_reg": 91, "200000000": 91, "hz": 91, "timestamp_width": 91, "buffer_s": 91, "buffer_af_offset": 91, "pause_queu": 91, "rx_mfb_queue": 91, "mfb_transform": 92, "solut": [92, 156], "fewer": 92, "region_aux_en": 93, "block_aux_en": 93, "item_aux_en": 93, "tx_region_shar": 93, "tx_region_vld": 93, "tx_block_vld": 93, "tx_item_vld": 93, "checksum_calcul": 94, "ipv4": 94, "tcp": 94, "udp": 94, "rx_chsum_en": 94, "invert": [94, 123], "tx_chsum_bypass": 94, "rework": 94, "network_ord": 94, "tx_mvb_meta": 94, "calucul": 94, "crossbarx_stream": 95, "shrink": 95, "front": 95, "cx_use_clk2": 95, "cx_use_clk_arb": 95, "obuf_meta_eq_output": 95, "obuf_input_eq_output": 95, "trans_fifo_s": [95, 127], "f_gap_adjust_en": 95, "smallest": [95, 96], "f_gap_adjust_size_avg": 95, "f_gap_adjust_size_min": 95, "f_extend_start_en": 95, "f_extend_start_s": 95, "neg": [95, 123], "f_extend_end_en": 95, "f_extend_end_s": 95, "rx_clk2": 95, "rx_reset": [95, 97, 101, 125, 127, 145], "tx_reset": [95, 97, 101, 125, 127], "cx_clk_arb": 95, "cx_reset_arb": 95, "rx_mfb_discard": 95, "cx": 95, "basi": 96, "great": 96, "versatil": 96, "recogn": 96, "placement": 96, "incomplet": 96, "word_width": [96, 120, 159], "sof_pos_width": 96, "eof_pos_width": 96, "transmitt": [96, 120], "1001": 96, "exact": 96, "logarithm": [96, 137], "multipli": [96, 109, 136, 137, 159, 170], "1110": [96, 120], "unlik": 96, "product": 96, "101_000_000_000": 96, "5th": 96, "3rd": [96, 109], "110_010_000_011": 96, "zeroth": 96, "0th": [96, 126], "6th": 96, "earlier": [96, 156, 162], "six": [96, 158], "000000_000000_000000_000000": 96, "001100_011111_000000_000001": 96, "31st": 96, "12th": 96, "110010_010101_111000_110000": 96, "48th": 96, "56th": 96, "21st": 96, "50th": 96, "simplic": [96, 126, 156], "hexadecim": [96, 107], "letter": 96, "conduct": [96, 120], "hold": [96, 125, 127, 156], "underscor": 96, "convent": 96, "lsb": [96, 105, 114, 120, 126], "maintain": [96, 120, 174], "wherea": [96, 120, 156], "mfb_asfifox": 97, "transit": [97, 102, 126, 146], "rx_aful": [97, 101], "rx_statu": 97, "tx_aempti": 97, "tx_statu": 97, "mfb_crossbarx_output_buff": 98, "automaticli": 98, "mfb_meta_with_sof": 98, "buf_block": 98, "data_block_s": 98, "data_item_width": 98, "buf_word": 98, "meta_eq_output": 98, "clk_meta": 98, "clk_out": 98, "input_eq_output": 98, "clk_in": 98, "alias": 98, "data_block_width": 98, "buf_byt": 98, "reset_meta": 98, "reset_in": 98, "reset_out": 98, "wr_ie": 98, "rx_hdr_meta": 98, "rx_hdr_mfb_meta": 98, "rx_hdr_chan": 98, "rx_hdr_addr": 98, "rx_hdr_len": 98, "rx_hdr_vld": 98, "rx_hdr_src_rdy": 98, "rx_hdr_dst_rdy": 98, "rd_ptr": 98, "pkt_sent_len": 98, "pkt_sent_src_rdi": 98, "pkt_sent_dst_rdi": 98, "tx_mvb_len": 98, "tx_mvb_hdr_meta": 98, "tx_mvb_channel": 98, "fifo_width": [99, 118, 121], "288000": [99, 118, 121], "almost_ful": [99, 121], "almost_empti": [99, 121], "fifo_statu": 99, "fifo_aful": 99, "fifo_aempti": 99, "multip": 100, "immidi": [100, 134], "ptr": 100, "delet": [100, 123, 156, 158], "himself": 100, "pd": [100, 127, 172], "mfb_pd_asfifo_simpl": 101, "afull_offset": 101, "rx_discard": [101, 112, 156], "unexpect": [102, 132], "reset_log": 102, "violat": 102, "clk_m": 102, "reset_m": 102, "mi_m_dwr": 102, "mi_m_mwr": 102, "mi_m_addr": 102, "mi_m_rd": 102, "mi_m_wr": 102, "mi_m_b": 102, "mi_m_drd": 102, "mi_m_ardi": 102, "mi_m_drdi": 102, "clk_": 102, "reset_": 102, "mi_s_dwr": 102, "mi_s_mwr": 102, "mi_s_addr": 102, "mi_s_rd": 102, "mi_s_wr": 102, "mi_s_b": 102, "mi_s_drd": 102, "mi_s_ardi": 102, "mi_s_drdi": 102, "gate": [103, 170], "mi_mwr": 103, "avmm_address": 103, "avmm_writ": 103, "avmm_read": 103, "avmm_byteen": 103, "avmm_writedata": 103, "avmm_readdata": 103, "avmm_readdatavalid": 103, "avmm_waitrequest": 103, "debugaccess": 103, "writeresponsevalid": 103, "lock": [103, 123, 144], "burstcount": 103, "beginbursttransf": 103, "readdatavalid": 103, "opposit": [103, 126], "drdy": [103, 107, 158], "unabl": 103, "ardi": [103, 107, 158], "negat": 103, "waitrequestallow": 103, "axi_data_width": [104, 129], "axi_awid": 104, "axi_awaddr": 104, "axi_awlen": 104, "axi_aws": 104, "axi_awburst": 104, "axi_awprot": 104, "axi_awvalid": 104, "axi_awreadi": 104, "axi_wdata": 104, "axi_wstrb": 104, "axi_wvalid": 104, "axi_wreadi": 104, "axi_bid": 104, "axi_bresp": 104, "axi_bvalid": 104, "axi_breadi": 104, "axi_arid": 104, "axi_araddr": 104, "axi_arlen": 104, "axi_ars": 104, "axi_arburst": 104, "axi_arprot": 104, "axi_arvalid": 104, "axi_arreadi": 104, "axi_rid": 104, "axi_rdata": 104, "axi_rresp": 104, "axi_rlast": 104, "axi_rvalid": 104, "axi_rreadi": 104, "indirectli": 105, "mi_indirect_access": 105, "output_interfac": 105, "rx_addr": [105, 109], "rx_dwr": [105, 109], "rx_wr": [105, 109], "rx_rd": [105, 109], "rx_ardi": [105, 109], "rx_drd": [105, 109], "rx_drdy": [105, 109], "tx_addr": [105, 109], "tx_dwr": [105, 109], "tx_wr": [105, 109], "tx_rd": [105, 109], "tx_ardi": [105, 109], "tx_drd": [105, 109], "tx_drdy": [105, 109], "reqest": 105, "cmd": [105, 125, 127], "mi_pip": [106, 132], "misc": 106, "opt": 106, "in_dwr": 106, "in_mwr": 106, "in_addr": 106, "in_b": [106, 145], "in_rd": 106, "in_wr": 106, "in_ardi": 106, "in_drd": 106, "in_drdi": 106, "out_dwr": 106, "out_mwr": 106, "out_b": 106, "out_rd": 106, "out_wr": 106, "out_ardi": 106, "out_drd": 106, "out_drdi": 106, "dwr": [107, 108, 158], "mwr": 107, "drd": [107, 108, 158], "surpris": 107, "recipi": 107, "american": 107, "influenc": [107, 115], "vast": 107, "disjoint": 107, "critic": 107, "com": 107, "theoret": 107, "essenti": [107, 156], "realli": 107, "understand": 107, "d0": [107, 162], "b0": [107, 156, 161, 162], "a0": 107, "inact": 107, "arbitrarili": 107, "d2": 107, "d3": 107, "principl": [107, 114], "a1": [107, 126], "respond": [107, 132, 156, 158], "anyhow": 107, "regardless": 107, "d1": 107, "coupl": 107, "answer": 107, "a2": [107, 126], "a5": 107, "a3": 107, "respones": 107, "d8": [107, 162], "fourth": [107, 109], "d9": 107, "aim": 107, "1234": 107, "98xx": 107, "98": 107, "xx": 107, "requ": 107, "54xx": 107, "5476": 107, "4321": 107, "67xx": 107, "0089": 107, "0045": 107, "produc": [108, 145], "rquest": 108, "pipe_out": 109, "pipe_outreg": 109, "init_addr_base_downto": 109, "caution": 109, "init_addr_mask_downto": 109, "chain": [109, 170], "port_map": 109, "init_port_mapping_downto": 109, "constain": 109, "rx_mwr": 109, "rx_be": 109, "tx_mwr": 109, "tx_be": 109, "said": 109, "theori": 109, "abnorm": 109, "perhap": 109, "0x3": 109, "0x7": 109, "0x00000003": 109, "0x00000004": 109, "0x00000007": 109, "0x00000008": 109, "0x0000000b": 109, "0x0000000c": 109, "0x0000000f": 109, "0x00000010": 109, "0x000000013": 109, "0x00000014": 109, "0x000000017": 109, "0x00000018": 109, "0xfffffffff": 109, "0x00000001": 109, "0x00000002": 109, "0x00000005": 109, "0x00000006": 109, "0x0000001c": 109, "ORed": 109, "interleav": 109, "yourselv": 109, "bin": [109, 156], "0x0000001f": 109, "000000": 109, "000111": 109, "0x00000020": 109, "0x0000003f": 109, "001000": 109, "001111": 109, "0x00000040": 109, "0x00000077": 109, "010000": 109, "011101": 109, "0x00000078": 109, "0x000000ab": 109, "011110": 109, "101010": 109, "0x000000ac": 109, "0x000000cb": 109, "101011": 109, "110010": 109, "0x000000cc": 109, "0x000000f7": 109, "110011": 109, "111101": 109, "0x000000f8": 109, "0x000001fb": 109, "111110": 109, "occupi": [109, 120], "111111": 109, "advanc": [109, 138, 157, 171], "gonna": 109, "fifth": 109, "somewhat": 109, "messi": 109, "talk": 109, "pretti": 109, "0xffffffff": 109, "mvb_channel_router_mi": 110, "default_mod": 110, "distr": 110, "0x000004": 110, "0x000008": 110, "rsvd": 110, "opt_mod": 110, "ch_cnt": 110, "ch_out": 110, "ch_next": 110, "dst_channel": 110, "ch_diff": 110, "src_channel": 110, "rx_vld": [110, 111, 112, 115, 116, 118, 119, 121], "tx_vld": [110, 111, 112, 113, 115, 116, 118, 119, 121], "demultiplex": [111, 170], "gen_mvb_demux": 111, "demux_width": 111, "data_demux": 111, "mvb_merge_item": 113, "alig": 113, "rx0": 113, "rx0_item": 113, "rx0_item_width": 113, "rx1_item": 113, "rx1": 113, "rx1_item_width": 113, "rx0_fifo_en": 113, "rx0_data": 113, "rx0_vld": 113, "rx0_src_rdy": 113, "rx0_dst_rdy": 113, "rx1_data": 113, "rx1_vld": 113, "rx1_src_rdy": 113, "rx1_dst_rdy": 113, "tx_data0": 113, "tx_data1": 113, "lost": 114, "din": 114, "din_vld": 114, "din_src_rdi": 114, "din_dst_rdi": 114, "dout": 114, "dout_vld": 114, "dout_src_rdi": 114, "dout_dst_rdi": 114, "obsolet": [114, 125, 127, 166, 171], "risk": 114, "input_data": 114, "output_data": 114, "output_src_rdi": 114, "output_dst_rdi": 114, "mvb_merge_stream": 115, "sw_timeout_w": 115, "effici": [115, 117], "rx_shakedown_en": 115, "rx_stream": 115, "gen_mvb_mux": 116, "mux_width": 116, "rx_sel_data": 116, "rx_sel_vld": 116, "rx_sel_src_rdi": 116, "rx_sel_dst_rdi": 116, "536": 117, "mfb_align": 117, "mvb_oper": 118, "fork": [118, 156], "rx_op_en": 118, "rx_op_vld": 118, "tx_op_vld": 118, "reorder": [118, 123], "asap": 118, "rsp_item_width": 118, "latency_fifo_en": 118, "latency_fifo_depth": 118, "latency_fifo_ram_typ": 118, "tx_op_data": 118, "tx_op_src_rdi": 118, "tx_op_dst_rdi": 118, "rx_op_respons": 118, "exacli": 118, "rx_op_src_rdi": 118, "rx_op_dst_rdi": 118, "tx_respons": 118, "tx_response_vld": 118, "th": 118, "mvb_shakedown": 119, "rx_item": 119, "tx_item": 119, "independetli": 119, "shake_port": 119, "shake": 119, "carefulli": 119, "multi_fifox": 119, "ingor": 119, "implemet": 119, "use_mux_impl": 119, "emul": 119, "tx_next": 119, "creation": [120, 140, 141, 144, 152, 156], "particip": 120, "arrang": [120, 137], "stand": 120, "whose": [120, 170], "understood": 120, "waveform": 120, "mvb_fifox": 121, "mvb_lookup_t": 122, "lut_depth": 122, "lut_width": 122, "lut_arch": 122, "sw_width": 122, "rx_mvb_lut_addr": 122, "rx_mvb_metadata": 122, "tx_mvb_lut_data": 122, "tx_mvb_lut_addr": 122, "tx_mvb_metadata": 122, "sw_addr": 122, "sw_slice": 122, "sw_din": 122, "sw_be": 122, "sw_write": 122, "sw_read": 122, "sw_dout": 122, "sw_dout_vld": 122, "phy_40g": 123, "40g": 123, "gbase": 123, "ieee": 123, "802": 123, "claus": 123, "gty": 123, "rxp": 123, "txp": 123, "cr": 123, "fabric": 123, "mii": [123, 125, 127, 146], "45": 123, "async": [123, 173], "clk_stabl": 123, "xlgmii": [123, 125, 127], "stabl": 123, "xlgmii_clk": 123, "156": 123, "25mhz": 123, "xlgmii_txd": 123, "xlgmii_txc": 123, "xlgmii_rxd": 123, "xlgmii_rxc": 123, "refclk_in": 123, "322": 123, "refclk_p": 123, "265625": 123, "refclk_n": 123, "refclk_out": 123, "drpclk": 123, "rxn": 123, "txn": 123, "rxpolar": 123, "polar": 123, "txpolar": 123, "_det": 123, "tx_path_40g": 123, "rx_path_40g": 123, "pma_xlaui_gti": 123, "scrambl": [123, 144, 160], "marker": 123, "gbaser_encod": 123, "pcs_tx_fifo_deprec": 123, "compens": 123, "scrambler": [123, 144], "scrambler_gen": 123, "49": 123, "am": 123, "am_in": 123, "deskew": 123, "gbaser_decod": 123, "pcs_rx_fifo_deprec": 123, "descrambl": [123, 144], "descrambler_gen": 123, "polynomi": 123, "lane_align": 123, "ber": 123, "ber_mon": 123, "hi_ber": 123, "reliabl": 123, "deseri": 123, "recov": 123, "gty_40g": 123, "bitrat": 123, "3125": 123, "gearbox": 123, "moreov": 123, "block_lock": [123, 160], "boundari": [123, 139, 150, 151], "startup": 123, "collect": 123, "ref": [123, 158], "0x10000": 123, "0x10004": 123, "0x10008": 123, "0x1000c": 123, "0x10010": 123, "0x10014": 123, "0x10018": 123, "10g": [123, 148, 149], "epon": 123, "p2mp": 123, "0x30000": 123, "0x30004": 123, "0x30008": 123, "0x3000c": 123, "0x30010": 123, "0x30040": 123, "0x30058": 123, "0x30064": 123, "50": [123, 156, 162], "51": 123, "0x30068": 123, "52": 123, "53": 123, "0x30190": 123, "bip": 123, "201": 123, "0x301b4": 123, "218": 123, "219": 123, "0x30320": 123, "401": 123, "0x30344": 123, "418": 123, "419": 123, "thank": [125, 127], "umii": [125, 127], "xgmii": [125, 127], "cdgmii": [125, 127], "wip": [125, 127], "fly": 125, "sampl": [125, 127, 138, 156, 159], "trfc": 125, "cfc": 125, "dfc": [125, 127], "bodfc": 125, "octet": [125, 127, 143], "oroc": 125, "strobe": [125, 127], "trfcl": 125, "cfcl": 125, "dfcl": [125, 127], "bodfcl": 125, "trfch": 125, "cfch": 125, "dfch": [125, 127], "bodfch": 125, "0x30": [125, 127], "orocl": 125, "oroch": 125, "soon": [125, 127], "kind": [125, 126], "adapter_error": 125, "notifi": 125, "crc_error": 125, "mintu_check": 125, "mtu_check": 125, "mac_check": 125, "mfifo_ovf": 125, "mfifo": 125, "dfifo_ovf": 125, "dfifo": 125, "21": 125, "inbandfc": 125, "fc": 125, "fsc": 125, "isn": 125, "mac_count": 125, "cam": [125, 171], "rfc": 125, "ifg": 125, "preambl": [125, 143], "sfd": 125, "efd": 125, "1526": 125, "promiscu": 125, "brodcast": 125, "cgmii": 125, "47": 125, "upper": [125, 136], "enlarg": 125, "128b": [125, 128], "resize_buff": 125, "network_port_id": 125, "pkt_mtu_byt": [125, 127], "16384": [125, 127], "crc_is_receiv": 125, "crc_check_en": 125, "crc_remove_en": 125, "mac_check_en": 125, "timestamp_en": 125, "rx_mfb_error": 125, "adapter_link_up": 125, "tsu_ts_dv": 125, "link_up": 125, "incoming_fram": 125, "abid": 126, "sole": 126, "mfb_to_lbus_reconf": 126, "paramt": 126, "alhough": 126, "paremet": 126, "manner": 126, "sh_fsm": 126, "fulli": [126, 135], "preced": [126, 128], "unprocess": 126, "simplest": 126, "move": [126, 174], "world": [126, 156], "gain": 126, "undergo": 126, "ordinari": 126, "spacer": 127, "ipg": 127, "mfb_pd_asfifo": 127, "asfifo": [127, 135, 166, 172], "tfcl": 127, "octect": 127, "socl": 127, "sfcl": 127, "tfch": 127, "soch": 127, "sfch": 127, "tfc": 127, "sfc": 127, "resize_on_tx": 127, "ipg_generate_en": 127, "rx_include_crc": 127, "checksum": [127, 172], "rx_include_ipg": 127, "crc_insert_en": 127, "use_dsp_cnt": 127, "eth_vers": 127, "over10gb": 127, "standart": 127, "rx_clk_x2": 127, "outgoing_fram": 127, "0x0d7b": 128, "rev": 128, "0x020": 128, "0x1": [128, 148, 149], "1b": [128, 137], "26b": 128, "dtb_pkg": 128, "particular": [128, 139, 150, 151], "indirect": [128, 173], "announc": 128, "bind": 128, "pcie_cq_axi2mfb": 129, "256b": 129, "straddl": 129, "mfb_region_width": [129, 132], "cq_user_width": 129, "183": [129, 133], "gen3x16": 129, "88": [129, 133], "gen3x8": 129, "85": [129, 133], "axi_cquser_width": 129, "permit": 129, "pg213": 129, "cq_axi_data": 129, "cq_axi": 129, "cq_axi_us": 129, "sideband": 129, "trasfer": 129, "cq_axi_last": 129, "cq_axi_keep": 129, "cq_axi_valid": 129, "cq_axi_readi": 129, "cq_mfb_data": [129, 132], "cq_mfb": 129, "cq_mfb_sof": [129, 132], "cq_mfb_eof": [129, 132], "cq_mfb_sof_po": [129, 132], "cq_mfb_eof_po": [129, 132], "cq_mfb_src_rdy": [129, 132], "cq_mfb_dst_rdy": [129, 132], "cq_tph_present": 129, "hint": 129, "tph": 129, "cq_tph_type": 129, "ph": 129, "cq_tph_st_tag": 129, "steer": 129, "cq_fbe": 129, "cq_lbe": 129, "pcie_cc_mfb2axi": 129, "cc_user_width": 129, "axi_ccuser_width": 129, "cc_mfb_data": [129, 132], "cc_mfb": 129, "cc_mfb_sof": [129, 132], "cc_mfb_eof": [129, 132], "cc_mfb_sof_po": [129, 132], "cc_mfb_eof_po": [129, 132], "cc_mfb_src_rdy": [129, 132], "cc_mfb_dst_rdy": [129, 132], "cc_axi_data": 129, "cc_axi": 129, "cc_axi_us": 129, "cc_axi_last": 129, "cc_axi_keep": 129, "cc_axi_valid": 129, "cc_axi_readi": 129, "pcie_byte_count": 130, "dw_count": 130, "first_b": [130, 131], "last_b": [130, 131], "in_dw_count": 130, "in_first_b": 130, "in_last_b": 130, "out_first_ib": 130, "out_last_ib": 130, "out_byte_count": 130, "pcie_byte_en_decod": 131, "contiuou": 131, "0010": [131, 133], "0101": 131, "0100": [131, 133], "1100": 131, "0110": 131, "fbe_in": 131, "lbe_in": 131, "fbe_out": 131, "lbe_out": 131, "broken": 132, "guest": 132, "transal": 132, "bar1": 132, "bar3": 132, "bar5": 132, "cc_pipe": 132, "cq_pipe": 132, "endpoint_typ": 132, "ctl_max_payload_s": 132, "000b": 132, "001b": 132, "010b": 132, "011b": 132, "ctl_bar_apertur": 132, "apertur": [132, 133], "usabl": [132, 160], "cq_mfb_meta": 132, "cc_mfb_meta": 132, "mi_funct": 132, "pcie_rq_hdr_gen": 133, "in_address": 133, "in_vfid": 133, "in_tag": 133, "tag_8": 133, "tag_9": 133, "in_dw_cnt": 133, "in_attribut": 133, "snoop": 133, "in_fb": 133, "in_lb": 133, "in_addr_len": 133, "in_req_typ": 133, "out_head": 133, "pcie_cc_hdr_gen": 133, "fo": 133, "in_lower_addr": 133, "in_byte_cnt": 133, "in_comp_st": 133, "in_req_id": 133, "in_tc": 133, "class": [133, 138, 139, 142, 143, 150, 151, 152, 154, 155, 156, 157, 158, 161], "in_address_typ": 133, "in_meta_func_id": 133, "in_bus_num": 133, "comp_with_data": 133, "pcie_rc_hdr_depars": 133, "out_low_addr": 133, "out_complet": 133, "out_dw_cnt": 133, "out_tag": 133, "out_byte_cnt": 133, "out_attribut": 133, "out_comp_st": 133, "in_head": 133, "pcie_cq_hdr_depars": 133, "cquser_width": 133, "out_address": 133, "out_req_id": 133, "out_tc": 133, "out_fb": 133, "out_lb": 133, "out_address_typ": 133, "out_target_func": 133, "meta_func_id": 133, "out_bar_id": 133, "out_bar_apertur": 133, "out_addr_len": 133, "out_req_typ": 133, "msg": [133, 156], "msgd": 133, "in_axi_tus": 133, "tuser": [133, 138, 152], "in_intel_meta": 133, "bar_apertur": 133, "bar_id": 133, "target_func": 133, "accross": [134, 135], "storag": [134, 135], "n_loop_op": [134, 170], "credit": 134, "remap": 135, "codapa": 135, "checker": 135, "halt": 135, "tsu_format_to_n": [136, 137], "ts_n": [136, 137], "eventhough": 136, "reg_bitmap": 136, "001": [136, 137], "010": 136, "ts_tsu": 136, "gp": 137, "mi_data_reg": 137, "ctrl_reg": 137, "3b": 137, "incr_val_reg": 137, "realtime_reg": 137, "pps_reg": 137, "2b": 137, "inta": 137, "ts_dv": 137, "1hz": 137, "2hz": 137, "3hz": 137, "multiplexor": 137, "39b": 137, "96b": 137, "ts_mult_smart_dsp": 137, "smarter": 137, "ts_mult_use_dsp": 137, "pps_sel_width": 137, "clk_sel_width": 137, "synchroni": 137, "pps_n": 137, "pps_src": 137, "pps_sel": 137, "clk_freq": 137, "clk_src": 137, "clk_sel": 137, "fraction": 137, "xanosecond": 137, "logic_vector_array_axi": [138, 146], "tuser_width": [138, 152], "dut": [138, 139, 142, 145, 150, 151, 152, 154, 156, 157, 158, 159, 161, 162], "tdata": [138, 152], "tkeep": 138, "tlast": 138, "tvalid": 138, "treadi": [138, 152], "drive": [138, 143, 148, 149, 156, 160], "tkeep_width": 138, "careful": [138, 157], "dont": [138, 157], "passiv": [139, 148, 149, 150, 151, 156, 160], "randomiz": [139, 150, 151], "sequence_item": [139, 142, 145, 146, 150, 151, 152, 154, 155, 156, 159], "do_copi": [139, 148, 149, 150, 151, 159, 160], "do_compar": [139, 148, 149, 150, 151, 159, 160], "convert2str": [139, 148, 149, 150, 151, 156, 159, 160, 162], "subclass": [139, 150, 151, 156], "byte_array_mfb": [139, 146, 156, 157], "interest": [139, 150, 151], "randomli": [139, 142, 150, 151, 154, 155, 156, 158, 161], "sequence_simpl": [139, 145, 150, 151, 156, 161], "sequence_simple_const": [139, 150, 151], "sequence_simple_gauss": [139, 150, 151], "sequence_simple_inc": [139, 150, 151], "sequence_simple_dec": [139, 150, 151], "sequence_lib": [139, 150, 151, 156], "pick": [139, 150, 151], "config_sequ": [139, 142, 150, 151, 152, 154, 155, 156], "array_size_set": [139, 150, 151], "type_id": [139, 142, 145, 150, 151, 152, 154, 155, 156, 162], "cfg": [139, 142, 150, 151, 152, 154, 155, 156], "agent": [140, 141, 142, 143, 144, 146, 152, 153, 154, 155, 179], "acumul": [140, 141], "quest": [140, 141], "chunk": [140, 141, 144, 162], "bytes_vld": [140, 141, 148, 149], "null": [140, 141, 142, 145, 152, 154, 155, 156], "observ": [142, 152, 154, 156], "regions_s": [142, 154, 157], "mfb_data": [142, 154], "mfb_metadata": [142, 154], "pull": [142, 154, 156], "analysis_export": [142, 145, 152, 154, 155, 156], "uvm_act": [142, 152, 154, 155], "uvm_pass": [142, 152, 154, 155], "interface_nam": [142, 152, 154, 155, 156, 159], "databas": [142, 152, 154, 155, 156], "meta_behav": [142, 154, 155], "config_item": [142, 152, 154, 155], "meta_sof": [142, 154, 155], "meta_eof": [142, 154], "seq_cfg": [142, 152, 154, 155, 156], "byte_arrai": [142, 143, 156, 161], "reset_sync": [142, 152, 154, 155, 161], "uvm_test": [142, 152, 154, 155, 156], "uvm_componet_util": [142, 152, 154, 155], "m_reset": [142, 152, 154, 155], "env_rx": [142, 152, 154, 155], "m_env": [142, 152, 154, 155, 156], "uvm_compon": [142, 145, 152, 154, 155, 156], "super": [142, 145, 152, 154, 155, 156, 174], "endfunct": [142, 145, 152, 154, 155, 156], "void": [142, 145, 152, 154, 155, 156, 162], "build_phas": [142, 145, 152, 154, 155, 156], "uvm_phas": [142, 145, 152, 154, 155, 156], "phase": [142, 145, 152, 154, 155, 156], "m_cfg": [142, 152, 154, 155], "mfb_if": [142, 154, 155, 156], "space_size_set": [142, 152, 154, 155], "uvm_config_db": [142, 152, 154, 155, 156], "byte_array_mfb_env": 142, "m_eth": [142, 154, 155], "m_config": [142, 152, 154, 155, 156], "byte_arra_mfb": 142, "connect_phas": [142, 145, 152, 154, 155, 156], "sync_connect": [142, 152, 154, 155, 161], "endclass": [142, 145, 152, 154, 155, 156], "probability_set": [142, 152, 154], "percentig": 142, "infram": [142, 152, 154], "sequence_simple_rx_bas": [142, 152, 154, 155], "create_sequence_item": [142, 152, 154, 155, 158, 162], "child": [142, 152, 154, 155], "try_get": [142, 152, 154, 156], "download": 142, "state_packet_non": [142, 152, 154], "state_packet_new": [142, 152, 154], "state_packet_data": [142, 152, 154], "state_pakcet_spac": [142, 152, 154], "state_packet_space_new": [142, 152, 154], "env": [142, 146, 152, 154, 155, 156, 161, 162], "sequence_lib_rx": [142, 152, 154, 155], "sequence_simple_rx": [142, 152, 154], "sequence_full_speed_rx": [142, 152, 154, 155], "sequence_stop_rx": [142, 152, 154, 155], "repetit": [142, 152, 154, 155], "mfb_rx_speed": [142, 154], "init_sequence_librari": [142, 152, 154, 155, 156], "init_sequ": [142, 152, 154, 155, 156], "param_cfg": [142, 154, 155, 156], "add_sequ": [142, 152, 154, 155, 156], "get_typ": [142, 152, 154, 155, 156], "set_inst_overrid": [142, 152, 154, 155, 156], "get_full_nam": [142, 152, 154, 155, 156, 162], "introduc": [143, 156], "guarante": 143, "xmii": 143, "inherit": [143, 145, 162], "append": 143, "idle_count_min": 143, "idle_count_max": 143, "retriev": 143, "flush": [143, 156], "ther": 144, "machin": 144, "termin": [144, 160], "tehr": 144, "percentag": [144, 152, 154], "polynom": 144, "39": 144, "58": 144, "rand_rdi": 145, "rand_length": 145, "rdy": [145, 148, 149, 156], "reprez": 145, "folow": 145, "interfam": 145, "uvm_sequ": [145, 156], "uvm_object_util": [145, 156], "uvm_common": [145, 156], "gab": 145, "rand_rdy_rand": 145, "rand_length_rand": 145, "bodi": [145, 156], "forev": [145, 156], "int": [145, 156, 161], "m_valu": 145, "send_empty_fram": 145, "hl_sequenc": [145, 156], "hl_transact": 145, "beign": [145, 161], "send_fram": 145, "endtask": [145, 156, 161, 162], "comparer_base_ord": 145, "model_item": [145, 156], "dut_item": [145, 156], "comparer_base_disord": 145, "comparer_base_tag": [145, 156], "comparer_ord": [145, 156], "class_typ": 145, "comparer_disord": 145, "comparer_tag": 145, "watchdog": [145, 174], "dut_tr_timeout_set": 145, "model_tr_timeout_set": 145, "analysis_imp_model": [145, 156], "analysis_imp_dut": [145, 156], "reimplement": [145, 156], "model_typ": 145, "tr_model": [145, 156], "dut_typ": 145, "tr_dut": [145, 156], "scoreboard_channel_head": 145, "packet_head": 145, "uvm_logic_vector": [145, 156], "uvm_component_param_util": [145, 156], "uvm_app_cor": 145, "eq": 145, "clog2": [145, 157], "packet_s": 145, "error_msg": 145, "0d": [145, 156], "swrite": [145, 156], "tdiscard": 145, "tchannel": 145, "tmeta": 145, "tpacket_s": 145, "scenario": [145, 156], "entity_i": 145, "entity_a": 145, "ea_do": 145, "eb1_di": 145, "entity_b1_i": 145, "entity_b": 145, "eb1_do": 145, "eb2_di": 145, "entity_b2_i": 145, "eb2_do": 145, "fifo_en1_input": 145, "uvm_component_util": [145, 156], "uvm_analysis_imp_export": 145, "analysis_expoert": 145, "push_back": [145, 156], "fifo_en2_input": 145, "model_entityb": 145, "uvm_scoreboard": [145, 156], "model_a": 145, "m_model_a": 145, "model_b": 145, "m_model_b1": 145, "m_model_b2": 145, "mb1_in": 145, "mb2_in": 145, "cast": [145, 156], "m_fifo_input": 145, "uvm_tlm_analysis_fifo": 145, "in_a": 145, "run_phas": [145, 156], "tr_in_a": 145, "tr_in_b": 145, "tr_out": [145, 156], "time_array_add": 145, "m1_": 145, "enviro": [146, 161], "sychron": 146, "logic_vector_mvb": 146, "inner": [146, 156], "logic_vector_array_mfb": [146, 151, 155], "seg": 146, "sequence_rx_bas": 146, "sequence_tx_bas": 146, "ce_gener": 146, "ipg_gener": 146, "channel_align": 146, "data_buff": 146, "sequence_rx": [146, 156], "sequence_tx": 146, "lii": 146, "media": [148, 149], "throught": [148, 149], "eeof": [148, 149], "ordder": [148, 149], "http": [148, 149], "gitlab": [148, 149], "hft": [148, 149], "friedl": [148, 149], "feat": [148, 149], "ethphi": [148, 149], "eth_phi": [148, 149], "edb": [148, 149], "fast_sof": [148, 149], "earli": [148, 149], "ligc_vector_array_mfb": 150, "ligic": 150, "logic_vector": [150, 155], "convert2block": 151, "item_s": [152, 154], "logic_vector_arrai": [152, 154], "axi_if": 152, "logic_vector_array_axi_env": 152, "quicki": 152, "axi_rx_spe": 152, "logic_vector_array_mfb_env": 154, "items_width": 155, "logic_vector_mvb_env": 155, "sequence_rand_rx": 155, "sequence_burst_rx": 155, "mvb_rx_speed": 155, "doulo": 156, "guidelin": 156, "pack": 156, "unpack": [156, 172], "asic": 156, "einfochip": 156, "seriou": 156, "net": 156, "namespac": 156, "surround": 156, "mfb_properti": 156, "rx_mfb": 156, "prop_rdi": 156, "posedg": 156, "iff": 156, "b1": [156, 161, 162], "isunknown": 156, "endproperti": 156, "endmodul": 156, "try_next_item": 156, "get_next_item": 156, "4n": 156, "desynchron": 156, "race": 156, "10n": 156, "pkg": [156, 162], "start_item": [156, 161, 162], "finish_item": [156, 161, 162], "uvm_driv": 156, "vif": 156, "seq_item_port": 156, "cb": 156, "item_don": 156, "stick": 156, "registr": 156, "macro": [156, 162], "_rx": 156, "_tx": 156, "sequence_": 156, "m_": 156, "uvm_ag": 156, "uvm_analysis_port": 156, "analysis_port": 156, "m_sequenc": 156, "m_driver": 156, "m_monitor": 156, "axi_lite_interfac": 156, "seq_item_export": 156, "pre_do": 156, "mid_do": 156, "post_do": 156, "difficult": 156, "simple_simpl": 156, "uvm_sequence_item": 156, "this_item": 156, "size_max": 156, "uncov": 156, "improv": [156, 158], "effort": 156, "sequence_packet_smal": 156, "c_transact": 156, "2000": 156, "c_char_width": 156, "sequence_packet_larg": 156, "10000": 156, "200000": 156, "sequence_librari": 156, "uvm_sequence_library_util": 156, "redefin": 156, "uvm_env": 156, "m_agent": 156, "uvm_components_": 156, "uvm_object_": 156, "uvm_components_util": 156, "wrong": 156, "strang": 156, "unless": 156, "uvm_packag": 156, "factori": 156, "byte_array_moinitor": 156, "byte_array_mfb_monitor": 156, "m_byte_array_ag": 156, "byte_array_ag": 156, "m_mfb_agent": 156, "mfb_agent": 156, "mon": 156, "analysis_imp": 156, "byte_array_mfb_sequ": 156, "hl_item": 156, "word_siz": 156, "ll_transact": 156, "tr": 156, "hl": 156, "fifo_data": 156, "subenviron": 156, "byte_array_cfg": 156, "m_byte_array_cfg": 156, "mfb_cfg": 156, "m_mfb_cfg": 156, "byte_array_mfb_cfg": 156, "vif_nam": 156, "m_byte_arrai": 156, "uvm_sequence_librari": 156, "sequence_packet_mid": 156, "sequence_packet_rand_spac": 156, "sequence_packet_const": 156, "sequence_packet_incr": 156, "rx_agent": 156, "uvm_sequenc": 156, "m_mfb_sequenc": 156, "m_mvb_sequenc": 156, "m_config_sequenc": 156, "uvm_object_param_util": 156, "uvm_declare_p_sequenc": 156, "mfb_sequenc": 156, "mvm": 156, "mvb_sequenc": 156, "uvm_do_on": 156, "p_sequenc": 156, "report_phas": 156, "jenkin": 156, "prowid": 156, "comparer_": 156, "uvm_analysis_export": 156, "analysis_export_rx": 156, "analysis_export_tx": 156, "protect": 156, "m_compar": 156, "m_model": 156, "analysis_imp_rx": 156, "analysis_imp_tx": 156, "isnt": 156, "fifo_model_input": 156, "model_in": 156, "anlysis_export": 156, "get_type_nam": 156, "uvm_non": 156, "bidirect": 156, "uvm_analysis_imp_reset": 156, "analysis_imp_reset": 156, "write_reset": 156, "m_regmodel": 156, "metric": 156, "tell": 156, "output_cov": 156, "uvm_subscrib": 156, "packet_port_env": 156, "covergroup": 156, "cov_packet": 156, "items_s": 156, "coverpoint": 156, "num": 156, "illegal_bin": 156, "items_port": 156, "endgroup": 156, "coverage_bas": 156, "m_cov": 156, "counton": 156, "mash": 156, "contrast": 156, "jump": 156, "sim_flag": 156, "code_coverag": 156, "cov_html": 156, "dut_u": 156, "annot": 156, "cvg": 156, "bcefst": 156, "verbos": 156, "threshl": 156, "threshh": 156, "90": 156, "ucdb": 156, "vcover": 156, "multiv": 156, "imag": 156, "li": 156, "roll": 156, "uvm_logic_vector_arrai": 156, "byte_array_port_env": 156, "piec": 156, "msg_byte_arrai": 156, "msg_port": 156, "tr_paket": 156, "tr_port": 156, "paket": 156, "clone": 156, "sequence_byte_arrai": 156, "in_data": 156, "sequence_mvb": 156, "mvb_valid_item": 156, "mvb_agent": 156, "seq_byte_arrai": 156, "seq_mvb": 156, "msg_mvb": 156, "tlm": 156, "uvm_analysis_": 156, "uvm_tlm_analysis_": 156, "readabl": 156, "disadvantag": 156, "imposs": 156, "fifo_typ": 156, "type_item": 156, "quit": 156, "impement": 156, "packet_splitt": 156, "it_num": 156, "itoa": 156, "sc_output_": 156, "uvm_analysis_imp_decl": 156, "_meta": 156, "model_input_fifo": 156, "model_data": 156, "net_mod_logic_env": 156, "typedef": 156, "this_typ": 156, "uvm_analysis_imp_data": 156, "analysis_export_data": 156, "uvm_analysis_imp_meta": 156, "analysis_export_meta": 156, "struct": 156, "input_item": 156, "input_tim": 156, "data_item": 156, "meta_item": 156, "tmp_data": 156, "tmp_meta": 156, "write_data": 156, "write_meta": 156, "pop_front": 156, "user_to_cor": 156, "comparer_meta": 156, "tdut": 156, "tmodel": 156, "comparer_data": 156, "rx_mac_lite_region": 156, "tx_input_data": 156, "tx_input_meta": 156, "tx_out": 156, "comparesr": 156, "tx_compar": 156, "rx_input_data": 156, "rx_out_data": 156, "rx_out_hdr": 156, "rx_compare_data": 156, "rx_compare_meta": 156, "it_str": 156, "tx_out_": 156, "rx_input_data_": 156, "mvb_discard_": 156, "tx_input": 156, "tx_compare_": 156, "rx_input": 156, "rx_discard_": 156, "tx_output": 156, "rx_output": 156, "ret": [156, 161], "total_error": 156, "ttx": 156, "trx": 156, "assembl": 156, "rx_env": 156, "byte_aray_mfb": 156, "tx_env_bas": 156, "tx_env": 156, "tx_env_": 156, "analysis_export_rx_packet": 156, "analysis_export_tx_packet": 156, "sequence_rx_rdi": 156, "uvm_do_with": [156, 162], "env_main": 156, "seq_rx_packet": 156, "sequence_tx_rdi": 156, "seq_tx_rdi": 156, "raise_object": 156, "uvm_do": 156, "join_ani": 156, "drop_object": 156, "mfb_splitter_properti": 156, "reset_if": 156, "mvb_if": 156, "tx_mfb": 156, "mvb_properti": 156, "rx_mvb": 156, "run_test": 156, "finish_on_complet": 156, "uvm_root": 156, "m_root": 156, "v_tx_mfb": 156, "i_str": 156, "output_mfb_": 156, "v_mfb_tx": 156, "input_mfb": 156, "input_mvb": 156, "illegalnam": 156, "set_report_id_action_ti": 156, "uvm_no_act": 156, "unuseful": 156, "recording_detail": 156, "uvm_bitstream_t": 156, "prt": 156, "uvm_low": 156, "uvm_medium": [156, 162], "uvm_high": 156, "uvm_ful": 156, "hash": 156, "uvm_debug": 156, "newlin": 156, "tabul": 156, "charact": 156, "ttext": 156, "indent": 156, "uvm_object": 156, "non_parametrized_class": 156, "parametrized_class": 156, "val": 156, "uvm_ev": 156, "offer": 156, "barrier": 156, "uvm_pool": 156, "uvm_barri": 156, "agent_rx": 156, "agent_tx": 156, "math_pkg": 156, "mathemat": 156, "math_pack": 156, "sh_reg": 156, "sh_reg_bas": 156, "vhld": 156, "arch": 156, "ent": 156, "dut_bas": 156, "ver": 156, "ver_bas": 156, "suppress": 156, "numeric_std": 156, "std_logic_arith": 156, "discourag": 156, "std": [156, 162], "numericstdnowarn": 156, "std_arith": 156, "arith": 156, "stdarithnowarn": 156, "uvm_max_quit_count": 156, "extra_vflag": 156, "uvm_testnam": 156, "uvmcontrol": 156, "firmware_bas": 156, "containst": 157, "reciv": 157, "mi_bu": 158, "sequence_item_request": 158, "sequence_item_respons": [158, 162], "master_driv": 158, "master_sequ": 158, "known": 158, "sequence_slav": 158, "sequence_slave_same_addr": 158, "sequence_slave_incr_addr": 158, "sequence_slave_slave_burst": 158, "no_oper": 158, "sequence_slave_sim": 158, "mi_writ": 158, "mi_read": 158, "get_rsp": [158, 162], "sequence_mast": 158, "set_rd": 158, "sequence_master_burst": 158, "sequence_master_max": 158, "sequence_slave_librari": 158, "containt": [159, 161], "my": 159, "nad": 159, "modport": 159, "overridden": 159, "max_transaction_count": 159, "min_transaction_count": 159, "transaction_count": 159, "next_act": 159, "sand": 159, "everyth": 159, "medium": 160, "attach": 160, "proprietari": 160, "tranasciev": 160, "took": 160, "data_vld": 160, "hdr_vld": 160, "cicl": 161, "sequence_rand": 161, "ored": 161, "ocurr": 161, "comun": 161, "inconsistend": 161, "sync_cb": 161, "sync_regist": 161, "sync_termin": 161, "snyc_termin": 161, "cass": 161, "sync_": 161, "tak": 161, "is_reset": 161, "outpu": 161, "syncrhon": 161, "reset_ag": 161, "lewer": 161, "send_transact": 161, "hl_tr": 161, "sync_reset": 161, "reali": 161, "everyvher": 161, "env_config_item": 161, "driver_delai": 161, "slowest": 161, "reset_tim": 161, "seqeuenc": 161, "ran": 161, "configuret": 161, "pasiv": 161, "baselin": 162, "uvm_sim": 162, "sequence_tb": 162, "sequence_mi": 162, "sequence_mfb_data": 162, "sequence_mi_sim": 162, "uvm_mi": 162, "rsp": 162, "h0": 162, "h4": 162, "h8": 162, "uvm_info": 162, "hc": 162, "h1": 162, "construct": 162, "74": 162, "m_data": 162, "136": 162, "header_width": 162, "h04": 162, "h4c": 162, "h1f": 162, "hf0": 162, "h50": 162, "h7a": 162, "h02": 162, "hf404f404f404f404": 162, "sequence_meta": 162, "simluat": 162, "m_meta": 162, "lookup": [162, 175], "sequence_mvb_data": 162, "d4": 162, "d12": 162, "d16": 162, "hda7a5407": 162, "d512": 162, "hda7a5411": 162, "heb7ab8cc": 162, "d516": 162, "hda7a54cc": 162, "h6fbaaa52": 162, "h2474b6ac": 162, "hc4d1ce40": 162, "brief": 162, "overview": 165, "lutmem": 166, "asfifox": [166, 172], "asfifo_bram": 166, "asfifo_bram_block": 166, "asfifo_bram_releas": 166, "dreleas": 166, "asfifo_bram_datamux": 166, "asfifo_bram_xilinx": 166, "primit": [166, 171], "fifo_bram": 166, "fifo_bram_xilinx": 166, "fifo_n1": 166, "multi_fifo": 166, "sh_fifo": 166, "ug573": [166, 171], "ug574": [166, 171], "clb": [166, 171], "ug": [166, 171], "s10memori": [166, 171], "embed": [166, 171], "20208": [166, 171], "fl_tool": 167, "flu_tool": 168, "research": 169, "z": 169, "after_on": 170, "00100000": 170, "11000000": 170, "alu": 170, "barrel_shift": 170, "barrel_shifter_dsp": 170, "before_on": 170, "00011111": 170, "bin2hot": 170, "hot": 170, "carry_chain": 170, "cmp": 170, "leonardo": 170, "cnt_dist": 170, "sequentiali": 170, "sdp_memx": [170, 171], "dec1fn": 170, "demux": [170, 175], "unselect": 170, "dsp_xor": 170, "xor": 170, "dsp48e2": 170, "detector": [170, 174], "enc": 170, "first_on": [170, 174], "gen_nor": 170, "last_on": 170, "lfsr": 170, "fibonacci": 170, "xnor": 170, "modulo": 170, "modulo_lookup": 170, "mul48": 170, "mux_dsp": 170, "n_loop_op_pro": 170, "np_lutram_pro": [170, 171], "expert": [170, 171], "knowledg": [170, 171], "n_one": 170, "n_to_m_handshak": 170, "pipe_dsp": 170, "pipe_tree_add": 170, "adder": 170, "squarer": 170, "squar": 170, "latch": 170, "sum_on": 170, "xor48": 170, "bitwis": 170, "ternari": 171, "light": 171, "dp_bmem": 171, "dp_bram_xilinx": 171, "dp_bmem_v7": 171, "dp_uram_xilinx": 171, "gen_lutram": 171, "arria": 171, "gen_reg_arrai": 171, "altern": 171, "sdp_bmem": 171, "sdp_bmem_v7": 171, "sdp_bram_xilinx": 171, "xpm_memory_sdpram": 171, "altera_syncram": 171, "multiport": 171, "sdp_bram_behav": 171, "sdp_uram_xilinx": 171, "sp_bmem": 171, "sp_bram": 171, "sp_bram_xilinx": 171, "sp_uram_xilinx": 171, "trasform": 172, "stream2": 172, "masker": 172, "mi_tool": 173, "mi2axi4": 173, "adc": 174, "candid": 174, "clk_gen": 174, "deficit_idle_count": 174, "event_count": 174, "fifo_pip": 174, "first_one_detector": 174, "hyper_pip": 174, "hyper": 174, "id32": 174, "interrupt_manag": 174, "agreg": 174, "packet_plann": 174, "reset_tree_gen": 174, "rr_arbit": 174, "arbitr": 174, "slr_cross": 174, "trans_sort": 174, "mvb_tool": 175, "merge_n_to_m": 175, "mvb2mfb": 175, "lite": 176, "40ge": 176, "methodologi": 179, "highli": 179, "tutori": 179}, "objects": {}, "objtypes": {}, "objnames": {}, "titleterms": {"minim": [0, 1], "ndk": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 15, 16, 25], "applic": [0, 1, 11, 13, 14, 18, 20], "The": [0, 18, 19, 20, 21, 22, 23, 30], "memori": [0, 21, 55, 171], "tester": [0, 55, 56], "exampl": [0, 12, 30, 72, 74, 79, 96, 109, 120, 126, 156, 162], "mi": [0, 22, 50, 53, 54, 55, 102, 105, 106, 107, 108, 109, 132, 158, 162, 173], "offset": 0, "document": 1, "amd": [2, 3], "alveo": 2, "u200": 2, "firmwar": [2, 3, 4, 5, 6, 7, 8, 9, 10, 12, 13, 14], "support": [2, 3, 4, 5, 6, 7, 8, 9, 10, 13, 23], "program": [2, 3, 56], "devic": [2, 3, 12, 128], "vcu118": 3, "vu9p": [3, 9], "bittwar": 4, "ia": 4, "420f": 4, "boot": [4, 5, 6], "instruct": [4, 5, 6], "initi": 4, "intel": [5, 6, 147], "stratix": 5, "10": 5, "dx": 5, "fpga": [5, 6, 12, 13, 14, 123], "dk": [5, 6, 12], "agilex": 6, "i": [6, 11, 13], "seri": 6, "reflexc": 7, "xpresssx": 7, "agi": 7, "fh400g": 7, "board": 7, "revis": 7, "test": [7, 16, 156], "script": [7, 156], "silicom": [8, 9, 10], "fb2cghh": 8, "ku15p": 8, "fb4cgg3": 9, "n6010": 10, "configur": [11, 23, 30, 71, 87, 96, 135, 139, 142, 150, 151, 152, 154, 155, 156], "file": [11, 30], "paramet": [11, 14, 96, 120], "parametr": [11, 156], "core": [11, 17, 20, 23, 26], "design": [11, 30], "descript": [11, 30, 49, 50, 51, 52, 55, 96, 107, 120, 148, 149, 160], "core_conf": 11, "tcl": [11, 30, 156], "core_const": 11, "mk": 11, "core_bootstrap": 11, "further": [11, 14], "work": [11, 14], "pass": 11, "through": 11, "modul": [11, 16, 18, 19, 20, 23, 28, 30, 156, 171], "ad": 11, "constant": 11, "vhdl": 11, "packag": [11, 47, 145, 156], "specif": [11, 48, 70, 72, 79, 96, 103, 107, 120, 156], "card": [11, 12, 13, 14, 128], "type": 11, "card_conf": 11, "card_const": 11, "user": [11, 13, 169], "build": [11, 12, 13, 14, 26, 30], "card_nam": 11, "makefil": [11, 30], "vivado": 11, "quartu": 11, "app_conf": 11, "tl": 11, "dr": 11, "need": [11, 13, 14], "includ": 11, "compon": [11, 12, 30, 49, 50, 51, 52, 55, 69, 79, 135, 146, 165, 166], "depend": [11, 14], "given": 11, "valu": [11, 120], "what": [11, 13, 14], "can": [11, 13], "do": [11, 13], "contact": 11, "author": 11, "tree": [12, 128], "dt": 12, "integr": 12, "system": [12, 30], "locat": 12, "dtb": 12, "one": 12, "gener": [12, 18, 42, 49, 50, 51, 52, 55, 56, 59, 65, 66, 68, 69, 70, 72, 74, 96, 120, 121, 125, 127, 148, 149, 156, 160], "dev": 12, "1sdx": 12, "p": 12, "requir": 12, "develop": [12, 13], "frequent": 13, "ask": 13, "question": 13, "network": [13, 20, 176], "kit": 13, "sw": [13, 18, 20, 49, 50, 55], "chip": [13, 30], "doe": 13, "commun": 13, "interfac": [13, 18, 20, 34, 123, 147, 148, 149, 156, 159, 160], "have": 13, "avail": 13, "ethernet": [13, 15, 18, 123], "standard": 13, "implement": [13, 26, 30], "iso": 13, "osi": 13, "protocol": 13, "jumbo": 13, "packet": [13, 18, 20, 44, 67, 85, 100], "also": 13, "an": [13, 14], "open": 13, "sourc": 13, "dma": [13, 15, 18, 19, 64, 70, 71], "control": [13, 19, 21, 23, 49, 50, 53, 54, 55, 65, 126, 132, 135, 163], "clock": [13, 166], "frequenc": 13, "ar": [13, 14], "stack": 13, "differ": 13, "between": 13, "netfpga": 13, "corundum": 13, "openn": 13, "how": [14, 18, 20, 162], "start": [14, 17, 65], "base": 14, "list": [14, 30], "make": 14, "prepar": 14, "host": 14, "pc": [14, 123], "load": 14, "check": 14, "terminologi": 15, "port": [15, 18, 39, 41, 42, 49, 50, 51, 52, 55, 96, 109, 120, 125, 127, 148, 149, 160], "lane": 15, "channel": [15, 65, 110], "stream": [15, 95, 115], "r": 16, "w": 16, "access": [16, 18, 20, 105], "scratch": 16, "regist": [16, 18, 125, 127, 178], "gl": [16, 73], "tutori": [16, 156], "cocotb": 17, "toplevel": 17, "simul": [17, 162], "quick": [17, 37], "us": [18, 20, 30, 109, 162], "receiv": [18, 20], "from": [18, 20], "transmit": [18, 20], "read": [18, 34], "write": [18, 34], "select": [19, 23], "medusa": 19, "ip": [19, 23, 26], "note": [19, 87, 156], "tab": [20, 26, 79, 105, 109], "1": [20, 26, 30, 79, 96, 105, 109, 120, 126], "logic": [20, 150, 151, 170], "2": [20, 30, 96, 109, 120, 126], "3": [20, 30, 109, 126], "reconfigur": [20, 88, 108, 126], "mac": [20, 125, 127, 147, 153], "lite": [20, 125, 127], "4": [20, 30, 126], "bu": [22, 53, 54, 55, 72, 107, 148, 149, 160], "interconnect": 22, "main": [22, 156], "alloc": 22, "address": [22, 50, 58, 87, 105, 109, 123, 128], "space": [22, 50, 87, 105, 123, 128], "pcie": [23, 59, 129, 130, 131, 133, 135, 177], "pcie_cor": 23, "hard": 23, "unit": [23, 24, 129], "pcie_ctrl": 23, "entiti": 23, "time": [24, 72, 96, 107, 120], "stamp": 24, "timestamp": [24, 91], "signal": [24, 72, 93, 120], "format": [24, 136], "architectur": [25, 42, 43, 44, 46, 53, 55, 88, 100, 108, 109, 123, 125, 127, 135], "f": 26, "tile": 26, "multir": 26, "tip": 26, "tile_multir": 26, "variant": 26, "switch": [26, 73], "profil": 26, "buffer": [27, 61, 63, 68, 98, 124], "verif": [27, 33, 79, 124, 156, 179], "plan": [27, 79, 124], "asynchron": [28, 166], "refer": [28, 42, 44, 48, 55, 135, 166, 171], "basic": [29, 156, 170], "tool": [29, 164, 167, 168, 172, 173, 175, 176, 177], "content": [29, 163, 164, 168, 172, 173, 175, 176, 177, 179], "hierarchi": 30, "variabl": 30, "obtain": 30, "properti": [30, 156], "mod": 30, "sv_lib": 30, "synthesi": 30, "advanc": 30, "comp": 30, "target": 30, "synthesizeproject": 30, "init": 30, "phase": 30, "setupdesign": 30, "add": 30, "addinputfil": 30, "implemen": 30, "synthetizedesign": 30, "implementdesign": 30, "final": 30, "savedesign": 30, "other": [30, 135, 156], "featur": [30, 37, 43, 44, 49, 50, 51, 52, 55, 100], "evalfil": 30, "batch": 30, "incomplet": 30, "synth_flag": 30, "arrai": [30, 139, 140, 141, 143, 144, 150, 151, 153], "item": [30, 113, 139, 147, 148, 149, 150, 151, 159, 160], "dsp": [31, 165], "compar": [31, 145], "asfifox": [32, 97], "block": [32, 33, 34, 37, 42, 48, 72, 80, 85, 91, 95, 134, 135], "diagram": [32, 33, 34, 37, 42, 48, 72, 80, 85, 91, 95, 96, 107, 120, 134, 135], "fifox": [33, 34, 99, 121], "multi": [34, 36, 39], "behavior": 34, "barrel": 35, "shifter": 35, "memx": 36, "counter": [36, 43], "n_loop_op": 37, "oper": [37, 87, 95, 96, 118, 120, 126], "flow": 37, "situat": 37, "solut": 37, "addit": [37, 44, 100], "reset": [37, 156, 161], "synchron": [38, 156], "sr": 38, "latch": 38, "bram": [39, 41], "np": 40, "lut": 40, "ram": 40, "simpl": [41, 49, 50, 76, 83, 90, 101, 161], "dual": [41, 166], "byte": [41, 130, 131, 139, 140, 141, 143, 144, 153], "enabl": [41, 78, 131], "crossbarx": [42, 75, 95, 98], "event": 43, "captur": 43, "planner": 44, "puls": 45, "short": 45, "transact": [46, 63, 68, 132, 135], "sorter": 46, "sdm": 48, "client": 48, "more": 48, "mem": 49, "logger": [49, 50], "kei": [49, 50, 51, 52, 55], "instanc": [49, 50, 51, 52], "templat": [49, 50, 51, 52], "usag": [49, 50, 72, 87, 105, 143, 156], "data": [50, 88], "warp": 50, "full": 50, "histogram": 51, "latenc": 52, "meter": 52, "amm_gen": 53, "intern": [53, 55], "amm_prob": 54, "ddr4": 55, "pytest": [55, 56], "pdf": 55, "report": [55, 56, 156], "sub": 55, "mem_test": 56, "softwar": [56, 62, 69], "c": 56, "py": 56, "report_gen": 56, "header": [57, 60, 133], "insertor": [57, 84], "manag": [58, 60, 62, 69, 123, 134], "addr": 59, "subcompon": [60, 64, 65, 66, 68, 70, 71, 80, 121], "input": [61, 156], "rx": [64, 123, 125, 142, 152, 154, 155], "calypt": [64, 70, 71], "stop": 65, "metadata": [66, 84], "extractor": 66, "dispatch": 67, "tx": [70, 123, 126, 127], "provid": 71, "futur": 71, "expect": 71, "flu": [72, 168], "tabl": [72, 122], "guidelin": 72, "copi": 72, "past": 72, "code": [72, 156], "gen": [73, 83, 89, 90, 109, 137], "loop": 73, "mfb": [74, 76, 77, 78, 79, 81, 82, 83, 86, 88, 89, 90, 92, 93, 96, 97, 99, 100, 101, 126, 157, 162, 172], "distribut": 74, "stream2": 75, "cutter": 76, "dropper": 77, "frame": [79, 80], "masker": 79, "unpack": 80, "arcitectur": 80, "loopback": 81, "merger": [82, 83], "delay": 85, "pipe": [86, 106], "rate": 87, "limit": [87, 91], "constraint": 88, "side": 88, "effect": 88, "shift": [88, 178], "splitter": [89, 90, 109], "trasform": 92, "auxiliari": 93, "checksum": 94, "calcul": 94, "function": [96, 156], "sof_po": 96, "index": 96, "eof_po": 96, "scenario": [96, 120, 126], "output": 98, "discard": [100, 112], "asfifo": [100, 101], "forc": 100, "pd": 101, "async": 102, "mi2avmm": 103, "mi2axi4": 104, "indirect": 105, "A": 107, "few": 107, "plu": 109, "set": 109, "up": 109, "addr_bas": 109, "mask": 109, "irrelev": 109, "bit": 109, "map": [109, 125, 127], "differnt": 109, "ab": 109, "mvb": [110, 111, 112, 113, 115, 116, 118, 119, 120, 121, 122, 159, 162, 175], "router": 110, "demux": 111, "merg": [113, 115], "shakedown": [114, 119], "merge_n_to_m": 114, "mux": 116, "mvb2mfb": 117, "variou": 120, "vld": 120, "lookup": 122, "40ge": 123, "phy": 123, "ultrascal": 123, "pma": [123, 144, 160], "adapt": [125, 127], "lbu": 126, "state": 126, "machin": 126, "idl": 126, "pkt_process": 126, "pkt_end": 126, "word_realign": 126, "pkt_halt": 126, "realign": 126, "5": 126, "6": 126, "pci_ext_cap": 128, "extra": 128, "endpoint": 128, "id": 128, "convers": 129, "count": 130, "decod": 131, "mtc": 132, "pars": 133, "depars": 133, "ptc": [134, 135], "tag": 134, "tsu": [136, 137, 163], "n": 136, "convertor": 136, "axi": 138, "agent": [138, 139, 147, 148, 149, 150, 151, 156, 157, 158, 159, 160, 161], "sequence_item": [138, 157, 158], "sequenc": [139, 140, 141, 142, 143, 144, 147, 148, 149, 150, 151, 152, 154, 155, 156, 159, 160], "monitor": [139, 140, 141, 143, 144, 147, 148, 149, 150, 151, 156, 159, 160], "lii": [140, 141, 148, 149], "convert": [140, 141, 144], "enviro": [140, 141, 144], "byte_array_mfb": 142, "environ": [142, 143, 152, 154, 155, 156], "top": [142, 152, 155], "low": [142, 152, 154, 155, 156], "inner": [142, 152, 154, 155], "mii": 143, "transit": 143, "sv": 143, "env": 143, "sequence_rx_bas": 143, "sequence_tx_bas": 143, "ce_gener": 143, "wrapper": 143, "ipg_gener": 143, "channel_align": 143, "data_buff": 143, "sequence_rx": 143, "sequence_tx": 143, "common": [145, 156], "random": 145, "class": 145, "fifo": [145, 156, 166], "seg": [147, 153], "driver": [147, 148, 149, 156, 159, 160], "config": [147, 159], "vector": [150, 151], "logic_vector_array_axi": 152, "level": [152, 156], "logic_vector_array_mfb": 154, "op": 154, "logic_vector_mvb": 155, "systemverilog": 156, "uvm": [156, 162, 179], "methodologi": 156, "ofm": [156, 169], "repositori": 156, "object": 156, "librari": 156, "layer": 156, "high": 156, "run": 156, "virtual": 156, "scoreboard": 156, "request": 156, "respons": 156, "coverag": 156, "byte_array_port": 156, "model": 156, "creat": 156, "testbench": 156, "uvm_info": 156, "uvm_error": 156, "v": 156, "uvm_fat": 156, "fdo": 156, "sychron": 161, "meta": 162, "debug": 164, "singl": 166, "fl": 167, "guid": 169, "element": 170, "miscellan": 174}, "envversion": {"sphinx.domains.c": 2, "sphinx.domains.changeset": 1, "sphinx.domains.citation": 1, "sphinx.domains.cpp": 8, "sphinx.domains.index": 1, "sphinx.domains.javascript": 2, "sphinx.domains.math": 2, "sphinx.domains.python": 3, "sphinx.domains.rst": 2, "sphinx.domains.std": 2, "sphinx": 57}, "alltitles": {"Minimal NDK application": [[0, "minimal-ndk-application"]], "The Memory Testers": [[0, "the-memory-testers"]], "The example of MI offsets": [[0, "the-example-of-mi-offsets"]], "Documentation of Minimal NDK Application": [[1, "documentation-of-minimal-ndk-application"]], "AMD Alveo U200": [[2, "amd-alveo-u200"]], "NDK firmware support": [[2, "ndk-firmware-support"], [3, "ndk-firmware-support"], [4, "ndk-firmware-support"], [5, "ndk-firmware-support"], [6, "ndk-firmware-support"], [7, "ndk-firmware-support"], [8, "ndk-firmware-support"], [9, "ndk-firmware-support"], [10, "ndk-firmware-support"]], "Programming the device": [[2, "programming-the-device"], [3, "programming-the-device"]], "AMD VCU118@VU9P": [[3, "amd-vcu118-vu9p"]], "Bittware IA-420F": [[4, "bittware-ia-420f"]], "Boot instructions (initial)": [[4, "boot-instructions-initial"]], "Intel Stratix 10 DX FPGA DK": [[5, "intel-stratix-10-dx-fpga-dk"]], "Boot instructions": [[5, "boot-instructions"], [6, "boot-instructions"]], "Intel Agilex I-Series FPGA DK": [[6, "intel-agilex-i-series-fpga-dk"]], "ReflexCES XpressSX AGI-FH400G": [[7, "reflexces-xpresssx-agi-fh400g"]], "Board Revision": [[7, "board-revision"]], "Board Test Scripts": [[7, "board-test-scripts"]], "Silicom fb2CGhh@KU15P": [[8, "silicom-fb2cghh-ku15p"]], "Silicom fb4CGg3@VU9P": [[9, "silicom-fb4cgg3-vu9p"]], "Silicom N6010": [[10, "silicom-n6010"]], "Configuration files and parameters": [[11, "configuration-files-and-parameters"]], "Parametrizing NDK-CORE design": [[11, "parametrizing-ndk-core-design"]], "File description": [[11, "file-description"], [11, "id1"]], "core_conf.tcl": [[11, "core-conf-tcl"]], "core_const.tcl": [[11, "core-const-tcl"]], "core.mk": [[11, "core-mk"]], "core_bootstrap.tcl": [[11, "core-bootstrap-tcl"]], "Further work with parameters": [[11, "further-work-with-parameters"], [11, "id2"]], "Passing through Modules.tcl": [[11, "passing-through-modules-tcl"], [11, "id3"]], "Adding constants to the VHDL package": [[11, "adding-constants-to-the-vhdl-package"], [11, "id4"]], "Parametrizing a specific card type": [[11, "parametrizing-a-specific-card-type"]], "card_conf.tcl": [[11, "card-conf-tcl"]], "card_const.tcl": [[11, "card-const-tcl"]], "card.mk": [[11, "card-mk"]], "Parametrizing the user application": [[11, "parametrizing-the-user-application"]], "Configuration files": [[11, "configuration-files"]], "build//Makefile": [[11, "build-card-name-makefile"]], "build//{Vivado,Quartus}.tcl": [[11, "build-card-name-vivado-quartus-tcl"]], "build//app_conf.tcl": [[11, "build-card-name-app-conf-tcl"]], "TL;DR": [[11, "tl-dr"]], "I need to include specific component in CORE depending on a given parameter value": [[11, "i-need-to-include-specific-component-in-core-depending-on-a-given-parameter-value"]], "What can I do with the core_conf.tcl file": [[11, "what-can-i-do-with-the-core-conf-tcl-file"]], "What can I do with the core_const.tcl file": [[11, "what-can-i-do-with-the-core-const-tcl-file"]], "What can I do with the card_conf.tcl file": [[11, "what-can-i-do-with-the-card-conf-tcl-file"]], "What can I do with the card_const.tcl file": [[11, "what-can-i-do-with-the-card-const-tcl-file"]], "What can I do with the app_conf.tcl file": [[11, "what-can-i-do-with-the-app-conf-tcl-file"]], "Contact for author": [[11, "contact-for-author"]], "Device Tree": [[12, "device-tree"], [128, "device-tree"]], "DT integration in build system": [[12, "dt-integration-in-build-system"]], "Location of DTB in the firmware": [[12, "location-of-dtb-in-the-firmware"]], "Example of DTS of one component": [[12, "example-of-dts-of-one-component"]], "Example of generated DTS for FPGA card (DK-DEV-1SDX-P)": [[12, "example-of-generated-dts-for-fpga-card-dk-dev-1sdx-p"]], "Requirements for developers": [[12, "requirements-for-developers"]], "Frequently Asked Questions": [[13, "frequently-asked-questions"]], "What is a Network Development Kit (NDK)?": [[13, "what-is-a-network-development-kit-ndk"]], "What SW do I need to build the NDK firmware?": [[13, "what-sw-do-i-need-to-build-the-ndk-firmware"]], "What FPGA chips and cards does NDK support?": [[13, "what-fpga-chips-and-cards-does-ndk-support"]], "What communication interfaces can a NDK applications have available?": [[13, "what-communication-interfaces-can-a-ndk-applications-have-available"]], "What Ethernet standards does NDK support?": [[13, "what-ethernet-standards-does-ndk-support"]], "Does NDK implement ISO/OSI protocol support?": [[13, "does-ndk-implement-iso-osi-protocol-support"]], "Does NDK support Jumbo packets?": [[13, "does-ndk-support-jumbo-packets"]], "Is there also an open-source DMA controller available?": [[13, "is-there-also-an-open-source-dma-controller-available"]], "What clock frequencies are available for the user application?": [[13, "what-clock-frequencies-are-available-for-the-user-application"]], "Is there a SW stack also available for the NDK?": [[13, "is-there-a-sw-stack-also-available-for-the-ndk"]], "What is the difference between NDK and NetFPGA?": [[13, "what-is-the-difference-between-ndk-and-netfpga"]], "What is the difference between NDK and Corundum?": [[13, "what-is-the-difference-between-ndk-and-corundum"]], "What is the difference between NDK and OpenNIC?": [[13, "what-is-the-difference-between-ndk-and-opennic"]], "How to start": [[14, "how-to-start"]], "What dependencies are needed to build an FPGA firmware": [[14, "what-dependencies-are-needed-to-build-an-fpga-firmware"]], "How to build an FPGA firmware with an NDK-based application": [[14, "how-to-build-an-fpga-firmware-with-an-ndk-based-application"]], "List of make parameters:": [[14, "list-of-make-parameters"]], "How to prepare the FPGA card and the host PC": [[14, "how-to-prepare-the-fpga-card-and-the-host-pc"]], "How to load the firmware to an FPGA card": [[14, "how-to-load-the-firmware-to-an-fpga-card"]], "How to check the NDK firmware in the FPGA": [[14, "how-to-check-the-ndk-firmware-in-the-fpga"]], "Further work with the NDK": [[14, "further-work-with-the-ndk"]], "NDK Terminology": [[15, "ndk-terminology"]], "Ethernet Port": [[15, "ethernet-port"]], "Ethernet Lanes": [[15, "ethernet-lanes"]], "Ethernet Channel": [[15, "ethernet-channel"]], "Ethernet Stream": [[15, "ethernet-stream"]], "DMA Stream": [[15, "dma-stream"]], "DMA Channel": [[15, "dma-channel"]], "NDK testing": [[16, "ndk-testing"]], "Testing R/W access to the scratch registers": [[16, "testing-r-w-access-to-the-scratch-registers"]], "GLS module tutorial": [[16, "gls-module-tutorial"]], "Cocotb toplevel simulation core": [[17, "cocotb-toplevel-simulation-core"]], "Quick start": [[17, "quick-start"]], "The Application": [[18, "the-application"]], "How to use the Application interfaces": [[18, "how-to-use-the-application-interfaces"]], "Receiving packets from Ethernet": [[18, "receiving-packets-from-ethernet"]], "Transmitting packets to the Ethernet": [[18, "transmitting-packets-to-the-ethernet"]], "Receiving packets from the DMA module": [[18, "receiving-packets-from-the-dma-module"]], "Transmitting packets to the DMA module": [[18, "transmitting-packets-to-the-dma-module"]], "Read/write access to the Application registers from SW": [[18, "read-write-access-to-the-application-registers-from-sw"]], "Ports and generics of the Application": [[18, "ports-and-generics-of-the-application"]], "The DMA module": [[19, "the-dma-module"]], "Selecting a DMA controller": [[19, "selecting-a-dma-controller"]], "DMA Medusa IP notes": [[19, "dma-medusa-ip-notes"]], "The Network Module": [[20, "the-network-module"]], "Tab. 1": [[20, "id6"], [79, "id2"], [105, "id2"], [109, "id2"]], "How to use the Network Module interfaces": [[20, "how-to-use-the-network-module-interfaces"]], "Receiving packets from the Application": [[20, "receiving-packets-from-the-application"]], "Transmitting packets to the Application": [[20, "transmitting-packets-to-the-application"]], "SW access to the Network Module Cores and Network Module Logics": [[20, "sw-access-to-the-network-module-cores-and-network-module-logics"]], "Tab. 2": [[20, "id7"], [109, "id3"]], "Tab. 3": [[20, "id8"], [109, "id4"]], "Network Module Core": [[20, "network-module-core"]], "SW access to the reconfiguration interfaces": [[20, "sw-access-to-the-reconfiguration-interfaces"]], "Network Module Logic": [[20, "network-module-logic"]], "SW access to the MAC Lites": [[20, "sw-access-to-the-mac-lites"]], "Tab. 4": [[20, "id9"]], "The Memory Controller": [[21, "the-memory-controller"]], "The MI bus interconnect": [[22, "the-mi-bus-interconnect"]], "The main allocation of the MI address space": [[22, "the-main-allocation-of-the-mi-address-space"]], "The PCIe module": [[23, "the-pcie-module"]], "Selecting a PCIe configuration": [[23, "selecting-a-pcie-configuration"]], "The PCIe Core (PCIE_CORE)": [[23, "the-pcie-core-pcie-core"]], "Supported PCIe Hard IP": [[23, "supported-pcie-hard-ip"]], "The PCIe Control unit (PCIE_CTRL)": [[23, "the-pcie-control-unit-pcie-ctrl"]], "The PCIe module entity": [[23, "the-pcie-module-entity"]], "Time Stamp Unit": [[24, "time-stamp-unit"]], "Timestamp signals": [[24, "timestamp-signals"]], "Timestamp format": [[24, "timestamp-format"]], "NDK architecture": [[25, "ndk-architecture"]], "F-Tile Multirate IP": [[26, "f-tile-multirate-ip"]], "Implemented IP cores": [[26, "implemented-ip-cores"]], "Build tips": [[26, "build-tips"]], "Tab. 1 F-Tile_Multirate IPs variants": [[26, "id1"]], "Switching profiles": [[26, "switching-profiles"]], "BUFFER": [[27, "buffer"], [124, "buffer"]], "Verification Plan": [[27, "verification-plan"], [124, "verification-plan"]], "Asynchronous modules": [[28, "asynchronous-modules"]], "References": [[28, "references"], [42, "references"], [44, "references"], [55, "references"], [135, "references"], [166, "references"], [171, "references"]], "Basic Tools": [[29, "basic-tools"]], "Content:": [[29, null], [163, null], [164, null], [168, null], [172, null], [173, null], [175, null], [176, null], [177, null]], "Build System": [[30, "build-system"]], "Hierarchy description in Modules.tcl": [[30, "hierarchy-description-in-modules-tcl"]], "Variables in Modules.tcl obtained by the build system": [[30, "variables-in-modules-tcl-obtained-by-the-build-system"]], "List of properties used in MOD variables": [[30, "list-of-properties-used-in-mod-variables"]], "Example of using properties": [[30, "example-of-using-properties"]], "List of properties used in SV_LIBS": [[30, "list-of-properties-used-in-sv-libs"]], "Example of using Modules.tcl variables": [[30, "example-of-using-modules-tcl-variables"]], "Component synthesis": [[30, "component-synthesis"]], "Advanced synthesis configuration": [[30, "advanced-synthesis-configuration"]], "Example of Makefile for component synthesis": [[30, "example-of-makefile-for-component-synthesis"]], "The comp target in Makefile": [[30, "the-comp-target-in-makefile"]], "Chip design synthesis and implementation": [[30, "chip-design-synthesis-and-implementation"]], "SynthesizeProject": [[30, "synthesizeproject"]], "1. Init phase (SetupDesign)": [[30, "init-phase-setupdesign"]], "2. File add phase (AddInputFiles)": [[30, "file-add-phase-addinputfiles"]], "3. Synthesis and Implemenation (SynthetizeDesign, ImplementDesign)": [[30, "synthesis-and-implemenation-synthetizedesign-implementdesign"]], "4. Final phase (SaveDesign)": [[30, "final-phase-savedesign"]], "Other features of the build system": [[30, "other-features-of-the-build-system"]], "EvalFile": [[30, "evalfile"]], "Batch feature in EvalFile": [[30, "batch-feature-in-evalfile"]], "Makefile": [[30, "makefile"]], "The (incomplete) list of SYNTH_FLAGS array items": [[30, "the-incomplete-list-of-synth-flags-array-items"]], "DSP Comparator": [[31, "dsp-comparator"]], "ASFIFOX": [[32, "asfifox"]], "Block diagram": [[32, "block-diagram"], [33, "block-diagram"], [34, "block-diagram"], [37, "block-diagram"], [42, "block-diagram"], [48, "block-diagram"], [80, "block-diagram"], [85, "block-diagram"], [91, "block-diagram"], [95, "block-diagram"], [134, "block-diagram"], [135, "block-diagram"]], "FIFOX": [[33, "fifox"]], "Verification": [[33, "verification"]], "Verification block diagram": [[33, "verification-block-diagram"]], "FIFOX Multi": [[34, "fifox-multi"]], "Write interface behavior": [[34, "write-interface-behavior"]], "Read interface behavior": [[34, "read-interface-behavior"]], "Barrel Shifter": [[35, "barrel-shifter"]], "Multi MEMx Counter": [[36, "multi-memx-counter"]], "N_LOOP_OP": [[37, "n-loop-op"]], "Operator flow": [[37, "operator-flow"]], "Situation": [[37, "situation"]], "Solution": [[37, "solution"]], "Additional Features": [[37, "additional-features"], [100, "additional-features"]], "Quick reset": [[37, "quick-reset"]], "Synchronous SR latch": [[38, "synchronous-sr-latch"]], "Multi-port BRAM": [[39, "multi-port-bram"]], "NP LUT RAM": [[40, "np-lut-ram"]], "Simple dual-port BRAM": [[41, "simple-dual-port-bram"]], "Simple dual-port BRAM with Byte Enable": [[41, "simple-dual-port-bram-with-byte-enable"]], "CrossbarX": [[42, "crossbarx"]], "Generics": [[42, "generics"], [148, "generics"], [149, "generics"], [160, "generics"]], "Ports": [[42, "ports"], [148, "ports"], [149, "ports"], [160, "ports"]], "Architecture": [[42, "architecture"], [43, "architecture"], [44, "architecture"], [46, "architecture"], [88, "architecture"], [100, "architecture"], [108, "architecture"], [109, "architecture"], [123, "architecture"], [125, "architecture"], [127, "architecture"]], "Event Counter": [[43, "event-counter"]], "Capture feature": [[43, "capture-feature"]], "Packet Planner": [[44, "packet-planner"]], "Additional features": [[44, "additional-features"]], "Pulse short": [[45, "pulse-short"]], "Transaction Sorter": [[46, "transaction-sorter"]], "Packages": [[47, "packages"]], "SDM CLIENT": [[48, "sdm-client"]], "Specification": [[48, "specification"], [103, "specification"]], "More references": [[48, "more-references"]], "Mem logger": [[49, "mem-logger"]], "Key features": [[49, "key-features"], [50, "key-features"], [51, "key-features"], [52, "key-features"], [55, "key-features"]], "Component port and generics description": [[49, "component-port-and-generics-description"], [50, "component-port-and-generics-description"], [51, "component-port-and-generics-description"], [52, "component-port-and-generics-description"], [55, "component-port-and-generics-description"]], "Instance template (simple usage)": [[49, "instance-template-simple-usage"], [50, "instance-template-simple-usage"]], "Control SW": [[49, "control-sw"], [50, "control-sw"], [55, "control-sw"]], "Data logger": [[50, "data-logger"]], "Data logger warping component": [[50, "data-logger-warping-component"]], "Instance template (full usage)": [[50, "instance-template-full-usage"]], "MI address space": [[50, "mi-address-space"]], "Histogramer": [[51, "histogramer"]], "Instance template": [[51, "instance-template"], [52, "instance-template"]], "Latency meter": [[52, "latency-meter"]], "AMM_GEN": [[53, "amm-gen"]], "Internal Architecture": [[53, "internal-architecture"], [55, "internal-architecture"]], "MI Bus Control": [[53, "mi-bus-control"], [54, "mi-bus-control"], [55, "mi-bus-control"]], "AMM_PROBE": [[54, "amm-probe"]], "DDR4 Memory Tester": [[55, "ddr4-memory-tester"]], "Pytest SW": [[55, "pytest-sw"]], "PDF report generator SW": [[55, "pdf-report-generator-sw"]], "Sub-components": [[55, "sub-components"]], "MEM_TESTER Software": [[56, "mem-tester-software"]], "C Program": [[56, "c-program"]], "Pytest Tester (mem_tester.py)": [[56, "pytest-tester-mem-tester-py"]], "Report Generator (report_gen.py)": [[56, "report-generator-report-gen-py"]], "Header Insertor": [[57, "header-insertor"]], "Address Manager": [[58, "address-manager"]], "PCIe Addres Generator": [[59, "pcie-addres-generator"]], "Header Manager": [[60, "header-manager"]], "Subcomponents:": [[60, null]], "Input Buffer": [[61, "input-buffer"]], "Software Manager": [[62, "software-manager"], [69, "software-manager"]], "Transaction Buffer": [[63, "transaction-buffer"]], "RX DMA Calypte": [[64, "rx-dma-calypte"]], "Subcomponents": [[64, null], [71, "subcomponents"], [80, "subcomponents"]], "Channel Start/stop control": [[65, "channel-start-stop-control"]], "General subcomponents": [[65, "general-subcomponents"], [66, "general-subcomponents"], [68, "general-subcomponents"], [70, "general-subcomponents"], [121, "general-subcomponents"]], "Metadata Extractor": [[66, "metadata-extractor"]], "Packet Dispatcher": [[67, "packet-dispatcher"]], "Transaction buffer": [[68, "transaction-buffer"]], "General components": [[69, "general-components"]], "TX DMA Calypte": [[70, "tx-dma-calypte"]], "Specific subcomponents": [[70, null]], "DMA Calypte": [[71, "dma-calypte"]], "Provided DMA configurations": [[71, "provided-dma-configurations"]], "Future expected": [[71, "future-expected"]], "FLU bus specification": [[72, "flu-bus-specification"]], "Table of generics": [[72, "table-of-generics"]], "Table of signals": [[72, "table-of-signals"]], "Usage guidelines": [[72, "usage-guidelines"]], "Timing diagram example": [[72, "timing-diagram-example"]], "Copy-paste code blocks": [[72, "copy-paste-code-blocks"]], "Gen Loop Switch (GLS)": [[73, "gen-loop-switch-gls"]], "MFB Generator": [[74, "mfb-generator"]], "Distribution examples": [[74, "distribution-examples"]], "CROSSBARX STREAM2": [[75, "crossbarx-stream2"]], "MFB Cutter Simple": [[76, "mfb-cutter-simple"]], "MFB Dropper": [[77, "mfb-dropper"]], "MFB Enabler": [[78, "mfb-enabler"]], "MFB Frame Masker": [[79, "mfb-frame-masker"]], "Component specification": [[79, "component-specification"]], "Examples": [[79, "examples"], [162, "examples"]], "Verification plan": [[79, "verification-plan"]], "Frame Unpacker": [[80, "frame-unpacker"]], "Arcitecture": [[80, "arcitecture"]], "MFB Loopback": [[81, "mfb-loopback"]], "MFB Merger": [[82, "mfb-merger"]], "MFB Merger Simple": [[83, "mfb-merger-simple"]], "MFB Merger Simple GEN": [[83, "id1"]], "Metadata Insertor": [[84, "metadata-insertor"]], "Packet Delayer": [[85, "packet-delayer"]], "MFB PIPE": [[86, "mfb-pipe"]], "Rate Limiter": [[87, "rate-limiter"]], "Operation": [[87, "operation"], [96, "operation"], [120, "operation"], [126, "operation"]], "Address space and configuration": [[87, "address-space-and-configuration"]], "Usage": [[87, "usage"], [105, "usage"], [143, "usage"]], "Notes": [[87, "notes"]], "MFB Reconfigurator": [[88, "mfb-reconfigurator"]], "Constraints and side-effects": [[88, "constraints-and-side-effects"]], "Data shifting": [[88, "data-shifting"]], "MFB Splitter": [[89, "mfb-splitter"]], "MFB Splitter Gen": [[89, "id1"]], "MFB Splitter Simple": [[90, "mfb-splitter-simple"]], "MFB Splitter Simple Gen": [[90, "id1"]], "Timestamp Limiter": [[91, "timestamp-limiter"]], "MFB Trasformer": [[92, "mfb-trasformer"]], "MFB Auxiliary Signals": [[93, "mfb-auxiliary-signals"]], "Checksum Calculator": [[94, "checksum-calculator"]], "CrossbarX Stream": [[95, "crossbarx-stream"]], "Operations": [[95, "operations"]], "MFB specification": [[96, "mfb-specification"]], "Generic parameters": [[96, "generic-parameters"], [120, "generic-parameters"]], "Port description": [[96, "port-description"], [120, "port-description"]], "Example of function of the SOF_POS index": [[96, "example-of-function-of-the-sof-pos-index"]], "Example of function of the EOF_POS index": [[96, "example-of-function-of-the-eof-pos-index"]], "Timing diagrams": [[96, "timing-diagrams"], [120, "timing-diagrams"]], "Scenario 1": [[96, "scenario-1"], [120, "scenario-1"], [126, "scenario-1"]], "Scenario 2": [[96, "scenario-2"], [120, "scenario-2"], [126, "scenario-2"]], "Example configurations": [[96, "example-configurations"]], "MFB ASFIFOX": [[97, "mfb-asfifox"]], "Crossbarx Output Buffer": [[98, "crossbarx-output-buffer"]], "MFB FIFOX": [[99, "mfb-fifox"]], "MFB Packet Discard ASFIFO": [[100, "mfb-packet-discard-asfifo"]], "Force Discard": [[100, "force-discard"]], "MFB PD ASFIFO SIMPLE": [[101, "mfb-pd-asfifo-simple"]], "MI ASYNC": [[102, "mi-async"]], "MI2AVMM": [[103, "mi2avmm"]], "MI2AXI4": [[104, "mi2axi4"]], "MI indirect access": [[105, "mi-indirect-access"]], "Address space": [[105, "address-space"], [123, "address-space"], [128, "id2"]], "MI Pipe": [[106, "mi-pipe"]], "MI bus specification": [[107, "mi-bus-specification"]], "MI bus description": [[107, "mi-bus-description"]], "A few timing diagrams": [[107, "a-few-timing-diagrams"]], "MI Reconfigurator": [[108, "mi-reconfigurator"]], "MI Splitter Plus Gen": [[109, "mi-splitter-plus-gen"]], "Examples of use": [[109, "examples-of-use"]], "Example 1 - setting up ADDR_BASE": [[109, "example-1-setting-up-addr-base"]], "Example 2 - masking irrelevant bits of the address": [[109, "example-2-masking-irrelevant-bits-of-the-address"]], "Example 3 - mapping ports to differnt ABs": [[109, "example-3-mapping-ports-to-differnt-abs"]], "MVB Channel Router": [[110, "mvb-channel-router"]], "MVB DEMUX": [[111, "mvb-demux"]], "MVB DISCARD": [[112, "mvb-discard"]], "MVB Merge Items": [[113, "mvb-merge-items"]], "SHAKEDOWN": [[114, "shakedown"]], "MERGE_N_TO_M": [[114, "merge-n-to-m"]], "MVB Merge Streams": [[115, "mvb-merge-streams"]], "MVB MUX": [[116, "mvb-mux"]], "MVB2MFB": [[117, "mvb2mfb"]], "MVB Operation": [[118, "mvb-operation"]], "MVB Shakedown": [[119, "mvb-shakedown"]], "MVB Specification": [[120, "mvb-specification"]], "Examples of various VLD signal values": [[120, "examples-of-various-vld-signal-values"]], "MVB FIFOX": [[121, "mvb-fifox"]], "MVB Lookup Table": [[122, "mvb-lookup-table"]], "40GE Ethernet PHY for Ultrascale+ FPGAs": [[123, "ge-ethernet-phy-for-ultrascale-fpgas"]], "Interface": [[123, "interface"], [147, "interface"], [156, "interface"], [159, "interface"]], "TX PCS": [[123, "tx-pcs"]], "RX PCS": [[123, "rx-pcs"]], "PMA": [[123, "pma"]], "Management": [[123, "management"]], "RX MAC LITE": [[125, "rx-mac-lite"]], "Adapter": [[125, "adapter"], [127, "adapter"]], "Register Map": [[125, "register-map"], [127, "register-map"]], "Ports and Generics": [[125, "ports-and-generics"], [127, "ports-and-generics"]], "MFB -> LBUS reconfigurator (TX LBUS)": [[126, "mfb-lbus-reconfigurator-tx-lbus"]], "Controlling state machine": [[126, "controlling-state-machine"]], "IDLE": [[126, "idle"]], "PKT_PROCESS": [[126, "pkt-process"]], "PKT_END": [[126, "pkt-end"]], "WORD_REALIGN": [[126, "word-realign"]], "PKT_HALT": [[126, "pkt-halt"]], "Examples of realignment": [[126, "examples-of-realignment"]], "Scenario 3": [[126, "scenario-3"]], "Scenario 4": [[126, "scenario-4"]], "Scenario 5": [[126, "scenario-5"]], "Scenario 6": [[126, "scenario-6"]], "TX MAC LITE": [[127, "tx-mac-lite"]], "PCI_EXT_CAP": [[128, "pci-ext-cap"]], "Extra space": [[128, "id3"], [128, "extra-space"]], "Endpoint ID": [[128, "endpoint-id"]], "Card ID": [[128, "card-id"]], "PCIE CONVERSION UNITS": [[129, "pcie-conversion-units"]], "PCIE Byte Count": [[130, "pcie-byte-count"]], "PCIe Byte Enable Decoder": [[131, "pcie-byte-enable-decoder"]], "MTC (MI Transaction Controller)": [[132, "mtc-mi-transaction-controller"]], "PCIE Header parsing/deparsing": [[133, "pcie-header-parsing-deparsing"]], "PTC Tag Manager": [[134, "ptc-tag-manager"]], "PTC (PCIe Transaction Controller)": [[135, "ptc-pcie-transaction-controller"]], "Other components": [[135, "other-components"]], "Architecture configurations": [[135, "architecture-configurations"]], "TSU Format to ns Convertor": [[136, "tsu-format-to-ns-convertor"]], "TSU GEN": [[137, "tsu-gen"]], "AXI Agent": [[138, "axi-agent"]], "sequence_item": [[138, "sequence-item"], [157, "sequence-item"]], "Byte Array agent": [[139, "byte-array-agent"]], "Byte Array sequence item": [[139, "byte-array-sequence-item"]], "Byte Array monitor": [[139, "byte-array-monitor"]], "Byte Array Sequence": [[139, "byte-array-sequence"]], "Sequence configuration": [[139, "sequence-configuration"], [150, "sequence-configuration"], [151, "sequence-configuration"]], "Byte Array to LII convert enviroment": [[140, "byte-array-to-lii-convert-enviroment"], [141, "byte-array-to-lii-convert-enviroment"]], "Byte Array to LII monitor": [[140, "byte-array-to-lii-monitor"], [141, "byte-array-to-lii-monitor"]], "Byte Array to LII Sequence": [[140, "byte-array-to-lii-sequence"], [141, "byte-array-to-lii-sequence"]], "Byte_array_mfb environment": [[142, "byte-array-mfb-environment"]], "Top sequencers and sequences": [[142, "top-sequencers-and-sequences"], [152, "top-sequencers-and-sequences"], [155, "top-sequencers-and-sequences"]], "Configuration": [[142, "configuration"], [152, "configuration"], [154, "configuration"], [155, "configuration"]], "Low sequence configuration": [[142, "low-sequence-configuration"], [154, "low-sequence-configuration"], [155, "low-sequence-configuration"]], "RX Inner sequences": [[142, "rx-inner-sequences"], [152, "rx-inner-sequences"], [154, "rx-inner-sequences"], [155, "rx-inner-sequences"]], "Byte array to MII transitional environment": [[143, "byte-array-to-mii-transitional-environment"]], "monitor.sv": [[143, "monitor-sv"]], "sequencer.sv": [[143, "sequencer-sv"]], "env.sv": [[143, "env-sv"]], "sequence_rx_base.sv": [[143, "sequence-rx-base-sv"]], "sequence_tx_base.sv": [[143, "sequence-tx-base-sv"]], "ce_generator.sv": [[143, "ce-generator-sv"]], "wrapper.sv": [[143, "wrapper-sv"]], "ipg_generator.sv": [[143, "ipg-generator-sv"]], "channel_align.sv": [[143, "channel-align-sv"]], "data_buffer.sv": [[143, "data-buffer-sv"]], "sequence_rx.sv and sequence_tx.sv": [[143, "sequence-rx-sv-and-sequence-tx-sv"]], "Byte array to pma convert enviroment": [[144, "byte-array-to-pma-convert-enviroment"]], "Byte Array to PMA monitor": [[144, "byte-array-to-pma-monitor"]], "Byte Array to PMA Sequence": [[144, "byte-array-to-pma-sequence"]], "Common package": [[145, "common-package"]], "Random": [[145, "random"]], "Comparer": [[145, "comparer"]], "comparer classes": [[145, "id1"], [145, "id2"], [145, "id3"]], "fifo": [[145, "fifo"]], "Components": [[146, "components"]], "Components:": [[146, null]], "Intel MAC SEG": [[147, "intel-mac-seg"]], "Sequence item": [[147, "sequence-item"], [159, "sequence-item"]], "Sequence": [[147, "sequence"], [156, "sequence"], [159, "sequence"]], "Driver": [[147, "driver"], [156, "driver"], [159, "driver"]], "Monitor": [[147, "monitor"], [159, "monitor"]], "Config": [[147, "config"], [159, "config"]], "Agent": [[147, "agent"], [156, "agent"], [159, "agent"]], "LII agent": [[148, "lii-agent"], [149, "lii-agent"]], "LII interface": [[148, "lii-interface"], [149, "lii-interface"]], "LII bus description": [[148, "lii-bus-description"], [149, "lii-bus-description"]], "LII sequence item": [[148, "lii-sequence-item"], [149, "lii-sequence-item"]], "LII monitor": [[148, "lii-monitor"], [149, "lii-monitor"]], "LII driver": [[148, "lii-driver"], [149, "lii-driver"]], "Logic vector agent": [[150, "logic-vector-agent"]], "Logic Vector Array sequence item": [[150, "logic-vector-array-sequence-item"], [151, "logic-vector-array-sequence-item"]], "Logic Vector Array monitor": [[150, "logic-vector-array-monitor"], [151, "logic-vector-array-monitor"]], "Logic Vector Array Sequence": [[150, "logic-vector-array-sequence"], [151, "logic-vector-array-sequence"]], "Logic Vector Array agent": [[151, "logic-vector-array-agent"]], "logic_vector_array_axi environment": [[152, "logic-vector-array-axi-environment"]], "Low-level sequence configuration": [[152, "low-level-sequence-configuration"]], "byte array to MAC SEG": [[153, "byte-array-to-mac-seg"]], "logic_vector_array_mfb environment": [[154, "logic-vector-array-mfb-environment"]], "op sequencers and sequences": [[154, "op-sequencers-and-sequences"]], "logic_vector_mvb environment": [[155, "logic-vector-mvb-environment"]], "SystemVerilog and UVM tutorial": [[156, "systemverilog-and-uvm-tutorial"]], "Other tutorials": [[156, "other-tutorials"]], "Basic usage of the UVM methodology in the OFM repository": [[156, "basic-usage-of-the-uvm-methodology-in-the-ofm-repository"]], "Properties": [[156, "properties"], [156, "id5"]], "Configuration object": [[156, "configuration-object"], [156, "id1"]], "Sequence library": [[156, "sequence-library"], [156, "id2"]], "Package": [[156, "package"]], "Layered agents": [[156, "layered-agents"]], "Environment": [[156, "environment"]], "Low-level sequence": [[156, "low-level-sequence"]], "High-level monitor": [[156, "high-level-monitor"]], "Run of a specific sequence": [[156, "run-of-a-specific-sequence"]], "Common environment": [[156, "common-environment"]], "Virtual sequencer": [[156, "virtual-sequencer"]], "Virtual sequence and synchronization": [[156, "virtual-sequence-and-synchronization"]], "Scoreboard": [[156, "scoreboard"], [156, "id3"], [156, "id4"]], "Request-response Agents": [[156, "request-response-agents"]], "Reset": [[156, "reset"]], "Coverage": [[156, "coverage"]], "Functional coverage": [[156, "functional-coverage"]], "Code coverage": [[156, "code-coverage"]], "Generating coverage reports": [[156, "generating-coverage-reports"]], "Verification example": [[156, "verification-example"]], "Byte_array_port environment": [[156, "byte-array-port-environment"]], "Model": [[156, "model"]], "Create model input fifo": [[156, "create-model-input-fifo"]], "Test environment": [[156, "test-environment"]], "Test": [[156, "test"]], "Testbench": [[156, "testbench"]], "NOTES": [[156, "notes"]], "UVM_info": [[156, "uvm-info"]], "uvm_info": [[156, "id6"]], "UVM_error vs UVM_fatal": [[156, "uvm-error-vs-uvm-fatal"]], "Parametrized object": [[156, "parametrized-object"]], "Synchronization": [[156, "synchronization"]], "OFM verification environment": [[156, "ofm-verification-environment"]], "Modules.tcl": [[156, "modules-tcl"]], "Main .fdo script for running the verification": [[156, "main-fdo-script-for-running-the-verification"]], "MFB Agent": [[157, "mfb-agent"]], "MI agent": [[158, "mi-agent"]], "Sequence_item": [[158, "sequence-item"]], "MVB agent": [[159, "mvb-agent"]], "PMA agent": [[160, "pma-agent"]], "PMA interface": [[160, "pma-interface"]], "PMA bus description": [[160, "pma-bus-description"]], "PMA sequence item": [[160, "pma-sequence-item"]], "PMA monitor": [[160, "pma-monitor"]], "PMA driver": [[160, "pma-driver"]], "RESET agent": [[161, "reset-agent"]], "simple sychronous RESET agents": [[161, "simple-sychronous-reset-agents"]], "UVM simulation": [[162, "uvm-simulation"]], "MFB + MI": [[162, "mfb-mi"]], "MFB + META/MVB": [[162, "mfb-meta-mvb"]], "MVB + MI": [[162, "mvb-mi"]], "How to use the UVM simulation": [[162, "how-to-use-the-uvm-simulation"]], "Controllers & TSU": [[163, "controllers-tsu"]], "Debug Tools": [[164, "debug-tools"]], "DSP components": [[165, "dsp-components"]], "FIFO components": [[166, "fifo-components"]], "Dual clock (asynchronous) FIFOs": [[166, "dual-clock-asynchronous-fifos"]], "Single clock FIFOs": [[166, "single-clock-fifos"]], "FL Tools": [[167, "fl-tools"]], "FLU Tools": [[168, "flu-tools"]], "OFM User Guide": [[169, "ofm-user-guide"]], "Basic logic elements": [[170, "basic-logic-elements"]], "Memory modules": [[171, "memory-modules"]], "MFB Tools": [[172, "mfb-tools"]], "MI Tools": [[173, "mi-tools"]], "Miscellaneous": [[174, "miscellaneous"]], "MVB Tools": [[175, "mvb-tools"]], "Network Tools": [[176, "network-tools"]], "PCIe Tools": [[177, "pcie-tools"]], "Shift registers": [[178, "shift-registers"]], "UVM Verification": [[179, "uvm-verification"]], "Contents:": [[179, null]]}, "indexentries": {}}) \ No newline at end of file +Search.setIndex({"docnames": ["app-minimal", "index", "ndk_cards/amd/alveo-u200/readme", "ndk_cards/amd/vcu118/readme", "ndk_cards/bittware/ia-420f/readme", "ndk_cards/intel/dk-dev-1sdx-p/readme", "ndk_cards/intel/dk-dev-agi027res/readme", "ndk_cards/reflexces/agi-fh400g/readme", "ndk_cards/silicom/fb2cghh/readme", "ndk_cards/silicom/fb4cgg3/readme", "ndk_cards/silicom/n6010/readme", "ndk_core/doc/configuration", "ndk_core/doc/devtree", "ndk_core/doc/faq", "ndk_core/doc/how_to_start", "ndk_core/doc/terminology", "ndk_core/doc/testing", "ndk_core/intel/cocotb/README", "ndk_core/intel/doc/app", "ndk_core/intel/doc/dma", "ndk_core/intel/doc/eth", "ndk_core/intel/doc/mem", "ndk_core/intel/doc/mi", "ndk_core/intel/doc/pcie", "ndk_core/intel/doc/tsu", "ndk_core/intel/readme", "ndk_core/intel/src/comp/network_mod/comp/network_mod_core/doc/f-tile_multirate_ip", "ndk_core/intel/src/comp/network_mod/uvm/readme", "ofm_doc/async", "ofm_doc/base", "ofm_doc/build/readme", "ofm_doc/comp/base/dsp/dsp_comparator/readme", "ofm_doc/comp/base/fifo/asfifox/readme", "ofm_doc/comp/base/fifo/fifox/readme", "ofm_doc/comp/base/fifo/fifox_multi/readme", "ofm_doc/comp/base/logic/barrel_shifter/readme", "ofm_doc/comp/base/logic/cnt_multi_memx/readme", "ofm_doc/comp/base/logic/n_loop_op/readme", "ofm_doc/comp/base/logic/sr_sync_latch/readme", "ofm_doc/comp/base/mem/mp_bram/readme", "ofm_doc/comp/base/mem/np_lutram/readme", "ofm_doc/comp/base/mem/sdp_bram/readme", "ofm_doc/comp/base/misc/crossbarx/readme", "ofm_doc/comp/base/misc/event_counter/readme", "ofm_doc/comp/base/misc/packet_planner/readme", "ofm_doc/comp/base/misc/pulse_short/readme", "ofm_doc/comp/base/misc/trans_sorter/readme", "ofm_doc/comp/base/pkg/readme", "ofm_doc/comp/ctrls/sdm_client/readme", "ofm_doc/comp/debug/data_logger/mem_logger/readme", "ofm_doc/comp/debug/data_logger/readme", "ofm_doc/comp/debug/histogramer/readme", "ofm_doc/comp/debug/latency_meter/readme", "ofm_doc/comp/debug/mem_tester/amm_gen/readme", "ofm_doc/comp/debug/mem_tester/amm_probe/readme", "ofm_doc/comp/debug/mem_tester/readme", "ofm_doc/comp/debug/mem_tester/sw/readme", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_insertor/readme", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/comp/comp/readme", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/comp/readme", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/readme", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/input_buffer/readme", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/software_manager/readme", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/trans_buffer/readme", "ofm_doc/comp/dma/dma_calypte/comp/rx/readme", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/chan_start_stop_ctrl/readme", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/metadata_extractor/readme", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/packet_dispatcher/readme", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/pcie_trans_buffer/readme", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/software_manager/readme", "ofm_doc/comp/dma/dma_calypte/comp/tx/readme", "ofm_doc/comp/dma/dma_calypte/readme", "ofm_doc/comp/flu_tools/readme", "ofm_doc/comp/mfb_tools/debug/gen_loop_switch/readme", "ofm_doc/comp/mfb_tools/debug/generator/readme", "ofm_doc/comp/mfb_tools/edit/frame_extender/readme", "ofm_doc/comp/mfb_tools/edit/frame_trimmer/readme", "ofm_doc/comp/mfb_tools/flow/crossbarx_stream2/readme", "ofm_doc/comp/mfb_tools/flow/cutter_simple/readme", "ofm_doc/comp/mfb_tools/flow/dropper/readme", "ofm_doc/comp/mfb_tools/flow/enabler/readme", "ofm_doc/comp/mfb_tools/flow/frame_masker/readme", "ofm_doc/comp/mfb_tools/flow/frame_unpacker/readme", "ofm_doc/comp/mfb_tools/flow/loopback/readme", "ofm_doc/comp/mfb_tools/flow/merger/readme", "ofm_doc/comp/mfb_tools/flow/merger_simple/readme", "ofm_doc/comp/mfb_tools/flow/metadata_insertor/readme", "ofm_doc/comp/mfb_tools/flow/packet_delayer/readme", "ofm_doc/comp/mfb_tools/flow/pipe/readme", "ofm_doc/comp/mfb_tools/flow/rate_limiter/readme", "ofm_doc/comp/mfb_tools/flow/reconfigurator/readme", "ofm_doc/comp/mfb_tools/flow/splitter/readme", "ofm_doc/comp/mfb_tools/flow/splitter_simple/readme", "ofm_doc/comp/mfb_tools/flow/timestamp_limiter/readme", "ofm_doc/comp/mfb_tools/flow/transformer/readme", "ofm_doc/comp/mfb_tools/logic/auxiliary_signals/readme", "ofm_doc/comp/mfb_tools/logic/checksum_calculator/readme", "ofm_doc/comp/mfb_tools/logic/crossbarx_stream/readme", "ofm_doc/comp/mfb_tools/readme", "ofm_doc/comp/mfb_tools/storage/asfifox/readme", "ofm_doc/comp/mfb_tools/storage/crossbarx_output_buffer/readme", "ofm_doc/comp/mfb_tools/storage/fifox/readme", "ofm_doc/comp/mfb_tools/storage/pd_asfifo/readme", "ofm_doc/comp/mfb_tools/storage/pd_asfifo_simple/readme", "ofm_doc/comp/mi_tools/async/readme", "ofm_doc/comp/mi_tools/converters/mi2avmm/readme", "ofm_doc/comp/mi_tools/converters/mi2axi4/readme", "ofm_doc/comp/mi_tools/indirect_access/readme", "ofm_doc/comp/mi_tools/pipe/readme", "ofm_doc/comp/mi_tools/readme", "ofm_doc/comp/mi_tools/reconf/readme", "ofm_doc/comp/mi_tools/splitter_plus_gen/readme", "ofm_doc/comp/mvb_tools/flow/channel_router/readme", "ofm_doc/comp/mvb_tools/flow/demux/readme", "ofm_doc/comp/mvb_tools/flow/discard/readme", "ofm_doc/comp/mvb_tools/flow/merge_items/readme", "ofm_doc/comp/mvb_tools/flow/merge_n_to_m/readme", "ofm_doc/comp/mvb_tools/flow/merge_streams/readme", "ofm_doc/comp/mvb_tools/flow/mux/readme", "ofm_doc/comp/mvb_tools/flow/mvb2mfb/readme", "ofm_doc/comp/mvb_tools/flow/operation/readme", "ofm_doc/comp/mvb_tools/flow/shakedown/readme", "ofm_doc/comp/mvb_tools/readme", "ofm_doc/comp/mvb_tools/storage/fifox/readme", "ofm_doc/comp/mvb_tools/storage/lookup_table/readme", "ofm_doc/comp/nic/eth_phy/40ge/readme", "ofm_doc/comp/nic/mac_lite/rx_mac_lite/comp/buffer/uvm/readme", "ofm_doc/comp/nic/mac_lite/rx_mac_lite/readme", "ofm_doc/comp/nic/mac_lite/tx_mac_lite/comp/adapters/lbus/reconf/readme", "ofm_doc/comp/nic/mac_lite/tx_mac_lite/readme", "ofm_doc/comp/pcie/common/readme", "ofm_doc/comp/pcie/convertors/readme", "ofm_doc/comp/pcie/logic/byte_count/readme", "ofm_doc/comp/pcie/logic/byte_en_decoder/readme", "ofm_doc/comp/pcie/mtc/readme", "ofm_doc/comp/pcie/others/hdr_gen/readme", "ofm_doc/comp/pcie/ptc/comp/tag_manager/readme", "ofm_doc/comp/pcie/ptc/readme", "ofm_doc/comp/tsu/tsu_format_to_ns/readme", "ofm_doc/comp/tsu/tsu_gen/readme", "ofm_doc/comp/uvm/axi/readme", "ofm_doc/comp/uvm/byte_array/readme", "ofm_doc/comp/uvm/byte_array_lii/readme", "ofm_doc/comp/uvm/byte_array_lii_rx/readme", "ofm_doc/comp/uvm/byte_array_mfb/readme", "ofm_doc/comp/uvm/byte_array_mii/readme", "ofm_doc/comp/uvm/byte_array_pma/readme", "ofm_doc/comp/uvm/common/readme", "ofm_doc/comp/uvm/componets", "ofm_doc/comp/uvm/intel_mac_seg/readme", "ofm_doc/comp/uvm/lii/readme", "ofm_doc/comp/uvm/lii_rx/readme", "ofm_doc/comp/uvm/logic_vector/readme", "ofm_doc/comp/uvm/logic_vector_array/readme", "ofm_doc/comp/uvm/logic_vector_array_axi/readme", "ofm_doc/comp/uvm/logic_vector_array_intel_mac_seg/readme", "ofm_doc/comp/uvm/logic_vector_array_mfb/readme", "ofm_doc/comp/uvm/logic_vector_mvb/readme", "ofm_doc/comp/uvm/manual", "ofm_doc/comp/uvm/mfb/readme", "ofm_doc/comp/uvm/mi/readme", "ofm_doc/comp/uvm/mvb/readme", "ofm_doc/comp/uvm/pma/readme", "ofm_doc/comp/uvm/reset/readme", "ofm_doc/comp/uvm/sim_manual", "ofm_doc/ctrls", "ofm_doc/debug", "ofm_doc/dsp", "ofm_doc/fifo", "ofm_doc/fl", "ofm_doc/flu", "ofm_doc/index", "ofm_doc/logic", "ofm_doc/memory", "ofm_doc/mfb", "ofm_doc/mi", "ofm_doc/misc", "ofm_doc/mvb", "ofm_doc/nic", "ofm_doc/pcie", "ofm_doc/shift", "ofm_doc/ver"], "filenames": ["app-minimal.rst", "index.rst", "ndk_cards/amd/alveo-u200/readme.rst", "ndk_cards/amd/vcu118/readme.rst", "ndk_cards/bittware/ia-420f/readme.rst", "ndk_cards/intel/dk-dev-1sdx-p/readme.rst", "ndk_cards/intel/dk-dev-agi027res/readme.rst", "ndk_cards/reflexces/agi-fh400g/readme.rst", "ndk_cards/silicom/fb2cghh/readme.rst", "ndk_cards/silicom/fb4cgg3/readme.rst", "ndk_cards/silicom/n6010/readme.rst", "ndk_core/doc/configuration.rst", "ndk_core/doc/devtree.rst", "ndk_core/doc/faq.rst", "ndk_core/doc/how_to_start.rst", "ndk_core/doc/terminology.rst", "ndk_core/doc/testing.rst", "ndk_core/intel/cocotb/README.rst", "ndk_core/intel/doc/app.rst", "ndk_core/intel/doc/dma.rst", "ndk_core/intel/doc/eth.rst", "ndk_core/intel/doc/mem.rst", "ndk_core/intel/doc/mi.rst", "ndk_core/intel/doc/pcie.rst", "ndk_core/intel/doc/tsu.rst", "ndk_core/intel/readme.rst", "ndk_core/intel/src/comp/network_mod/comp/network_mod_core/doc/f-tile_multirate_ip.rst", "ndk_core/intel/src/comp/network_mod/uvm/readme.rst", "ofm_doc/async.rst", "ofm_doc/base.rst", "ofm_doc/build/readme.rst", "ofm_doc/comp/base/dsp/dsp_comparator/readme.rst", "ofm_doc/comp/base/fifo/asfifox/readme.rst", "ofm_doc/comp/base/fifo/fifox/readme.rst", "ofm_doc/comp/base/fifo/fifox_multi/readme.rst", "ofm_doc/comp/base/logic/barrel_shifter/readme.rst", "ofm_doc/comp/base/logic/cnt_multi_memx/readme.rst", "ofm_doc/comp/base/logic/n_loop_op/readme.rst", "ofm_doc/comp/base/logic/sr_sync_latch/readme.rst", "ofm_doc/comp/base/mem/mp_bram/readme.rst", "ofm_doc/comp/base/mem/np_lutram/readme.rst", "ofm_doc/comp/base/mem/sdp_bram/readme.rst", "ofm_doc/comp/base/misc/crossbarx/readme.rst", "ofm_doc/comp/base/misc/event_counter/readme.rst", "ofm_doc/comp/base/misc/packet_planner/readme.rst", "ofm_doc/comp/base/misc/pulse_short/readme.rst", "ofm_doc/comp/base/misc/trans_sorter/readme.rst", "ofm_doc/comp/base/pkg/readme.rst", "ofm_doc/comp/ctrls/sdm_client/readme.rst", "ofm_doc/comp/debug/data_logger/mem_logger/readme.rst", "ofm_doc/comp/debug/data_logger/readme.rst", "ofm_doc/comp/debug/histogramer/readme.rst", "ofm_doc/comp/debug/latency_meter/readme.rst", "ofm_doc/comp/debug/mem_tester/amm_gen/readme.rst", "ofm_doc/comp/debug/mem_tester/amm_probe/readme.rst", "ofm_doc/comp/debug/mem_tester/readme.rst", "ofm_doc/comp/debug/mem_tester/sw/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_insertor/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/comp/comp/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/comp/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/hdr_manager/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/input_buffer/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/software_manager/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/rx/comp/trans_buffer/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/rx/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/chan_start_stop_ctrl/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/metadata_extractor/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/packet_dispatcher/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/pcie_trans_buffer/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/tx/comp/software_manager/readme.rst", "ofm_doc/comp/dma/dma_calypte/comp/tx/readme.rst", "ofm_doc/comp/dma/dma_calypte/readme.rst", "ofm_doc/comp/flu_tools/readme.rst", "ofm_doc/comp/mfb_tools/debug/gen_loop_switch/readme.rst", "ofm_doc/comp/mfb_tools/debug/generator/readme.rst", "ofm_doc/comp/mfb_tools/edit/frame_extender/readme.rst", "ofm_doc/comp/mfb_tools/edit/frame_trimmer/readme.rst", "ofm_doc/comp/mfb_tools/flow/crossbarx_stream2/readme.rst", "ofm_doc/comp/mfb_tools/flow/cutter_simple/readme.rst", "ofm_doc/comp/mfb_tools/flow/dropper/readme.rst", "ofm_doc/comp/mfb_tools/flow/enabler/readme.rst", "ofm_doc/comp/mfb_tools/flow/frame_masker/readme.rst", "ofm_doc/comp/mfb_tools/flow/frame_unpacker/readme.rst", "ofm_doc/comp/mfb_tools/flow/loopback/readme.rst", "ofm_doc/comp/mfb_tools/flow/merger/readme.rst", "ofm_doc/comp/mfb_tools/flow/merger_simple/readme.rst", "ofm_doc/comp/mfb_tools/flow/metadata_insertor/readme.rst", "ofm_doc/comp/mfb_tools/flow/packet_delayer/readme.rst", "ofm_doc/comp/mfb_tools/flow/pipe/readme.rst", "ofm_doc/comp/mfb_tools/flow/rate_limiter/readme.rst", "ofm_doc/comp/mfb_tools/flow/reconfigurator/readme.rst", "ofm_doc/comp/mfb_tools/flow/splitter/readme.rst", "ofm_doc/comp/mfb_tools/flow/splitter_simple/readme.rst", "ofm_doc/comp/mfb_tools/flow/timestamp_limiter/readme.rst", "ofm_doc/comp/mfb_tools/flow/transformer/readme.rst", "ofm_doc/comp/mfb_tools/logic/auxiliary_signals/readme.rst", "ofm_doc/comp/mfb_tools/logic/checksum_calculator/readme.rst", "ofm_doc/comp/mfb_tools/logic/crossbarx_stream/readme.rst", "ofm_doc/comp/mfb_tools/readme.rst", "ofm_doc/comp/mfb_tools/storage/asfifox/readme.rst", "ofm_doc/comp/mfb_tools/storage/crossbarx_output_buffer/readme.rst", "ofm_doc/comp/mfb_tools/storage/fifox/readme.rst", "ofm_doc/comp/mfb_tools/storage/pd_asfifo/readme.rst", "ofm_doc/comp/mfb_tools/storage/pd_asfifo_simple/readme.rst", "ofm_doc/comp/mi_tools/async/readme.rst", "ofm_doc/comp/mi_tools/converters/mi2avmm/readme.rst", "ofm_doc/comp/mi_tools/converters/mi2axi4/readme.rst", "ofm_doc/comp/mi_tools/indirect_access/readme.rst", "ofm_doc/comp/mi_tools/pipe/readme.rst", "ofm_doc/comp/mi_tools/readme.rst", "ofm_doc/comp/mi_tools/reconf/readme.rst", "ofm_doc/comp/mi_tools/splitter_plus_gen/readme.rst", "ofm_doc/comp/mvb_tools/flow/channel_router/readme.rst", "ofm_doc/comp/mvb_tools/flow/demux/readme.rst", "ofm_doc/comp/mvb_tools/flow/discard/readme.rst", "ofm_doc/comp/mvb_tools/flow/merge_items/readme.rst", "ofm_doc/comp/mvb_tools/flow/merge_n_to_m/readme.rst", "ofm_doc/comp/mvb_tools/flow/merge_streams/readme.rst", "ofm_doc/comp/mvb_tools/flow/mux/readme.rst", "ofm_doc/comp/mvb_tools/flow/mvb2mfb/readme.rst", "ofm_doc/comp/mvb_tools/flow/operation/readme.rst", "ofm_doc/comp/mvb_tools/flow/shakedown/readme.rst", "ofm_doc/comp/mvb_tools/readme.rst", "ofm_doc/comp/mvb_tools/storage/fifox/readme.rst", "ofm_doc/comp/mvb_tools/storage/lookup_table/readme.rst", "ofm_doc/comp/nic/eth_phy/40ge/readme.rst", "ofm_doc/comp/nic/mac_lite/rx_mac_lite/comp/buffer/uvm/readme.rst", "ofm_doc/comp/nic/mac_lite/rx_mac_lite/readme.rst", "ofm_doc/comp/nic/mac_lite/tx_mac_lite/comp/adapters/lbus/reconf/readme.rst", "ofm_doc/comp/nic/mac_lite/tx_mac_lite/readme.rst", "ofm_doc/comp/pcie/common/readme.rst", "ofm_doc/comp/pcie/convertors/readme.rst", "ofm_doc/comp/pcie/logic/byte_count/readme.rst", "ofm_doc/comp/pcie/logic/byte_en_decoder/readme.rst", "ofm_doc/comp/pcie/mtc/readme.rst", "ofm_doc/comp/pcie/others/hdr_gen/readme.rst", "ofm_doc/comp/pcie/ptc/comp/tag_manager/readme.rst", "ofm_doc/comp/pcie/ptc/readme.rst", "ofm_doc/comp/tsu/tsu_format_to_ns/readme.rst", "ofm_doc/comp/tsu/tsu_gen/readme.rst", "ofm_doc/comp/uvm/axi/readme.rst", "ofm_doc/comp/uvm/byte_array/readme.rst", "ofm_doc/comp/uvm/byte_array_lii/readme.rst", "ofm_doc/comp/uvm/byte_array_lii_rx/readme.rst", "ofm_doc/comp/uvm/byte_array_mfb/readme.rst", "ofm_doc/comp/uvm/byte_array_mii/readme.rst", "ofm_doc/comp/uvm/byte_array_pma/readme.rst", "ofm_doc/comp/uvm/common/readme.rst", "ofm_doc/comp/uvm/componets.rst", "ofm_doc/comp/uvm/intel_mac_seg/readme.rst", "ofm_doc/comp/uvm/lii/readme.rst", "ofm_doc/comp/uvm/lii_rx/readme.rst", "ofm_doc/comp/uvm/logic_vector/readme.rst", "ofm_doc/comp/uvm/logic_vector_array/readme.rst", "ofm_doc/comp/uvm/logic_vector_array_axi/readme.rst", "ofm_doc/comp/uvm/logic_vector_array_intel_mac_seg/readme.rst", "ofm_doc/comp/uvm/logic_vector_array_mfb/readme.rst", "ofm_doc/comp/uvm/logic_vector_mvb/readme.rst", "ofm_doc/comp/uvm/manual.rst", "ofm_doc/comp/uvm/mfb/readme.rst", "ofm_doc/comp/uvm/mi/readme.rst", "ofm_doc/comp/uvm/mvb/readme.rst", "ofm_doc/comp/uvm/pma/readme.rst", "ofm_doc/comp/uvm/reset/readme.rst", "ofm_doc/comp/uvm/sim_manual.rst", "ofm_doc/ctrls.rst", "ofm_doc/debug.rst", "ofm_doc/dsp.rst", "ofm_doc/fifo.rst", "ofm_doc/fl.rst", "ofm_doc/flu.rst", "ofm_doc/index.rst", "ofm_doc/logic.rst", "ofm_doc/memory.rst", "ofm_doc/mfb.rst", "ofm_doc/mi.rst", "ofm_doc/misc.rst", "ofm_doc/mvb.rst", "ofm_doc/nic.rst", "ofm_doc/pcie.rst", "ofm_doc/shift.rst", "ofm_doc/ver.rst"], "titles": ["Minimal NDK application", "Documentation of Minimal NDK Application", "AMD Alveo U200", "AMD VCU118@VU9P", "Bittware IA-420F", "Intel Stratix 10 DX FPGA DK", "Intel Agilex I-Series FPGA DK", "ReflexCES XpressSX AGI-FH400G", "Silicom fb2CGhh@KU15P", "Silicom fb4CGg3@VU9P", "Silicom N6010", "Configuration files and parameters", "Device Tree", "Frequently Asked Questions", "How to start", "NDK Terminology", "NDK testing", "Cocotb toplevel simulation core", "The Application", "The DMA module", "The Network Module", "The Memory Controller", "The MI bus interconnect", "The PCIe module", "Time Stamp Unit", "NDK architecture", "F-Tile Multirate IP", "BUFFER", "Asynchronous modules", "Basic Tools", "Build System", "DSP Comparator", "ASFIFOX", "FIFOX", "FIFOX Multi", "Barrel Shifter", "Multi MEMx Counter", "N_LOOP_OP", "Synchronous SR latch", "Multi-port BRAM", "NP LUT RAM", "Simple dual-port BRAM", "CrossbarX", "Event Counter", "Packet Planner", "Pulse short", "Transaction Sorter", "Packages", "SDM CLIENT", "Mem logger", "Data logger", "Histogramer", "Latency meter", "AMM_GEN", "AMM_PROBE", "DDR4 Memory Tester", "MEM_TESTER Software", "Header Insertor", "Address Manager", "PCIe Addres Generator", "Header Manager", "Input Buffer", "Software Manager", "Transaction Buffer", "RX DMA Calypte", "Channel Start/stop control", "Metadata Extractor", "Packet Dispatcher", "Transaction buffer", "Software Manager", "TX DMA Calypte", "DMA Calypte", "FLU bus specification", "Gen Loop Switch (GLS)", "MFB Generator", "MFB FRAME EXTENDER", "MFB FRAME TRIMMER", "CROSSBARX STREAM2", "MFB Cutter Simple", "MFB Dropper", "MFB Enabler", "MFB Frame Masker", "Frame Unpacker", "MFB Loopback", "MFB Merger", "MFB Merger Simple", "Metadata Insertor", "Packet Delayer", "MFB PIPE", "Rate Limiter", "MFB Reconfigurator", "MFB Splitter", "MFB Splitter Simple", "Timestamp Limiter", "MFB Trasformer", "MFB Auxiliary Signals", "Checksum Calculator", "CrossbarX Stream", "MFB specification", "MFB ASFIFOX", "Crossbarx Output Buffer", "MFB FIFOX", "MFB Packet Discard ASFIFO", "MFB PD ASFIFO SIMPLE", "MI ASYNC", "MI2AVMM", "MI2AXI4", "MI indirect access", "MI Pipe", "MI bus specification", "MI Reconfigurator", "MI Splitter Plus Gen", "MVB Channel Router", "MVB DEMUX", "MVB DISCARD", "MVB Merge Items", "SHAKEDOWN", "MVB Merge Streams", "MVB MUX", "MVB2MFB", "MVB Operation", "MVB Shakedown", "MVB Specification", "MVB FIFOX", "MVB Lookup Table", "40GE Ethernet PHY for Ultrascale+ FPGAs", "BUFFER", "RX MAC LITE", "MFB -> LBUS reconfigurator (TX LBUS)", "TX MAC LITE", "PCI_EXT_CAP", "PCIE CONVERSION UNITS", "PCIE Byte Count", "PCIe Byte Enable Decoder", "MTC (MI Transaction Controller)", "PCIE Header parsing/deparsing", "PTC Tag Manager", "PTC (PCIe Transaction Controller)", "TSU Format to ns Convertor", "TSU GEN", "AXI Agent", "Byte Array agent", "Byte Array to LII convert enviroment", "Byte Array to LII convert enviroment", "Byte_array_mfb environment", "Byte array to MII transitional environment", "Byte array to pma convert enviroment", "Common package", "Components", "Intel MAC SEG", "LII agent", "LII agent", "Logic vector agent", "Logic Vector Array agent", "logic_vector_array_axi environment", "byte array to MAC SEG", "logic_vector_array_mfb environment", "logic_vector_mvb environment", "SystemVerilog and UVM tutorial", "MFB Agent", "MI agent", "MVB agent", "PMA agent", "RESET agent", "UVM simulation", "Controllers & TSU", "Debug Tools", "DSP components", "FIFO components", "FL Tools", "FLU Tools", "OFM User Guide", "Basic logic elements", "Memory modules", "MFB Tools", "MI Tools", "Miscellaneous", "MVB Tools", "Network Tools", "PCIe Tools", "Shift registers", "UVM Verification"], "terms": {"base": [0, 1, 11, 12, 20, 21, 23, 25, 29, 30, 33, 42, 50, 51, 53, 54, 55, 58, 59, 62, 82, 89, 91, 98, 100, 108, 110, 111, 118, 120, 125, 128, 130, 134, 135, 136, 137, 139, 141, 144, 145, 152, 154, 156, 157, 158, 161, 168, 172, 173, 176], "i": [0, 1, 3, 4, 5, 7, 9, 12, 14, 15, 16, 18, 19, 20, 21, 22, 23, 24, 25, 26, 28, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 166, 168, 172, 173, 176, 179], "simpl": [0, 1, 11, 13, 16, 20, 21, 30, 34, 37, 64, 66, 68, 71, 83, 84, 87, 90, 98, 109, 111, 112, 121, 122, 124, 134, 140, 141, 147, 148, 152, 153, 158, 159, 161, 172, 174, 176], "how": [0, 1, 16, 19, 32, 33, 34, 37, 43, 45, 47, 50, 81, 98, 101, 109, 111, 122, 123, 144, 147, 154, 156, 157, 158], "build": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 16, 17, 20, 25, 125, 158], "an": [0, 1, 4, 5, 6, 7, 11, 15, 16, 18, 19, 20, 21, 22, 23, 24, 26, 30, 33, 34, 37, 43, 44, 47, 51, 67, 70, 73, 74, 75, 81, 83, 85, 89, 90, 92, 93, 98, 102, 104, 109, 111, 124, 125, 128, 130, 134, 137, 145, 147, 150, 151, 153, 154, 158, 160, 164, 166, 172, 173, 176], "fpga": [0, 1, 2, 3, 4, 7, 8, 9, 10, 11, 16, 18, 19, 20, 21, 22, 23, 25, 26, 30, 31, 32, 33, 39, 41, 42, 43, 48, 55, 60, 70, 73, 74, 81, 82, 87, 88, 92, 93, 96, 97, 101, 103, 104, 108, 111, 112, 115, 117, 119, 120, 123, 127, 129, 131, 134, 137, 139, 166, 168, 171, 172, 173, 176, 178], "us": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 19, 21, 22, 23, 24, 25, 26, 28, 31, 32, 33, 34, 35, 36, 37, 39, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 60, 62, 63, 64, 71, 72, 74, 75, 77, 80, 81, 83, 84, 87, 89, 90, 93, 96, 97, 98, 99, 101, 102, 104, 105, 108, 109, 110, 112, 116, 117, 121, 122, 124, 125, 127, 128, 129, 130, 132, 134, 136, 137, 138, 139, 140, 141, 142, 143, 145, 146, 147, 148, 150, 151, 152, 153, 158, 159, 160, 161, 162, 163, 167, 168, 169, 170, 172, 173, 174, 175, 176, 177, 178, 179], "It": [0, 1, 11, 12, 18, 20, 22, 25, 28, 30, 43, 48, 53, 62, 69, 73, 75, 77, 81, 82, 85, 87, 90, 92, 99, 100, 109, 111, 112, 119, 125, 127, 130, 134, 136, 137, 138, 140, 144, 145, 153, 154, 156, 157, 158, 160, 164, 168, 172, 173, 176], "can": [0, 1, 2, 3, 4, 7, 12, 14, 15, 16, 18, 19, 20, 22, 23, 24, 25, 26, 28, 30, 31, 32, 33, 34, 35, 37, 38, 42, 43, 44, 45, 46, 49, 50, 51, 52, 53, 55, 56, 60, 61, 65, 66, 68, 70, 71, 75, 77, 80, 81, 82, 85, 87, 89, 90, 93, 96, 97, 98, 99, 102, 104, 107, 108, 109, 110, 111, 112, 115, 116, 117, 120, 121, 122, 124, 125, 127, 128, 129, 136, 137, 138, 141, 144, 145, 147, 152, 153, 154, 156, 157, 158, 160, 163, 164, 168, 172, 176], "also": [0, 1, 4, 5, 6, 9, 11, 12, 16, 18, 19, 20, 22, 23, 25, 26, 30, 31, 32, 34, 35, 37, 41, 44, 49, 50, 55, 61, 62, 72, 81, 82, 89, 90, 97, 100, 104, 107, 109, 111, 122, 125, 127, 128, 136, 137, 142, 143, 144, 145, 146, 147, 154, 156, 158, 161, 172, 173, 179], "start": [0, 1, 4, 7, 10, 11, 16, 18, 23, 30, 42, 43, 44, 52, 60, 69, 70, 72, 73, 74, 77, 80, 81, 82, 85, 89, 90, 98, 102, 111, 119, 122, 127, 128, 129, 131, 137, 139, 142, 143, 145, 146, 147, 150, 151, 158, 160, 162, 164], "point": [0, 1, 22, 42, 70, 82, 98, 102, 136], "your": [0, 1, 11, 13, 14, 16, 17, 18, 37, 49, 55, 93, 116, 147, 164], "doe": [0, 1, 12, 14, 18, 23, 30, 32, 37, 46, 48, 66, 72, 76, 81, 82, 90, 98, 105, 109, 110, 116, 121, 127, 128, 129, 134, 138, 141, 152, 153, 158], "process": [0, 1, 11, 18, 22, 30, 37, 42, 44, 46, 47, 51, 57, 66, 81, 82, 89, 98, 102, 110, 122, 128, 129, 131, 134, 137, 144, 147, 153, 154, 156, 157, 158, 163, 176], "network": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 14, 15, 16, 18, 24, 25, 47, 96, 112, 127, 158, 171], "packet": [0, 1, 15, 16, 19, 24, 25, 57, 59, 60, 61, 62, 63, 64, 65, 69, 70, 71, 72, 73, 74, 77, 78, 79, 80, 81, 82, 89, 91, 92, 93, 96, 97, 100, 127, 129, 131, 141, 144, 147, 152, 153, 154, 156, 158, 164, 174, 176, 178], "ani": [0, 1, 12, 14, 16, 30, 33, 37, 42, 44, 46, 50, 76, 78, 79, 80, 81, 86, 88, 90, 98, 99, 101, 107, 109, 110, 111, 113, 122, 127, 144, 145, 154, 156, 157, 158, 163], "wai": [0, 1, 11, 12, 20, 37, 38, 42, 43, 44, 82, 86, 89, 90, 102, 110, 111, 127, 128, 136, 137, 141, 146, 150, 151, 152, 162, 164], "onli": [0, 1, 4, 5, 6, 9, 11, 12, 13, 14, 18, 19, 20, 21, 23, 28, 30, 31, 32, 33, 34, 37, 39, 41, 42, 43, 44, 45, 46, 47, 50, 55, 58, 63, 70, 73, 74, 75, 80, 81, 82, 84, 87, 89, 90, 92, 93, 97, 98, 101, 102, 104, 105, 108, 109, 110, 111, 112, 120, 122, 123, 124, 125, 127, 128, 129, 130, 131, 134, 135, 136, 137, 139, 140, 141, 142, 143, 146, 147, 150, 151, 152, 153, 154, 158, 159, 160, 161, 162, 164, 168, 172, 173, 176, 181], "send": [0, 1, 11, 16, 18, 22, 35, 37, 42, 46, 48, 55, 57, 70, 71, 74, 75, 80, 82, 98, 100, 102, 107, 112, 122, 131, 134, 137, 140, 142, 143, 144, 145, 146, 147, 154, 156, 157, 158, 159, 160, 162, 163, 164], "receiv": [0, 1, 13, 16, 23, 25, 30, 43, 44, 49, 53, 54, 55, 58, 59, 64, 65, 70, 82, 92, 98, 100, 109, 112, 113, 115, 118, 120, 122, 125, 127, 128, 131, 137, 139, 140, 158, 160, 168, 176, 178], "them": [0, 1, 11, 12, 14, 18, 20, 21, 23, 26, 37, 43, 46, 50, 57, 61, 81, 82, 86, 89, 90, 97, 102, 109, 111, 122, 125, 128, 134, 136, 137, 142, 143, 144, 145, 153, 154, 156, 157, 158, 160, 162, 164], "If": [0, 1, 12, 13, 14, 16, 18, 19, 20, 23, 26, 30, 32, 39, 41, 43, 44, 46, 50, 55, 59, 67, 80, 81, 85, 89, 90, 97, 99, 102, 109, 111, 118, 121, 127, 129, 134, 136, 142, 143, 147, 158, 160, 161], "dma": [0, 1, 2, 3, 14, 16, 22, 23, 25, 57, 58, 60, 62, 63, 65, 66, 67, 68, 69, 73, 93, 112, 136, 137, 179], "ip": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 13, 14, 16, 20, 21, 48, 53, 55, 56, 71, 125, 127, 129, 134, 136, 137, 176, 179], "enabl": [0, 1, 7, 13, 16, 18, 19, 20, 23, 24, 30, 31, 32, 33, 34, 35, 37, 39, 42, 43, 44, 45, 48, 50, 55, 66, 71, 73, 74, 75, 76, 77, 78, 79, 81, 83, 84, 85, 86, 91, 93, 96, 97, 99, 102, 104, 105, 109, 110, 111, 112, 115, 116, 117, 120, 127, 129, 131, 132, 134, 135, 137, 138, 139, 145, 150, 151, 158, 160, 172, 173, 174, 179], "see": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 11, 12, 16, 18, 19, 20, 21, 30, 33, 34, 37, 41, 42, 43, 47, 48, 50, 73, 87, 89, 90, 93, 97, 99, 101, 104, 105, 111, 112, 119, 122, 125, 127, 128, 129, 131, 137, 139, 141, 152, 153, 154, 156, 157, 158, 159, 164], "modul": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 12, 14, 15, 21, 22, 24, 25, 29, 47, 49, 50, 59, 62, 64, 71, 72, 73, 77, 83, 112, 127, 129, 134, 137, 139, 165, 171, 176], "chapter": [0, 1, 11, 12, 14, 15, 16, 18, 19, 29, 127, 129, 165, 166, 169, 170, 174, 175, 177, 178, 179], "forward": [0, 1, 19, 20, 23, 82, 89, 102, 127, 129], "from": [0, 1, 11, 12, 13, 14, 15, 16, 19, 21, 22, 23, 24, 25, 26, 30, 34, 37, 38, 39, 41, 42, 43, 44, 46, 48, 49, 50, 53, 54, 55, 56, 62, 63, 65, 66, 67, 69, 70, 71, 73, 78, 80, 81, 82, 83, 85, 86, 87, 89, 90, 93, 94, 96, 97, 98, 102, 108, 109, 111, 112, 115, 120, 121, 122, 124, 125, 127, 128, 129, 130, 132, 134, 136, 137, 139, 140, 141, 144, 145, 146, 147, 150, 151, 152, 153, 154, 156, 158, 159, 160, 161, 162, 164, 172, 173, 176], "comput": [0, 1, 82, 137], "top": [0, 11, 12, 20, 21, 25, 30, 71, 93, 148, 150, 151, 156], "level": [0, 11, 12, 20, 21, 25, 30, 70, 71, 81, 140, 141, 144, 145, 146, 148, 152, 153, 155, 156, 157, 159, 163], "provid": [0, 11, 14, 18, 20, 22, 23, 25, 30, 37, 38, 39, 42, 44, 50, 62, 64, 69, 70, 83, 89, 97, 98, 99, 104, 121, 122, 125, 131, 132, 134, 147, 158, 167], "ethernet": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 16, 20, 22, 24, 25, 26, 47, 73, 74, 112, 127, 129, 150, 151, 176, 178], "configur": [0, 2, 3, 4, 5, 6, 7, 8, 9, 12, 13, 14, 18, 20, 24, 28, 43, 48, 50, 55, 57, 62, 64, 69, 73, 74, 78, 80, 81, 90, 94, 95, 109, 112, 122, 124, 125, 127, 128, 129, 130, 131, 134, 139, 140, 142, 143, 146, 148, 150, 151, 159, 162, 163, 171], "bu": [0, 12, 16, 18, 19, 20, 21, 23, 25, 38, 42, 43, 48, 49, 50, 61, 62, 63, 64, 65, 68, 69, 70, 71, 77, 78, 83, 84, 88, 90, 91, 95, 98, 104, 105, 110, 111, 112, 113, 118, 119, 122, 124, 125, 127, 129, 131, 134, 135, 137, 139, 145, 148, 169, 170, 174, 175, 176, 177], "connect": [0, 2, 3, 4, 5, 6, 7, 12, 14, 16, 18, 19, 20, 23, 25, 32, 33, 37, 38, 42, 44, 45, 53, 55, 62, 71, 72, 73, 81, 90, 93, 105, 109, 110, 111, 125, 127, 129, 130, 137, 141, 142, 143, 144, 146, 147, 150, 151, 152, 153, 154, 156, 157, 158, 160, 161, 162, 163, 172, 176], "individu": [0, 12, 18, 19, 20, 22, 23, 26, 28, 30, 82, 84, 87, 93, 109, 127, 158], "app": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 12, 14, 16, 18, 21, 22], "subcor": 0, "One": [0, 30, 53, 66, 90, 92, 118, 120, 123, 140, 144, 156, 158, 161, 172], "independ": [0, 11, 15, 18, 20, 30, 37, 41, 42, 44, 82, 85, 112, 117, 121, 136, 137, 150, 151, 158, 168], "instanti": [0, 12, 16, 18, 19, 20, 21, 30, 120, 145, 158], "each": [0, 11, 12, 13, 15, 16, 18, 19, 20, 21, 22, 23, 24, 26, 30, 34, 37, 39, 42, 44, 47, 50, 51, 55, 63, 68, 69, 70, 73, 78, 79, 80, 81, 82, 84, 87, 89, 90, 91, 92, 93, 95, 96, 98, 100, 102, 103, 107, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 122, 125, 127, 128, 129, 130, 131, 132, 136, 137, 147, 158, 161, 164, 168, 172, 176], "stream": [0, 16, 18, 19, 20, 23, 42, 44, 71, 73, 77, 80, 82, 84, 85, 86, 89, 93, 96, 115, 125, 127, 129, 147, 158, 174, 177], "ar": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 15, 16, 18, 19, 20, 21, 22, 23, 24, 26, 29, 30, 31, 32, 33, 34, 37, 38, 39, 41, 42, 43, 44, 46, 47, 48, 49, 51, 53, 57, 58, 60, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 76, 78, 80, 81, 82, 84, 85, 86, 87, 89, 90, 93, 94, 96, 97, 98, 104, 105, 107, 109, 111, 112, 113, 116, 119, 120, 122, 125, 127, 128, 129, 130, 131, 133, 135, 136, 137, 139, 144, 145, 146, 147, 150, 151, 154, 156, 157, 158, 160, 161, 162, 164, 165, 166, 169, 170, 172, 173, 174, 175, 176, 177, 178, 179], "implement": [0, 11, 12, 16, 18, 19, 20, 22, 23, 24, 31, 32, 33, 36, 37, 39, 41, 43, 60, 62, 76, 82, 85, 89, 90, 98, 99, 101, 104, 105, 108, 120, 121, 123, 124, 125, 127, 129, 137, 145, 147, 158, 160, 168, 171, 172, 173, 176], "mfb": [0, 18, 19, 20, 23, 43, 57, 61, 63, 64, 65, 66, 67, 68, 70, 71, 73, 77, 82, 86, 89, 93, 96, 97, 100, 119, 122, 127, 129, 131, 134, 136, 137, 141, 144, 148, 152, 153, 156, 158, 177, 178], "buse": [0, 18, 19, 20, 23, 28, 108, 122], "mvb": [0, 18, 19, 20, 23, 33, 44, 57, 60, 70, 73, 75, 77, 82, 84, 86, 91, 96, 100, 116, 119, 127, 136, 137, 148, 157, 158], "block": [0, 11, 18, 19, 20, 23, 25, 31, 35, 39, 41, 51, 61, 63, 64, 68, 70, 71, 73, 74, 75, 77, 83, 84, 85, 89, 90, 91, 92, 95, 96, 98, 120, 125, 127, 128, 129, 131, 134, 146, 153, 158, 161, 162, 168, 172], "diagram": [0, 7, 11, 18, 19, 20, 23, 25, 73, 90, 128, 134], "below": [0, 2, 3, 7, 11, 14, 16, 18, 19, 20, 22, 23, 24, 30, 44, 47, 82, 89, 93, 109, 111, 122, 131, 136, 144, 145, 147, 154, 156, 157, 158, 161, 162], "show": [0, 7, 11, 16, 20, 25, 37, 55, 81, 98, 109, 111, 122, 128, 140, 141, 144, 147, 152, 153, 154, 156, 157, 158, 159, 164], "In": [0, 12, 15, 16, 18, 20, 21, 23, 30, 34, 37, 38, 42, 48, 55, 60, 81, 90, 93, 97, 98, 105, 109, 110, 111, 112, 122, 128, 129, 136, 137, 144, 145, 147, 150, 151, 154, 156, 157, 158, 161, 163, 164], "case": [0, 11, 12, 14, 16, 18, 21, 23, 26, 30, 31, 32, 34, 37, 38, 44, 46, 60, 81, 90, 93, 98, 107, 109, 110, 111, 121, 122, 127, 128, 129, 135, 137, 145, 158, 164], "just": [0, 11, 14, 18, 20, 39, 55, 81, 105, 111, 145, 158, 160, 161], "one": [0, 11, 15, 18, 19, 20, 23, 25, 28, 30, 31, 33, 35, 37, 38, 39, 41, 42, 43, 44, 45, 46, 51, 53, 55, 58, 59, 63, 69, 72, 79, 81, 82, 84, 85, 86, 89, 90, 91, 92, 93, 94, 98, 102, 104, 105, 107, 109, 111, 113, 115, 117, 118, 120, 121, 122, 127, 128, 129, 134, 136, 137, 139, 140, 141, 142, 143, 144, 145, 146, 147, 150, 151, 152, 153, 154, 156, 157, 158, 160, 161, 163, 164, 168, 172, 173, 174, 176, 177], "number": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 14, 15, 16, 18, 19, 20, 23, 24, 26, 31, 32, 33, 34, 36, 37, 39, 41, 42, 43, 44, 47, 49, 50, 51, 52, 54, 55, 56, 57, 58, 59, 60, 61, 62, 64, 65, 68, 69, 70, 71, 73, 74, 75, 77, 78, 82, 83, 84, 85, 87, 89, 90, 91, 92, 93, 94, 96, 97, 98, 99, 100, 101, 103, 105, 107, 109, 111, 112, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 127, 128, 129, 130, 132, 134, 135, 136, 138, 139, 141, 142, 143, 145, 147, 152, 153, 158, 162, 163, 164, 172, 173], "eth": [0, 12, 13, 14, 16, 18, 20, 73, 112, 127, 129, 147], "more": [0, 2, 3, 11, 13, 14, 15, 16, 18, 20, 23, 25, 28, 30, 33, 37, 41, 42, 44, 52, 55, 66, 72, 80, 81, 82, 89, 90, 97, 98, 99, 101, 105, 107, 109, 111, 116, 117, 125, 127, 130, 137, 139, 145, 150, 151, 154, 156, 157, 158, 159, 160, 163, 164], "than": [0, 11, 13, 20, 23, 25, 28, 30, 31, 32, 42, 50, 53, 55, 73, 77, 81, 82, 90, 98, 111, 120, 127, 129, 145, 158, 161, 172], "merger": [0, 20, 137, 174], "chan": [0, 112], "mod": [0, 158, 172], "split": [0, 18, 20, 30, 61, 90, 91, 92, 93, 147], "merg": [0, 20, 77, 84, 85, 90, 93, 116, 121, 142, 143, 147, 158, 177], "channel": [0, 11, 14, 16, 18, 19, 20, 26, 36, 47, 57, 58, 59, 60, 62, 64, 66, 67, 68, 69, 70, 71, 73, 74, 93, 98, 100, 109, 122, 145, 147, 158, 168, 172, 177], "thi": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 18, 19, 20, 22, 23, 24, 26, 28, 29, 30, 31, 33, 34, 37, 38, 39, 42, 43, 44, 45, 46, 48, 50, 53, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 78, 79, 80, 81, 82, 83, 84, 85, 89, 90, 92, 93, 94, 96, 97, 98, 99, 100, 101, 102, 105, 106, 107, 109, 110, 111, 112, 113, 115, 116, 120, 121, 122, 124, 127, 128, 129, 131, 132, 133, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 181], "avail": [0, 14, 16, 18, 20, 22, 23, 24, 25, 30, 32, 44, 48, 50, 55, 67, 74, 81, 102, 112, 125, 127, 129, 136, 137, 139, 158], "within": [0, 12, 13, 30, 42, 62, 64, 65, 68, 69, 82, 87, 89, 90, 93, 96, 97, 98, 122, 147, 150, 151, 171], "singl": [0, 7, 18, 20, 23, 28, 30, 34, 35, 43, 44, 46, 65, 82, 85, 90, 92, 93, 98, 102, 111, 121, 122, 128, 131, 134, 150, 151, 153, 158, 173], "evenli": [0, 18, 20, 44, 122], "divid": [0, 19, 22, 23, 55, 90, 98, 109, 112, 153, 158, 164], "between": [0, 16, 20, 22, 25, 30, 37, 42, 43, 44, 48, 49, 52, 53, 55, 72, 73, 77, 82, 87, 89, 90, 93, 97, 98, 99, 104, 105, 111, 117, 121, 122, 125, 136, 137, 141, 144, 145, 147, 152, 153, 154, 156, 157, 158, 160, 162, 172, 176], "all": [0, 11, 12, 13, 14, 15, 18, 20, 23, 26, 30, 34, 37, 42, 43, 44, 46, 47, 50, 53, 55, 56, 65, 66, 67, 68, 69, 72, 73, 74, 80, 81, 82, 83, 86, 89, 90, 91, 93, 97, 98, 102, 109, 110, 111, 112, 113, 122, 125, 127, 129, 130, 131, 136, 137, 138, 141, 142, 143, 144, 145, 146, 147, 150, 151, 152, 153, 154, 156, 158, 160, 161, 162, 163, 164, 172], "tx": [0, 14, 15, 16, 18, 20, 35, 47, 57, 69, 71, 73, 75, 77, 80, 81, 82, 83, 84, 85, 86, 87, 89, 90, 91, 92, 93, 94, 96, 97, 99, 101, 103, 110, 112, 113, 114, 115, 117, 118, 120, 121, 127, 140, 144, 145, 150, 151, 154, 156, 157, 158, 159, 161, 178], "direct": [0, 15, 22, 23, 30, 35, 42, 48, 70, 71, 72, 73, 98, 109, 110, 122, 128, 144, 154, 156, 157, 158, 161, 172], "static": [0, 18, 19], "map": [0, 16, 18, 19, 20, 30, 49, 50, 51, 52, 55, 105, 108, 109, 125, 130, 136, 137, 147], "accord": [0, 11, 12, 20, 23, 32, 39, 41, 60, 65, 66, 81, 82, 89, 92, 93, 97, 98, 104, 105, 109, 111, 112, 122, 125, 127, 128, 129, 158, 176], "msb": [0, 98, 111, 122, 128], "For": [0, 2, 3, 11, 14, 15, 16, 18, 20, 25, 28, 30, 34, 37, 39, 42, 43, 44, 55, 56, 66, 67, 78, 80, 90, 98, 99, 101, 102, 109, 111, 113, 118, 125, 127, 128, 129, 130, 131, 133, 135, 136, 137, 140, 142, 143, 144, 147, 154, 156, 157, 158, 159, 161, 163, 164, 166], "4": [0, 11, 15, 16, 18, 19, 26, 34, 37, 43, 44, 47, 48, 50, 53, 54, 55, 57, 60, 61, 63, 64, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 84, 88, 89, 92, 95, 96, 97, 98, 99, 100, 101, 103, 109, 110, 111, 112, 114, 115, 116, 117, 119, 121, 122, 123, 124, 125, 127, 129, 131, 132, 135], "32": [0, 16, 18, 20, 23, 24, 32, 33, 38, 43, 44, 47, 49, 50, 55, 57, 60, 62, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 77, 83, 84, 86, 89, 90, 91, 93, 94, 98, 101, 104, 105, 106, 107, 108, 109, 111, 112, 114, 115, 116, 117, 120, 123, 124, 125, 127, 131, 134, 135, 138, 139, 147, 150, 151, 162, 164, 176], "2": [0, 2, 3, 8, 9, 11, 14, 16, 18, 23, 26, 31, 32, 33, 34, 37, 38, 39, 42, 43, 44, 45, 47, 48, 50, 51, 53, 54, 55, 57, 59, 60, 62, 64, 65, 67, 69, 70, 71, 72, 73, 74, 75, 77, 78, 79, 81, 82, 84, 85, 86, 87, 89, 90, 91, 92, 93, 94, 96, 97, 99, 100, 103, 104, 105, 106, 108, 109, 110, 112, 113, 117, 118, 121, 125, 127, 129, 131, 132, 134, 135, 137, 138, 139, 150, 151, 158, 161, 162, 163, 172, 173], "bit": [0, 14, 16, 18, 20, 22, 23, 24, 28, 31, 32, 33, 34, 35, 37, 38, 39, 41, 42, 43, 45, 46, 47, 48, 50, 53, 54, 55, 56, 58, 59, 60, 66, 70, 71, 72, 73, 74, 75, 77, 78, 82, 83, 84, 85, 87, 89, 90, 91, 92, 93, 96, 97, 98, 104, 105, 106, 107, 109, 110, 112, 114, 115, 116, 117, 119, 122, 125, 127, 129, 130, 131, 134, 135, 138, 139, 150, 151, 158, 161, 162, 163, 172, 176], "taken": [0, 44, 102, 128], "3": [0, 7, 11, 16, 18, 19, 23, 26, 33, 37, 38, 40, 46, 50, 53, 54, 55, 57, 60, 72, 74, 81, 89, 90, 98, 105, 106, 107, 109, 110, 121, 122, 125, 127, 129, 130, 133, 134, 135, 139], "so": [0, 11, 12, 16, 20, 26, 30, 34, 37, 38, 42, 44, 48, 81, 82, 89, 92, 93, 98, 102, 107, 109, 111, 128, 158, 162, 164, 176], "0": [0, 7, 10, 14, 16, 18, 19, 20, 23, 24, 26, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 138, 139, 140, 145, 147, 150, 151, 152, 153, 158, 160, 161, 163, 173], "7": [0, 16, 18, 20, 37, 48, 49, 50, 54, 55, 72, 74, 77, 96, 111, 112, 125, 127, 129, 134, 135, 172, 173], "would": [0, 11, 15, 43, 81, 90, 111, 127, 128, 158, 160], "rout": [0, 23, 42, 47, 111, 112, 125], "8": [0, 11, 14, 15, 16, 18, 20, 23, 36, 39, 41, 48, 49, 50, 54, 55, 57, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 103, 104, 105, 106, 108, 109, 110, 111, 112, 119, 122, 123, 124, 125, 127, 128, 129, 131, 134, 135, 139, 150, 151, 158, 160, 164], "15": [0, 18, 20, 47, 50, 74, 112, 127, 139], "1": [0, 4, 6, 7, 11, 14, 16, 18, 23, 24, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 99, 100, 101, 102, 103, 104, 105, 106, 108, 109, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 123, 124, 125, 127, 129, 131, 132, 134, 135, 137, 138, 139, 140, 146, 147, 150, 151, 152, 153, 158, 160, 161, 162, 163, 164, 172, 173], "etc": [0, 12, 20, 23, 29, 72, 109, 121, 153, 158], "rx": [0, 13, 14, 15, 16, 18, 20, 30, 47, 57, 62, 70, 71, 73, 75, 77, 81, 82, 83, 84, 85, 86, 87, 89, 90, 91, 92, 93, 94, 96, 97, 99, 101, 103, 110, 112, 113, 114, 116, 117, 118, 120, 121, 129, 140, 145, 148, 158, 159, 161, 178], "again": [0, 16, 18, 81, 85, 89, 109, 136], "user": [0, 1, 5, 6, 7, 12, 18, 19, 20, 21, 23, 25, 26, 30, 31, 34, 37, 42, 43, 44, 46, 48, 49, 50, 55, 64, 66, 67, 70, 71, 73, 74, 75, 76, 77, 81, 82, 89, 90, 93, 102, 109, 111, 112, 119, 131, 135, 145, 158, 160, 163, 164, 168, 173], "perform": [0, 16, 30, 34, 37, 42, 44, 48, 50, 55, 90, 94, 122, 125, 127, 128, 129, 130, 139, 158, 172, 176], "router": [0, 177], "By": [0, 23, 73, 89, 129], "default": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 18, 19, 23, 24, 26, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 45, 49, 50, 51, 52, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 99, 100, 101, 103, 104, 105, 106, 107, 108, 109, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 123, 124, 127, 129, 131, 132, 134, 135, 138, 139, 144, 150, 151, 154, 156, 157, 158, 160, 162, 163, 164, 172], "ha": [0, 2, 3, 11, 12, 13, 14, 18, 19, 20, 22, 28, 30, 33, 34, 37, 38, 43, 44, 46, 53, 55, 57, 58, 67, 68, 69, 70, 72, 81, 82, 84, 89, 90, 93, 97, 98, 102, 109, 110, 111, 115, 122, 127, 128, 131, 136, 137, 141, 142, 143, 144, 146, 147, 150, 151, 152, 153, 154, 156, 157, 158, 160, 162, 164, 172], "portion": 0, "which": [0, 11, 12, 13, 15, 18, 20, 22, 23, 26, 28, 30, 31, 37, 42, 43, 44, 46, 55, 56, 60, 61, 63, 65, 67, 68, 69, 70, 72, 78, 80, 81, 82, 87, 89, 90, 93, 97, 98, 102, 107, 109, 111, 113, 118, 122, 127, 128, 129, 136, 137, 140, 141, 142, 143, 144, 146, 147, 150, 151, 152, 153, 154, 156, 157, 158, 159, 160, 161, 162, 163, 164, 168, 173, 176], "And": [0, 11, 57, 81, 90, 161], "state": [0, 18, 38, 55, 56, 65, 87, 89, 93, 104, 109, 111, 134, 139, 144, 146, 154, 156, 157, 158, 162, 163, 168, 172], "round": [0, 34, 59, 74, 82, 90, 110, 112, 176], "robin": [0, 74, 112, 176], "mode": [0, 7, 11, 16, 18, 19, 20, 23, 28, 31, 32, 33, 34, 35, 36, 38, 39, 40, 41, 45, 49, 50, 51, 52, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 123, 124, 125, 127, 128, 129, 131, 132, 133, 134, 135, 136, 138, 139, 150, 151, 158, 160, 173, 176], "contain": [0, 7, 11, 12, 16, 18, 19, 20, 21, 23, 24, 25, 30, 37, 42, 43, 44, 50, 55, 61, 66, 69, 73, 74, 81, 82, 84, 90, 91, 98, 100, 102, 104, 111, 113, 122, 124, 127, 129, 132, 135, 137, 139, 140, 141, 144, 145, 147, 150, 151, 152, 153, 154, 156, 157, 158, 160, 162, 163, 164, 168, 169, 170, 171, 172, 174, 175, 177, 181], "extern": [0, 13, 16, 18, 21, 24, 25, 48, 53, 55, 56, 93, 116, 125, 139, 166], "control": [0, 12, 14, 15, 16, 18, 20, 22, 25, 34, 43, 45, 62, 69, 70, 74, 83, 89, 109, 112, 125, 127, 129, 139, 140, 145, 158, 159, 162, 164, 176, 179], "These": [0, 11, 18, 19, 20, 22, 24, 26, 30, 42, 72, 73, 81, 82, 90, 98, 105, 109, 111, 122, 131, 137, 145, 147, 158], "make": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 17, 26, 30, 44, 50, 56, 82, 89, 98, 109, 111, 125, 127, 136, 145, 158], "easi": [0, 7, 13, 16, 22, 146, 150, 151, 162], "test": [0, 2, 3, 14, 21, 22, 33, 55, 56, 71, 81, 121, 131, 144, 147, 154, 156, 157, 164, 166], "oper": [0, 12, 14, 30, 82, 90, 111, 125, 127, 129, 136, 158, 172, 177], "measur": [0, 16, 21, 43, 49, 52, 54, 55, 158], "properti": [0, 12, 21, 105, 141, 152, 153], "throughput": [0, 1, 13, 16, 19, 20, 21, 25, 28, 42, 44, 46, 84, 89, 90, 97, 98, 137, 144, 154, 156, 157], "latenc": [0, 13, 19, 21, 23, 31, 34, 35, 36, 37, 42, 49, 54, 55, 71, 81, 90, 105, 109, 120, 150, 151, 166, 172, 173], "avalon": [0, 18, 20, 21, 23, 48, 55, 105], "mm": [0, 18, 21, 48, 105], "access": [0, 11, 12, 21, 22, 25, 37, 43, 48, 51, 55, 62, 64, 69, 71, 74, 89, 109, 127, 129, 130, 139, 158, 175, 176], "interfac": [0, 2, 3, 12, 14, 15, 16, 21, 23, 25, 32, 33, 36, 37, 42, 43, 44, 46, 48, 49, 50, 51, 53, 55, 57, 58, 59, 60, 62, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 75, 77, 78, 79, 80, 81, 83, 84, 85, 88, 89, 90, 91, 92, 93, 94, 95, 99, 100, 101, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 116, 118, 120, 121, 124, 127, 128, 129, 131, 134, 135, 136, 137, 139, 140, 141, 144, 145, 147, 148, 152, 153, 154, 156, 157, 159, 160, 163, 164, 176, 179], "specif": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 12, 13, 14, 18, 20, 21, 22, 23, 28, 30, 37, 45, 46, 50, 52, 55, 57, 58, 60, 65, 69, 82, 89, 93, 125, 127, 128, 130, 131, 137, 145, 164, 168, 169, 170, 173, 174, 175, 176, 177], "run": [0, 7, 11, 12, 15, 16, 17, 19, 23, 30, 37, 42, 43, 55, 56, 65, 89, 99, 100, 103, 125, 140, 141, 144, 145, 152, 153, 154, 156, 157, 159, 160, 163, 164], "rpm": [0, 14], "packag": [0, 7, 12, 14, 17, 18, 20, 22, 30, 49, 50, 55, 125, 127, 130, 140, 141, 144, 148, 152, 153, 154, 156, 159, 160, 163], "python3": [0, 16, 49, 50, 55, 56], "nfb": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 13, 14, 16, 18, 20, 22, 24, 49, 50, 55], "requir": [0, 4, 5, 6, 7, 11, 13, 14, 15, 16, 17, 20, 23, 28, 30, 33, 34, 37, 43, 53, 81, 84, 90, 97, 110, 111, 115, 119, 120, 127, 128, 129, 136, 137, 140, 144, 147, 154, 156, 157, 158, 159, 163, 172, 173], "you": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 16, 18, 19, 20, 23, 26, 34, 35, 37, 42, 44, 45, 48, 49, 50, 55, 59, 89, 90, 93, 107, 109, 111, 112, 116, 127, 129, 140, 144, 147, 154, 156, 157, 158, 159, 163, 164, 166, 172], "obtain": [0, 11, 16, 48, 98, 130], "cesnet": [0, 7, 11, 12, 13, 171], "framework": [0, 4, 7, 10, 12, 13, 55, 75, 158], "copr": 0, "repositori": [0, 11, 12, 13, 29, 30, 127, 129, 165, 166, 169, 170, 171, 174, 175, 177, 178, 179], "instal": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 12, 14, 17, 49, 50, 55], "data_logg": [0, 49, 50, 55], "python": [0, 7, 16, 17, 26, 49, 50, 55, 56], "sourc": [0, 1, 11, 12, 14, 18, 19, 20, 24, 30, 42, 47, 72, 74, 87, 88, 93, 97, 112, 114, 115, 117, 127, 129, 131, 139, 158, 161, 171, 172, 176, 179], "code": [0, 11, 28, 30, 33, 111, 147, 172, 181], "follow": [0, 7, 11, 14, 16, 18, 20, 30, 37, 43, 55, 58, 61, 64, 70, 71, 80, 81, 82, 83, 89, 90, 98, 102, 109, 110, 111, 112, 122, 125, 127, 128, 137, 140, 141, 144, 152, 153, 154, 156, 158, 159, 164], "command": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 14, 30, 48, 50, 56, 107, 125, 127, 129, 158, 163, 164], "cd": [0, 7, 49, 50, 55], "xxx_root_directori": [0, 14, 16, 22], "ofm": [0, 11, 12, 16, 29, 55, 127, 129, 130, 165, 166, 169, 170, 174, 175, 177, 178, 179], "comp": [0, 16, 29, 55, 56, 108, 150, 151, 158, 165, 166, 169, 170, 174, 175, 177, 178, 179], "debug": [0, 2, 3, 7, 16, 19, 22, 43, 44, 55, 65, 70, 71, 127, 158, 176], "sw": [0, 12, 16, 43, 56, 58, 59, 62, 64, 69, 71, 89, 124, 127, 129], "setup": [0, 26, 30, 42, 43, 49, 50, 55, 97, 163], "py": [0, 7, 16, 26, 49, 50, 55], "Then": [0, 11, 12, 20, 49, 53, 55, 82, 89, 93, 98, 109, 111, 137, 158, 160, 163], "go": [0, 12, 14, 109, 111, 158], "mem": [0, 18, 21, 50], "tool": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 12, 13, 14, 16, 18, 20, 22, 24, 30, 50, 127, 129, 139, 158, 164], "directori": [0, 11, 12, 14, 17, 29, 30, 139, 158, 165, 166, 169, 170, 174, 175, 177, 178, 179, 181], "mem_test": [0, 49, 55], "output": [0, 14, 16, 18, 19, 20, 23, 28, 31, 32, 33, 34, 35, 37, 38, 39, 41, 42, 43, 44, 45, 46, 50, 55, 56, 57, 60, 63, 64, 65, 66, 67, 68, 70, 71, 72, 78, 79, 80, 81, 82, 83, 84, 85, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 99, 102, 105, 107, 108, 109, 111, 112, 114, 115, 116, 118, 119, 120, 121, 124, 125, 127, 128, 129, 131, 132, 133, 135, 136, 138, 139, 147, 158, 168, 172, 174, 176], "wa": [0, 11, 20, 26, 37, 50, 55, 71, 81, 89, 90, 109, 111, 120, 127, 136, 158, 163, 164, 172, 174, 177], "success": [0, 18, 55, 130, 158], "mem_logg": [0, 49, 50, 54, 55], "statist": [0, 36, 37, 43, 49, 50, 55, 70, 71, 80, 109, 125, 127, 129, 158, 172, 176], "write": [0, 4, 5, 6, 11, 12, 13, 16, 22, 23, 30, 32, 33, 37, 39, 41, 42, 43, 44, 46, 47, 48, 49, 50, 51, 53, 54, 55, 56, 62, 68, 69, 70, 74, 89, 93, 100, 104, 105, 107, 109, 112, 125, 127, 129, 130, 134, 135, 136, 137, 139, 142, 143, 146, 147, 150, 151, 158, 160, 161, 162, 164, 168, 172, 173], "request": [0, 14, 16, 18, 19, 20, 22, 23, 25, 32, 34, 37, 47, 48, 49, 50, 51, 53, 54, 55, 58, 59, 60, 65, 69, 70, 71, 81, 89, 93, 99, 104, 105, 107, 109, 110, 112, 121, 127, 129, 130, 131, 134, 135, 136, 137, 139, 142, 143, 160, 164, 168, 176], "33554431": 0, "word": [0, 13, 18, 23, 32, 33, 34, 39, 41, 42, 44, 47, 48, 49, 53, 54, 55, 56, 57, 61, 63, 66, 72, 73, 74, 80, 81, 82, 83, 84, 85, 87, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 110, 112, 114, 115, 117, 120, 122, 123, 127, 128, 129, 131, 134, 135, 140, 144, 145, 146, 147, 150, 151, 154, 156, 157, 158, 159, 162, 164], "134217724": 0, "read": [0, 12, 13, 14, 16, 20, 22, 23, 32, 33, 36, 37, 39, 41, 42, 43, 44, 47, 48, 49, 50, 51, 53, 54, 55, 56, 60, 62, 67, 68, 69, 70, 81, 87, 89, 98, 100, 102, 104, 105, 107, 109, 110, 112, 120, 121, 124, 125, 127, 128, 129, 130, 134, 135, 136, 137, 139, 144, 145, 154, 156, 158, 160, 163, 164, 168, 172, 173], "flow": [0, 2, 3, 18, 20, 49, 55, 86, 89, 176], "160": 0, "78": [0, 16], "gb": [0, 55, 89], "": [0, 11, 12, 14, 18, 20, 23, 24, 26, 28, 30, 31, 34, 37, 42, 43, 44, 48, 55, 58, 59, 72, 74, 80, 81, 82, 87, 89, 90, 93, 97, 98, 102, 107, 109, 111, 125, 127, 137, 138, 147, 158, 160, 161, 171], "161": 0, "68": 0, "total": [0, 16, 23, 36, 37, 44, 55, 62, 64, 65, 68, 69, 71, 77, 98, 112, 127, 129], "23": [0, 18, 20, 47, 112, 127], "time": [0, 11, 12, 20, 25, 26, 30, 32, 34, 37, 38, 39, 41, 43, 44, 46, 50, 51, 52, 55, 81, 84, 87, 89, 90, 93, 99, 102, 110, 112, 117, 119, 127, 128, 136, 139, 147, 158, 163], "427": 0, "42": [0, 125], "m": [0, 34, 43, 55, 56, 116, 163, 172], "425": 0, "04": [0, 55], "852": 0, "46": 0, "min": [0, 50, 54, 55, 74, 76, 78, 97, 112, 127, 141, 144, 152, 153, 154, 156, 157], "96": [0, 16, 72, 135], "00": [0, 14, 31, 55, 111, 135], "n": [0, 20, 30, 34, 37, 39, 40, 41, 55, 81, 87, 92, 93, 112, 116, 125, 141, 147, 152, 153, 158, 163, 172], "max": [0, 18, 23, 33, 39, 41, 50, 52, 54, 55, 57, 61, 63, 64, 65, 66, 67, 68, 70, 71, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 99, 100, 101, 103, 112, 113, 118, 119, 124, 127, 129, 131, 134, 139, 141, 144, 152, 153, 154, 156, 157, 158, 163, 164], "555": 0, "avg": [0, 55, 97], "131": 0, "56": 0, "histogram": [0, 49, 50, 54, 55, 166], "93": [0, 55], "117": [0, 55], "5": [0, 16, 20, 30, 44, 48, 50, 54, 55, 74, 81, 89, 97, 111, 112, 125, 127, 134, 150, 151, 162], "12613618": 0, "141": 0, "6": [0, 4, 7, 10, 16, 18, 20, 37, 47, 50, 54, 55, 74, 98, 111, 125, 127, 129, 135], "13893635": 0, "165": 0, "6618217": 0, "503": 0, "527": 0, "74899": 0, "551": 0, "265549": 0, "575": [0, 45], "88513": 0, "error": [0, 11, 18, 20, 26, 47, 55, 107, 109, 125, 127, 147, 158], "zero": [0, 37, 52, 55, 72, 74, 113, 127, 158, 162], "burst": [0, 18, 53, 54, 55, 105, 157, 158, 160], "count": [0, 18, 24, 34, 43, 44, 49, 50, 53, 54, 55, 78, 89, 97, 113, 121, 127, 129, 172, 176, 179], "simultan": [0, 34, 37, 55, 77, 98], "r": [0, 6, 7, 14, 17, 18, 22, 23, 53, 54, 55, 56, 89, 125, 127, 129, 137], "w": [0, 14, 18, 22, 53, 54, 55, 56, 89, 127, 129], "paralel": [0, 52], "13": [0, 50, 65, 66, 72, 132, 135], "10": [0, 13, 14, 18, 20, 21, 23, 25, 28, 31, 48, 50, 54, 55, 77, 87, 93, 109, 125, 127, 129, 135, 138, 139, 147, 158, 160, 162, 164, 168, 173, 176], "83": [0, 16, 125], "27238": 0, "12": [0, 18, 50, 54, 59, 60, 62, 69, 71, 72, 73, 82, 98, 100, 119, 125, 135], "4294967295": 0, "16": [0, 7, 11, 14, 18, 20, 23, 33, 47, 50, 55, 57, 58, 59, 60, 62, 64, 65, 66, 67, 68, 71, 72, 74, 82, 86, 89, 96, 100, 101, 109, 112, 115, 120, 123, 127, 128, 135, 139, 147, 158, 164], "13345442": 0, "document": [0, 11, 14, 16, 19, 20, 26, 30, 33, 34, 42, 72, 82, 98, 99, 101, 137, 144, 154, 156, 157, 158, 159, 164, 168, 172, 176], "detail": [0, 7, 11, 14, 18, 19, 20, 28, 30, 41, 42, 44, 48, 97, 122, 125, 131, 137, 150, 151, 158, 168, 172, 176], "descript": [0, 7, 12, 18, 19, 20, 22, 23, 24, 25, 28, 31, 32, 33, 34, 35, 36, 38, 39, 40, 41, 42, 44, 45, 47, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 99, 100, 101, 103, 104, 105, 106, 107, 108, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 123, 124, 125, 127, 128, 129, 130, 131, 132, 133, 134, 135, 137, 138, 139, 141, 144, 147, 148, 152, 153, 154, 156, 157, 160, 161, 164, 166, 169, 170, 174, 175, 176, 177, 179], "assum": [0, 23, 158], "consist": [0, 12, 19, 25, 43, 65, 82, 89, 90, 92, 97, 102, 111, 122, 128, 136, 137, 158], "two": [0, 4, 11, 18, 19, 20, 23, 26, 30, 32, 33, 34, 42, 46, 59, 61, 72, 75, 77, 78, 79, 81, 82, 84, 85, 86, 89, 90, 91, 92, 93, 94, 95, 98, 99, 102, 103, 104, 108, 109, 111, 112, 115, 117, 119, 122, 127, 128, 131, 136, 139, 140, 141, 144, 145, 146, 147, 150, 151, 152, 153, 154, 156, 157, 158, 159, 160, 162, 163, 164, 168, 173, 176], "0x0000000": [0, 20], "0x07fffff": 0, "regist": [0, 12, 14, 20, 22, 23, 25, 29, 31, 32, 34, 35, 37, 39, 41, 42, 43, 44, 46, 48, 50, 53, 54, 55, 56, 62, 64, 69, 71, 73, 74, 81, 84, 89, 91, 93, 99, 107, 108, 109, 111, 112, 114, 115, 116, 120, 124, 125, 128, 130, 132, 136, 138, 139, 158, 168, 172, 173, 176], "distribut": [0, 18, 20, 32, 40, 44, 109, 112, 122, 125, 141, 145, 152, 153, 163, 172], "reserv": [0, 22, 30, 74, 125, 127, 129, 130], "space": [0, 12, 16, 18, 20, 21, 23, 25, 30, 31, 32, 42, 43, 44, 46, 47, 53, 54, 55, 60, 62, 66, 73, 74, 83, 93, 102, 109, 111, 112, 127, 129, 134, 136, 137, 139, 144, 147, 154, 156, 157, 158, 162, 176], "0x0800000": 0, "0x0ffffff": 0, "0x1000000": [0, 12], "0x17fffff": 0, "0x1800000": 0, "0x1ffffff": 0, "final": [0, 11, 31, 77, 93, 98, 109, 111, 158, 164], "address": [0, 12, 16, 18, 20, 23, 37, 39, 41, 42, 43, 44, 47, 48, 53, 54, 55, 56, 59, 60, 62, 64, 66, 67, 68, 70, 73, 74, 83, 93, 97, 98, 102, 104, 105, 106, 109, 110, 112, 124, 127, 129, 134, 135, 139, 158, 160, 173, 176], "sum": [0, 43, 44, 50, 54, 82, 115], "core": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 16, 18, 22, 25, 30, 37, 64, 70, 71, 74, 125, 130, 136, 137], "0x02000000": [0, 22], "0x02800000": 0, "welcom": [1, 171], "The": [1, 7, 11, 12, 13, 14, 15, 16, 24, 25, 26, 28, 29, 31, 32, 33, 34, 35, 37, 38, 39, 41, 42, 43, 44, 46, 50, 51, 53, 55, 57, 58, 59, 60, 61, 63, 65, 66, 68, 69, 70, 71, 74, 75, 76, 77, 78, 80, 81, 82, 83, 87, 89, 90, 91, 93, 96, 97, 98, 99, 102, 104, 105, 107, 109, 110, 111, 112, 114, 117, 118, 122, 124, 125, 127, 128, 129, 130, 131, 132, 134, 135, 136, 137, 139, 140, 141, 144, 145, 147, 152, 153, 154, 156, 157, 158, 159, 160, 161, 164, 165, 166, 168, 172, 173, 174, 176, 177, 178, 179], "refer": [1, 2, 3, 11, 12, 14, 15, 16, 18, 20, 21, 105, 125, 145, 158], "develop": [1, 5, 6, 11, 14, 19, 25, 30, 158, 164, 171, 174, 177], "kit": [1, 3, 5, 6, 14, 25], "allow": [1, 7, 11, 14, 18, 19, 20, 22, 23, 25, 26, 31, 32, 34, 37, 39, 41, 42, 43, 44, 45, 46, 60, 62, 64, 69, 71, 73, 74, 75, 76, 79, 81, 90, 98, 99, 104, 114, 115, 122, 124, 125, 127, 128, 129, 134, 136, 145, 150, 151, 158, 166, 168, 172, 173], "quickli": [1, 16, 25, 144, 156, 157], "easili": [1, 12, 25, 61, 89, 108], "acceler": [1, 25, 167], "optim": [1, 19, 25, 26, 37, 84, 108, 112, 168, 172, 173, 176], "high": [1, 13, 15, 19, 25, 42, 44, 55, 74, 95, 98, 107, 112, 125, 127, 129, 139, 144, 145, 146, 154, 156, 161, 163, 172], "scalabl": [1, 25, 42], "support": [1, 11, 14, 15, 16, 19, 20, 21, 25, 30, 32, 34, 37, 39, 41, 47, 48, 56, 60, 70, 71, 72, 73, 74, 77, 87, 89, 90, 93, 98, 105, 110, 112, 127, 128, 129, 131, 135, 137, 139, 168, 173, 174, 177], "up": [1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 13, 15, 18, 19, 20, 23, 30, 34, 42, 59, 72, 82, 90, 102, 125, 127, 128, 129, 130, 141, 145, 147, 150, 151, 152, 158, 162, 163, 172], "400": [1, 13, 19, 25, 125, 127, 129], "gigabit": [1, 15, 25], "exampl": [1, 7, 11, 14, 15, 16, 17, 18, 20, 23, 25, 34, 35, 37, 43, 44, 46, 47, 49, 50, 55, 75, 90, 93, 109, 112, 127, 129, 133, 139, 141, 144, 147, 152, 153, 154, 156, 157, 163, 166, 172], "memori": [1, 4, 5, 6, 13, 18, 20, 22, 23, 25, 29, 32, 33, 37, 40, 46, 47, 48, 49, 53, 56, 70, 73, 99, 101, 104, 105, 109, 123, 127, 136, 142, 143, 166, 168, 172, 176], "medusa": [1, 179], "part": [1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 14, 16, 18, 19, 20, 22, 23, 30, 37, 46, 64, 70, 72, 73, 74, 75, 81, 98, 100, 109, 110, 111, 127, 128, 129, 136, 137, 139], "open": [1, 2, 3, 14, 19, 48, 55, 171], "get": [1, 12, 15, 18, 19, 20, 30, 37, 43, 55, 82, 86, 89, 109, 116, 130, 134, 144, 147, 154, 156, 157, 158, 160, 161], "includ": [1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 12, 13, 14, 16, 18, 19, 20, 21, 22, 23, 30, 32, 49, 55, 56, 77, 90, 125, 127, 129, 134, 158, 168, 179], "profession": [1, 19], "through": [1, 12, 13, 16, 18, 19, 20, 25, 30, 32, 43, 44, 81, 89, 93, 97, 98, 99, 100, 102, 107, 109, 112, 124, 127, 129, 130, 139, 140, 141, 152, 153, 157, 158, 159, 176], "our": [1, 14, 15, 19, 20, 37, 43, 98, 109, 111, 158], "partner": [1, 19, 20], "brnolog": [1, 19], "card": [2, 3, 4, 5, 6, 7, 8, 9, 10, 16, 17, 18, 20, 21, 22, 23, 25, 30, 47, 55], "inform": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 14, 16, 20, 23, 24, 37, 42, 48, 60, 66, 69, 71, 73, 75, 77, 89, 90, 96, 99, 100, 101, 102, 105, 127, 131, 136, 137, 145, 154, 156, 157, 158, 159, 164, 176], "vendor": [2, 3, 4, 5, 6, 7, 8, 9, 10, 12, 23, 60, 130], "xilinx": [2, 3, 8, 9, 11, 13, 14, 20, 21, 23, 32, 33, 71, 88, 101, 108, 120, 123, 125, 127, 129, 131, 137, 168, 172, 173, 176], "name": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 14, 18, 20, 24, 26, 30, 37, 42, 47, 71, 72, 81, 82, 87, 92, 93, 96, 97, 98, 109, 112, 122, 127, 128, 129, 130, 139, 144, 147, 150, 151, 154, 156, 157, 158, 159, 160, 161, 162, 163], "port": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 16, 20, 23, 25, 30, 31, 32, 33, 34, 35, 36, 37, 38, 40, 45, 47, 53, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 99, 100, 101, 103, 104, 105, 106, 107, 108, 109, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 123, 124, 125, 128, 131, 132, 133, 134, 135, 137, 138, 139, 141, 142, 143, 146, 147, 148, 152, 153, 158, 160, 161, 168, 172, 173], "2x": [2, 3, 5, 6, 8, 9, 10, 54, 127], "qsfp28": [2, 3, 8, 9, 15], "pcie": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 14, 18, 19, 22, 25, 47, 57, 60, 64, 65, 66, 68, 70, 71, 98, 130, 134, 136], "conector": [2, 3, 4, 5, 6, 7, 8, 9, 10], "edg": [2, 3, 4, 5, 6, 7, 8, 9, 10, 28, 53, 55, 98, 172], "connector": [2, 3, 4, 5, 6, 7, 8, 9, 10, 23, 25], "websit": [2, 3, 4, 5, 6, 7, 8, 9, 10], "xcu200": 2, "fsgd2104": 2, "e": [2, 4, 5, 8, 10, 11, 13, 16, 17, 18, 20, 30, 37, 38, 43, 44, 68, 82, 89, 90, 93, 98, 105, 109, 110, 111, 122, 127, 128, 129, 131, 158, 164], "basic": [2, 12, 14, 16, 20, 21, 30, 50, 55, 56, 93, 112, 128, 144, 150, 151, 153, 154, 156, 157, 162], "same": [2, 11, 13, 14, 18, 20, 28, 30, 37, 38, 42, 44, 46, 51, 52, 54, 55, 56, 72, 73, 81, 83, 85, 89, 90, 93, 97, 98, 100, 102, 105, 109, 110, 111, 115, 120, 122, 127, 128, 129, 130, 135, 141, 146, 147, 150, 151, 152, 153, 158, 159, 160, 161, 164, 168, 172, 173, 176], "vu9p": 2, "hard": [2, 3, 4, 5, 6, 7, 8, 9, 10, 16, 20, 53, 55, 127, 129, 134], "cmac": [2, 3, 8, 9, 13, 20, 127, 129], "100g": [2, 3, 4, 5, 8, 9, 10, 26, 98], "usp": [2, 3, 8, 9, 23, 134], "gen3": [2, 3, 8, 9, 23, 71], "x16": [2, 3, 4, 5, 6, 7, 8, 9, 10, 23, 71], "app_root_directori": [2, 3, 4, 5, 6, 7, 8, 9], "config": [2, 3, 4, 5, 6, 7, 8, 9, 11, 74, 144, 148, 154, 156, 157, 158], "card_conf": [2, 3, 4, 5, 6, 7, 8, 9], "tcl": [2, 3, 4, 5, 6, 7, 8, 9, 12, 14, 22], "file": [2, 3, 4, 5, 6, 7, 8, 9, 12, 13, 14, 17, 18, 22, 26, 55, 145, 158, 164], "makefil": [2, 3, 4, 5, 6, 7, 8, 9, 10, 19, 23, 26], "target": [2, 3, 4, 5, 6, 7, 8, 9, 10, 13, 14, 20, 23, 25, 28, 31, 33, 37, 42, 44, 55, 71, 75, 77, 86, 89, 90, 100, 103, 105, 106, 109, 110, 111, 129, 135], "valid": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 14, 18, 20, 24, 26, 32, 34, 39, 41, 42, 43, 46, 47, 51, 53, 57, 60, 66, 72, 76, 77, 78, 79, 80, 81, 82, 84, 85, 86, 87, 89, 90, 92, 93, 95, 96, 97, 98, 99, 100, 102, 103, 105, 109, 110, 112, 114, 115, 116, 117, 119, 120, 121, 122, 125, 127, 129, 130, 131, 134, 135, 136, 139, 142, 143, 144, 146, 150, 151, 156, 158, 160, 161, 162, 172], "minim": [2, 3, 4, 5, 6, 7, 8, 9, 10, 18, 21, 50, 54, 55, 89, 127, 129], "mai": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 16, 18, 30, 32, 33, 34, 44, 46, 72, 81, 90, 98, 109, 116, 122, 127, 129, 134, 136, 137, 147, 158], "vari": [2, 3, 4, 5, 6, 7, 8, 9, 10, 14, 23, 37], "other": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 14, 16, 20, 23, 26, 31, 34, 36, 37, 41, 42, 44, 46, 50, 55, 65, 70, 72, 74, 78, 80, 81, 84, 85, 86, 88, 90, 91, 98, 100, 106, 109, 110, 111, 113, 122, 125, 127, 129, 135, 136, 138, 141, 144, 145, 147, 150, 151, 152, 154, 156, 157, 162, 163, 164], "100g2": [2, 3, 4, 5, 8, 9, 10], "2x100gbe": [2, 3, 8, 9], "100g0": [2, 3], "disabl": [2, 3, 14, 23, 24, 31, 33, 34, 50, 73, 74, 80, 83, 96, 108, 112, 116, 123, 125, 127, 129, 139, 158], "applic": [2, 3, 16, 19, 21, 22, 24, 25, 30, 89, 122, 131], "remain": [2, 3, 98, 99, 103, 128, 145, 161, 168], "experiment": [2, 3], "featur": [2, 3, 11, 12, 20, 24, 77, 98, 127, 173], "boot": [2, 3, 7, 8, 9, 10, 14, 22, 93], "NO": [2, 3, 5, 6, 26, 160], "jtag": [2, 3, 4, 5, 6], "buld": [2, 3], "describ": [2, 3, 11, 12, 14, 16, 18, 19, 20, 22, 24, 29, 30, 42, 72, 89, 98, 122, 125, 136, 137, 141, 144, 145, 152, 153, 154, 156, 157, 158, 161, 162, 164, 165, 166, 178, 179], "abov": [2, 3, 11, 20, 25, 30, 31, 42, 72, 82, 89, 90, 98, 111, 122, 137, 139, 141, 144, 152, 153, 156, 157, 161], "gener": [2, 3, 7, 11, 13, 14, 16, 17, 19, 20, 22, 23, 24, 25, 26, 28, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 43, 44, 45, 47, 57, 58, 60, 61, 62, 63, 64, 67, 71, 73, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 99, 100, 101, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 124, 128, 131, 132, 134, 135, 136, 137, 138, 139, 140, 141, 144, 145, 146, 147, 148, 152, 153, 154, 156, 157, 159, 160, 163, 164, 166, 172, 173, 174, 176], "bitstream": [2, 3, 4, 5, 6, 14], "vivado": [2, 3, 8, 9, 13, 14, 30, 138], "gui": [2, 3, 158], "usb": [2, 3, 4, 5, 6], "cabl": [2, 3, 4, 5, 6], "hardwar": [2, 3, 11, 30, 62, 64, 69, 70, 71], "manag": [2, 3, 20, 48, 51, 57, 59, 64, 67, 70, 137, 138, 179], "2022": [2, 3, 14], "manual": [2, 3, 16, 53, 55, 56, 89, 158], "To": [2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 14, 20, 37, 38, 42, 43, 44, 46, 53, 55, 58, 59, 82, 89, 90, 93, 102, 110, 111, 122, 127, 129, 136, 145, 146], "must": [2, 3, 4, 5, 6, 7, 8, 9, 10, 12, 13, 14, 18, 20, 22, 23, 24, 30, 32, 33, 34, 37, 39, 41, 42, 44, 48, 55, 72, 73, 74, 75, 77, 82, 86, 87, 93, 96, 97, 98, 99, 102, 103, 104, 105, 106, 107, 109, 111, 112, 115, 116, 117, 118, 119, 120, 121, 124, 127, 128, 129, 130, 134, 136, 137, 139, 141, 147, 150, 151, 152, 153, 158, 160, 161], "have": [2, 3, 4, 5, 6, 7, 8, 9, 10, 14, 16, 18, 20, 26, 30, 34, 37, 42, 43, 44, 50, 53, 55, 59, 73, 78, 81, 82, 90, 98, 102, 107, 109, 110, 111, 113, 122, 127, 128, 129, 136, 137, 144, 147, 154, 156, 158, 160, 161, 162, 163, 164, 172], "licens": [2, 3, 4, 5, 6, 7, 8, 9, 10, 13, 14, 127, 129], "been": [2, 3, 12, 30, 37, 38, 42, 43, 44, 57, 67, 78, 81, 84, 98, 122, 127, 128, 131, 136, 137, 144, 147, 154, 158, 160, 172], "properli": [2, 3, 12, 19, 127, 139, 158], "although": [2, 3, 30, 89, 98], "virtex": [3, 173], "ultrascal": [3, 13, 20, 21, 23, 30, 31, 32, 33, 34, 39, 41, 49, 52, 58, 59, 60, 64, 65, 66, 67, 68, 70, 71, 75, 77, 81, 82, 83, 84, 86, 87, 90, 91, 92, 93, 96, 97, 99, 100, 101, 104, 112, 118, 120, 123, 127, 129, 131, 134, 135, 139, 168, 173, 178], "evalu": [3, 30, 82], "xcvu9p": [3, 9], "flgb2104": [3, 9], "1x": [4, 7], "qsfp": [4, 6, 7, 10, 11, 12, 15, 16, 20, 22, 25, 125], "dd": [4, 6, 7, 15], "agfb014r24b2e2v": 4, "tile": [4, 5, 6, 7, 10, 13, 16, 20, 23, 98, 127, 129, 137], "p": [4, 5, 6, 10, 16, 23, 55, 56, 98, 137, 171], "gen4": [4, 5, 10, 23], "2x100ge": [4, 5, 10], "25g8": [4, 5, 6, 7, 10], "8x25ge": [4, 5, 10, 20], "10g8": [4, 5, 6, 7, 10], "8x10ge": [4, 5, 10, 20], "ye": [4, 7, 8, 9, 10, 13, 160], "version": [4, 7, 10, 11, 12, 13, 14, 56, 89, 99, 129, 130, 173], "17": [4, 50, 135], "intel": [4, 7, 10, 11, 13, 14, 20, 21, 22, 23, 26, 32, 33, 48, 55, 88, 101, 105, 108, 120, 123, 127, 129, 131, 134, 135, 137, 148, 166, 168, 173, 176], "quartu": [4, 5, 6, 7, 10, 12, 13, 14, 30, 138], "prime": [4, 5, 6, 7, 10, 13, 14], "pro": [4, 5, 6, 7, 10, 13, 14], "befor": [4, 11, 18, 19, 20, 23, 30, 33, 36, 42, 43, 44, 47, 74, 81, 85, 90, 93, 97, 98, 102, 107, 109, 111, 120, 127, 129, 136, 137, 144, 147, 154, 156, 158, 160], "flash": [4, 5, 6, 22, 25, 48], "regular": [4, 11], "programm": 4, "after": [4, 5, 6, 12, 14, 16, 20, 26, 30, 31, 42, 43, 44, 48, 51, 55, 56, 57, 58, 67, 69, 70, 76, 80, 81, 82, 85, 87, 89, 90, 93, 97, 105, 107, 109, 111, 127, 128, 136, 146, 147, 158, 159, 176], "complet": [4, 5, 6, 12, 14, 20, 23, 37, 42, 47, 56, 57, 70, 71, 80, 89, 98, 102, 131, 134, 135, 136, 158], "call": [4, 5, 6, 11, 12, 18, 20, 30, 49, 93, 98, 122, 156, 157, 158], "my_bitstream": [4, 5, 6], "sof": [4, 5, 6, 14, 18, 66, 77, 78, 79, 80, 81, 82, 85, 86, 87, 90, 92, 93, 96, 98, 100, 102, 119, 127, 128, 129, 131, 142, 143, 144, 150, 151, 156, 158, 159, 163], "script": [4, 5, 6, 11, 12, 14, 16, 26, 30, 49, 55, 56], "generate_j": 4, "sh": [4, 5, 6], "convert": [4, 5, 6, 11, 20, 21, 23, 46, 48, 105, 106, 110, 111, 119, 121, 127, 128, 129, 131, 136, 137, 138, 144, 148, 154, 156, 157, 172, 176], "jic": 4, "format": [4, 5, 6, 14, 16, 18, 20, 23, 47, 74, 82, 87, 93, 109, 112, 125, 127, 130, 137, 139, 158, 162], "On": [4, 5, 6, 14, 20, 44, 122, 128, 136, 137, 150, 151, 162], "host": [4, 5, 6, 13, 18, 23, 25, 48, 105, 134, 137], "pc": [4, 5, 6, 16, 20, 22, 23, 25, 127, 129, 134], "where": [4, 5, 6, 11, 12, 14, 15, 16, 18, 21, 30, 32, 34, 35, 46, 48, 58, 66, 70, 81, 82, 83, 89, 93, 97, 98, 102, 116, 124, 128, 129, 136, 139, 158, 160, 163, 164, 172], "write_j": 4, "power": [4, 5, 6, 32, 34, 55, 75, 77, 78, 79, 81, 82, 85, 87, 90, 93, 96, 97, 99, 103, 104, 110, 112, 117, 119, 127, 129], "off": [4, 5, 6, 20, 82, 112, 125, 129, 160], "cycl": [4, 5, 6, 28, 31, 33, 34, 37, 42, 43, 44, 45, 50, 51, 54, 72, 81, 85, 89, 93, 98, 105, 109, 110, 112, 122, 124, 128, 136, 137, 139, 140, 146, 150, 151, 158, 159, 160, 163, 168, 172, 173, 174, 176, 177], "new": [4, 5, 6, 11, 14, 16, 18, 25, 42, 46, 51, 56, 59, 75, 76, 81, 82, 102, 109, 127, 136, 137, 139, 141, 144, 147, 152, 153, 154, 156, 157, 158, 164, 181], "load": [4, 5, 6, 7, 11, 12, 25, 30, 43, 46, 53, 55, 81, 89, 137, 158, 172], "procedur": [4, 5, 6, 11, 30], "dev": [5, 6, 55], "1sdx": [5, 6], "qsfp56": 5, "option": [5, 6, 7, 12, 19, 30, 31, 32, 33, 38, 44, 45, 55, 71, 74, 81, 82, 86, 87, 93, 96, 99, 101, 104, 105, 109, 114, 120, 123, 125, 127, 129, 132, 138, 147, 150, 151], "upi": 5, "guid": [5, 6, 20, 26, 48, 55, 158, 168, 173], "schemat": [5, 6], "1sd280pt2f55e1vg": [5, 30], "bellow": [5, 6], "generate_pof": [5, 6], "pof": [5, 6], "write_pof": [5, 6], "agi027r": 6, "mcio": 6, "agib027r29a1e2vr0": [6, 7], "f": [6, 7, 13, 16, 20, 55, 89, 127, 129], "400g": [6, 7, 98], "gen5": [6, 7, 23], "400g1": [6, 7], "1x400gbe": [6, 7], "200g2": [6, 7], "2x200gbe": [6, 7], "100g4": [6, 7, 9, 11], "4x100gbe": [6, 7, 9], "50g8": [6, 7], "8x50gbe": [6, 7], "40g2": [6, 7], "2x40gbe": [6, 7], "8x25gbe": [6, 7], "8x10gbe": [6, 7], "cooper": [7, 158], "hsi": 7, "agib027r29a1e2vr3": 7, "exist": [7, 12, 20, 22, 30, 82, 121, 144, 158], "multipl": [7, 11, 15, 16, 18, 19, 20, 23, 25, 30, 33, 34, 36, 37, 41, 42, 44, 46, 48, 52, 55, 65, 69, 70, 71, 77, 81, 82, 85, 90, 94, 98, 105, 109, 110, 111, 119, 122, 136, 137, 138, 139, 147, 158, 163, 168, 172, 173, 174, 177], "board_rev": [7, 14], "correct": [7, 14, 23, 32, 33, 39, 41, 43, 60, 104, 110, 127, 130, 131, 132, 134, 158, 161], "select": [7, 11, 12, 14, 15, 16, 18, 20, 25, 30, 32, 33, 39, 41, 42, 50, 53, 55, 56, 60, 73, 76, 77, 91, 92, 93, 96, 99, 101, 104, 112, 113, 114, 118, 123, 127, 129, 131, 134, 139, 141, 152, 153, 158, 160, 168], "paramet": [7, 12, 16, 18, 19, 20, 23, 26, 30, 32, 39, 41, 45, 50, 55, 56, 60, 61, 63, 65, 68, 72, 81, 85, 88, 89, 90, 99, 101, 103, 104, 107, 109, 112, 117, 119, 128, 129, 131, 134, 140, 141, 144, 147, 150, 151, 152, 153, 154, 156, 157, 158, 159, 160, 161, 162, 164], "valu": [7, 12, 13, 14, 16, 18, 19, 23, 26, 30, 31, 32, 33, 34, 35, 36, 37, 39, 41, 42, 43, 45, 46, 47, 50, 51, 55, 60, 62, 64, 71, 72, 74, 76, 78, 80, 81, 82, 84, 87, 88, 89, 90, 91, 93, 96, 97, 98, 99, 101, 105, 109, 110, 111, 112, 113, 118, 119, 121, 124, 127, 128, 129, 130, 131, 134, 135, 136, 139, 142, 143, 146, 147, 158, 160, 161, 163, 164, 172, 177], "first": [7, 11, 12, 14, 16, 18, 20, 23, 24, 26, 30, 32, 33, 34, 42, 43, 44, 47, 49, 53, 54, 55, 56, 70, 72, 80, 81, 82, 87, 89, 90, 93, 97, 98, 99, 109, 111, 122, 127, 128, 129, 131, 132, 133, 135, 142, 143, 144, 146, 147, 150, 151, 156, 158, 160, 161, 162, 164, 172, 176], "prototyp": 7, "second": [7, 11, 12, 18, 20, 24, 43, 47, 60, 81, 90, 98, 109, 111, 122, 128, 138, 139, 144, 146, 147, 156, 158, 161, 164, 168], "sever": [7, 16, 18, 19, 25, 30, 89, 119, 127, 129], "switchabl": 7, "loopback": [7, 14, 16, 19, 20, 73, 125, 174], "path": [7, 11, 13, 16, 30, 46, 56, 72, 86, 88, 109, 125, 137, 158, 176], "usual": [7, 30, 71, 109, 128, 158], "gen": [7, 16, 19, 55, 84, 129, 165, 174, 175], "loop": [7, 16, 19, 37, 89, 121, 158, 174], "switch": [7, 14, 16, 19, 42, 44, 84, 85, 89, 91, 117, 127, 158, 174], "gl": [7, 19, 22, 174], "A": [7, 11, 12, 13, 16, 18, 20, 22, 23, 32, 33, 37, 41, 42, 43, 46, 51, 65, 72, 81, 87, 98, 128, 136, 144, 147, 153, 154, 156, 157, 158, 160, 179], "simplifi": [7, 23, 25, 81, 98, 122, 125, 128, 141, 144, 152, 153, 154, 156, 157, 158], "capabl": [7, 12, 23, 33, 83, 130], "found": [7, 11, 12, 14, 22, 28, 49, 66, 80, 98, 127, 158, 168, 172, 176], "prerequisit": [7, 30], "linux": [7, 13, 14], "server": 7, "driver": [7, 12, 13, 14, 55, 62, 140, 141, 142, 143, 146, 148, 152, 153, 159, 160, 163], "mi_debug": 7, "warn": [7, 34, 42, 90, 97, 158], "bottom": [7, 82], "readm": [7, 13, 14], "pytest": 7, "pip3": 7, "depend": [7, 13, 18, 20, 21, 30, 37, 42, 44, 46, 59, 89, 90, 101, 110, 111, 120, 122, 123, 127, 136, 137, 142, 143, 146, 150, 151, 158, 160, 162], "html": [7, 158], "themselv": [7, 37], "written": [7, 32, 33, 34, 39, 41, 54, 56, 67, 68, 81, 98, 100, 107, 109, 127, 158, 160, 181], "possibl": [7, 11, 12, 13, 16, 18, 26, 37, 41, 42, 43, 44, 50, 71, 72, 81, 83, 94, 97, 105, 107, 109, 111, 119, 127, 129, 136, 144, 147, 154, 156, 157, 158, 164, 168], "test_pci": 7, "self": [7, 125], "bt": 7, "whole": [7, 18, 20, 22, 35, 38, 43, 53, 54, 55, 57, 61, 63, 66, 82, 89, 90, 98, 110, 120, 122, 127, 129, 134, 139, 141, 145, 150, 151, 152, 153, 158, 162], "take": [7, 11, 12, 15, 18, 20, 33, 42, 44, 81, 86, 89, 97, 109, 110, 111, 122, 125, 128, 137, 142, 143, 145, 146, 158], "approxim": 7, "14": [7, 50, 69, 70, 71, 75, 76, 77, 82, 96], "minut": 7, "displai": [7, 18, 20, 81, 147], "result": [7, 20, 31, 37, 42, 43, 55, 89, 96, 98, 125, 136, 147, 158, 176], "remov": [7, 12, 13, 20, 34, 36, 38, 44, 73, 90, 102, 127, 172, 176], "sudo": [7, 14, 55], "modprob": 7, "add": [7, 11, 18, 20, 35, 37, 46, 50, 72, 90, 139, 145, 158, 160, 164, 172], "flag": [7, 18, 20, 23, 24, 30, 33, 34, 47, 50, 56, 77, 78, 79, 80, 89, 95, 103, 114, 125, 127, 129, 130, 131], "xcku15p": 8, "ffve1760": 8, "variant": [9, 20, 23, 28, 84, 127, 129, 131, 165, 172, 173], "fb2cgg3": 9, "4x": 9, "agfb014r24a2e2v": 10, "18": [10, 43], "pacsign": 10, "three": [11, 20, 21, 84, 98, 100, 109, 112, 122, 140, 144, 147, 150, 151, 154, 156, 157, 158, 160, 162, 163, 164], "abrevi": 11, "via": [11, 16, 18, 20, 22, 23, 25, 30, 50, 53, 55, 89, 93, 98, 122, 125, 139, 176, 179], "link": [11, 12, 14, 16, 18, 20, 23, 72, 125, 127, 129, 150, 151], "core_root_directori": 11, "some": [11, 14, 16, 20, 23, 25, 30, 34, 37, 38, 44, 45, 46, 55, 69, 70, 74, 81, 89, 90, 98, 109, 111, 122, 125, 127, 137, 141, 152, 153, 158, 163, 172, 176], "info": [11, 14, 20, 35, 42, 100, 127, 158], "its": [11, 12, 13, 14, 16, 18, 20, 22, 23, 26, 30, 38, 42, 44, 46, 48, 51, 55, 58, 65, 69, 70, 71, 75, 81, 82, 89, 93, 97, 98, 102, 109, 111, 128, 136, 137, 141, 147, 152, 153, 154, 157, 158, 161, 164], "own": [11, 13, 16, 18, 20, 23, 42, 44, 58, 69, 73, 82, 93, 116, 128, 136, 137, 141, 142, 143, 147, 150, 151, 152, 153, 158, 162], "hierarchi": 11, "shown": [11, 18, 19, 20, 23, 26, 98, 109, 111, 122, 128, 137, 158], "section": [11, 18, 20, 42, 48, 89, 96, 105, 122, 125, 158, 164], "page": [11, 72, 98, 122, 158, 167], "order": [11, 26, 30, 33, 34, 36, 37, 42, 44, 46, 47, 48, 55, 67, 72, 89, 90, 93, 96, 109, 115, 117, 121, 125, 128, 135, 136, 147, 157, 158, 176], "place": [11, 34, 44, 55, 90, 122, 125, 127, 128, 158, 162, 176], "core_boostrap": 11, "list": [11, 13, 16, 20, 23, 26, 28, 56, 141, 152, 153, 158, 164], "chang": [11, 12, 13, 14, 26, 39, 42, 43, 53, 74, 81, 86, 89, 90, 94, 109, 110, 111, 112, 127, 128, 129, 131, 134, 137, 144, 146, 147, 154, 156, 157, 158, 163, 164], "comment": [11, 12, 158], "mean": [11, 18, 20, 23, 42, 43, 47, 57, 72, 81, 82, 89, 90, 98, 107, 109, 110, 111, 120, 122, 139, 158, 159, 160, 164, 172], "becaus": [11, 12, 20, 26, 30, 37, 44, 45, 55, 57, 63, 81, 90, 102, 109, 111, 128, 141, 152, 153, 158, 161], "underli": 11, "platform": [11, 12, 14, 15, 16, 20, 25], "g": [11, 17, 18, 30, 37, 38, 43, 44, 90, 93, 105, 110, 128, 164], "mani": [11, 21, 43, 47, 50, 101, 109, 111, 123, 137, 145, 158, 172], "combin": [11, 18, 37, 109, 111, 116, 129, 138, 164], "howev": [11, 12, 13, 16, 20, 33, 37, 43, 55, 81, 82, 98, 109, 119, 128, 153, 158], "find": [11, 14, 18, 23, 55, 144, 154, 156, 157, 158], "unsupport": [11, 23], "caus": [11, 42, 46, 90, 109, 127, 128, 129], "dure": [11, 26, 30, 43, 51, 55, 72, 81, 82, 89, 122, 129, 158], "synthesi": [11, 14, 172], "should": [11, 12, 19, 20, 30, 33, 42, 44, 45, 48, 49, 50, 51, 54, 55, 56, 60, 72, 85, 89, 97, 102, 109, 111, 127, 139, 147, 158, 160, 181], "deliber": 11, "thei": [11, 12, 16, 18, 20, 42, 44, 46, 61, 80, 81, 82, 93, 97, 98, 109, 111, 115, 116, 136, 144, 145, 147, 154, 156, 157, 158, 161, 172, 176], "purpos": [11, 16, 20, 23, 30, 44, 55, 61, 80, 98, 128, 130, 131, 135, 136, 137, 145, 147, 158, 163, 164, 172], "specifi": [11, 12, 30, 31, 37, 43, 44, 47, 49, 51, 63, 77, 78, 79, 81, 82, 96, 98, 102, 111, 122, 127, 142, 143, 147, 158, 162, 163, 181], "visibl": [11, 81], "chosen": [11, 33, 66, 89], "when": [11, 12, 16, 18, 20, 26, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 41, 42, 43, 44, 45, 46, 50, 51, 53, 55, 57, 60, 65, 69, 72, 73, 75, 79, 80, 81, 82, 83, 84, 85, 86, 87, 89, 90, 93, 95, 97, 98, 100, 101, 102, 104, 105, 109, 110, 111, 113, 116, 117, 120, 121, 122, 123, 127, 128, 129, 130, 136, 137, 141, 142, 143, 144, 145, 146, 147, 150, 151, 152, 153, 156, 158, 160, 161, 162, 163, 172], "anoth": [11, 12, 15, 30, 43, 44, 46, 55, 82, 90, 93, 98, 102, 109, 111, 128, 139, 147, 154, 156, 158, 164, 173, 176], "condition": 11, "assign": [11, 18, 26, 42, 44, 111, 127, 136, 137, 161, 176], "locat": [11, 20, 29, 30, 82, 158, 164, 165, 166, 168, 169, 170, 173, 174, 175, 177, 178, 179], "correspond": [11, 13, 15, 16, 18, 19, 20, 34, 42, 46, 82, 89, 93, 98, 125, 128, 136, 137, 161], "condit": [11, 23, 119, 127, 128, 158], "set": [11, 13, 14, 16, 18, 20, 23, 26, 30, 31, 32, 33, 34, 35, 36, 37, 38, 42, 43, 44, 45, 46, 47, 48, 50, 51, 53, 55, 56, 57, 58, 59, 60, 61, 63, 69, 70, 71, 72, 73, 74, 75, 79, 81, 83, 84, 85, 86, 89, 93, 96, 97, 98, 99, 102, 103, 107, 108, 109, 112, 119, 120, 121, 122, 127, 128, 129, 130, 131, 136, 137, 139, 140, 141, 142, 143, 144, 145, 146, 147, 152, 153, 154, 156, 157, 158, 159, 160, 161, 163, 164, 168, 172, 173], "pcie_lan": [11, 23], "pcie_endpoint": [11, 18, 23], "pcie_endpoint_mod": [11, 23], "third": [11, 18, 20, 47, 60, 98, 109, 111, 158, 164], "statement": 11, "check": [11, 20, 26, 33, 44, 48, 81, 85, 90, 107, 109, 127, 129, 136, 137, 150, 151, 158, 160, 161, 176], "compat": [11, 12, 14, 37, 55, 56, 88, 176], "incompat": 11, "detect": [11, 16, 28, 37, 42, 53, 55, 89, 125, 136, 139, 168, 172, 176], "shell": 11, "rais": [11, 90], "stop": [11, 18, 44, 59, 60, 67, 69, 70, 74, 89, 102, 128, 158], "compil": [11, 12, 19, 23, 30, 158], "freeli": 11, "necessari": [11, 12, 13, 18, 23, 30, 31, 109, 122, 127, 129, 147, 158, 164], "variabl": [11, 37, 55, 140, 141, 144, 152, 153, 154, 156, 157, 158, 159, 160, 161, 163, 172], "environ": [11, 30, 33, 140, 141, 146, 148, 152, 153, 159, 164, 181], "lowest": [11, 37, 48, 71, 72, 73, 74, 98, 110, 111, 122], "prioriti": [11, 37, 51, 89], "ones": [11, 72, 111, 128, 133, 158, 163, 164, 172], "highest": [11, 41, 74, 98, 111, 122, 139, 158], "last": [11, 20, 26, 30, 34, 44, 47, 49, 54, 72, 80, 81, 82, 89, 98, 102, 107, 109, 111, 128, 131, 132, 133, 135, 136, 141, 142, 143, 145, 146, 150, 151, 152, 153, 158, 161, 162, 163, 164, 168, 172], "often": [11, 21, 30, 33, 34, 98, 109, 122, 128, 158], "mechan": [11, 12, 28, 30, 42, 72, 122, 130, 158, 163], "affect": [11, 32, 42, 62, 64, 71, 86, 87], "As": [11, 18, 20, 98, 109, 111, 127, 129, 145], "system": [11, 14, 24, 48, 65, 130], "modular": [11, 127, 129], "hierarch": 11, "organ": [11, 98], "archgrp": [11, 30], "across": [11, 43, 81], "parent": [11, 12, 130, 144, 147, 154, 156, 157, 158], "adjust": [11, 35, 81, 97, 158], "descend": 11, "core_archgrp": 11, "associ": [11, 13, 23, 84, 91, 111, 131, 158], "arrai": [11, 46, 95, 136, 144, 148, 154, 156, 158, 161, 164, 172, 173], "initi": [11, 22, 50, 62, 158], "common": [11, 30, 31, 41, 42, 49, 50, 55, 72, 81, 84, 91, 105, 107, 108, 111, 116, 125, 148, 181], "inc": [11, 30, 158], "clariti": 11, "declar": [11, 26, 30, 72, 90, 98, 122], "entiti": [11, 18, 30, 31, 32, 33, 34, 35, 36, 38, 39, 40, 41, 45, 49, 50, 51, 52, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 99, 100, 101, 103, 104, 105, 106, 107, 108, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 123, 124, 125, 127, 128, 129, 131, 132, 133, 134, 135, 138, 139, 147], "back": [11, 16, 19, 20, 23, 30, 44, 89, 93, 97, 102, 158, 161], "dynam": [11, 13, 20, 26, 30, 136, 137], "combo_user_const": 11, "were": [11, 33, 81, 109, 127, 129, 147, 158], "previous": [11, 44, 90, 109, 120, 128, 136, 137], "vhdlpkggen": 11, "folder": [11, 14, 30, 50, 55, 56, 164, 168, 173, 176], "vhdlpkgstring": 11, "fancy_str": 11, "vhdlpkgint": 11, "some_integ": 11, "vhdlpkgbool": 11, "some_boolean": 11, "important_boolean": 11, "true": [11, 30, 31, 32, 34, 35, 39, 41, 42, 50, 51, 71, 73, 74, 80, 81, 83, 84, 85, 88, 91, 93, 95, 97, 99, 100, 104, 111, 112, 113, 114, 116, 117, 120, 121, 124, 127, 129, 134, 139, 158], "vhdlpkghexvector": 11, "large_vector": 11, "64": [11, 16, 18, 23, 24, 32, 33, 35, 36, 37, 40, 41, 43, 49, 55, 58, 59, 60, 62, 64, 69, 70, 71, 72, 74, 85, 87, 90, 93, 97, 99, 100, 101, 106, 113, 118, 120, 123, 127, 135, 138, 141, 152, 153], "abcdef0123456789": 11, "recommend": [11, 14, 16, 18, 20, 30, 31, 33, 109, 145, 158], "structur": [11, 12, 30, 158, 164, 168, 172, 173], "similar": [11, 68, 109, 125, 128, 164, 168], "relev": 11, "those": [11, 30, 37, 71, 98, 109, 127, 128, 129, 141, 142, 143, 152, 158, 161], "mostli": [11, 30, 98], "ti": [11, 127], "like": [11, 15, 16, 20, 30, 37, 50, 74, 98, 109, 111, 128, 130, 137, 144, 153, 154, 156, 157, 158, 160, 164], "differ": [11, 15, 19, 20, 21, 30, 31, 37, 42, 43, 46, 50, 55, 68, 72, 89, 90, 97, 109, 110, 112, 115, 119, 127, 128, 129, 131, 136, 137, 139, 141, 145, 147, 152, 153, 158, 160, 161, 164, 165], "higher": [11, 13, 42, 74, 81, 109, 111, 119, 128, 139, 141, 152, 153, 158, 172], "ensur": [11, 12, 18, 77, 89, 104, 137], "done": [11, 18, 37, 42, 43, 50, 55, 69, 82, 90, 110, 118, 127, 128, 136, 137, 147, 158, 163], "here": [11, 12, 14, 20, 26, 28, 34, 37, 42, 43, 44, 46, 81, 93, 98, 110, 127, 128, 150, 151, 164, 166, 168, 172, 176], "consid": [11, 12, 16, 20, 42, 44, 73, 74, 98, 111, 121, 122, 158], "transceiv": [11, 12, 20, 125], "most": [11, 23, 30, 33, 44, 56, 81, 89, 90, 98, 110, 111, 116, 122, 127, 158, 164], "either": [11, 30, 53, 55, 57, 78, 81, 82, 89, 90, 98, 109], "vhd": [11, 12, 22, 30, 55, 158], "too": [11, 42, 97, 158], "stage": [11, 30, 44, 82, 92, 102, 108], "major": [11, 13, 98, 109, 128, 141, 147, 152, 153, 163], "variou": [11, 13, 71, 127, 128, 129, 130, 158, 168], "built": [11, 14, 114], "There": [11, 12, 16, 18, 20, 23, 26, 30, 32, 33, 37, 48, 50, 55, 63, 72, 84, 87, 89, 93, 94, 109, 111, 114, 116, 127, 128, 129, 137, 142, 143, 144, 145, 146, 147, 150, 151, 156, 157, 158, 159, 160, 161, 162, 164, 172], "veri": [11, 21, 30, 42, 90, 93, 109, 144, 154, 156, 157, 158], "card_archgrp": 11, "card_root_directori": 11, "src": [11, 22, 74, 112, 121, 158], "concaten": [11, 82, 115], "share": [11, 30, 72, 90, 95, 172], "both": [11, 13, 18, 20, 26, 31, 32, 33, 37, 38, 42, 44, 49, 51, 71, 73, 83, 89, 90, 98, 102, 104, 105, 109, 110, 111, 115, 120, 122, 125, 128, 135, 137, 138, 144, 154, 156, 157, 158, 160, 161], "hand": [11, 98, 122, 136, 137], "subcompon": [11, 12, 20, 22, 30, 73, 129, 158], "application_cor": [11, 18], "choos": [11, 31, 33, 89, 111, 144, 146, 154, 156, 157], "sometim": [11, 20, 30, 43, 44, 128, 158], "launch": [11, 30], "less": [11, 32, 33, 77, 109, 111, 127, 129, 173], "constrain": 11, "about": [11, 12, 14, 18, 20, 23, 30, 37, 42, 48, 49, 50, 60, 66, 99, 100, 101, 102, 111, 127, 131, 145, 158], "visit": [11, 158], "instruct": [11, 14, 19, 20, 30, 42, 58, 59, 73, 97], "_const": 11, "_conf": 11, "throughout": [11, 18, 22], "issu": [11, 37, 67, 93, 109, 158], "goe": [11, 20, 30, 111, 128], "dma_typ": [11, 14, 19], "architectur": [11, 14, 19, 20, 21, 23, 30, 33, 34, 37, 74, 84, 101, 120, 123, 136, 158, 168, 173], "logic": [11, 18, 19, 23, 29, 31, 34, 37, 44, 53, 55, 62, 64, 67, 70, 71, 72, 83, 86, 90, 97, 102, 104, 111, 114, 116, 121, 125, 129, 136, 139, 140, 144, 145, 147, 148, 150, 151, 154, 156, 158, 159, 160, 161, 163, 168, 176], "app_archgrp": 11, "well": [11, 12, 20, 21, 30, 35, 42, 44, 67, 70, 71, 73, 80, 98, 102, 109, 110, 111, 158], "recip": 11, "achiev": [11, 20, 31, 42, 117, 158], "goal": 11, "stai": [11, 50, 74, 81, 85, 89, 98, 102, 109, 111, 112], "application_core_entity_onli": 11, "fals": [11, 23, 30, 33, 34, 35, 39, 41, 42, 50, 51, 55, 64, 73, 81, 83, 84, 86, 88, 93, 95, 96, 97, 100, 108, 111, 112, 113, 115, 116, 120, 121, 123, 127, 129, 131, 132, 139], "custom": [11, 12, 50, 160, 164], "code_archgrp": 11, "my_param_1": 11, "my_param_2": 11, "thu": [11, 23, 42, 43, 44, 48, 90, 98, 105], "my_param": 11, "propag": [11, 30, 37, 39, 41, 42, 43, 44, 46, 71, 82, 86, 96, 97, 102, 110, 136, 137], "fpga_common": [11, 12], "notic": [11, 81, 109, 128], "alreadi": [11, 12, 14, 30, 44, 67, 81, 128, 137], "element": [11, 29, 167], "snippet": 11, "lappend": [11, 30, 158], "entity_name_1": 11, "path_to_entity_1": 11, "full": [11, 16, 30, 33, 34, 44, 55, 84, 87, 89, 90, 93, 102, 103, 137, 158, 168, 176], "field": [11, 42, 82, 89, 127, 131, 141, 152, 153, 171], "entity_name_2": 11, "path_to_entity_2": 11, "archgrp_arr": 11, "thing": [11, 16, 81, 82, 93, 98, 102, 158, 163], "elseif": [11, 30], "overwritten": [11, 30, 89, 97, 102], "commentari": 11, "especi": [11, 12, 16], "els": [11, 31, 109, 111, 112, 129, 144, 147, 153, 156, 157, 158], "avoid": [11, 18, 20, 37, 44, 48, 81, 93, 107], "crash": 11, "unsuccess": 11, "iclud": 11, "pcie_gen": 11, "dma_rx_blocking_mod": 11, "directli": [11, 12, 16, 20, 23, 30, 39, 41, 44, 46, 49, 81, 89, 105, 111, 125, 139, 158], "requier": 11, "belong": [11, 20, 98, 111], "adher": [11, 57, 128, 158], "respect": [11, 30, 33, 37, 48, 66, 81, 90, 109, 111, 122, 128], "suggest": 11, "regard": [11, 42], "miss": 11, "unansw": 11, "question": 11, "valekv": 11, "cz": [11, 12], "we": [12, 14, 15, 16, 18, 20, 23, 37, 40, 43, 46, 75, 90, 102, 109, 111, 158], "content": [12, 51, 60, 63, 66, 124, 127, 128, 129, 161, 173], "util": [12, 14, 20, 43, 109], "softwar": [12, 13, 18, 20, 22, 48, 55, 64, 67, 70, 71, 89, 109, 125, 127, 129, 130, 139], "certain": [12, 43, 81, 111, 176], "extent": 12, "commun": [12, 18, 19, 21, 22, 23, 25, 54, 98, 122, 134, 137, 140, 150, 151, 158, 159, 160, 179], "hw": [12, 16, 58, 59, 60], "close": [12, 19, 179], "insid": [12, 16, 23, 30, 42, 46, 51, 53, 55, 56, 82, 98, 102, 122, 127, 128, 129, 147, 158, 176], "translat": [12, 22, 30, 47], "devtre": [12, 22, 30, 55], "insert": [12, 18, 20, 30, 58, 59, 73, 75, 82, 83, 86, 96, 97, 125, 127, 129, 137, 164], "form": [12, 15, 20, 30, 31, 50, 81, 89, 90, 94, 98, 111, 125, 128, 130], "current": [12, 13, 19, 20, 21, 30, 32, 34, 39, 42, 44, 47, 53, 55, 56, 57, 60, 66, 67, 70, 76, 80, 81, 82, 84, 85, 87, 89, 90, 93, 98, 99, 100, 102, 105, 107, 109, 122, 127, 128, 129, 134, 136, 137, 145, 158, 160, 173], "revis": [12, 14], "author": [12, 14], "function": [12, 20, 23, 30, 31, 33, 47, 48, 71, 87, 97, 125, 128, 134, 135, 141, 144, 146, 147, 152, 153, 154, 156, 157, 160, 161, 163], "dts_build_project": 12, "dts_build_netcop": 12, "project": [12, 14, 17, 30, 109], "typic": [12, 13, 15, 18, 29, 30, 47, 130, 158, 165, 166, 169, 170, 174, 175, 177, 178, 179], "eg": [12, 120], "type": [12, 15, 18, 20, 21, 23, 26, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 45, 47, 49, 50, 51, 52, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 103, 104, 105, 106, 107, 108, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 127, 128, 129, 131, 132, 133, 134, 135, 137, 138, 139, 141, 144, 145, 146, 147, 150, 151, 152, 153, 154, 156, 157, 158, 162, 168, 173], "itself": [12, 20, 30, 37, 44, 71, 136], "instanc": [12, 20, 23, 30, 34, 55, 145, 158, 161, 164], "dts_boot_control": 12, "dts_dma_modul": 12, "dts_applic": 12, "pass": [12, 16, 20, 30, 42, 43, 44, 46, 93, 125, 127, 136, 158, 176], "vhdl": [12, 22, 23, 30, 37, 130], "creat": [12, 13, 14, 17, 18, 20, 30, 42, 58, 62, 73, 82, 84, 90, 91, 98, 108, 122, 137, 141, 144, 145, 147, 150, 151, 152, 153, 154, 156, 157, 160, 161, 162, 164, 172], "std_logic_vector": [12, 18, 23, 31, 32, 33, 34, 35, 36, 38, 39, 40, 41, 45, 49, 50, 51, 52, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 99, 100, 101, 103, 104, 105, 106, 107, 108, 109, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 123, 124, 125, 127, 128, 129, 131, 132, 133, 134, 135, 138, 139], "dtb_data": 12, "binari": [12, 30, 43, 98, 111, 172], "represent": [12, 33, 81], "dtc": [12, 14], "compress": [12, 130], "xz": [12, 130], "input": [12, 18, 19, 20, 30, 31, 33, 34, 35, 36, 37, 38, 39, 41, 42, 44, 45, 46, 50, 51, 57, 60, 62, 63, 64, 65, 67, 68, 69, 70, 71, 72, 73, 75, 77, 78, 79, 80, 81, 83, 84, 85, 86, 87, 88, 89, 90, 94, 95, 96, 97, 100, 105, 107, 108, 111, 112, 114, 115, 116, 117, 118, 119, 121, 124, 127, 128, 129, 133, 135, 137, 138, 139, 145, 147, 168, 172, 176], "pci_ext_cap": [12, 23, 179], "next": [12, 30, 37, 43, 44, 55, 80, 81, 82, 84, 87, 89, 97, 98, 102, 109, 111, 117, 121, 122, 128, 130, 145, 150, 151, 158, 163], "constant": [12, 16, 45, 58, 71, 82, 89, 93, 98, 105, 111, 122, 127, 129, 172], "cannot": [12, 34, 37, 44, 81, 90, 102, 129, 137, 145, 158, 160, 163, 172], "user_const": [12, 30], "need": [12, 26, 30, 34, 37, 43, 44, 45, 47, 49, 50, 55, 56, 63, 69, 75, 81, 89, 90, 98, 111, 121, 128, 129, 130, 137, 145, 158, 172, 176], "blob": [12, 130], "pci": [12, 14, 23, 47, 67, 70, 71, 109, 130, 131, 133], "extens": [12, 23, 30, 75, 130, 160], "vsec": [12, 23, 130], "extend": [12, 23, 77, 81, 82, 97, 125, 130, 144, 147, 154, 156, 157, 158, 172, 174], "rel": [12, 30], "small": [12, 44, 82, 98, 128, 147, 158, 173, 176], "few": [12, 26, 30, 32, 33, 34, 55, 76, 82, 89, 90, 99, 124, 164], "entir": [12, 16, 18, 20, 22, 30, 42, 47, 128, 137], "store": [12, 16, 30, 32, 33, 34, 37, 42, 43, 44, 46, 51, 58, 68, 70, 81, 87, 99, 102, 109, 127, 129, 130, 136, 137, 142, 143, 158, 172, 176], "bram": [12, 32, 33, 51, 68, 99, 101, 102, 104, 120, 123, 124, 168, 173], "mi": [12, 16, 18, 19, 20, 23, 25, 43, 48, 49, 62, 64, 69, 70, 71, 73, 74, 83, 89, 93, 105, 106, 112, 125, 127, 129, 139, 148, 158, 176, 179], "accident": 12, "design": [12, 14, 18, 20, 22, 26, 33, 37, 38, 41, 58, 62, 66, 70, 71, 72, 98, 122, 125, 128, 137, 158, 176], "nfb0": [12, 55], "ref_nam": 12, "popul": 12, "empti": [12, 30, 33, 34, 44, 75, 98, 142, 143, 163, 168], "my_comp": 12, "dts_my_comp": 12, "reg": [12, 50, 81, 88, 108, 136], "indic": [12, 26, 32, 55, 66, 80, 82, 89, 98, 107, 109, 111, 122, 131, 138, 158], "intern": [12, 16, 18, 19, 34, 37, 42, 44, 68, 69, 70, 71, 73, 83, 89, 93, 98, 102, 114, 122, 128, 144, 154, 156, 158], "size": [12, 16, 18, 23, 33, 35, 36, 42, 43, 44, 47, 58, 59, 60, 62, 64, 66, 69, 70, 71, 73, 75, 77, 78, 82, 83, 84, 86, 87, 88, 89, 90, 91, 93, 94, 96, 97, 100, 101, 127, 129, 130, 132, 134, 141, 144, 147, 152, 153, 154, 156, 158, 160, 163, 164, 176], "submodul": [12, 127, 129, 179], "bound": 12, "string": [12, 18, 23, 30, 31, 32, 33, 34, 36, 39, 40, 41, 49, 52, 55, 58, 59, 60, 62, 64, 65, 66, 67, 68, 69, 70, 71, 73, 74, 75, 76, 77, 81, 82, 83, 84, 86, 87, 88, 89, 90, 91, 92, 93, 96, 97, 99, 100, 101, 103, 104, 105, 106, 108, 111, 112, 113, 115, 117, 118, 119, 120, 121, 123, 124, 127, 129, 131, 134, 135, 139, 144, 147, 154, 156, 157, 158], "node": [12, 14], "subnod": 12, "mandatori": [12, 30, 82], "item": [12, 18, 20, 32, 33, 34, 37, 39, 40, 41, 42, 43, 47, 73, 74, 75, 76, 77, 78, 82, 83, 84, 85, 86, 87, 89, 90, 91, 92, 93, 95, 96, 97, 98, 99, 100, 101, 109, 111, 112, 113, 114, 116, 117, 118, 119, 120, 121, 122, 123, 124, 127, 129, 131, 134, 147, 148, 157, 158, 159, 160, 177], "work": [12, 13, 16, 18, 20, 30, 34, 37, 42, 44, 48, 49, 50, 51, 52, 58, 65, 89, 90, 102, 109, 111, 112, 116, 127, 129, 137, 144, 147, 154, 156, 160, 163], "without": [12, 13, 16, 18, 19, 20, 23, 32, 44, 72, 81, 82, 86, 98, 99, 104, 120, 122, 127, 128, 129, 131, 135, 145, 158, 172], "term": [12, 14, 15, 122], "addit": [12, 20, 23, 30, 35, 72, 104, 172, 173], "larg": [12, 30, 43, 44, 85, 128, 158], "possibli": [12, 98], "base_address": 12, "0x40": [12, 54, 127], "netcop": [12, 56], "0x00010004": 12, "reduc": [12, 44, 50, 55, 90, 110], "v1": 12, "cabal": [12, 28], "ff3f73b": 12, "0x6143474c": 12, "mi0": 12, "mi_bus0": [12, 16], "cell": 12, "0x01": [12, 74, 127, 129], "resourc": [12, 37, 44, 50, 55, 82, 84, 90, 111, 119, 121, 127, 168, 172, 173], "pci0": 12, "bar0": [12, 23, 134], "width": [12, 14, 18, 20, 23, 24, 31, 32, 33, 34, 35, 36, 38, 39, 41, 42, 43, 46, 49, 50, 51, 52, 53, 54, 55, 58, 59, 60, 62, 64, 68, 69, 70, 71, 72, 73, 74, 75, 77, 78, 82, 84, 86, 87, 89, 91, 92, 93, 96, 97, 98, 99, 100, 101, 104, 105, 106, 107, 109, 110, 111, 112, 114, 115, 116, 117, 118, 119, 120, 121, 123, 127, 129, 131, 134, 135, 137, 139, 146, 150, 151, 158, 160, 161, 162, 168, 172], "0x20": [12, 54, 74, 127, 129, 139], "tsu": [12, 18, 20, 24, 25, 47, 87, 93, 127], "0x4000": 12, "0x1000": 12, "dma_modul": 12, "0x01000000": [12, 22], "dma_ctrl_ndp_rx0": 12, "dma_ctrl_ndp_rx": 12, "0x80": [12, 127], "0x20000": 12, "0x00": [12, 53, 54, 74, 83, 89, 93, 105, 107, 127, 129, 130, 139], "dma_ctrl_ndp_rx1": 12, "0x1000080": 12, "dma_ctrl_ndp_rx2": 12, "0x1000100": 12, "dma_ctrl_ndp_rx3": 12, "0x1000180": 12, "dma_ctrl_ndp_rx4": 12, "0x1000200": 12, "dma_ctrl_ndp_rx5": 12, "0x1000280": 12, "dma_ctrl_ndp_rx6": 12, "0x1000300": 12, "dma_ctrl_ndp_rx7": 12, "0x1000380": 12, "dma_ctrl_ndp_tx0": 12, "dma_ctrl_ndp_tx": 12, "0x1200000": 12, "dma_ctrl_ndp_tx1": 12, "0x1200080": 12, "dma_ctrl_ndp_tx2": 12, "0x1200100": 12, "dma_ctrl_ndp_tx3": 12, "0x1200180": 12, "dma_ctrl_ndp_tx4": 12, "0x1200200": 12, "dma_ctrl_ndp_tx5": 12, "0x1200280": 12, "dma_ctrl_ndp_tx6": 12, "0x1200300": 12, "dma_ctrl_ndp_tx7": 12, "0x1200380": 12, "i2c0": 12, "i2c": [12, 20], "0x800010": 12, "0x08": [12, 53, 54, 74, 89, 93, 107, 127, 129, 130, 139], "phandl": 12, "pmd0": 12, "0x02": [12, 127, 129], "param": [12, 158], "addr": [12, 55, 56, 58, 60, 67, 109, 110, 129, 158, 160, 164], "0xf0": 12, "txmac0": 12, "txmac": 12, "tx_mac_lit": [12, 20, 129], "speed": [12, 13, 14, 15, 16, 20, 25, 26, 73, 81, 89, 93, 117, 125, 127, 129, 137, 145, 158, 164, 172], "100": [12, 13, 15, 19, 20, 23, 25, 42, 89, 127, 129, 138, 139, 144, 154, 156, 158, 160], "0x8000": 12, "0x200": [12, 20], "mtu": [12, 18, 73, 127], "0x3fff": 12, "0x04": [12, 53, 54, 74, 83, 89, 93, 105, 107, 127, 129, 130, 139], "rxmac0": 12, "rxmac": 12, "rx_mac_lit": [12, 20, 30, 127], "0x8200": 12, "0x03": [12, 105, 127], "eth0": 12, "pmd": [12, 20, 22, 125], "i2c1": 12, "0x800110": 12, "0x05": 12, "pmd1": 12, "0x06": 12, "0xf8": 12, "txmac1": 12, "0xa000": 12, "rxmac1": 12, "0xa200": 12, "0x07": [12, 105], "eth1": 12, "adc_sensor": [12, 176], "0x7c": 12, "stratix_adc_sensor": 12, "nic_appl": 12, "0x2000000": 12, "0x10": [12, 53, 54, 74, 89, 107, 127, 129, 130, 139], "mi1": 12, "mi_bus1": 12, "pci1": 12, "uniqu": [12, 18, 20, 47, 130, 136, 137, 158], "identifi": [12, 109, 125, 130, 158], "With": [12, 34, 44, 55, 81, 109, 158, 172], "referenc": 12, "know": [12, 37, 81, 102, 158], "familiar": 12, "libfdt": [12, 14], "librari": [12, 13, 30, 50, 148], "syntax": 12, "hi": [12, 141, 142, 143, 150, 151, 152, 162], "ie": 12, "account": [12, 44, 81], "Such": [12, 37, 46, 81, 147], "do": [12, 14, 16, 26, 30, 33, 34, 74, 81, 89, 90, 93, 102, 111, 112, 113, 127, 131, 134, 147, 158, 164, 172], "modif": [12, 77, 128], "bug": [12, 158], "fix": [12, 26, 44, 122, 158, 160], "increas": [12, 37, 42, 58, 86, 90, 94, 97, 110, 127, 128, 141, 152, 153, 172], "minor": [12, 89], "present": [12, 18, 30, 37, 44, 81, 86, 90, 101, 120, 123, 130], "ideal": [12, 44], "entity_bas": [12, 30, 158], "updat": [12, 30, 37, 48, 53, 58, 59, 60, 67, 82, 136], "match": [12, 125, 127, 158], "otherwis": [12, 30, 32, 44, 83, 89, 102, 116, 127, 129, 144, 154, 156, 157, 158], "correctli": [12, 14, 18, 81, 110, 127, 145, 158], "import": [12, 30, 81, 90, 144, 154, 156, 158], "main": [12, 13, 20, 23, 30, 37, 42, 46, 47, 72, 87, 93, 125, 127, 129, 137, 139, 141, 152, 153, 162], "univers": [13, 32, 33, 168, 173], "czech": 13, "republ": 13, "academi": 13, "scienc": 13, "primarili": [13, 112], "probe": [13, 55, 81], "monitor": [13, 43, 125, 148, 171, 176], "backbon": 13, "fw": [13, 164], "amd": [13, 71, 150, 151, 162], "md": [13, 14, 55], "manufactur": [13, 14], "agilex": [13, 20, 21, 30, 31, 32, 33, 39, 41, 48, 55, 75, 76, 77, 81, 82, 87, 89, 92, 93, 96, 103, 105, 106, 117, 119, 120, 121, 124, 127, 129, 134, 135, 168, 173], "stratix": [13, 14, 20, 21, 23, 48, 55, 127, 129, 168, 173, 176], "32b": [13, 139], "data": [13, 14, 15, 16, 18, 19, 20, 23, 25, 32, 33, 34, 35, 37, 38, 39, 41, 42, 43, 44, 47, 49, 53, 54, 55, 56, 58, 60, 61, 63, 67, 68, 69, 70, 71, 72, 73, 74, 76, 77, 78, 81, 82, 83, 84, 85, 87, 88, 89, 92, 93, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 109, 110, 111, 112, 114, 115, 116, 117, 120, 121, 122, 123, 125, 127, 129, 130, 131, 134, 135, 137, 139, 140, 141, 144, 145, 146, 147, 150, 151, 152, 153, 154, 156, 157, 158, 159, 160, 161, 162, 163, 164, 166, 168, 172, 173, 176], "transfer": [13, 14, 18, 19, 20, 22, 23, 25, 28, 37, 42, 72, 89, 97, 98, 105, 109, 122, 124, 161, 172, 176], "alwai": [13, 14, 20, 22, 23, 42, 48, 57, 61, 63, 109, 110, 111, 122, 127, 128, 158, 160], "100gbase": 13, "handl": [13, 19, 22, 23, 30, 51, 55, 77, 128, 144, 154, 156, 163], "10gbase": 13, "25gbase": 13, "even": [13, 18, 20, 37, 42, 45, 46, 50, 55, 74, 82, 109, 112, 158], "No": [13, 19, 72, 120, 136, 144, 154, 156], "line": [13, 14, 15, 33, 158], "layer": [13, 15, 125, 127, 129, 137], "l2": [13, 16], "frame": [13, 16, 18, 20, 47, 65, 66, 67, 70, 72, 73, 74, 77, 78, 80, 84, 86, 88, 90, 91, 95, 96, 98, 101, 102, 112, 127, 128, 129, 131, 137, 142, 143, 145, 146, 147, 150, 151, 158, 162, 163, 174], "crc": [13, 16, 18, 20, 47, 127, 129], "ad": [13, 30, 55, 71, 75, 82, 89, 127, 128, 138, 158], "16383": [13, 73], "b": [13, 42, 46, 55, 72, 82, 109, 128, 147, 158], "maximum": [13, 16, 18, 23, 24, 31, 34, 37, 42, 43, 44, 45, 49, 50, 60, 62, 64, 69, 71, 73, 74, 75, 77, 78, 82, 85, 89, 96, 97, 99, 100, 103, 119, 122, 127, 129, 134, 139, 141, 144, 147, 152, 153, 154, 156, 157, 163], "mac": [13, 16, 18, 22, 30, 47, 74, 125, 148, 178], "discard": [13, 18, 20, 47, 60, 65, 75, 77, 80, 81, 97, 103, 127, 129, 147, 158, 174, 177], "larger": [13, 31, 34, 50, 53, 55, 90], "1522": 13, "limit": [13, 33, 55, 98, 109, 112, 119, 122, 160, 174], "Not": [13, 73, 93, 98, 122, 131], "low": [13, 19, 23, 37, 42, 74, 97, 112, 127, 129, 139, 140, 145, 148, 150, 151, 155, 159, 163], "calypt": [13, 19, 62, 69, 179], "under": [13, 19, 89, 144, 154, 156, 157, 158], "compon": [13, 16, 18, 20, 22, 24, 29, 33, 34, 38, 42, 43, 44, 45, 48, 53, 56, 57, 58, 60, 61, 63, 65, 66, 67, 68, 70, 73, 74, 75, 76, 77, 78, 79, 80, 82, 83, 85, 87, 88, 89, 90, 92, 93, 94, 96, 97, 99, 100, 101, 104, 105, 106, 107, 109, 110, 112, 114, 115, 116, 117, 118, 119, 120, 121, 122, 124, 125, 127, 128, 129, 131, 132, 133, 134, 135, 138, 139, 141, 145, 147, 150, 151, 152, 153, 158, 162, 163, 164, 166, 169, 170, 172, 173, 174, 175, 176, 177, 178, 179, 181], "four": [13, 20, 98, 109, 127, 129, 144, 156, 157, 160], "signal": [13, 18, 20, 26, 28, 34, 35, 38, 39, 41, 42, 44, 45, 48, 50, 52, 55, 57, 58, 59, 60, 62, 64, 65, 66, 69, 70, 71, 74, 81, 88, 89, 90, 92, 93, 96, 98, 99, 100, 101, 102, 103, 105, 109, 110, 111, 112, 113, 117, 118, 125, 127, 128, 129, 131, 132, 133, 134, 135, 139, 144, 145, 147, 150, 151, 154, 156, 157, 158, 159, 160, 161, 162, 163, 164, 168, 172, 173, 174, 176], "200": [13, 43, 89, 125, 160], "300": 13, "mhz": [13, 23, 43, 71, 89, 125], "100mhz": 13, "200mhz": [13, 89], "slower": [13, 14, 20], "degrad": [13, 125], "overal": [13, 37, 98], "api": [13, 18, 22], "separ": [13, 15, 20, 30, 37, 50, 55, 70, 79, 98, 100, 112, 122, 127, 129, 136, 137, 147, 158, 179], "unfortun": [13, 158], "rate": [13, 16, 19, 125, 174], "gbp": [13, 15, 16, 19, 125, 127, 129], "rather": [13, 109], "try": [13, 20, 37, 55, 111], "expans": [13, 77, 134], "rtl": 13, "step": [14, 16, 26, 30, 44, 53, 60, 72, 145, 158, 164], "rhel": 14, "o": [14, 55, 171], "rocki": 14, "dnf": 14, "your_card": 14, "modifi": [14, 16, 30, 37, 51, 77, 89, 90, 110, 145, 147, 158, 164, 172], "app_conf": 14, "instead": [14, 18, 30, 33, 34, 75, 81, 84, 90, 98, 111, 144, 154, 156, 157, 158], "wait": [14, 43, 53, 55, 56, 81, 85, 97, 105, 118, 120, 128, 129, 136, 137, 147, 158, 161], "until": [14, 16, 42, 44, 46, 53, 55, 56, 80, 81, 82, 89, 105, 109, 118, 137, 145, 158, 161, 163, 168], "successfulli": [14, 42, 127, 129, 158], "finish": [14, 55, 158], "nfw": 14, "pcie_conf": [14, 23], "1xgen4x16": 14, "board": [14, 18, 25], "proper": [14, 18, 20, 128], "plug": 14, "slot": [14, 23, 25], "pre": [14, 46, 48], "deb": 14, "experi": 14, "over": [14, 18, 20, 22, 37, 43, 44, 47, 55, 74, 82, 89, 108, 127, 128, 172], "malfunct": 14, "expect": [14, 37, 81, 92, 109, 111, 158], "method": [14, 112, 147, 150, 151, 158, 162], "pleas": [14, 16, 109, 140, 158, 159], "upload": 14, "dx": 14, "copi": [14, 17, 77, 90, 113, 141, 150, 151, 152, 153, 162, 164], "your_ndk_firmwar": 14, "f0": 14, "seen": [14, 42, 50], "ndk_minim": 14, "09": 14, "24": [14, 18, 20, 43, 47, 55, 60, 64, 67, 70, 71, 83, 97, 98, 120, 158], "44": [14, 125], "19": [14, 125], "22": [14, 55, 127], "94": 14, "06": 14, "08": 14, "sc": [14, 158], "edit": [14, 53, 55], "repli": [14, 160], "liberout": [14, 150, 151], "org": [14, 150, 151], "queue": [14, 15, 34, 93], "endpoint": [14, 18, 19, 23, 47, 62, 64, 65, 68, 69, 71, 134], "0000": [14, 34, 98, 109, 122, 133, 135], "82": [14, 125], "gt": [14, 125], "x8": [14, 23, 71], "numa": 14, "81": [14, 125, 131], "want": [14, 16, 20, 26, 35, 37, 43, 59, 81, 90, 109, 111, 118, 127, 158, 164], "learn": [14, 18, 20, 158], "frequent": [14, 15, 42], "explain": [15, 20, 111, 158, 164], "physic": [15, 19, 20, 125, 162], "optic": [15, 125], "cage": 15, "serial": [15, 20, 23, 44, 48, 82, 125], "protocol": [15, 20, 72, 104, 140, 158, 159, 160, 163], "standard": [15, 23, 30, 47, 81, 111, 120, 125, 127, 129, 145, 158, 172], "gbe": 15, "25": [15, 18, 20, 31, 47, 125], "28": [15, 18, 20, 47, 127], "togeth": [15, 30, 42, 43, 46, 47, 90, 94, 102, 109, 111, 122, 130, 137, 144, 153, 156, 158, 161, 163, 172], "enough": [15, 20, 44, 98, 158, 160], "group": [15, 89, 98, 112, 158], "transmit": [15, 16, 23, 24, 25, 28, 47, 66, 70, 87, 89, 92, 93, 98, 113, 118, 120, 121, 122, 125, 127, 128, 129, 145, 162, 178], "therefor": [15, 19, 20, 43, 53, 55, 72, 76, 82, 89, 97, 98, 109, 111, 122, 139, 158], "transmiss": [15, 16, 25, 57, 72, 87, 98, 117, 119, 122, 128, 129, 158], "firmwar": [16, 18, 19, 21, 22, 23, 25, 109, 130], "hdl": [16, 158], "execut": [16, 22, 30, 42, 51, 110, 113, 120], "verif": [16, 30, 89, 121, 146, 147, 148, 150, 151, 160, 163, 164], "mainli": [16, 23, 30, 44, 89], "uvm": [16, 121, 144, 148, 154, 156, 157, 160, 161], "simul": [16, 30, 44, 55, 72, 89, 97, 154, 158, 161, 163, 176, 181], "almost": [16, 33, 34, 44, 87, 93, 103, 168, 176], "cocotb": 16, "yet": [16, 19, 20, 24, 32, 70, 71, 105, 109], "publicli": 16, "among": [16, 18, 20, 22], "devicetre": [16, 18, 22, 23], "offset": [16, 20, 44, 48, 58, 73, 82, 87, 89, 93, 96, 105, 125, 127, 129, 130], "0x0": [16, 73, 111, 112, 125], "byte": [16, 18, 20, 23, 43, 44, 47, 57, 58, 59, 60, 62, 63, 64, 65, 66, 68, 69, 70, 71, 72, 73, 74, 75, 77, 82, 89, 96, 104, 105, 109, 110, 112, 127, 129, 130, 131, 134, 135, 139, 144, 148, 150, 151, 152, 153, 154, 156, 157, 160, 162, 164, 173, 179], "0x42": 16, "mi_test_spac": 16, "00000000": 16, "00000042": 16, "similarli": [16, 30, 70], "futur": [16, 39, 77, 99, 160], "meter": [16, 73, 164, 166], "datapath": 16, "come": [16, 20, 23, 37, 42, 65, 67, 97, 98, 109, 125, 128, 150, 151, 158], "mfb_tool": [16, 174], "gen_loop_switch": [16, 73], "gls_mod": 16, "port_list": 16, "black": 16, "hole": [16, 160], "ext": 16, "length": [16, 18, 20, 38, 42, 43, 44, 47, 55, 62, 64, 69, 71, 74, 76, 77, 82, 89, 90, 96, 97, 98, 100, 112, 122, 127, 128, 129, 130, 135, 142, 143, 147, 172], "continu": [16, 19, 24, 34, 43, 53, 70, 72, 89, 102, 111, 128, 133, 163], "repeat": [16, 46, 53, 89, 136, 158, 163], "increment": [16, 20, 36, 37, 50, 51, 55, 67, 74, 87, 93, 109, 112, 127, 139, 160], "reach": [16, 42, 43, 44, 65, 71, 87, 89, 136], "simpli": [16, 30, 38, 93, 158], "pma": [16, 20, 22, 127, 129, 148], "local": [16, 18, 161], "calcul": [16, 18, 30, 32, 44, 49, 50, 66, 89, 93, 97, 98, 112, 122, 127, 129, 132, 136, 147, 158, 161, 172, 174, 176], "destin": [16, 18, 20, 42, 47, 72, 74, 85, 88, 112, 114, 115, 117, 127, 129, 131, 161, 172, 176], "end": [16, 18, 30, 37, 43, 44, 50, 52, 55, 57, 65, 72, 77, 80, 81, 82, 83, 89, 90, 93, 98, 109, 111, 127, 128, 129, 131, 142, 143, 144, 145, 146, 147, 150, 151, 156, 157, 158, 161, 163, 168], "payload": [16, 18, 23, 84, 91, 132, 134], "71": 16, "43": 16, "79": 16, "31": [16, 18, 20, 23, 24, 47, 72, 74, 112, 125, 127, 129, 130, 134, 139, 150, 151], "128": [16, 57, 60, 63, 72, 86, 90, 121, 124, 129, 134, 135, 141, 144, 152, 153, 154, 156, 157], "rang": [16, 18, 20, 22, 24, 26, 43, 47, 51, 55, 56, 111, 122, 139, 150, 151, 161], "report": [16, 33, 50], "pip": 17, "txt": 17, "let": [17, 37, 44, 89, 90, 109, 111], "proj_onli": [17, 30], "ndk": [18, 19, 20, 21, 22, 23, 71, 98, 150, 151], "deep": [18, 32, 99], "pipelin": [18, 42, 44, 82, 88, 90, 105, 111, 125, 145, 158, 176], "defin": [18, 20, 30, 33, 37, 42, 43, 48, 51, 52, 62, 64, 69, 71, 72, 82, 97, 101, 103, 109, 111, 120, 123, 125, 129, 134, 145, 158, 160, 162, 164], "devic": [18, 22, 23, 26, 30, 31, 32, 33, 34, 36, 39, 40, 41, 42, 48, 49, 52, 55, 56, 58, 59, 60, 62, 64, 65, 66, 67, 68, 69, 70, 71, 73, 74, 75, 76, 77, 81, 82, 83, 84, 86, 87, 88, 89, 90, 91, 92, 93, 96, 97, 99, 100, 101, 103, 104, 105, 106, 108, 111, 112, 113, 115, 117, 118, 119, 120, 121, 123, 124, 125, 127, 129, 131, 134, 135, 139, 158], "cpu": 18, "ddr4": [18, 21, 166], "csr": [18, 20, 22, 25], "header": [18, 20, 44, 47, 58, 59, 62, 63, 64, 65, 66, 67, 69, 70, 71, 72, 73, 82, 84, 91, 96, 100, 125, 130, 132, 137, 146, 147, 158, 162, 164, 176, 179], "metadata": [18, 20, 24, 35, 39, 41, 42, 46, 58, 59, 60, 70, 71, 73, 75, 76, 77, 78, 82, 83, 84, 85, 87, 89, 90, 92, 93, 96, 97, 99, 100, 101, 104, 105, 109, 119, 127, 144, 156, 160, 164, 174], "clock": [18, 20, 23, 24, 26, 28, 30, 31, 32, 33, 34, 36, 38, 39, 41, 42, 44, 45, 50, 51, 55, 57, 58, 59, 62, 69, 72, 73, 75, 77, 78, 79, 80, 81, 82, 83, 85, 86, 87, 88, 89, 90, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 104, 105, 106, 109, 111, 112, 113, 114, 115, 116, 117, 118, 119, 121, 122, 124, 125, 127, 129, 134, 137, 139, 140, 145, 146, 150, 151, 158, 159, 160, 161, 162, 163, 173, 174, 176, 177], "equal": [18, 31, 51, 55, 97, 98, 109, 111, 139, 158], "prepar": [18, 30, 142, 143, 146, 160], "strongli": [18, 20, 30, 158], "enter": [18, 20, 23, 128, 147], "eth_rx_": 18, "carri": [18, 20, 109, 111, 172], "actual": [18, 20, 33, 34, 37, 42, 43, 62, 64, 69, 82, 111, 127, 129, 139, 158, 160, 161], "though": [18, 20, 46, 98, 111], "_dst_rdy": [18, 20, 72], "perman": [18, 20], "buffer": [18, 20, 23, 42, 44, 53, 55, 56, 57, 58, 59, 60, 64, 66, 67, 69, 70, 71, 77, 87, 93, 97, 102, 121, 125, 127, 128, 129, 174, 176], "fifo": [18, 20, 28, 29, 32, 33, 34, 36, 42, 43, 44, 46, 48, 52, 72, 73, 84, 86, 87, 90, 91, 93, 99, 101, 102, 103, 104, 115, 118, 119, 120, 123, 125, 136, 137, 142, 143, 145, 146, 148, 176], "fill": [18, 20, 30, 42, 43, 53, 56, 102, 128, 135, 145], "stuck": [18, 20, 134, 158, 164, 176], "eth_hdr_pack": [18, 20, 47, 127], "hdr": [18, 20, 47, 70, 162], "global": [18, 20, 30, 44, 47, 71, 125, 135], "mask": [18, 20, 39, 41, 47, 62, 81, 85, 98, 102, 106, 114, 122, 127], "OR": [18, 20, 47, 111, 172], "errorfram": [18, 20, 47], "26": [18, 20, 22, 47, 49, 55], "errormintu": [18, 20, 47], "mintu": [18, 20, 47, 127], "27": [18, 20, 47, 127], "errormaxtu": [18, 20, 47], "maxtu": [18, 20, 47], "errorcrc": [18, 20, 47], "29": [18, 20, 47], "errormac": [18, 20, 47], "30": [18, 20, 47], "broadcast": [18, 20, 47], "multicast": [18, 20, 47, 127], "hitmacvld": [18, 20, 47], "hit": [18, 20, 47], "tcam": [18, 20, 47], "33": [18, 20, 47, 125, 131], "36": [18, 20, 47], "hitmac": [18, 20, 47], "index": [18, 20, 34, 37, 47, 50, 53, 55, 60, 66, 109, 111, 122, 128, 130, 172], "37": [18, 20, 47], "timestampvld": [18, 20, 47], "timestamp": [18, 20, 22, 47, 87, 127, 138, 139, 165, 174], "38": [18, 20, 47], "101": [18, 20, 47, 138, 139], "doc": [18, 20, 47], "draft": [18, 20, 22, 47], "sent": [18, 19, 20, 23, 44, 53, 57, 58, 59, 60, 62, 69, 71, 80, 81, 93, 94, 100, 107, 109, 111, 120, 127, 128, 129, 141, 145, 152, 153, 154, 158, 160], "eth_tx_mfb_": 18, "special": [18, 20, 30, 44, 82, 176], "eth_tx_mfb_hdr": 18, "region": [18, 57, 61, 63, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 101, 127, 129, 131, 134, 140, 144, 154, 156, 157, 158, 159, 176], "further": [18, 20, 22, 30, 102], "minimum": [18, 20, 30, 32, 44, 49, 71, 74, 82, 84, 91, 99, 112, 119, 127, 129, 141, 152, 153, 163], "60b": [18, 20, 77, 128, 129], "pad": 18, "previou": [18, 20, 37, 44, 55, 81, 82, 90, 109, 111, 128, 136, 137, 154, 158, 161, 164], "dma_tx_": 18, "mvb_data": 18, "mvb_len": 18, "mvb_hdr_meta": 18, "mvb_channel": 18, "determin": [18, 32, 33, 34, 50, 68, 77, 82, 84, 89, 98, 101, 109, 111, 113, 117, 123, 127, 129, 131], "presenc": [18, 46, 90, 122, 131], "dma_tx_mvb_hdr_meta": [18, 73], "hdr_len": 18, "hdr_id": 18, "identif": [18, 130, 176], "definit": [18, 30, 37, 53, 54, 55, 127, 129], "11": [18, 31, 49, 50, 54, 70, 72, 109, 111, 125, 132, 135], "neth": 18, "dma_rx_": 18, "role": 18, "mvb_discard": [18, 114, 158], "dma_rx_mvb_hdr_meta": [18, 73], "orient": [18, 22, 33, 144, 154, 156], "wire": [18, 22, 33, 105, 108, 123, 134, 158, 172, 176], "alloc": [18, 23], "interconnect": [18, 25, 83], "good": [18, 30, 158], "idea": [18, 30, 43, 82, 158], "inspir": [18, 158], "tabl": [18, 20, 55, 89, 98, 111, 112, 122, 140, 141, 144, 152, 153, 154, 156, 157, 158, 159, 164, 172, 177], "eth_stream": 18, "natur": [18, 23, 31, 32, 33, 34, 36, 39, 41, 45, 57, 62, 64, 65, 66, 67, 68, 69, 70, 71, 73, 74, 75, 76, 77, 78, 79, 80, 82, 83, 84, 85, 86, 87, 89, 90, 92, 93, 95, 96, 97, 98, 99, 100, 101, 103, 104, 105, 106, 107, 112, 113, 114, 115, 117, 118, 119, 120, 121, 122, 123, 124, 127, 129, 131, 134, 135, 150, 151, 162], "eth_channel": 18, "per": [18, 20, 23, 24, 28, 39, 41, 42, 81, 82, 89, 93, 96, 98, 112, 121, 139, 146, 147, 162, 163], "dma_stream": 18, "dma_rx_channel": 18, "dma_tx_channel": 18, "dma_hdr_meta_width": 18, "dma_rx_frame_size_max": 18, "dma_tx_frame_size_max": 18, "mfb_region": [18, 67, 68, 75, 77, 82, 84, 85, 86, 87, 89, 91, 93, 96, 97, 99, 100, 103, 119, 131, 134], "mfb_reg_siz": [18, 84, 91, 99], "mfb_block_siz": [18, 67, 68, 75, 77, 82, 84, 85, 86, 87, 89, 91, 93, 96, 97, 99, 100, 103, 119, 131, 134], "mfb_item_width": [18, 67, 68, 75, 77, 82, 84, 85, 86, 87, 89, 91, 93, 96, 97, 99, 100, 103, 119, 131, 134], "mem_port": 18, "emif": [18, 21, 53, 55, 56], "mem_addr_width": [18, 49], "avmm": [18, 105], "mem_burst_width": [18, 49], "mem_data_width": [18, 49], "512": [18, 32, 34, 36, 41, 49, 55, 71, 72, 73, 99, 100, 101, 103, 123, 131, 134, 158], "mem_refr_period_width": 18, "refresh": [18, 55], "period": [18, 30, 45, 55, 57, 81, 85, 93, 97, 125, 172, 176], "mem_def_refr_period": 18, "integ": [18, 30, 35, 37, 39, 40, 41, 49, 50, 51, 52, 55, 58, 59, 60, 61, 63, 81, 84, 85, 86, 88, 90, 91, 92, 94, 96, 97, 99, 108, 109, 111, 116, 139, 158, 161], "amm_freq_khz": [18, 49, 55], "266660": [18, 49, 55], "freq": [18, 54, 93], "amm": [18, 53, 54, 55, 56], "mi_data_width": [18, 49, 50, 53, 55, 89, 93, 106, 112, 134], "mi_addr_width": [18, 49, 50, 55, 89, 93, 112, 134], "fpga_id_width": 18, "id": [18, 20, 23, 26, 46, 47, 48, 77, 81, 82, 93, 107, 127, 135, 136, 137], "reset_width": 18, "reset": [18, 23, 24, 28, 31, 32, 33, 34, 35, 36, 38, 39, 41, 42, 43, 45, 50, 51, 54, 55, 56, 58, 59, 60, 62, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 100, 101, 102, 104, 105, 106, 107, 108, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 123, 124, 125, 127, 128, 129, 132, 134, 138, 139, 144, 147, 148, 150, 151, 154, 156, 157, 160, 176], "undefin": [18, 20, 34, 51, 52, 55, 58, 59, 98, 109, 163], "AND": [18, 23, 58, 78, 79, 80, 95, 101, 111, 112, 121, 127, 172], "clk_user": 18, "std_logic": [18, 23, 31, 32, 33, 34, 35, 36, 38, 39, 40, 41, 45, 49, 50, 51, 52, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 99, 100, 101, 103, 104, 105, 106, 107, 108, 109, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 123, 124, 125, 127, 128, 129, 131, 132, 134, 135, 138, 139], "clk_user_x2": 18, "doubl": [18, 20, 42, 90, 97, 127, 129, 136, 137, 168, 172], "frequenc": [18, 20, 28, 42, 43, 44, 55, 71, 89, 97, 125, 129, 137, 139, 173, 176], "clk_user_x3": 18, "tripl": 18, "clk_user_x4": 18, "quadrupl": 18, "reset_us": 18, "downto": [18, 23, 31, 32, 33, 34, 35, 36, 38, 39, 40, 41, 45, 49, 50, 51, 52, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 99, 100, 101, 103, 104, 105, 106, 107, 108, 109, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 123, 124, 125, 127, 128, 129, 130, 131, 132, 133, 134, 135, 138, 139], "synchron": [18, 24, 28, 34, 41, 72, 112, 113, 114, 115, 117, 118, 119, 125, 127, 129, 134, 144, 154, 156, 157, 163, 172, 176], "reset_user_x2": 18, "reset_user_x3": 18, "reset_user_x4": 18, "BY": 18, "mi_clk": [18, 23, 30, 55, 73, 83, 125, 127, 129, 139], "out": [18, 23, 31, 32, 33, 34, 35, 36, 38, 39, 40, 41, 42, 45, 46, 49, 50, 51, 52, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 99, 100, 101, 103, 104, 105, 106, 107, 108, 109, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 123, 124, 125, 127, 128, 129, 131, 132, 133, 134, 135, 138, 139, 158, 164, 176], "dma_clk": [18, 23], "dma_clk_x2": 18, "app_clk": 18, "mi_reset": [18, 23, 73, 83, 125, 127, 129, 139], "dma_reset": [18, 23], "dma_reset_x2": 18, "app_reset": 18, "timestap": 18, "tsu_clk": [18, 24], "tsu_reset": [18, 24], "tsu_ts_n": [18, 24, 127], "nanosecond": [18, 24, 127, 138, 139], "tsu_ts_vld": [18, 24], "statu": [18, 22, 23, 25, 33, 48, 50, 55, 62, 69, 73, 81, 89, 101, 107, 123, 125, 127, 129, 134, 135, 158, 168], "pcie_link_up": [18, 23], "activ": [18, 20, 23, 28, 37, 55, 69, 84, 89, 102, 109, 116, 117, 127, 129, 137, 139, 141, 144, 145, 150, 151, 152, 153, 154, 156, 157, 158, 161, 162, 163, 171], "ep": 18, "readi": [18, 19, 21, 32, 42, 53, 55, 72, 85, 88, 98, 99, 104, 105, 109, 112, 114, 115, 116, 117, 121, 122, 127, 129, 131, 134, 139, 161, 172, 176], "eth_rx_link_up": 18, "eth_tx_phy_rdi": 18, "phy": [18, 20, 178], "fpga_id": 18, "chip": [18, 48, 172], "fpga_id_vld": 18, "incom": [18, 20, 42, 60, 61, 65, 66, 78, 79, 87, 89, 93, 98, 102, 109, 111, 127, 132, 133, 137, 158], "appropri": [18, 20, 23, 30, 57, 97, 130, 164], "eth_rx_mvb_data": 18, "eth_rx_hdr_width": [18, 127], "eth_rx_mvb_vld": [18, 73], "eth_rx_mvb_src_rdi": [18, 73], "eth_rx_mvb_dst_rdi": [18, 73], "eth_rx_mfb_data": [18, 73], "eth_rx_mfb_sof": [18, 73], "Of": [18, 82, 127, 129, 131], "eth_rx_mfb_eof": [18, 73], "eof": [18, 57, 77, 78, 80, 81, 82, 85, 86, 90, 97, 98, 100, 102, 103, 127, 128, 129, 131, 142, 143, 144, 150, 151, 156, 158, 159, 163], "eth_rx_mfb_sof_po": [18, 73], "log2": [18, 23, 32, 33, 35, 36, 39, 40, 41, 42, 51, 52, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 103, 110, 112, 113, 118, 119, 123, 124, 127, 129, 131, 134], "posit": [18, 26, 30, 37, 38, 72, 78, 79, 98, 102, 109, 110, 111, 113, 125, 127, 129, 131, 162], "eth_rx_mfb_eof_po": [18, 73], "eth_rx_mfb_src_rdi": [18, 73], "eth_rx_mfb_dst_rdi": [18, 73], "outgo": [18, 128], "meta": [18, 91, 96, 104, 105, 111, 122, 135, 147, 158, 159, 160], "eth_tx_mfb_data": [18, 73], "eth_tx_hdr_width": 18, "eth_tx_mfb_sof": [18, 73], "eth_tx_mfb_eof": [18, 73], "eth_tx_mfb_sof_po": [18, 73], "eth_tx_mfb_eof_po": [18, 73], "eth_tx_mfb_src_rdi": [18, 73], "eth_tx_mfb_dst_rdi": [18, 73], "dma_rx_mvb_len": [18, 73], "dma_rx_mvb_channel": [18, 73], "dma_rx_mvb_discard": [18, 73], "dma_rx_mvb_vld": [18, 73], "dma_rx_mvb_src_rdi": [18, 73], "dma_rx_mvb_dst_rdi": [18, 73], "dma_rx_mfb_data": [18, 73], "dma_rx_mfb_sof": [18, 73], "dma_rx_mfb_eof": [18, 73], "dma_rx_mfb_sof_po": [18, 73], "dma_rx_mfb_eof_po": [18, 73], "dma_rx_mfb_src_rdi": [18, 73], "dma_rx_mfb_dst_rdi": [18, 73], "dma_tx_mvb_len": [18, 73], "dma_tx_mvb_channel": [18, 73], "dma_tx_mvb_vld": [18, 73], "dma_tx_mvb_src_rdi": [18, 73], "dma_tx_mvb_dst_rdi": [18, 73], "dma_tx_mfb_data": [18, 73], "dma_tx_mfb_sof": [18, 73], "dma_tx_mfb_eof": [18, 73], "dma_tx_mfb_sof_po": [18, 73], "dma_tx_mfb_eof_po": [18, 73], "dma_tx_mfb_src_rdi": [18, 73], "dma_tx_mfb_dst_rdi": [18, 73], "dma_tx_usr_choke_chan": 18, "paus": [18, 87, 93, 98, 122], "choke": 18, "slack": 18, "behind": [18, 82, 109], "mem_clk": [18, 49], "mem_rst": [18, 49], "mem_avmm_readi": [18, 49], "mem_avmm_read": [18, 49], "mem_avmm_writ": [18, 49], "mem_avmm_address": [18, 49], "slv_array_t": [18, 23, 39, 40, 50, 55, 77, 82, 85, 91, 92, 100, 107, 111, 117, 124], "mem_avmm_burstcount": [18, 49], "mem_avmm_writedata": [18, 49], "mem_avmm_readdata": [18, 49], "mem_avmm_readdatavalid": [18, 49], "mem_refr_period": 18, "mem_refr_req": 18, "mem_refr_ack": 18, "ack": 18, "emif_rst_req": [18, 55], "emif_rst_don": [18, 55], "emif_ecc_usr_int": 18, "ecc": [18, 55], "interupt": 18, "emif_cal_success": [18, 55], "calibr": [18, 55], "emif_cal_fail": [18, 55], "fail": [18, 55, 158], "emif_auto_precharg": [18, 55], "auto": [18, 33, 34, 55, 101, 120, 123, 124, 136], "precharg": [18, 55], "mi_dwr": [18, 23, 49, 50, 55, 62, 64, 69, 70, 71, 73, 74, 83, 89, 93, 105, 106, 112, 125, 127, 129, 134, 139], "master": [18, 22, 69, 104, 106, 109, 112, 125, 127, 129, 134, 139, 158, 160], "slave": [18, 104, 106, 109, 112, 125, 127, 129, 134, 139, 158, 160], "mi_addr": [18, 23, 49, 50, 55, 62, 64, 69, 70, 71, 73, 74, 83, 89, 93, 105, 106, 112, 125, 127, 129, 134, 139], "mi_b": [18, 23, 49, 50, 55, 62, 64, 69, 70, 71, 73, 74, 89, 93, 105, 106, 112, 125, 127, 129, 134, 139], "mi_rd": [18, 23, 49, 50, 55, 62, 64, 69, 70, 71, 73, 74, 83, 89, 93, 105, 106, 112, 125, 127, 129, 134, 139], "mi_wr": [18, 23, 49, 50, 55, 62, 64, 69, 70, 71, 73, 74, 83, 89, 93, 105, 106, 112, 125, 127, 129, 134, 139], "mi_ardi": [18, 23, 49, 50, 55, 62, 64, 69, 70, 71, 73, 74, 83, 89, 93, 105, 106, 112, 125, 127, 129, 134, 139], "mi_drd": [18, 23, 49, 50, 55, 62, 64, 69, 70, 71, 73, 74, 83, 89, 93, 105, 106, 112, 125, 127, 129, 134, 139], "mi_drdi": [18, 23, 49, 50, 55, 62, 64, 69, 70, 71, 73, 74, 83, 89, 93, 105, 106, 112, 125, 127, 129, 134, 139], "wrapper": [19, 41, 43, 108, 148, 176], "auxiliari": [19, 66, 81, 89, 131, 134, 174], "parametr": [19, 32], "still": [19, 44, 80, 122, 137], "desir": [19, 23, 43, 96, 107, 164], "automat": [19, 21, 23, 30, 33, 34, 43, 53, 81, 93, 158, 168, 173], "replac": [19, 33, 111, 123, 133], "immedi": [19, 28, 30, 81, 82, 107, 109, 128, 129], "sublay": 20, "client": [20, 165], "standardli": 20, "consequ": [20, 89], "That": [20, 82, 98, 107, 109, 111, 158], "adapt": [20, 23], "abil": [20, 43, 98, 102, 125], "backpressur": [20, 128], "adjac": 20, "side": [20, 32, 35, 46, 70, 71, 73, 81, 94, 102, 104, 105, 109, 111, 125, 127, 128, 129, 137, 158, 161], "rest": [20, 30, 55, 75, 81, 82], "kei": 20, "realiti": 20, "much": [20, 45, 72, 84, 111, 137, 147], "look": [20, 26, 37, 111, 128, 139, 164, 172], "unit": [20, 22, 25, 37, 42, 43, 44, 46, 47, 73, 82, 84, 97, 98, 102, 105, 110, 120, 122, 127, 129, 130, 136, 137, 138, 139, 158, 165, 172, 176, 179], "nic": [20, 178], "repres": [20, 26, 33, 87, 139, 158], "splitter": [20, 25, 158, 174, 175], "mi_adc_port_ethmod": 20, "0x00800000": [20, 22], "0x00ffffff": [20, 22], "mi_adc_port_netmod": 20, "0x00008000": [20, 22], "0x0000ffff": [20, 22], "mi_adc_port_ethpmd": 20, "0x00003000": [20, 22], "0x00003fff": [20, 22], "subsect": [20, 164], "left": [20, 32, 33, 34, 35, 48, 71, 98, 101, 109, 122, 123, 128, 172], "rx_mfb_": [20, 128], "along": [20, 35, 82, 109, 127], "rx_mfb_hdr": 20, "tx_mfb_": 20, "tx_mvb_": 20, "tx_": 20, "eventu": 20, "lower": [20, 28, 33, 34, 42, 43, 46, 74, 78, 81, 84, 90, 97, 109, 111, 119, 127, 128, 135, 138, 139, 141, 152, 153, 158, 172], "behavior": [20, 37, 38, 69, 89, 104, 109, 112, 128, 138, 158, 161, 168, 172, 173, 176], "unders": 20, "0x00200000": 20, "transact": [20, 22, 23, 25, 42, 47, 53, 55, 57, 64, 66, 70, 71, 97, 98, 107, 109, 111, 113, 118, 119, 120, 121, 122, 124, 128, 129, 131, 132, 133, 135, 136, 141, 142, 143, 144, 145, 146, 147, 150, 151, 152, 153, 154, 156, 157, 158, 160, 162, 164, 176, 179], "Its": [20, 23, 55, 62, 128], "0x000000": [20, 74, 112], "0x1fffff": 20, "0x0200000": 20, "0x200000": 20, "0x3fffff": 20, "mention": [20, 30, 98, 111], "0x2000": 20, "0x0000": [20, 50, 55, 74], "0x0fff": 20, "0x2fff": 20, "right": [20, 26, 35, 48, 81, 93, 98, 109, 122, 127, 128, 142, 143, 146, 150, 151, 164, 172], "now": [20, 26, 37, 43, 54, 56, 77, 109, 111, 136, 158, 164], "tile_multir": 20, "pin": [20, 125], "slightli": [20, 21, 37, 42, 46, 158], "due": [20, 34, 55, 85, 89, 90, 93, 127, 129, 147], "matter": [20, 37], "xcvr": 20, "onc": [20, 25, 37, 42, 43, 44, 69, 81, 90, 102, 109, 146, 162], "fec": [20, 26], "eight": [20, 162], "altogeth": [20, 98], "number_of_channel": 20, "multir": 20, "drp": 20, "ge": 20, "pair": [20, 30, 130], "avst": [20, 127, 129], "segment": [20, 58, 59, 127, 129], "lbu": [20, 127, 129, 178], "diagnost": 20, "post": [20, 158], "mgmt": [20, 125], "re": [20, 89, 111, 136], "revers": [20, 74, 128, 172], "normal": [20, 141, 152, 153], "turn": [20, 33, 90, 125, 129], "emploi": 20, "mdio": 20, "task": [20, 23, 53, 125, 127, 129, 141, 142, 143, 147, 152, 153, 158, 160, 162, 163, 164], "record": 20, "suit": [20, 26], "feed": 20, "pictur": [20, 89, 109, 122, 128, 158], "somehow": 20, "domain": [20, 28, 45, 55, 99, 104, 163], "twice": [20, 81, 90], "problem": [20, 26, 37, 90, 147, 158, 172], "virtual": [20, 47, 98, 135, 144, 147, 154, 156, 157, 160, 164], "multi": [20, 28, 37, 42, 44, 46, 50, 69, 75, 86, 98, 102, 113, 118, 121, 122, 125, 130, 136, 145, 172], "tri": [20, 30, 85, 172], "illustr": [20, 82, 89, 111], "subsequ": 20, "addition": [20, 55, 124], "concern": [20, 30, 90], "4x25": 20, "notat": 20, "port_id": 20, "channel_id": 20, "0x01ff": 20, "0x0200": 20, "0x03ff": 20, "0x0400": 20, "0x05ff": 20, "0x0600": 20, "0x07ff": 20, "0x0800": 20, "0x09ff": 20, "0x0a00": 20, "0x0bff": 20, "0x0c00": 20, "0x0dff": 20, "0x0e00": 20, "0x21ff": 20, "0x2200": 20, "0x23ff": 20, "0x2400": 20, "0x25ff": 20, "0x2600": 20, "0x27ff": 20, "0x2800": 20, "0x29ff": 20, "0x2a00": 20, "0x2bff": 20, "0x2c00": 20, "0x2dff": 20, "0x2e00": 20, "x": [20, 23, 55, 81, 92, 98, 134, 146, 147, 158], "1x100ge": 20, "4x25ge": 20, "4x10ge": 20, "1x400ge": 20, "2x200ge": 20, "4x100ge": 20, "8x50ge": 20, "2x40ge": 20, "axi4": [21, 106], "keep": [21, 37, 38, 53, 89, 111], "tester": [21, 166], "mtc": [22, 23, 179], "act": [22, 48, 111, 160], "non": [22, 26, 37, 42, 133, 136, 158], "deadlock": [22, 44, 46], "ident": 22, "mi_addr_space_pkg": 22, "0x00000000": [22, 111], "0x000000ff": 22, "0x00000100": 22, "0x00000fff": 22, "0x00001000": 22, "0x00001fff": 22, "sdm": [22, 165], "sysmon": 22, "temp": 22, "asx4": 22, "0x00002000": 22, "0x00002fff": 22, "0x00004000": 22, "0x000040ff": 22, "0x00004100": 22, "0x00004fff": 22, "0x00005000": 22, "0x00007fff": 22, "0x0000bfff": 22, "0x0000c000": 22, "0x00010000": 22, "0x0001ffff": 22, "0x00020000": 22, "0x007fffff": 22, "0x013fffff": 22, "0x01400000": 22, "0x01ffffff": 22, "msix": 22, "0x03ffffff": 22, "transform": [23, 72, 90, 137, 145], "duplic": [23, 77], "bifurc": 23, "1xgen1x16": 23, "consol": 23, "1xgen3x16": 23, "2xgen4x8x8": 23, "x8x8": 23, "bifurac": 23, "2xgen5x8x8": 23, "1xgen3x8ll": 23, "axi": [23, 106, 131, 135, 137, 148, 154], "st": 23, "unifi": 23, "pcie_mod_arch": 23, "pcie_endpoint_typ": 23, "r_tile": 23, "express": [23, 30, 67, 70, 71, 130, 131, 133, 158], "p_tile": 23, "integr": [23, 30, 131], "respons": [23, 42, 58, 59, 70, 71, 105, 109, 120, 134, 136, 137, 140, 159, 160, 161, 164], "completit": [23, 135], "bar": [23, 134, 135], "bar2": [23, 134], "dma_bar_en": 23, "half": [23, 128, 139], "bar4": [23, 134], "ptc": [23, 179], "vice": [23, 65, 127], "versa": [23, 65, 127], "tag": [23, 47, 131, 135, 137, 147, 158, 179], "ptc_disabl": 23, "bar0_base_addr": [23, 134], "01000000": [23, 134], "bar1_base_addr": [23, 134], "02000000": [23, 134], "bar2_base_addr": [23, 134], "03000000": [23, 134], "bar3_base_addr": [23, 134], "04000000": [23, 134], "bar4_base_addr": [23, 134], "05000000": [23, 134], "bar5_base_addr": [23, 134], "06000000": [23, 134], "exp_rom_base_addr": [23, 134], "0a000000": [23, 134], "cq_mfb_region": 23, "cq_mfb_region_s": 23, "cq_mfb_block_siz": 23, "cq_mfb_item_width": 23, "rc_mfb_region": 23, "rc_mfb_region_s": 23, "rc_mfb_block_siz": 23, "rc_mfb_item_width": 23, "cc_mfb_region": 23, "cc_mfb_region_s": 23, "cc_mfb_block_siz": 23, "cc_mfb_item_width": 23, "rq_mfb_region": 23, "rq_mfb_region_s": 23, "rq_mfb_block_siz": 23, "rq_mfb_item_width": 23, "dma_port": 23, "dma_ep": 23, "pcie_ep": 23, "pcie_clk": 23, "pcie_con": 23, "lane": [23, 125, 145], "card_id_width": 23, "boolean": [23, 31, 32, 33, 34, 35, 39, 41, 51, 55, 64, 71, 73, 74, 80, 81, 83, 84, 85, 86, 88, 91, 93, 95, 96, 97, 99, 100, 104, 108, 111, 112, 113, 114, 115, 116, 117, 120, 121, 123, 124, 127, 129, 131, 132, 134, 139], "rq": [23, 135], "rc": [23, 135], "cq": [23, 70, 71, 131, 134, 135], "cc": [23, 131, 134, 135], "xvc_enabl": 23, "xcv": 23, "stratix10": [23, 30, 31, 32, 33, 36, 39, 41, 62, 69, 73, 74, 75, 77, 81, 82, 87, 91, 92, 93, 96, 97, 100, 101, 111, 115, 120, 123, 127, 129, 134, 135], "pcie_sysclk_p": 23, "pcie_sysclk_n": 23, "pcie_sysrst_n": 23, "init_done_n": 23, "ninit_don": 23, "releas": [23, 136, 168], "pcie_user_clk": 23, "pcie_user_reset": 23, "pcie_rx_p": 23, "pcie_rx_n": 23, "pcie_tx_p": 23, "pcie_tx_n": 23, "pcie_mp": 23, "pcie_mrr": 23, "pcie_ext_tag_en": 23, "pcie_10b_tag_req_en": 23, "pcie_rcb_siz": 23, "rcb": 23, "card_id": 23, "compar": [23, 37, 55, 69, 84, 111, 137, 141, 148, 150, 151, 152, 153, 158, 162, 172], "gap": [23, 44, 82, 89, 90, 97, 98, 122, 127, 128, 129, 144, 147, 154, 156, 158, 176], "src_rdy": [23, 32, 72, 98, 121, 122, 158, 159, 161, 172], "dma_rq_mfb_data": 23, "dma_rq_mfb_meta": 23, "pcie_rq_meta_width": [23, 71], "dma_rq_mfb_sof": 23, "dma_rq_mfb_eof": 23, "dma_rq_mfb_sof_po": 23, "dma_rq_mfb_eof_po": 23, "dma_rq_mfb_src_rdi": 23, "dma_rq_mfb_dst_rdi": 23, "dma_rq_mvb_data": 23, "dma_uphdr_width": [23, 84, 91], "dma_rq_mvb_vld": 23, "dma_rq_mvb_src_rdi": 23, "dma_rq_mvb_dst_rdi": 23, "dma_rc_mfb_data": 23, "dma_rc_mfb_meta": 23, "pcie_rc_meta_width": 23, "dma_rc_mfb_sof": 23, "dma_rc_mfb_eof": 23, "dma_rc_mfb_sof_po": 23, "dma_rc_mfb_eof_po": 23, "dma_rc_mfb_src_rdi": 23, "dma_rc_mfb_dst_rdi": 23, "dma_rc_mvb_data": 23, "dma_downhdr_width": [23, 84, 91], "dma_rc_mvb_vld": 23, "dma_rc_mvb_src_rdi": 23, "dma_rc_mvb_dst_rdi": 23, "dma_cq_mfb_data": 23, "dma_cq_mfb_meta": 23, "pcie_cq_meta_width": [23, 66, 70, 71, 134], "dma_cq_mfb_sof": 23, "dma_cq_mfb_eof": 23, "dma_cq_mfb_sof_po": 23, "dma_cq_mfb_eof_po": 23, "dma_cq_mfb_src_rdi": 23, "dma_cq_mfb_dst_rdi": 23, "dma_cc_mfb_data": 23, "dma_cc_mfb_meta": 23, "pcie_cc_meta_width": [23, 70, 71, 134], "dma_cc_mfb_sof": 23, "dma_cc_mfb_eof": 23, "dma_cc_mfb_sof_po": 23, "dma_cc_mfb_eof_po": 23, "dma_cc_mfb_src_rdi": 23, "dma_cc_mfb_dst_rdi": 23, "mi32": [23, 73, 83, 109, 125, 127, 129, 134, 139], "root": [23, 30, 158], "tree": [23, 150, 151, 172], "accur": [24, 25, 139], "tsu_gen": [24, 139], "puls": [24, 139, 150, 151, 172, 176], "pp": [24, 97, 139], "mark": [24, 34, 72, 102, 114, 116, 168], "recept": [24, 25], "unix": 24, "epoch": 24, "63": [24, 37, 57, 139], "999": [24, 139], "applianc": 25, "mediat": 25, "rom": [25, 134, 172], "dedic": [25, 30, 82, 176], "stamp": [25, 127], "qspi": [25, 48], "reboot": 25, "anyth": [26, 46, 87, 89, 111, 147], "100ge": 26, "25ge": 26, "agi": 26, "fh400g": 26, "pseudo": [26, 37, 55, 172], "occur": [26, 30, 37, 43, 44, 50, 51, 52, 54, 55, 67, 81, 84, 90, 98, 117, 122, 127, 128, 136, 137, 146, 147, 158, 176], "help": [26, 44, 55, 56, 82, 158], "analysi": [26, 141, 142, 143, 146, 147, 150, 151, 152, 153, 161, 162], "seem": [26, 37], "could": [26, 37, 38, 42, 44, 45, 81, 98, 111, 122, 158, 162], "asynchron": [26, 29, 32, 37, 83, 102, 104, 163], "clk": [26, 30, 31, 33, 34, 35, 36, 38, 39, 42, 49, 50, 51, 52, 54, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 101, 105, 106, 107, 108, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 123, 124, 128, 132, 134, 138, 139, 147, 158, 163], "sdc": 26, "reconfigur": [26, 174, 175, 178], "qsf": 26, "25g": 26, "91": 26, "134": 26, "10ge": [26, 150, 151], "profile_swap": 26, "made": [26, 43, 54, 55, 128, 158, 160, 163, 176], "swap": [26, 96], "five": [26, 98, 154, 159, 164], "s_ch": 26, "start_channel": 26, "ch": 26, "_start": 26, "channel_": 26, "treatment": 26, "choic": [26, 33, 164], "s_p": 26, "start_profil": 26, "appli": [26, 31, 45, 72, 81, 82, 83, 87, 90, 122, 127, 128], "e_p": 26, "end_profil": 26, "sp": 26, "_help_": 26, "d": [26, 55, 56, 72, 109], "async_bus_handshak": [28, 30], "cross": [28, 45, 53, 55, 83, 104, 158, 176], "handshak": [28, 122, 172], "significantli": [28, 30, 53], "dual": [28, 32, 53, 68, 173], "async_gener": 28, "rise": [28, 53, 55, 161, 172], "fall": [28, 32, 99, 102, 111, 136, 137], "async_open_loop": 28, "simpler": [28, 37, 173], "ratio": 28, "async_open_loop_smd": 28, "open_loop": 28, "set_max_delai": 28, "constraint": [28, 30, 146, 158], "counter": [28, 37, 42, 50, 52, 54, 55, 62, 64, 65, 67, 69, 70, 71, 74, 84, 87, 93, 117, 125, 127, 129, 164, 172, 176], "grai": 28, "async_reset": [28, 176], "deactiv": 28, "jakub": 28, "bachelor": 28, "thesi": [28, 42, 44, 137], "2014": 28, "2015": 28, "ram": [29, 32, 39, 41, 51, 58, 59, 60, 62, 64, 68, 69, 71, 104, 120], "multiplex": [29, 42, 118, 121, 172], "encod": [29, 125, 146, 162, 172], "decod": [29, 66, 109, 125, 172, 179], "dsp": [29, 69, 71, 129, 138, 139, 172], "shift": [29, 33, 35, 44, 48, 76, 78, 101, 102, 108, 110, 120, 123, 128, 139, 168, 172], "miscellan": 29, "easier": [30, 37, 72, 111, 145], "uniform": [30, 141, 152, 153, 158], "sythesi": 30, "languag": [30, 158], "object": [30, 141, 144, 150, 151, 152, 153, 154, 156, 157, 162, 163], "complex": [30, 37, 44, 62, 64, 71, 89, 90, 136, 150, 151], "compos": [30, 98, 139, 161], "recurs": 30, "bundl": 30, "recomend": [30, 43], "exception": 30, "subdirectori": 30, "while": [30, 37, 43, 90, 102, 109, 127, 128, 147, 163, 176], "serv": [30, 69, 70, 81, 98, 125, 134, 142, 143, 146, 158, 172], "begin": [30, 37, 57, 61, 63, 75, 77, 89, 98, 111, 128, 144, 145, 147, 156, 157, 158, 163], "package_nam": 30, "sooner": 30, "preserv": [30, 89], "verilog": 30, "scope": [30, 47], "distinguish": [30, 72, 89, 98], "commonli": [30, 109, 147, 148, 158, 164], "git": 30, "pars": [30, 66, 158, 179], "systemverilog": [30, 181], "dpi": 30, "doesn": [30, 110, 111, 127, 144, 147, 154, 156, 157, 158], "t": [30, 37, 42, 45, 50, 55, 56, 89, 98, 109, 110, 111, 125, 127, 139, 144, 147, 154, 156, 157, 158, 162], "prefix": [30, 47, 72, 158], "lib": 30, "nor": [30, 42, 90, 109, 172], "suffix": [30, 72, 81, 158], "dll": 30, "filenam": 30, "predefin": [30, 111, 141, 147, 152, 153], "everi": [30, 37, 50, 51, 55, 58, 63, 66, 68, 89, 97, 98, 128, 141, 146, 152, 153, 158, 160, 164], "Their": [30, 122], "ancestor": 30, "prefer": [30, 89], "myfil": 30, "better": [30, 32, 39, 41, 42, 47, 55, 86, 93, 99, 108, 109, 112, 117, 119, 128, 147, 158], "faster": 30, "another_lib": 30, "sim_modul": 30, "glbl": 30, "overrid": [30, 42, 97], "constr_quartu": 30, "constr_vivado": 30, "vivado_ip_xact": 30, "xci": 30, "scoped_to_ref": 30, "set_properti": 30, "processing_ord": 30, "used_in": 30, "vivado_set_properti": 30, "vsim": [30, 158], "extra_modul": 30, "testbench": [30, 164], "sim_lib": 30, "l": 30, "extra_librari": 30, "dp_bmem_behav": 30, "quiet": 30, "file_typ": 30, "vhdl98": 30, "bus_handshak": 30, "xdc": 30, "late": 30, "make_param": 30, "hfe": [30, 164], "hfe_top": 30, "reli": 30, "file_to_work": 30, "anotherlib": 30, "file_to_anotherlib": 30, "hfe_empti": 30, "hfe_pip": 30, "hfe_pars": 30, "hfe_ful": 30, "anywher": [30, 89], "synth": 30, "synthes": 30, "top_level_": 30, "ofm_path": [30, 158], "top_level_path": 30, "top_level_archgrp": 30, "clk_port": 30, "clk_period": [30, 158, 164], "float": [30, 127, 129], "unspecifi": 30, "formula": 30, "lazi": 30, "rx_clk": [30, 97, 99, 103, 127, 129, 147], "tx_clk": [30, 97, 99, 103, 127, 129], "500": [30, 160, 164], "000": [30, 33, 43, 45, 138, 139], "phoni": 30, "comp_": 30, "fetch": 30, "quartust": 30, "constr_text": 30, "leav": [30, 111, 120], "untouch": 30, "unchang": [30, 38, 71, 89, 128], "targ": 30, "synthesis": 30, "date": 30, "rebuild": 30, "proc": 30, "target_default": 30, "practic": [30, 98, 158], "scheme": [30, 64, 70, 71], "synthfil": 30, "varabl": 30, "neccessari": 30, "interpret": [30, 121], "tune": 30, "nb_main": 30, "entri": [30, 70, 124], "fine": [30, 72], "tunnig": 30, "extra": [30, 127, 129, 158, 168], "program": 30, "being": [30, 38, 81, 89, 90, 93, 98, 109, 110, 122, 127, 129, 144, 156], "callback": 30, "modelsim": [30, 111, 158], "fdo": [30, 33], "impact": 30, "vhdl2008": 30, "newli": [30, 42, 43, 109], "At": [30, 42, 46, 82, 89, 90, 102, 109, 136, 142, 143, 158], "explicit": 30, "deserv": 30, "explan": 30, "awar": 30, "none": [30, 33, 43, 88, 89, 101, 118], "remad": 30, "suppli": 30, "gather": 30, "mk": 30, "approach": [30, 109, 158], "hide": 30, "caveat": 30, "unreli": 30, "henc": [30, 81, 109], "shadow": [30, 128], "real": [30, 89, 128, 130, 139], "aren": [30, 111], "export": [30, 142, 143, 144, 146, 154, 156, 157], "subprocess": 30, "except": [30, 42, 66, 78, 89, 150, 151], "keyword": 30, "tclsh": 30, "he": [30, 37, 42, 161, 164], "user_env": 30, "necess": 30, "trigger": [30, 32, 33, 34, 45, 97, 99, 101, 103, 123], "ttarget_": 30, "starget_": 30, "target_myproc": 30, "ttarget_myproc": 30, "stem": 30, "bare": 30, "ttarget": 30, "starget": 30, "best": [30, 71, 112], "dt": [30, 130], "dtb": [30, 130], "neither": [30, 42, 90, 109], "synth_onli": 30, "skip": [30, 81], "phase_sav": 30, "archiv": 30, "virtex7": [30, 131], "famili": 30, "xcvu7p": 30, "flvb2104": 30, "setup_flag": 30, "use_xpm_librari": 30, "xpm_cdc": 30, "xpm_memori": 30, "xpm_fifo": 30, "dsp_compar": 31, "blok": 31, "input_data_width": 31, "unlimit": 31, "input_regs_en": 31, "1st": [31, 89, 98], "2nd": [31, 89, 98], "smaller": [31, 55, 65, 90, 163], "dsp_enabl": 31, "7seri": [31, 32, 33, 39, 40, 41, 60, 81, 84, 86, 88, 91, 101, 108, 113, 120, 123, 135], "clk_en": [31, 145], "input_1": 31, "comparison": [31, 147], "input_2": 31, "01": [31, 109, 162], "dec": [31, 129], "suitabl": [32, 158, 168], "altera": [32, 108], "lutram": [32, 124], "mlab": 32, "data_width": [32, 33, 34, 38, 39, 40, 41, 52, 72, 104, 105, 107, 108, 109, 111, 113, 116, 118, 140, 150, 151, 154, 158, 160, 162, 164], "depth": [32, 33, 39, 41, 69, 73, 75, 99, 101, 103, 115, 118, 120, 123], "ram_typ": [32, 33, 34, 99, 101, 104, 123], "lut": [32, 33, 69, 99, 101, 104, 120, 123, 168, 173], "effect": [32, 34, 86, 99, 101, 120, 123, 125, 168, 173], "shallow": [32, 99], "approx": [32, 99], "fwft_mode": [32, 99], "rd_en": [32, 39, 41, 68, 99], "output_reg": [32, 35, 39, 41, 80, 99, 114, 115, 116, 124, 132], "flip": [32, 99], "flop": [32, 99], "arria10": [32, 33, 39, 41, 101, 120, 123], "almost_full_offset": [32, 33, 34, 99, 101, 123], "free": [32, 33, 34, 44, 73, 99, 101, 102, 103, 123, 125, 129, 136, 137, 145], "wr_aful": [32, 99], "currently_stor": [32, 33, 34], "almost_empty_offset": [32, 33, 34, 99, 101, 123], "rd_aempti": [32, 99], "wr_clk": [32, 41], "wr_rst": [32, 41], "wr_data": [32, 39, 41, 100], "wr_en": [32, 39, 41, 100], "wr_full": 32, "accept": [32, 42, 48, 57, 61, 65, 70, 72, 82, 87, 93, 98, 105, 109, 111, 121, 122, 160, 161], "ignor": [32, 37, 39, 41, 98, 99, 109, 127, 128, 129], "dst_rdy": [32, 72, 98, 102, 113, 121, 122, 136, 137, 144, 156, 157, 158, 159, 161, 172], "wr_statu": 32, "rd_clk": [32, 41], "rd_rst": [32, 41], "rd_data": [32, 39, 41, 68], "rd_empti": 32, "rd_statu": 32, "implicit": 33, "delai": [33, 42, 45, 59, 81, 89, 109, 147, 160, 163], "wr": [33, 34, 55, 97, 102, 109, 160], "di": [33, 34, 40, 96, 147, 172], "aful": [33, 34, 123], "aempti": [33, 34, 123], "least": [33, 44, 55, 80, 105, 110, 113], "fifox_ram_typ": [33, 34], "what": [33, 101, 111, 120, 123, 144, 158, 164], "fake_fifo": [33, 123], "straight": [33, 90, 123], "note": [33, 37, 73, 81, 93, 98, 109, 111, 122, 144, 154, 156], "example1": 33, "uram": [33, 101, 120, 123, 168, 173], "72": [33, 101, 120, 123], "288": 33, "rd": [33, 34, 55, 97, 109, 160], "todo": [33, 127, 158, 172, 176], "p\u0159idat": 33, "blokov\u00fd": 33, "komponenti": 33, "coverag": 33, "uncom": 33, "top_level": [33, 158], "scoreboard": [33, 147], "random": [33, 55, 111, 117, 141, 144, 145, 146, 148, 150, 151, 152, 153, 154, 156, 157, 158, 160, 161, 162, 163, 164, 172], "verifi": [33, 81, 84, 145, 158], "classic": 33, "3th": 33, "fifox_multi": [34, 82, 168], "fit": [34, 37, 43, 44], "amount": [34, 39, 44, 63, 82, 85, 89, 98, 113, 121, 122, 145, 146, 162, 173], "nearest": [34, 82], "write_port": [34, 39, 40], "read_port": [34, 39, 40], "shakedown": [34, 117, 120, 177], "spent": 34, "allow_single_fifo": 34, "lead": [34, 44, 86, 90, 97], "safe_read_mod": 34, "safe": [34, 42, 104], "attempt": 34, "wors": 34, "confirm": [34, 42, 46, 60, 176], "invalid": [34, 47, 82, 89, 90, 109, 122, 132, 160], "0001": [34, 122, 133, 135], "0011": [34, 133], "0111": [34, 133], "1111": [34, 133], "permut": 34, "forbidden": [34, 38, 72, 172], "barrel_shifter_gen": [35, 128], "256": [35, 55, 71, 72, 112, 131, 134, 164], "block_siz": [35, 58, 59, 61, 73, 74, 76, 78, 79, 80, 81, 83, 85, 88, 90, 92, 94, 95, 98, 101, 144, 156, 157, 158, 159], "shift_left": 35, "data_in": [35, 38], "data_out": 35, "sel": [35, 54], "barrel_shifter_gen_pip": 35, "block_width": [35, 39, 41], "bar_shift_lat": 35, "input_reg": [35, 113], "metadata_width": [35, 39, 41, 42, 99], "rotat": [35, 42], "rx_sel": [35, 113], "unus": [35, 41, 72, 105, 139, 176], "mux_lat": 35, "rx_data": [35, 72, 76, 78, 79, 80, 81, 82, 88, 90, 94, 95, 99, 101, 103, 112, 113, 114, 117, 118, 120, 121, 123], "rx_metadata": 35, "rx_src_rdy": [35, 72, 76, 78, 79, 80, 81, 82, 88, 90, 94, 95, 99, 101, 103, 112, 113, 114, 117, 118, 120, 121, 123], "rx_dst_rdy": [35, 72, 76, 78, 79, 81, 82, 88, 90, 94, 95, 99, 101, 103, 112, 113, 114, 117, 118, 120, 121, 123], "tx_data": [35, 72, 76, 78, 79, 80, 81, 82, 88, 90, 94, 95, 99, 101, 103, 112, 113, 114, 115, 117, 118, 120, 121, 123], "tx_metadata": 35, "tx_src_rdy": [35, 72, 76, 78, 79, 80, 81, 82, 88, 90, 94, 95, 99, 101, 103, 112, 113, 114, 115, 117, 118, 120, 123, 128], "tx_dst_rdy": [35, 72, 76, 78, 79, 81, 82, 88, 90, 94, 95, 99, 101, 103, 112, 113, 114, 115, 117, 118, 120, 123, 128], "cnt_multi_memx": [36, 172], "traget": [36, 62, 69], "cnt_width": 36, "inc_width": 36, "inc_fifo_s": 36, "inc_ch": 36, "inc_val": 36, "inc_vld": 36, "inc_rdi": 36, "rst_ch": 36, "rst_vld": 36, "rd_ch": 36, "rd_vld": 36, "old": [36, 82, 84, 139, 173, 176], "appear": [36, 90, 98, 102, 128], "rd_val": 36, "parallel": [37, 42, 44, 52, 82, 110, 136, 172, 176], "friendli": 37, "reason": [37, 42, 43, 44, 72, 90, 127, 129, 136, 158, 163], "why": [37, 109, 158, 163], "solv": [37, 42, 46, 116, 172], "yourself": [37, 44], "consecut": [37, 43, 89, 98, 122, 128, 141, 152, 153], "put": [37, 94, 102, 120, 158], "asid": 37, "fast": [37, 150, 151, 172], "recent": 37, "complic": [37, 90, 110, 160], "nessesari": 37, "collis": [37, 42, 51], "np_lutram": [37, 40, 172, 173], "quadrat": 37, "consumpt": [37, 84, 111], "demonstr": [37, 81, 98, 122], "sai": [37, 42, 90, 109, 150, 151, 162, 168], "i0": 37, "i1": 37, "i2": 37, "decrement": [37, 160], "iset": 37, "cnt_next": 37, "cnt": [37, 50, 54, 55, 172], "sinc": [37, 42, 43, 44, 68, 90, 93, 102, 136], "worst": [37, 44], "might": [37, 42, 46, 90, 102, 109, 110, 111, 116, 158], "came": 37, "But": [37, 44, 98, 109, 160], "infrom": 37, "alon": 37, "deduc": 37, "whether": [37, 41, 45, 82, 87, 89, 93, 98, 102, 109, 127, 129, 139, 158, 160, 176], "inrement": 37, "luckili": 37, "i0_incr": 37, "i1_incr": 37, "i2_incr": 37, "i0_deccr": 37, "i1_deccr": 37, "i2_deccr": 37, "op_item_sel": 37, "op_oper": 37, "operatio": 37, "op_meta": 37, "don": [37, 42, 45, 55, 109, 111, 127, 158, 162], "op_": 37, "u": [37, 43, 111, 158], "later": [37, 43, 66, 109, 140], "generali": 37, "op_in_": 37, "op_out_data": 37, "someth": [37, 147], "operators_pr": 37, "op_in_sel": 37, "op_in_src": 37, "op_in_op": 37, "op_in_data": 37, "op_in_meta": 37, "tmp": [37, 50], "op_data_out": 37, "op_data_in": 37, "overwrit": [37, 82, 109], "join": [37, 90, 158], "reciev": [37, 107, 109], "quick_reset_en": 37, "reset_v": 37, "sr_sync_latch": [38, 172], "synchrnou": 38, "enhanc": 38, "assert": [38, 53, 55, 57, 82, 87, 92, 93, 97, 98, 105, 109, 113, 122, 125, 127, 129, 142, 143, 150, 151, 158, 160, 161, 164], "behav": [38, 68, 70, 81, 144, 154, 156, 157, 158, 161], "deassert": [38, 98, 105, 109, 122, 128, 164], "arbitrari": [38, 41, 42, 45, 62, 81, 85, 90, 97, 109, 122, 128, 158, 176], "driven": [38, 45], "pure": [38, 138, 147], "combinatori": 38, "circuit": 38, "advantag": [38, 42, 111, 158], "meet": [38, 128], "closur": 38, "latch_out": 38, "clear": [38, 51, 73, 98, 109, 127, 129, 164, 172], "usag": [38, 45, 53, 55, 56, 84, 136, 148, 172], "omit": 38, "mp_bram": [39, 173], "restrict": [39, 42, 62, 64, 71, 76, 78, 88, 98, 101, 158, 173], "block_en": [39, 41], "4096": 39, "9": [39, 41, 50, 54, 67, 69, 96, 111, 125, 138, 139], "throw": [39, 41], "replic": 39, "wr_be": [39, 41], "wr_addr": [39, 41, 100], "rd_data_vld": [39, 41], "rd_pipe_en": [39, 41], "rd_meta_in": [39, 41], "rd_addr": [39, 41, 68], "rd_meta_out": [39, 41], "wclk": 40, "addra": 40, "addrb": 40, "dob": 40, "sdp_bram": [41, 173], "common_clock": 41, "sdp_bram_b": 41, "abstract": [41, 158], "effectiv": 41, "src_buf": [42, 176], "dst_buf": [42, 176], "tran": [42, 136, 176], "tick": [42, 49, 52, 54, 55, 89, 164], "overlap": [42, 55], "plan": [42, 44], "track": 42, "progress": 42, "data_dir": 42, "use_clk2": 42, "use_clk_arb": 42, "planner": [42, 74, 97, 176], "crossbar": 42, "clk_arb": [42, 97], "spike": 42, "trans_stream": 42, "buf_a_col": 42, "column": [42, 111], "buf_a_stream_row": 42, "row": [42, 89], "buf_b_col": 42, "buf_b_row": 42, "buf_a_sect": 42, "overflow": [42, 44, 50, 51, 54, 127, 136, 137], "buf_b_sect": 42, "row_item": 42, "item_width": [42, 61, 73, 74, 76, 78, 79, 80, 81, 83, 85, 88, 90, 92, 94, 95, 98, 101, 112, 114, 120, 121, 122, 123, 144, 152, 153, 154, 156, 157, 158, 159, 161, 164], "transs": 42, "trans_mtu": 42, "trans_fifo_item": 42, "await": 42, "trans_comp_": 42, "moment": [42, 89, 127, 129, 144, 156], "never": [42, 44, 81, 109, 163], "color_timeout_width": 42, "color": [42, 111], "timeout": [42, 84, 117, 147], "expir": 42, "color_conf_delai": 42, "greater": [42, 73, 82, 97, 120, 127, 139, 161], "averag": [42, 44, 49, 50, 54, 97], "rd_latenc": 42, "data_mux_lat": 42, "data_mux_outreg_en": 42, "data_rot_lat": 42, "data_rot_outreg_en": 42, "arriv": [42, 46, 81, 102, 127, 128, 142, 143, 176], "new_rx_tran": 42, "rx_uinstr_src_rdi": 42, "break": [42, 121, 140, 158, 159], "dir": [42, 150, 151, 162], "dimens": [42, 150, 151, 162], "IN": [42, 150, 151, 162], "clk2": [42, 97, 172], "reset_arb": 42, "trans_a_col": 42, "trans_a_item": 42, "trans_b_col": 42, "trans_b_item": 42, "trans_len": 42, "trans_meta": 42, "trans_vld": 42, "trans_src_rdi": 42, "trans_dst_rdi": 42, "src_buf_rd_addr": 42, "src_buf_row": 42, "src_buf_col": 42, "src_buf_rd_data": 42, "dst_buf_wr_addr": 42, "dst_buf_row": 42, "dst_buf_col": 42, "dst_buf_wr_data": 42, "dst_buf_wr_i": 42, "dst_buf_wr_en": 42, "trans_comp_meta": 42, "trans_comp_src_rdi": 42, "trans_comp_dst_rdi": 42, "fifox": [42, 44, 46, 70, 75, 86, 91, 115, 120, 136, 158, 168, 174, 177], "compli": 42, "wide": [42, 43, 72, 90, 108, 109, 111, 130], "od": 42, "somewher": [42, 44, 144, 154, 156], "desctin": 42, "preprocess": 42, "disect": 42, "atom": [42, 127], "long": [42, 45, 46, 57, 98, 102, 109, 176], "colid": 42, "subset": 42, "sorter": 42, "elimin": [42, 44, 137], "starvat": 42, "conform": 42, "bear": 42, "fashion": 42, "intend": [42, 125], "jan": [42, 44, 137], "kubalek": [42, 44, 137], "2019": [42, 44, 137], "20": [42, 44, 55, 82, 125, 137, 147, 158], "interv": [43, 89], "accumult": 43, "concurr": 43, "max_concurrent_ev": 43, "older": [43, 81], "reffer": 43, "view": 43, "abl": [43, 44, 48, 49, 79, 89, 102, 109, 122, 127, 129, 136, 158, 176], "evolut": 43, "rough": 43, "lot": [43, 121, 158, 163], "exactli": [43, 55, 89, 118], "afterward": 43, "capture_en": 43, "capture_fifo_item": 43, "pop": 43, "traffic": [43, 87, 89, 141, 150, 151, 152, 153, 158, 162], "cours": [43, 107], "plot": 43, "graph": [43, 50, 55, 56], "mfb_auxiliary_sign": [43, 95], "vector": [43, 69, 96, 98, 106, 120, 122, 144, 148, 154, 156, 160, 161, 172, 176], "quantum": 43, "accumul": [43, 44, 82, 87, 93, 145, 146], "digit": 43, "024": 43, "milisecond": 43, "outsid": [44, 80, 176], "inter": [44, 129, 147, 158, 176], "align": [44, 48, 57, 61, 63, 72, 82, 90, 98, 110, 115, 119, 122, 125, 128, 135, 158, 176], "pointer": [44, 58, 59, 60, 62, 64, 66, 67, 69, 70, 71, 89, 100, 158], "deficit": [44, 97, 129, 176], "idl": [44, 89, 97, 122, 125, 129, 145, 146, 162, 176], "algorithm": [44, 96, 97, 112, 147, 158, 176], "freed": [44, 136], "meant": [44, 102, 109], "infinit": 44, "pakcet": 44, "linear": [44, 54], "origin": [44, 46, 76, 78, 81, 86, 90, 110, 176], "global_out_en": 44, "stream_out_en": 44, "heavili": 44, "appart": [44, 90, 137], "reg0": [44, 46], "reg4": 44, "aproxim": 44, "bottleneck": 44, "og": 44, "reduct": [44, 90], "planned_pkt": 44, "cost": 44, "stream_out_aful": 44, "global_out_aful": 44, "save": [44, 50, 53, 56, 119, 150, 151, 158, 161, 172], "ca": 44, "pulse_short": [45, 176], "shorten": [45, 76, 176], "bclk": 45, "outuput": [45, 67], "arbirarili": 45, "delay_count": 45, "cdc": 45, "async_mask": 45, "048": 45, "think": 45, "throgh": 45, "rst": [45, 49, 50, 51, 52, 55, 56, 57, 61, 63, 74, 85, 92, 101, 128, 158], "en": 45, "aclk": 45, "pulse_out": 45, "durat": [45, 52, 93], "sorag": 46, "correspons": 46, "happen": [46, 51, 80, 82, 102, 120, 158], "deem": 46, "plu": [46, 80, 175], "minu": 46, "down": [46, 89, 110, 111, 128], "crossbarx": [46, 129, 174, 176], "dma_bus_pack": 47, "lenght": 47, "dword": [47, 68, 130, 131, 132, 134, 135], "4b": [47, 130], "firstib": 47, "BE": [47, 89, 109, 110, 164], "lastib": 47, "unitid": 47, "64b": [47, 139], "vfid": 47, "pasid": 47, "granular": 47, "pasidvld": 47, "tlp": [47, 132], "relax": [47, 135], "bridg": [48, 55], "secur": [48, 171], "mailbox": [48, 158], "peripher": 48, "temperatur": [48, 176], "sensor": 48, "voltag": [48, 176], "quad": 48, "rsu": 48, "remot": 48, "32bit": [48, 158], "unalign": [48, 72, 90], "span": [48, 98], "argument": [48, 55, 56, 158], "sequenc": [48, 55, 125, 140, 147, 148, 159, 160, 163, 164], "waitrequest": [48, 105], "ground": 48, "capac": 48, "interrupt": [48, 55, 89, 128, 176], "irq": 48, "ier": 48, "isr": 48, "mi2avmm": [48, 175], "wrap": [49, 50, 55, 145], "around": [49, 90, 110, 111, 136, 137], "log": [49, 50, 57, 158], "latency_met": [49, 52], "mem_burst_count_width": 49, "mem_freq_khz": 49, "histogram_box": [49, 55], "255": [49, 72, 125], "precis": [49, 51, 55, 72, 87, 93, 139], "max_paralel_read": 49, "paral": 49, "latency_ticks_width": 49, "rst_done": [49, 50, 51], "mem_readi": 49, "mem_read": 49, "mem_writ": 49, "mem_address": 49, "mem_read_data": 49, "mem_write_data": 49, "mem_burst_count": 49, "mem_read_data_valid": 49, "mem_logger_i": 49, "mem_mi_dwr": 49, "mem_mi_addr": 49, "mem_mi_b": 49, "mem_mi_rd": 49, "mem_mi_wr": 49, "mem_mi_ardi": 49, "mem_mi_drd": 49, "mem_mi_drdi": 49, "event": [50, 52, 104, 150, 151, 176], "occurr": [50, 109, 146], "submit": 50, "maxim": [50, 54, 55, 127], "box": [50, 51], "ctrlo": 50, "ctrli": 50, "cnter_cnt": 50, "value_cnt": 50, "ctrlo_width": 50, "ctrli_width": 50, "cnter_width": 50, "value_width": 50, "i_array_t": [50, 111], "min_en": 50, "b_array_t": [50, 111], "max_en": 50, "sum_en": 50, "hist_en": 50, "sum_extra_width": 50, "hist_box_cnt": 50, "hist_box_width": 50, "ctrlo_default": 50, "instrfac": 50, "sw_rst": 50, "cnters_incr": 50, "cnters_submit": 50, "sumbit": 50, "cnters_diff": 50, "values_vld": 50, "data_logger_i": 50, "cnter_incr_2": 50, "cnter_incr_1": 50, "cnter_incr_0": 50, "cnter_diff_2": 50, "cnter_diff_1": 50, "cnter_diff_0": 50, "cnter_submit_2": 50, "cnter_submit_1": 50, "cnter_submit_0": 50, "value_vld_2": 50, "value_vld_1": 50, "value_vld_0": 50, "value_2": 50, "value_1": 50, "value_0": 50, "interact": [50, 89, 109], "matplotlib": 50, "pdf": [50, 56], "markdown": [50, 55], "ctrl": [50, 53, 54, 55, 127, 165, 176], "0x0004": [50, 55], "stat": [50, 80, 127, 129], "0x0008": [50, 55], "0x000c": [50, 55], "slice": [50, 53, 168, 172], "0x0010": [50, 55], "hist": [50, 54], "0x0014": [50, 55], "value_en": 50, "cnter": 50, "histogramm": 50, "sequenti": [51, 55, 158], "given": [51, 52, 89, 93, 98, 122, 158], "input_width": 51, "box_width": 51, "probabl": [51, 144, 154, 156], "box_cnt": 51, "read_prior": 51, "clear_by_read": 51, "eras": [51, 102], "clear_by_rst": 51, "input_vld": 51, "read_req": 51, "read_addr": 51, "adress": [51, 66, 70, 105], "read_box_vld": 51, "read_box": 51, "histogrammer_i": 51, "max_paralel_ev": 52, "start_ev": 52, "end_ev": 52, "latency_vld": 52, "fifo_ful": 52, "curent": 52, "fifo_item": [52, 99, 103], "latency_meter_i": 52, "dp_bram": [53, 173], "burst_cnt": [53, 56], "amm_data_width": [53, 55], "edge_detect": [53, 172], "fsm": [53, 55, 128], "buff": [53, 55, 56], "0x0c": [53, 54, 72, 74, 89, 107, 127, 129, 130, 139], "depreci": [54, 55, 56], "listen": 54, "req": [54, 158, 161, 163, 164], "0x14": [54, 74, 89, 107, 127, 129, 130, 139], "0x18": [54, 74, 89, 111, 127, 129, 130, 139], "0x1c": [54, 74, 127, 129, 130, 139], "0x24": [54, 74, 127, 129, 139], "0x28": [54, 127, 129], "0x2c": [54, 127, 129], "0x34": [54, 127], "0x38": [54, 127], "0x3c": [54, 127], "khz": [54, 55], "0x44": 54, "0x48": 54, "ddr": 55, "failur": 55, "workflow": 55, "realist": [55, 81], "mi_async": [55, 104], "adn": 55, "amm_gen": [55, 56], "amm_addr_width": 55, "amm_burst_count_width": 55, "rand_gen_data_width": 55, "seri": [55, 70, 133, 172], "alow": 55, "se": [55, 61], "lfsr_simple_random_gen": [55, 172], "rand_gen_addr_width": 55, "amm_addr": 55, "random_data_se": 55, "seed": 55, "random_addr_se": 55, "resiz": [55, 90, 137], "3fbf807": 55, "refr_req_before_test": 55, "refr_period_width": 55, "def_refr_period": 55, "amm_probe_en": 55, "default_burst_cnt": 55, "default_addr_limit": 55, "shoud": 55, "debug_rand_addr": 55, "forc": 55, "amm_clk": 55, "amm_rst": 55, "amm_readi": 55, "amm_read": 55, "amm_writ": 55, "amm_address": 55, "amm_read_data": 55, "amm_write_data": 55, "amm_burst_count": 55, "amm_read_data_valid": 55, "refr_period": 55, "refr_req": 55, "refr_ack": 55, "emif_ecc_isr": 55, "whenev": [55, 81, 92, 158], "mi_rst": 55, "print": [55, 56, 141, 147, 150, 151, 152, 153, 158, 162, 164], "concret": 55, "manuali": 55, "h": [55, 56, 137, 147], "c": [55, 109, 158], "logger": [55, 166], "scale": [55, 121], "gen_burst": 55, "messag": [55, 147, 158], "exit": 55, "relat": [55, 98, 100, 158], "rand": [55, 56, 158], "simult": 55, "16777215": 55, "67108860": 55, "137": [55, 164], "03": 55, "66": [55, 125], "41": 55, "80": [55, 72], "250": [55, 71], "75": 55, "1393": 55, "1643": 55, "97": 55, "630": 55, "69": 55, "16165552": 55, "87": 55, "62962": 55, "99": 55, "241581": 55, "111": [55, 138, 139], "128501": 55, "147": 55, "153": 55, "435": 55, "441": 55, "50118": 55, "453": 55, "459": 55, "465": 55, "471": 55, "477": 55, "2570": 55, "483": 55, "489": 55, "495": 55, "62961": 55, "501": 55, "573": 55, "579": 55, "627": 55, "633": 55, "autom": 55, "Will": 55, "tb": 55, "short": [55, 128, 129], "test_mem_test": 55, "report_gen": 55, "pandoc": 55, "texliv": 55, "latex": 55, "engin": [55, 158], "yum": 55, "mem_tester_report": [55, 56], "fig": [55, 56], "raw": [55, 56], "json": 55, "mem_tester_mi": 55, "mi_splitter_plus_gen": [55, 109, 111], "amm_mux": 55, "err": 55, "0x0018": 55, "0x0040": 55, "react": 55, "amm_prob": 55, "seq": [56, 141, 152, 153, 158], "burst_id": 56, "512b": [56, 127, 131], "hexa": 56, "vld": [56, 116, 158, 161], "sv": [56, 148, 158, 164], "xml": 56, "rx_dma_hdr_insertor": 57, "rx_region_s": [57, 63, 90, 127, 129], "rx_block_siz": [57, 63, 90, 127, 129], "rx_item_width": [57, 63, 90, 127, 129], "tx_region": [57, 90, 94, 127, 129], "tx_region_s": [57, 90, 127, 129], "tx_block_siz": [57, 90, 127, 129], "tx_item_width": [57, 90, 115, 127, 129], "pkt_size_max": [57, 62, 64, 65, 67, 69, 70, 100], "eof_po": [57, 90, 159], "sof_po": [57, 63, 128, 159], "rx_mfb_data": [57, 61, 63, 75, 77, 82, 85, 86, 87, 89, 91, 92, 93, 96, 97, 127, 128, 129], "rx_mfb_sof": [57, 61, 63, 75, 77, 82, 85, 86, 87, 89, 91, 92, 93, 96, 97, 127, 128, 129], "rx_mfb_eof": [57, 61, 63, 75, 77, 82, 85, 86, 87, 89, 91, 92, 93, 96, 97, 127, 128, 129], "rx_mfb_src_rdy": [57, 61, 63, 75, 77, 82, 85, 86, 87, 89, 91, 92, 93, 96, 97, 127, 128, 129], "rx_mfb_dst_rdy": [57, 61, 63, 75, 77, 82, 85, 86, 87, 89, 91, 92, 93, 96, 97, 128, 129], "tx_mfb_data": [57, 61, 63, 74, 75, 77, 82, 84, 85, 86, 87, 89, 91, 92, 93, 97, 100, 119, 127, 128, 129], "tx_mfb_sof": [57, 61, 63, 74, 75, 77, 82, 84, 85, 86, 87, 89, 91, 92, 93, 97, 100, 119, 127, 128, 129], "tx_mfb_eof": [57, 61, 63, 74, 75, 77, 82, 84, 85, 86, 87, 89, 91, 92, 93, 97, 100, 119, 127, 128, 129], "tx_mfb_sof_po": [57, 61, 63, 74, 75, 77, 82, 84, 85, 86, 87, 89, 91, 92, 93, 97, 100, 119, 127, 128, 129], "tx_mfb_eof_po": [57, 61, 63, 74, 75, 77, 82, 84, 85, 86, 87, 89, 91, 92, 93, 97, 100, 119, 127, 128, 129], "tx_mfb_src_rdy": [57, 61, 63, 74, 75, 77, 82, 84, 85, 86, 87, 89, 91, 92, 93, 97, 100, 119, 127, 128, 129], "tx_mfb_dst_rdy": [57, 61, 63, 74, 75, 77, 82, 84, 85, 86, 87, 89, 91, 92, 93, 97, 100, 119, 127, 128, 129], "hdrm_pcie_hdr_data": 57, "127": [57, 72], "hdrm_pcie_hdr_typ": 57, "dw": [57, 135], "hdrm_pcie_hdr_src_rdy_data_tran": 57, "hdrm_pcie_hdr_src_rdy_dma_hdr": 57, "hdrm_pcie_hdr_dst_rdi": 57, "hdrm_dma_chan_num": 57, "hdrm_pkt_drop": 57, "hdrm_dma_hdr_data": 57, "hdrm_dma_hdr_src_rdi": 57, "hdrm_dma_hdr_dst_rdi": 57, "hdrm_pkt_cntr_chan": 57, "hdrm_pkt_sent_inc": 57, "hdrm_pkt_disc_inc": 57, "hdrm_pkt_size": 57, "addr_manag": 58, "ring": [58, 59, 60], "cna": 58, "addr_width": [58, 59, 60, 104, 105, 106, 107, 108, 109, 111, 158, 160], "pointer_width": [58, 59, 60, 62, 64, 66, 68], "number_of_item": [58, 59, 60], "addr_channel": [58, 59], "addr_bas": [58, 59], "addr_mask": [58, 59, 106, 111], "righ": [58, 59], "number_of_max_item": [58, 59], "addr_sw_point": [58, 59], "pointer_update_chan": [58, 59], "pointer_update_data": [58, 59], "pointer_update_en": [58, 59], "FOR": [58, 59, 176], "channel_vld": 58, "channel_reset": [58, 59], "corespond": [58, 59], "pciex": [58, 59], "addr_vld": 58, "pcie_addr_gen": 59, "acord": 59, "genead": 59, "input_s": 59, "pkt_mtu": [59, 60, 73, 75, 77, 82, 96, 97, 147], "input_disc": 59, "input_channel": 59, "input_src_rdi": [59, 116], "input_dst_rdi": [59, 116], "out_addr": [59, 108], "out_offset": 59, "out_addr_vld": 59, "out_disc": 59, "out_last": 59, "out_first": 59, "out_dst_rdi": 59, "rx_dma_hdr_manag": 60, "fist": [60, 147, 163], "ceil": 60, "pkt_size": 60, "dma_discard": 60, "action": [60, 90], "metadata_s": 60, "start_req_channel": 60, "start_req_vld": [60, 62, 65, 69], "start_req_don": 60, "stop_req_channel": 60, "whic": 60, "stop_req_vld": [60, 62, 65, 69], "stop_req_don": 60, "addr_data_channel": 60, "addr_data_bas": 60, "addr_data_mask": 60, "addr_data_sw_point": 60, "addr_header_channel": 60, "addr_header_bas": 60, "addr_header_mask": 60, "addr_header_sw_point": 60, "hdp_update_chan": 60, "hdp_update_data": 60, "hdp_update_en": 60, "hhp_update_chan": 60, "hhp_update_data": 60, "hhp_update_en": 60, "inf_meta": 60, "inf_channel": 60, "inf_pkt_siz": 60, "inf_vld": 60, "inf_src_rdi": 60, "inf_dst_rdi": 60, "pcie_hdr_siz": 60, "pcie_hdr": 60, "pcie_hdr_vld": 60, "pcie_hdr_src_rdy_data_tran": 60, "pcie_hdr_src_rdy_dma_hdr": 60, "pcie_hdr_dst_rdi": 60, "dma_channel": 60, "dma_hdr": 60, "dma_hdr_vld": 60, "allwai": [60, 84, 90], "dma_hdr_src_rdi": 60, "dma_hdr_dst_rdi": 60, "rx_dma_input_buff": 61, "region_s": [61, 73, 74, 76, 78, 79, 80, 81, 83, 85, 88, 90, 92, 94, 95, 98, 101, 144, 156, 157, 158, 159], "rx_mfb_sof_po": [61, 75, 77, 82, 85, 86, 87, 89, 91, 92, 93, 96, 97, 127, 128, 129], "rx_mfb_eof_po": [61, 63, 75, 77, 82, 85, 86, 87, 89, 91, 92, 93, 96, 97, 127, 128, 129], "rx_dma_sw_manag": 62, "descriptor": [62, 64, 71], "sw_addr_width": [62, 64], "recv_pkt_cnt_width": [62, 69], "recv_bts_cnt_width": [62, 69], "disc_pkt_cnt_width": [62, 69], "disc_bts_cnt_width": [62, 69], "mi_width": [62, 64, 69, 70, 71], "discart": [62, 69, 129], "pkt_sent_chan": [62, 67, 69, 100], "pkt_sent_inc": [62, 67, 69], "pkt_sent_byt": [62, 67, 69], "pkt_discard_chan": [62, 69], "pkt_discard_inc": [62, 69], "pkt_discard_byt": [62, 69], "start_req_chan": [62, 65, 69], "start_req_ack": [62, 65, 69], "stop_force_chan": 62, "stop_forc": 62, "stop_req_chan": [62, 65, 69], "stop_req_ack": [62, 65, 69], "enabled_chan": [62, 67, 69], "sdp_rd_chan": 62, "sdp_rd_data": 62, "shp_rd_chan": 62, "shp_rd_data": 62, "hdp_wr_chan": [62, 69], "hdp_wr_data": [62, 69], "hdp_wr_en": [62, 69], "hhp_wr_chan": [62, 69], "hhp_wr_data": [62, 69], "hhp_wr_en": [62, 69], "dba_rd_chan": 62, "dba_rd_data": 62, "hba_rd_chan": 62, "hba_rd_data": 62, "dpm_rd_chan": 62, "dpm_rd_data": 62, "hpm_rd_chan": 62, "hpm_rd_data": 62, "rx_dma_trans_buff": 63, "contol": 63, "successful": 63, "buffered_data_s": 63, "insertor": [63, 64, 125, 174], "propabl": 63, "useless": 63, "figur": [64, 70, 71, 98, 111, 122, 128, 137], "rx_dma_calypt": 64, "user_rx_mfb_region": 64, "user_rx_mfb_region_s": 64, "user_rx_mfb_block_s": 64, "user_rx_mfb_item_width": 64, "pcie_up_mfb_region": 64, "pcie_up_mfb_region_s": 64, "pcie_up_mfb_block_s": 64, "pcie_up_mfb_item_width": 64, "cntrs_width": [64, 70], "hdr_meta_width": [64, 67, 70, 71, 73, 100], "trbuf_fifo_en": 64, "user_rx_mfb_meta_hdr_meta": 64, "user_rx_mfb_meta_chan": 64, "user_rx_mfb_meta_pkt_s": 64, "user_rx_mfb_data": 64, "user_rx_mfb_sof": 64, "user_rx_mfb_eof": 64, "user_rx_mfb_sof_po": 64, "user_rx_mfb_eof_po": 64, "user_rx_mfb_src_rdi": 64, "user_rx_mfb_dst_rdi": 64, "pcie_up_mfb_data": 64, "pcie_up_mfb_sof": 64, "pcie_up_mfb_eof": 64, "pcie_up_mfb_sof_po": 64, "pcie_up_mfb_eof_po": 64, "pcie_up_mfb_src_rdi": 64, "pcie_up_mfb_dst_rdi": 64, "tx_dma_chan_start_stop_ctrl": 65, "drop": [65, 67, 72, 77, 79, 125, 164], "usr_mfb_": 65, "till": [65, 109, 158], "delimit": [65, 66, 70, 145], "pcie_mfb_region": [65, 66], "pcie_mfb_region_s": [65, 66], "pcie_mfb_block_s": [65, 66], "pcie_mfb_item_width": [65, 66], "largest": [65, 68, 70], "usr_mfb": 65, "dbg_signal_width": 65, "pcie_mfb_data": [65, 66, 68], "pcie_mfb_meta": [65, 66, 68], "62": [65, 66, 67, 68, 135, 164], "pcie_mfb_sof": [65, 66, 68], "pcie_mfb_eof": [65, 66, 68], "pcie_mfb_sof_po": [65, 66, 68], "pcie_mfb_eof_po": [65, 66, 68], "pcie_mfb_src_rdi": [65, 66, 68], "pcie_mfb_dst_rdi": [65, 66, 68], "usr_mfb_data": [65, 66, 67], "usr_mfb_meta": 65, "usr_mfb_sof": [65, 66, 67], "usr_mfb_eof": [65, 66, 67], "usr_mfb_sof_po": [65, 66, 67], "usr_mfb_eof_po": [65, 66, 67], "usr_mfb_src_rdi": [65, 66, 67], "usr_mfb_dst_rdi": [65, 66, 67], "tx_dma_sw_manag": [65, 69], "pkt_disc_chan": 65, "pkt_disc_inc": 65, "pkt_disc_byt": 65, "st_sp_dbg_chan": [65, 70, 71], "st_sp_dbg_meta": [65, 70, 71], "dropper": [65, 164, 174], "tx_dma_metadata_extractor": 66, "care": [66, 97, 109, 128, 145], "useful": 66, "pcie_meta_pack": 66, "usr_mfb_meta_byte_en": 66, "usr_mfb_meta_is_dma_hdr": 66, "usr_mfb_meta_pcie_addr": 66, "usr_mfb_meta_chan_num": 66, "usr_mfb_meta_byte_cnt": 66, "depars": [66, 179], "cutter": [66, 127, 137, 174], "tx_dma_pkt_dispatch": 67, "accor": 67, "did": [67, 127], "mfb_region_s": [67, 68, 75, 77, 82, 85, 86, 87, 89, 93, 96, 97, 100, 103, 119, 131, 134], "data_pointer_width": [67, 69, 70], "dma_hdr_pointer_width": [67, 69, 70], "usr_mfb_meta_hdr_meta": 67, "usr_mfb_meta_chan": 67, "usr_mfb_meta_pkt_s": 67, "hdr_buff_addr": 67, "hdr_buff_chan": 67, "hdr_buff_data": 67, "dma_hdr_width": 67, "hdr_buff_src_rdi": 67, "hdr_buff_dst_rdi": 67, "buff_rd_chan": 67, "buff_rd_data": 67, "buff_rd_addr": 67, "buff_rd_en": 67, "upd_hdp_chan": 67, "upd_hdp_data": 67, "upd_hdp_en": 67, "upd_hhp_chan": 67, "upd_hhp_data": 67, "upd_hhp_en": 67, "tx_dma_pcie_trans_buff": 68, "instantiati": 68, "constist": [68, 70], "footprint": 68, "quasi": 68, "resolut": [68, 90, 128], "rd_chan": 68, "barrel": [68, 172], "shifter": [68, 172], "acc": 69, "anot": 69, "signifi": [69, 98, 122], "channel_cor": 69, "pipe": [69, 73, 84, 91, 111, 116, 134, 172, 174, 175, 176], "np": 69, "memx": 69, "tx_dma_calypt": 70, "usr_tx_": 70, "pcie_cq_": 70, "unsort": 70, "usr_tx_mfb_region": 70, "usr_tx_mfb_region_s": 70, "usr_tx_mfb_block_s": 70, "usr_tx_mfb_item_width": 70, "pcie_cq_mfb_region": [70, 71], "pcie_cq_mfb_region_s": [70, 71], "pcie_cq_mfb_block_s": [70, 71], "pcie_cq_mfb_item_width": [70, 71], "pcie_cc_mfb_region": [70, 71], "pcie_cc_mfb_region_s": [70, 71], "pcie_cc_mfb_block_s": [70, 71], "pcie_cc_mfb_item_width": [70, 71], "st_sp_dbg_signal_w": [70, 71], "usr_tx_mfb": 70, "usr_tx_mfb_meta_pkt_s": [70, 71], "usr_tx_mfb_meta_chan": [70, 71], "usr_tx_mfb_meta_hdr_meta": [70, 71], "usr_tx_mfb_data": [70, 71], "usr_tx_mfb_sof": [70, 71], "usr_tx_mfb_eof": [70, 71], "usr_tx_mfb_sof_po": [70, 71], "usr_tx_mfb_eof_po": [70, 71], "usr_tx_mfb_src_rdi": [70, 71], "usr_tx_mfb_dst_rdi": [70, 71], "pcie_cq_mfb_data": [70, 71], "pcie_cq_mfb_meta": [70, 71], "pcie_cq_mfb_sof": [70, 71], "pcie_cq_mfb_eof": [70, 71], "pcie_cq_mfb_sof_po": [70, 71], "pcie_cq_mfb_eof_po": [70, 71], "pcie_cq_mfb_src_rdi": [70, 71], "pcie_cq_mfb_dst_rdi": [70, 71], "pcie_cc_mfb_data": [70, 71], "pcie_cc_mfb_meta": [70, 71], "pcie_cc_mfb_sof": [70, 71], "pcie_cc_mfb_eof": [70, 71], "pcie_cc_mfb_sof_po": [70, 71], "pcie_cc_mfb_eof_po": [70, 71], "pcie_cc_mfb_src_rdi": [70, 71], "pcie_cc_mfb_dst_rdi": [70, 71], "extractor": [70, 137], "dispatch": 70, "dma_calypt": 71, "primari": [71, 130, 163], "focus": [71, 109], "usr_mfb_region": 71, "usr_mfb_region_s": 71, "usr_mfb_block_s": 71, "usr_mfb_item_width": 71, "pcie_rq_mfb_region": 71, "configr": 71, "pcie_rq_mfb_region_s": 71, "pcie_rq_mfb_block_s": 71, "pcie_rq_mfb_item_width": 71, "extract": [71, 82, 127, 137, 145], "rx_channel": [71, 112], "rx_ptr_width": 71, "sdp": 71, "hdp": 71, "usr_rx_pkt_size_max": 71, "tx_channel": [71, 112], "tx_sel_channel": 71, "dma_endpoint": 71, "tx_ptr_width": 71, "usr_tx_pkt_size_max": 71, "area": 71, "dsp_cnt_width": 71, "rx_gen_en": 71, "tx_gen_en": 71, "usr_rx_mfb_meta_pkt_s": 71, "usr_rx_mfb_meta_chan": 71, "usr_rx_mfb_meta_hdr_meta": 71, "usr_rx_mfb_data": 71, "usr_rx_mfb_sof": 71, "usr_rx_mfb_eof": 71, "usr_rx_mfb_sof_po": 71, "usr_rx_mfb_eof_po": 71, "usr_rx_mfb_src_rdi": 71, "usr_rx_mfb_dst_rdi": 71, "pcie_rq_mfb_data": 71, "upstream": [71, 136, 137], "pcie_rq_mfb_meta": 71, "pcie_rq_mfb_sof": 71, "pcie_rq_mfb_eof": 71, "pcie_rq_mfb_sof_po": 71, "pcie_rq_mfb_eof_po": 71, "pcie_rq_mfb_src_rdi": 71, "pcie_rq_mfb_dst_rdi": 71, "downstream": [71, 136, 137], "kintex": 71, "framelinkunalign": 72, "successor": 72, "framelink": 72, "buss": 72, "wast": 72, "bandwidth": 72, "sop_po": 72, "treat": 72, "_n": 72, "1024": [72, 97, 134, 144, 154, 156, 157, 164], "sop_pos_width": 72, "sop": [72, 86], "eop_po": 72, "eop": 72, "infrastructur": [72, 171], "flu_": 72, "fl_": 72, "instant": 72, "text": [72, 158], "editor": 72, "p1": 72, "p2": 72, "135": 72, "48": [72, 87, 93, 127], "103": 72, "had": [72, 111], "125": [72, 125], "104": 72, "0x1f": 72, "248": 72, "511": [72, 128], "rx_sop_po": [72, 94], "rx_eop_po": [72, 94], "rx_sop": [72, 94], "rx_eop": [72, 94], "tx_sop_po": [72, 94], "tx_eop_po": [72, 94], "tx_sop": [72, 94], "tx_eop": [72, 94], "_data": [72, 158], "_sop_po": 72, "_eop_po": 72, "_sop": 72, "_eop": 72, "_src_rdy": 72, "plane": 73, "eth_rx": 73, "dma_rx": 73, "dma_tx": 73, "eth_tx": 73, "mux_c": 73, "mux_a": 73, "mux_b": 73, "mux_d": 73, "player": 73, "0x000": 73, "0x004": 73, "0x008": 73, "0x00c": 73, "0x040": 73, "0x04c": 73, "tic": 73, "0x4": [73, 111, 150, 151], "0x8": 73, "0xc": 73, "0x050": 73, "0x05c": 73, "0x060": 73, "0x06c": 73, "0x070": 73, "0x07c": 73, "0x080": 73, "0x0bf": 73, "0x0c0": 73, "0x0ff": 73, "0x100": 73, "0x17f": 73, "0x180": 73, "0x1bf": 73, "0x1c0": 73, "0x1ff": 73, "mfb_generator_mi32": [73, 74], "mux": [73, 108, 121, 172, 177], "rx_dma_channel": 73, "npp_hdr_size": 73, "npp": 73, "tx_dma_channel": 73, "player_fifo_depth": 73, "rx_hdr_ins_en": 73, "same_clk": [73, 83], "asynch": 73, "convers": [73, 125, 129, 134, 139, 141, 152, 153, 179], "mi_pipe_en": 73, "fake_switch": 73, "besid": [73, 83], "eth_rx_mvb_len": 73, "eth_rx_mvb_channel": 73, "eth_rx_mvb_hdr_meta": 73, "eth_rx_mvb_discard": 73, "eth_tx_mvb_len": 73, "eth_tx_mvb_channel": 73, "eth_tx_mvb_hdr_meta": 73, "eth_tx_mvb_vld": 73, "eth_tx_mvb_src_rdi": 73, "eth_tx_mvb_dst_rdi": 73, "dst": [74, 112, 121, 158], "cntr": 74, "burst_siz": 74, "incr": [74, 112], "ch_max": [74, 112], "ch_min": [74, 112], "rr": [74, 112], "begener": 74, "0xffff": 74, "length_width": [74, 82, 96], "channels_width": 74, "pkt_cnt_width": 74, "use_pacp_arch": 74, "tx_mfb_meta": [74, 82, 84, 85, 86, 87, 89, 92, 93, 97, 100, 119], "0xff0001": [74, 112], "0x070401": [74, 112], "0xff0002": [74, 112], "0x050501": [74, 112], "mfb_frame_extend": 75, "effici": [75, 117, 119], "mvb_fifo_depth": 75, "mfb_fifo_depth": 75, "usermeta_width": [75, 77], "rx_mvb_usermeta": [75, 77], "rx_mvb_frame_length": 75, "rx_mvb_ext_siz": 75, "divis": [75, 90], "rx_mvb_ext_onli": 75, "ext_siz": 75, "rx_mvb_ext_en": 75, "rx_mvb_vld": [75, 77, 82, 86, 91, 119, 124], "rx_mvb_src_rdy": [75, 77, 82, 86, 91, 119, 124], "rx_mvb_dst_rdy": [75, 77, 82, 86, 91, 119, 124], "tx_mvb_usermeta": [75, 77], "tx_mvb_vld": [75, 77, 82, 84, 91, 96, 100, 124, 127], "tx_mvb_src_rdy": [75, 77, 82, 84, 91, 96, 100, 124, 127], "tx_mvb_dst_rdy": [75, 77, 82, 84, 91, 96, 100, 124, 127], "tx_mfb_usermeta": 75, "mfb_frame_trimm": 76, "minimalist": 76, "meta_width": [76, 78, 79, 80, 81, 83, 85, 88, 90, 92, 94, 95, 101, 104, 105, 108, 109, 111, 119, 124, 144, 147, 156, 157, 158, 159, 160], "len_width": 76, "rx_trim_en": 76, "trim": [76, 77], "rx_sof": [76, 78, 79, 80, 81, 88, 90, 95, 99, 101, 103, 128], "rx_trim_len": 76, "rx_meta": [76, 78, 79, 80, 81, 82, 88, 90, 94, 95, 99, 101, 103], "rx_sof_po": [76, 78, 79, 80, 81, 88, 90, 95, 99, 101, 103, 128], "rx_eof_po": [76, 78, 79, 80, 81, 88, 90, 95, 99, 101, 103], "rx_eof": [76, 78, 79, 80, 81, 88, 90, 95, 99, 101, 103, 128], "tx_meta": [76, 78, 79, 80, 81, 82, 88, 90, 94, 95, 99, 101, 103], "tx_sof": [76, 78, 79, 80, 88, 90, 95, 99, 101, 103, 128], "tx_sof_po": [76, 78, 79, 80, 81, 88, 90, 95, 99, 101, 103], "tx_eof_po": [76, 78, 79, 80, 81, 88, 90, 95, 99, 101, 103, 128], "tx_eof": [76, 78, 79, 80, 88, 90, 95, 99, 101, 103, 128], "mfb_crossbarx_stream2": 77, "rearrang": 77, "in_stream": 77, "pkt_id_width": 77, "mod_width": 77, "clk_x2": 77, "rx_mvb_discard": 77, "rx_mvb_mod_sof_s": 77, "truncat": 77, "rx_mvb_mod_sof_en": 77, "rx_mvb_mod_sof_typ": 77, "rx_mvb_mod_eof_s": 77, "rx_mvb_mod_eof_en": 77, "rx_mvb_mod_eof_typ": 77, "mfb_cutter_simpl": 78, "cut": [78, 82, 127, 137, 142, 143, 146], "cutted_item": 78, "meta_align": 78, "WITH": [78, 79, 80], "rx_cut": 78, "mfb_dropper": 79, "rx_drop": 79, "mfb_enabl": 80, "tx_enabl": 80, "middl": [80, 98, 138, 139, 144, 146, 154, 156, 158, 163], "stat_discard": 80, "possit": [80, 88, 99, 101, 102], "OF": 80, "mfb_frame_mask": 81, "use_pip": 81, "mfb_pipe": [81, 88], "pipe_typ": [81, 88, 108, 111], "shreg": [81, 88, 108, 111, 158], "tx_sof_mask": [81, 82], "tx_eof_mask": 81, "unmask": 81, "withouth": 81, "partial": [81, 90, 147], "tx_sof_unmask": 81, "tx_eof_unmask": 81, "tx_src_rdy_unmask": 81, "layout": 81, "tx_sof_origin": 81, "tx_eof_origin": 81, "tx_src_rdy_origin": 81, "tx_mask": 81, "brand": 81, "demonst": 81, "sof_unmask": 81, "visual": 81, "situat": [81, 90, 98, 116, 128, 136, 158], "sof_origin": 81, "menawhil": 81, "rule": [81, 98, 112, 122, 128, 140, 158, 159], "unwant": [81, 89], "behaviour": [81, 120, 161], "intefac": [81, 91], "obligatori": 81, "func": 81, "cover": [81, 120], "ex_test": 81, "unverifi": 81, "intensli": 81, "tap": [81, 158], "model": [81, 147, 181], "frame_unpack": 82, "superpacket": 82, "header_length": 82, "60": [82, 127], "littl": [82, 89], "endian": 82, "deriv": [82, 90, 93, 98, 100], "mblock_siz": 82, "deal": 82, "po": [82, 96], "mvb_item": [82, 84, 86, 91, 100, 113, 117, 118, 119, 120, 124], "mvb_item_width": [82, 86, 91, 117, 119, 158], "prepend": 82, "16b": [82, 139], "necesari": 82, "unpacking_stag": 82, "meta_out_mod": 82, "rx_mvb_data": [82, 86, 91, 119], "getit_indv_hdr_data": 82, "tx_mvb_data": [82, 91, 96, 127], "subtract": 82, "search": [82, 125], "consum": [82, 90, 121], "processor": 82, "creator": 82, "offset_processor": 82, "op": [82, 120, 148], "mvb_aggregate_last_vld": 82, "mfb_meta_width": [82, 84, 85, 86, 87, 89, 93, 96, 97, 100, 103], "max_word": 82, "strech": 82, "offset_width": [82, 96], "last_vld_impl": 82, "prefixsum": 82, "inf": [82, 87], "rx_offset": [82, 96], "u_array_t": 82, "rx_length": [82, 96], "rx_word": 82, "rx_old_sof": 82, "rx_new_sof": 82, "rx_sof_mask": 82, "tx_offset": 82, "tx_word": 82, "tx_old_sof": 82, "sof_creat": 82, "lastli": 82, "hdr_length": 82, "region_numb": 82, "analyz": [82, 127], "withing": 82, "unsign": [82, 141, 147, 158, 161, 163], "tx_length": 82, "tx_new_sof": 82, "mfb_loopback": 83, "far": 83, "fake_loopback": 83, "rx_mfb_data_in": 83, "rx_mfb_meta_in": 83, "rx_mfb_sof_in": 83, "rx_mfb_eof_in": 83, "rx_mfb_sof_pos_in": 83, "rx_mfb_eof_pos_in": 83, "rx_mfb_src_rdy_in": 83, "rx_mfb_dst_rdy_in": 83, "rx_mfb_data_out": 83, "rx_mfb_meta_out": 83, "rx_mfb_sof_out": 83, "rx_mfb_eof_out": 83, "rx_mfb_sof_pos_out": 83, "rx_mfb_eof_pos_out": 83, "rx_mfb_src_rdy_out": 83, "rx_mfb_dst_rdy_out": 83, "tx_mfb_data_out": 83, "tx_mfb_meta_out": 83, "tx_mfb_sof_out": 83, "tx_mfb_eof_out": 83, "tx_mfb_sof_pos_out": 83, "tx_mfb_eof_pos_out": 83, "tx_mfb_src_rdy_out": 83, "tx_mfb_dst_rdy_out": 83, "tx_mfb_data_in": 83, "tx_mfb_meta_in": 83, "tx_mfb_sof_in": 83, "tx_mfb_eof_in": 83, "tx_mfb_sof_pos_in": 83, "tx_mfb_eof_pos_in": 83, "tx_mfb_src_rdy_in": 83, "tx_mfb_dst_rdy_in": 83, "mfb_merger": 84, "characterist": [84, 86, 90, 91], "hdr_width": [84, 91, 147, 158], "rx0_payload_en": 84, "rx1_payload_en": 84, "input_fifo_s": 84, "sw_timeout_width": 84, "in_pipe_en": 84, "out_pipe_en": [84, 91], "rx0_mvb_hdr": 84, "rx0_mvb_payload": 84, "rx0_mvb_vld": 84, "rx0_mvb_src_rdy": 84, "rx0_mvb_dst_rdy": 84, "rx0_mfb_data": 84, "rx0_mfb_meta": 84, "rx0_mfb_sof": 84, "rx0_mfb_eof": 84, "rx0_mfb_sof_po": 84, "rx0_mfb_eof_po": 84, "rx0_mfb_src_rdy": 84, "rx0_mfb_dst_rdy": 84, "rx1_mvb_hdr": 84, "rx1_mvb_payload": 84, "rx1_mvb_vld": 84, "rx1_mvb_src_rdy": 84, "rx1_mvb_dst_rdy": 84, "rx1_mfb_data": 84, "rx1_mfb_meta": 84, "rx1_mfb_sof": 84, "rx1_mfb_eof": 84, "rx1_mfb_sof_po": 84, "rx1_mfb_eof_po": 84, "rx1_mfb_src_rdy": 84, "rx1_mfb_dst_rdy": 84, "tx_mvb_hdr": 84, "tx_mvb_payload": [84, 91], "lesser": [84, 172], "preffer": 84, "suppos": 84, "mfb_merger_simpl": 85, "cnt_max": 85, "masking_en": 85, "rx_mfb0_data": 85, "rx_mfb0_meta": 85, "rx_mfb0_sof": 85, "rx_mfb0_sof_po": 85, "rx_mfb0_eof": 85, "rx_mfb0_eof_po": 85, "rx_mfb0_src_rdy": 85, "rx_mfb0_dst_rdy": 85, "rx_mfb1_data": 85, "rx_mfb1_meta": 85, "rx_mfb1_sof": 85, "rx_mfb1_sof_po": 85, "rx_mfb1_eof": 85, "rx_mfb1_eof_po": 85, "rx_mfb1_src_rdy": 85, "rx_mfb1_dst_rdy": 85, "mfb_merger_simple_gen": 85, "merger_input": 85, "rx_mfb_meta": [85, 86, 87, 89, 92, 93, 96, 97], "metadata_insertor": 86, "insert_mod": 86, "slight": [86, 128], "mvb_fifo_s": 86, "mvb_fifox_multi": 86, "tx_mfb_meta_new": 86, "mfb_packet_delay": 87, "ts_format": 87, "ts_width": 87, "fifo_depth": [87, 101, 115, 118, 123], "2048": [87, 93], "mfb_fifox": [87, 101], "fifo_af_offset": 87, "time_reset": 87, "current_tim": 87, "decid": [87, 102, 111, 161], "ok": [87, 127], "qualiti": 87, "pause_request": 87, "rx_mfb_t": 87, "fake_pip": [88, 108], "use_dst_rdi": 88, "pattern": [89, 145, 158, 163], "slow": [89, 176], "interval_count": 89, "interval_length": 89, "section_length": 89, "proport": 89, "restor": 89, "won": 89, "suffici": [89, 98, 128], "big": [89, 172], "1000": [89, 133, 135], "arrow": 89, "10gb": [89, 129], "50gb": 89, "0gb": 89, "75gb": 89, "60gb": 89, "output_spe": 89, "recalcul": 89, "rate_limit": 89, "40": 89, "62500": 89, "0x": 89, "paragraph": 89, "sr": [89, 172], "busi": [89, 107], "ro": [89, 130, 139], "shape": [89, 110], "wo": [89, 139], "rw": [89, 127, 129, 130, 139], "whatev": 89, "wheter": 89, "accordingli": [89, 111, 128, 145], "ey": 89, "wish": [89, 158], "sure": [89, 109, 145], "rememb": [89, 110], "upon": 89, "encount": 89, "signific": [89, 111], "extrem": 89, "circumst": 89, "exce": 89, "border": 89, "parameter": 90, "bot": [90, 163], "attribut": 90, "cappabl": 90, "decreas": [90, 94, 97, 141, 152, 153], "mfb_item_reconfigur": 90, "NOT": [90, 127], "bigger": [90, 122], "mfb_block_reconfigur": 90, "ineffici": 90, "frame_align": 90, "unnessesari": 90, "meta_mod": 90, "fifo_s": 90, "mfb_region_reconfigur": 90, "rx_region": [90, 94, 127, 129], "realiz": [90, 109, 111], "fact": [90, 142, 143, 146], "conenct": 90, "wider": [90, 110], "actuali": 90, "secondari": 90, "beginig": 90, "regino": 90, "prevent": [90, 136, 137], "frames_over_tx_block": 90, "frames_over_tx_region": 90, "sake": [90, 98, 158], "difficulti": 90, "othe": 90, "mfb_splitter": 91, "mvb_meta_width": 91, "mvb_output_fifo_s": 91, "use_outreg": [91, 108], "rx_mvb_hdr": 91, "rx_mvb_meta": [91, 119], "rx_mvb_switch": 91, "rx_mvb_payload": 91, "tx0_mvb_hdr": 91, "tx0_mvb_meta": 91, "tx0_mvb_payload": 91, "tx0_mvb_vld": 91, "tx0_mvb_src_rdy": 91, "tx0_mvb_dst_rdy": 91, "tx0_mfb_data": [91, 92], "tx0_mfb_sof": [91, 92], "tx0_mfb_eof": [91, 92], "tx0_mfb_sof_po": [91, 92], "tx0_mfb_eof_po": [91, 92], "tx0_mfb_src_rdy": [91, 92], "tx0_mfb_dst_rdy": [91, 92], "tx1_mvb_hdr": 91, "tx1_mvb_meta": 91, "tx1_mvb_payload": 91, "tx1_mvb_vld": 91, "tx1_mvb_src_rdy": 91, "tx1_mvb_dst_rdy": 91, "tx1_mfb_data": [91, 92], "tx1_mfb_sof": [91, 92], "tx1_mfb_eof": [91, 92], "tx1_mfb_sof_po": [91, 92], "tx1_mfb_eof_po": [91, 92], "tx1_mfb_src_rdy": [91, 92], "tx1_mfb_dst_rdy": [91, 92], "mfb_splitter_gen": 91, "splitter_output": [91, 92], "output_fifo_s": 91, "mfb_splitter_simpl": 92, "rx_mfb_sel": 92, "tx0_mfb_meta": 92, "tx1_mfb_meta": 92, "mfb_splitter_simple_gen": 92, "numer": [92, 158], "ofc": 92, "mfb_timestamp_limit": 93, "rx_mfb_timestamp": 93, "timestamp_format": 93, "kept": [93, 105, 136, 137], "delay": [93, 174], "clk_frequenc": 93, "external_time_src": 93, "external_tim": 93, "mi_reset_reg": 93, "mi_sel_queue_reg": 93, "return": [93, 109, 128, 147, 158, 163], "bypass": [93, 96], "redirect": 93, "ON": 93, "mi_top_speed_reg": 93, "200000000": 93, "hz": 93, "timestamp_width": 93, "buffer_s": 93, "buffer_af_offset": 93, "pause_queu": 93, "rx_mfb_queue": 93, "mfb_transform": 94, "solut": [94, 158], "fewer": 94, "region_aux_en": 95, "block_aux_en": 95, "item_aux_en": 95, "tx_region_shar": 95, "tx_region_vld": 95, "tx_block_vld": 95, "tx_item_vld": 95, "checksum_calcul": 96, "ipv4": 96, "tcp": 96, "udp": 96, "rx_chsum_en": 96, "invert": [96, 125], "tx_chsum_bypass": 96, "rework": 96, "network_ord": 96, "tx_mvb_meta": 96, "calucul": 96, "crossbarx_stream": 97, "shrink": 97, "front": 97, "cx_use_clk2": 97, "cx_use_clk_arb": 97, "obuf_meta_eq_output": 97, "obuf_input_eq_output": 97, "trans_fifo_s": [97, 129], "f_gap_adjust_en": 97, "smallest": [97, 98], "f_gap_adjust_size_avg": 97, "f_gap_adjust_size_min": 97, "f_extend_start_en": 97, "f_extend_start_s": 97, "neg": [97, 125], "f_extend_end_en": 97, "f_extend_end_s": 97, "rx_clk2": 97, "rx_reset": [97, 99, 103, 127, 129, 147], "tx_reset": [97, 99, 103, 127, 129], "cx_clk_arb": 97, "cx_reset_arb": 97, "rx_mfb_discard": 97, "cx": 97, "basi": 98, "great": 98, "versatil": 98, "recogn": 98, "placement": 98, "incomplet": 98, "word_width": [98, 122, 161], "sof_pos_width": 98, "eof_pos_width": 98, "transmitt": [98, 122], "1001": 98, "exact": 98, "logarithm": [98, 139], "multipli": [98, 111, 138, 139, 161, 172], "1110": [98, 122], "unlik": 98, "product": 98, "101_000_000_000": 98, "5th": 98, "3rd": [98, 111], "110_010_000_011": 98, "zeroth": 98, "0th": [98, 128], "6th": 98, "earlier": [98, 158, 164], "six": [98, 160], "000000_000000_000000_000000": 98, "001100_011111_000000_000001": 98, "31st": 98, "12th": 98, "110010_010101_111000_110000": 98, "48th": 98, "56th": 98, "21st": 98, "50th": 98, "simplic": [98, 128, 158], "hexadecim": [98, 109], "letter": 98, "conduct": [98, 122], "hold": [98, 127, 129, 158], "underscor": 98, "convent": 98, "lsb": [98, 107, 116, 122, 128], "maintain": [98, 122, 176], "wherea": [98, 122, 158], "mfb_asfifox": 99, "transit": [99, 104, 128, 148], "rx_aful": [99, 103], "rx_statu": 99, "tx_aempti": 99, "tx_statu": 99, "mfb_crossbarx_output_buff": 100, "automaticli": 100, "mfb_meta_with_sof": 100, "buf_block": 100, "data_block_s": 100, "data_item_width": 100, "buf_word": 100, "meta_eq_output": 100, "clk_meta": 100, "clk_out": 100, "input_eq_output": 100, "clk_in": 100, "alias": 100, "data_block_width": 100, "buf_byt": 100, "reset_meta": 100, "reset_in": 100, "reset_out": 100, "wr_ie": 100, "rx_hdr_meta": 100, "rx_hdr_mfb_meta": 100, "rx_hdr_chan": 100, "rx_hdr_addr": 100, "rx_hdr_len": 100, "rx_hdr_vld": 100, "rx_hdr_src_rdy": 100, "rx_hdr_dst_rdy": 100, "rd_ptr": 100, "pkt_sent_len": 100, "pkt_sent_src_rdi": 100, "pkt_sent_dst_rdi": 100, "tx_mvb_len": 100, "tx_mvb_hdr_meta": 100, "tx_mvb_channel": 100, "fifo_width": [101, 120, 123], "288000": [101, 120, 123], "almost_ful": [101, 123], "almost_empti": [101, 123], "fifo_statu": 101, "fifo_aful": 101, "fifo_aempti": 101, "multip": 102, "immidi": [102, 136], "ptr": 102, "delet": [102, 125, 158, 160], "himself": 102, "pd": [102, 129, 174], "mfb_pd_asfifo_simpl": 103, "afull_offset": 103, "rx_discard": [103, 114, 158], "unexpect": [104, 134], "reset_log": 104, "violat": 104, "clk_m": 104, "reset_m": 104, "mi_m_dwr": 104, "mi_m_mwr": 104, "mi_m_addr": 104, "mi_m_rd": 104, "mi_m_wr": 104, "mi_m_b": 104, "mi_m_drd": 104, "mi_m_ardi": 104, "mi_m_drdi": 104, "clk_": 104, "reset_": 104, "mi_s_dwr": 104, "mi_s_mwr": 104, "mi_s_addr": 104, "mi_s_rd": 104, "mi_s_wr": 104, "mi_s_b": 104, "mi_s_drd": 104, "mi_s_ardi": 104, "mi_s_drdi": 104, "gate": [105, 172], "mi_mwr": 105, "avmm_address": 105, "avmm_writ": 105, "avmm_read": 105, "avmm_byteen": 105, "avmm_writedata": 105, "avmm_readdata": 105, "avmm_readdatavalid": 105, "avmm_waitrequest": 105, "debugaccess": 105, "writeresponsevalid": 105, "lock": [105, 125, 146], "burstcount": 105, "beginbursttransf": 105, "readdatavalid": 105, "opposit": [105, 128], "drdy": [105, 109, 160], "unabl": 105, "ardi": [105, 109, 160], "negat": 105, "waitrequestallow": 105, "axi_data_width": [106, 131], "axi_awid": 106, "axi_awaddr": 106, "axi_awlen": 106, "axi_aws": 106, "axi_awburst": 106, "axi_awprot": 106, "axi_awvalid": 106, "axi_awreadi": 106, "axi_wdata": 106, "axi_wstrb": 106, "axi_wvalid": 106, "axi_wreadi": 106, "axi_bid": 106, "axi_bresp": 106, "axi_bvalid": 106, "axi_breadi": 106, "axi_arid": 106, "axi_araddr": 106, "axi_arlen": 106, "axi_ars": 106, "axi_arburst": 106, "axi_arprot": 106, "axi_arvalid": 106, "axi_arreadi": 106, "axi_rid": 106, "axi_rdata": 106, "axi_rresp": 106, "axi_rlast": 106, "axi_rvalid": 106, "axi_rreadi": 106, "indirectli": 107, "mi_indirect_access": 107, "output_interfac": 107, "rx_addr": [107, 111], "rx_dwr": [107, 111], "rx_wr": [107, 111], "rx_rd": [107, 111], "rx_ardi": [107, 111], "rx_drd": [107, 111], "rx_drdy": [107, 111], "tx_addr": [107, 111], "tx_dwr": [107, 111], "tx_wr": [107, 111], "tx_rd": [107, 111], "tx_ardi": [107, 111], "tx_drd": [107, 111], "tx_drdy": [107, 111], "reqest": 107, "cmd": [107, 127, 129], "mi_pip": [108, 134], "misc": 108, "opt": 108, "in_dwr": 108, "in_mwr": 108, "in_addr": 108, "in_b": [108, 147], "in_rd": 108, "in_wr": 108, "in_ardi": 108, "in_drd": 108, "in_drdi": 108, "out_dwr": 108, "out_mwr": 108, "out_b": 108, "out_rd": 108, "out_wr": 108, "out_ardi": 108, "out_drd": 108, "out_drdi": 108, "dwr": [109, 110, 160], "mwr": 109, "drd": [109, 110, 160], "surpris": 109, "recipi": 109, "american": 109, "influenc": [109, 117], "vast": 109, "disjoint": 109, "critic": 109, "com": 109, "theoret": 109, "essenti": [109, 158], "realli": 109, "understand": 109, "d0": [109, 164], "b0": [109, 158, 163, 164], "a0": 109, "inact": 109, "arbitrarili": 109, "d2": 109, "d3": 109, "principl": [109, 116], "a1": [109, 128], "respond": [109, 134, 158, 160], "anyhow": 109, "regardless": 109, "d1": 109, "coupl": 109, "answer": 109, "a2": [109, 128], "a5": 109, "a3": 109, "respones": 109, "d8": [109, 164], "fourth": [109, 111], "d9": 109, "aim": 109, "1234": 109, "98xx": 109, "98": 109, "xx": 109, "requ": 109, "54xx": 109, "5476": 109, "4321": 109, "67xx": 109, "0089": 109, "0045": 109, "produc": [110, 147], "rquest": 110, "pipe_out": 111, "pipe_outreg": 111, "init_addr_base_downto": 111, "caution": 111, "init_addr_mask_downto": 111, "chain": [111, 172], "port_map": 111, "init_port_mapping_downto": 111, "constain": 111, "rx_mwr": 111, "rx_be": 111, "tx_mwr": 111, "tx_be": 111, "said": 111, "theori": 111, "abnorm": 111, "perhap": 111, "0x3": 111, "0x7": 111, "0x00000003": 111, "0x00000004": 111, "0x00000007": 111, "0x00000008": 111, "0x0000000b": 111, "0x0000000c": 111, "0x0000000f": 111, "0x00000010": 111, "0x000000013": 111, "0x00000014": 111, "0x000000017": 111, "0x00000018": 111, "0xfffffffff": 111, "0x00000001": 111, "0x00000002": 111, "0x00000005": 111, "0x00000006": 111, "0x0000001c": 111, "ORed": 111, "interleav": 111, "yourselv": 111, "bin": [111, 158], "0x0000001f": 111, "000000": 111, "000111": 111, "0x00000020": 111, "0x0000003f": 111, "001000": 111, "001111": 111, "0x00000040": 111, "0x00000077": 111, "010000": 111, "011101": 111, "0x00000078": 111, "0x000000ab": 111, "011110": 111, "101010": 111, "0x000000ac": 111, "0x000000cb": 111, "101011": 111, "110010": 111, "0x000000cc": 111, "0x000000f7": 111, "110011": 111, "111101": 111, "0x000000f8": 111, "0x000001fb": 111, "111110": 111, "occupi": [111, 122], "111111": 111, "advanc": [111, 140, 159, 173], "gonna": 111, "fifth": 111, "somewhat": 111, "messi": 111, "talk": 111, "pretti": 111, "0xffffffff": 111, "mvb_channel_router_mi": 112, "default_mod": 112, "distr": 112, "0x000004": 112, "0x000008": 112, "rsvd": 112, "opt_mod": 112, "ch_cnt": 112, "ch_out": 112, "ch_next": 112, "dst_channel": 112, "ch_diff": 112, "src_channel": 112, "rx_vld": [112, 113, 114, 117, 118, 120, 121, 123], "tx_vld": [112, 113, 114, 115, 117, 118, 120, 121, 123], "demultiplex": [113, 172], "gen_mvb_demux": 113, "demux_width": 113, "data_demux": 113, "mvb_merge_item": 115, "alig": 115, "rx0": 115, "rx0_item": 115, "rx0_item_width": 115, "rx1_item": 115, "rx1": 115, "rx1_item_width": 115, "rx0_fifo_en": 115, "rx0_data": 115, "rx0_vld": 115, "rx0_src_rdy": 115, "rx0_dst_rdy": 115, "rx1_data": 115, "rx1_vld": 115, "rx1_src_rdy": 115, "rx1_dst_rdy": 115, "tx_data0": 115, "tx_data1": 115, "lost": 116, "din": 116, "din_vld": 116, "din_src_rdi": 116, "din_dst_rdi": 116, "dout": 116, "dout_vld": 116, "dout_src_rdi": 116, "dout_dst_rdi": 116, "obsolet": [116, 127, 129, 168, 173], "risk": 116, "input_data": 116, "output_data": 116, "output_src_rdi": 116, "output_dst_rdi": 116, "mvb_merge_stream": 117, "sw_timeout_w": 117, "rx_shakedown_en": 117, "rx_stream": 117, "gen_mvb_mux": 118, "mux_width": 118, "rx_sel_data": 118, "rx_sel_vld": 118, "rx_sel_src_rdi": 118, "rx_sel_dst_rdi": 118, "536": 119, "mfb_align": 119, "mvb_oper": 120, "fork": [120, 158], "rx_op_en": 120, "rx_op_vld": 120, "tx_op_vld": 120, "reorder": [120, 125], "asap": 120, "rsp_item_width": 120, "latency_fifo_en": 120, "latency_fifo_depth": 120, "latency_fifo_ram_typ": 120, "tx_op_data": 120, "tx_op_src_rdi": 120, "tx_op_dst_rdi": 120, "rx_op_respons": 120, "exacli": 120, "rx_op_src_rdi": 120, "rx_op_dst_rdi": 120, "tx_respons": 120, "tx_response_vld": 120, "th": 120, "mvb_shakedown": 121, "rx_item": 121, "tx_item": 121, "independetli": 121, "shake_port": 121, "shake": 121, "carefulli": 121, "multi_fifox": 121, "ingor": 121, "implemet": 121, "use_mux_impl": 121, "emul": 121, "tx_next": 121, "creation": [122, 142, 143, 146, 154, 158], "particip": 122, "arrang": [122, 139], "stand": 122, "whose": [122, 172], "understood": 122, "waveform": 122, "mvb_fifox": 123, "mvb_lookup_t": 124, "lut_depth": 124, "lut_width": 124, "lut_arch": 124, "sw_width": 124, "rx_mvb_lut_addr": 124, "rx_mvb_metadata": 124, "tx_mvb_lut_data": 124, "tx_mvb_lut_addr": 124, "tx_mvb_metadata": 124, "sw_addr": 124, "sw_slice": 124, "sw_din": 124, "sw_be": 124, "sw_write": 124, "sw_read": 124, "sw_dout": 124, "sw_dout_vld": 124, "phy_40g": 125, "40g": 125, "gbase": 125, "ieee": 125, "802": 125, "claus": 125, "gty": 125, "rxp": 125, "txp": 125, "cr": 125, "fabric": 125, "mii": [125, 127, 129, 148], "45": 125, "async": [125, 175], "clk_stabl": 125, "xlgmii": [125, 127, 129], "stabl": 125, "xlgmii_clk": 125, "156": 125, "25mhz": 125, "xlgmii_txd": 125, "xlgmii_txc": 125, "xlgmii_rxd": 125, "xlgmii_rxc": 125, "refclk_in": 125, "322": 125, "refclk_p": 125, "265625": 125, "refclk_n": 125, "refclk_out": 125, "drpclk": 125, "rxn": 125, "txn": 125, "rxpolar": 125, "polar": 125, "txpolar": 125, "_det": 125, "tx_path_40g": 125, "rx_path_40g": 125, "pma_xlaui_gti": 125, "scrambl": [125, 146, 162], "marker": 125, "gbaser_encod": 125, "pcs_tx_fifo_deprec": 125, "compens": 125, "scrambler": [125, 146], "scrambler_gen": 125, "49": 125, "am": 125, "am_in": 125, "deskew": 125, "gbaser_decod": 125, "pcs_rx_fifo_deprec": 125, "descrambl": [125, 146], "descrambler_gen": 125, "polynomi": 125, "lane_align": 125, "ber": 125, "ber_mon": 125, "hi_ber": 125, "reliabl": 125, "deseri": 125, "recov": 125, "gty_40g": 125, "bitrat": 125, "3125": 125, "gearbox": 125, "moreov": 125, "block_lock": [125, 162], "boundari": [125, 141, 152, 153], "startup": 125, "collect": 125, "ref": [125, 160], "0x10000": 125, "0x10004": 125, "0x10008": 125, "0x1000c": 125, "0x10010": 125, "0x10014": 125, "0x10018": 125, "10g": [125, 150, 151], "epon": 125, "p2mp": 125, "0x30000": 125, "0x30004": 125, "0x30008": 125, "0x3000c": 125, "0x30010": 125, "0x30040": 125, "0x30058": 125, "0x30064": 125, "50": [125, 158, 164], "51": 125, "0x30068": 125, "52": 125, "53": 125, "0x30190": 125, "bip": 125, "201": 125, "0x301b4": 125, "218": 125, "219": 125, "0x30320": 125, "401": 125, "0x30344": 125, "418": 125, "419": 125, "thank": [127, 129], "umii": [127, 129], "xgmii": [127, 129], "cdgmii": [127, 129], "wip": [127, 129], "fly": 127, "sampl": [127, 129, 140, 158, 161], "trfc": 127, "cfc": 127, "dfc": [127, 129], "bodfc": 127, "octet": [127, 129, 145], "oroc": 127, "strobe": [127, 129], "trfcl": 127, "cfcl": 127, "dfcl": [127, 129], "bodfcl": 127, "trfch": 127, "cfch": 127, "dfch": [127, 129], "bodfch": 127, "0x30": [127, 129], "orocl": 127, "oroch": 127, "soon": [127, 129], "kind": [127, 128], "adapter_error": 127, "notifi": 127, "crc_error": 127, "mintu_check": 127, "mtu_check": 127, "mac_check": 127, "mfifo_ovf": 127, "mfifo": 127, "dfifo_ovf": 127, "dfifo": 127, "21": 127, "inbandfc": 127, "fc": 127, "fsc": 127, "isn": 127, "mac_count": 127, "cam": [127, 173], "rfc": 127, "ifg": 127, "preambl": [127, 145], "sfd": 127, "efd": 127, "1526": 127, "promiscu": 127, "brodcast": 127, "cgmii": 127, "47": 127, "upper": [127, 138], "enlarg": 127, "128b": [127, 130], "resize_buff": 127, "network_port_id": 127, "pkt_mtu_byt": [127, 129], "16384": [127, 129], "crc_is_receiv": 127, "crc_check_en": 127, "crc_remove_en": 127, "mac_check_en": 127, "timestamp_en": 127, "rx_mfb_error": 127, "adapter_link_up": 127, "tsu_ts_dv": 127, "link_up": 127, "incoming_fram": 127, "abid": 128, "sole": 128, "mfb_to_lbus_reconf": 128, "paramt": 128, "alhough": 128, "paremet": 128, "manner": 128, "sh_fsm": 128, "fulli": [128, 137], "preced": [128, 130], "unprocess": 128, "simplest": 128, "move": [128, 176], "world": [128, 158], "gain": 128, "undergo": 128, "ordinari": 128, "spacer": 129, "ipg": 129, "mfb_pd_asfifo": 129, "asfifo": [129, 137, 168, 174], "tfcl": 129, "octect": 129, "socl": 129, "sfcl": 129, "tfch": 129, "soch": 129, "sfch": 129, "tfc": 129, "sfc": 129, "resize_on_tx": 129, "ipg_generate_en": 129, "rx_include_crc": 129, "checksum": [129, 174], "rx_include_ipg": 129, "crc_insert_en": 129, "use_dsp_cnt": 129, "eth_vers": 129, "over10gb": 129, "standart": 129, "rx_clk_x2": 129, "outgoing_fram": 129, "0x0d7b": 130, "rev": 130, "0x020": 130, "0x1": [130, 150, 151], "1b": [130, 139], "26b": 130, "dtb_pkg": 130, "particular": [130, 141, 152, 153], "indirect": [130, 175], "announc": 130, "bind": 130, "pcie_cq_axi2mfb": 131, "256b": 131, "straddl": 131, "mfb_region_width": [131, 134], "cq_user_width": 131, "183": [131, 135], "gen3x16": 131, "88": [131, 135], "gen3x8": 131, "85": [131, 135], "axi_cquser_width": 131, "permit": 131, "pg213": 131, "cq_axi_data": 131, "cq_axi": 131, "cq_axi_us": 131, "sideband": 131, "trasfer": 131, "cq_axi_last": 131, "cq_axi_keep": 131, "cq_axi_valid": 131, "cq_axi_readi": 131, "cq_mfb_data": [131, 134], "cq_mfb": 131, "cq_mfb_sof": [131, 134], "cq_mfb_eof": [131, 134], "cq_mfb_sof_po": [131, 134], "cq_mfb_eof_po": [131, 134], "cq_mfb_src_rdy": [131, 134], "cq_mfb_dst_rdy": [131, 134], "cq_tph_present": 131, "hint": 131, "tph": 131, "cq_tph_type": 131, "ph": 131, "cq_tph_st_tag": 131, "steer": 131, "cq_fbe": 131, "cq_lbe": 131, "pcie_cc_mfb2axi": 131, "cc_user_width": 131, "axi_ccuser_width": 131, "cc_mfb_data": [131, 134], "cc_mfb": 131, "cc_mfb_sof": [131, 134], "cc_mfb_eof": [131, 134], "cc_mfb_sof_po": [131, 134], "cc_mfb_eof_po": [131, 134], "cc_mfb_src_rdy": [131, 134], "cc_mfb_dst_rdy": [131, 134], "cc_axi_data": 131, "cc_axi": 131, "cc_axi_us": 131, "cc_axi_last": 131, "cc_axi_keep": 131, "cc_axi_valid": 131, "cc_axi_readi": 131, "pcie_byte_count": 132, "dw_count": 132, "first_b": [132, 133], "last_b": [132, 133], "in_dw_count": 132, "in_first_b": 132, "in_last_b": 132, "out_first_ib": 132, "out_last_ib": 132, "out_byte_count": 132, "pcie_byte_en_decod": 133, "contiuou": 133, "0010": [133, 135], "0101": 133, "0100": [133, 135], "1100": 133, "0110": 133, "fbe_in": 133, "lbe_in": 133, "fbe_out": 133, "lbe_out": 133, "broken": 134, "guest": 134, "transal": 134, "bar1": 134, "bar3": 134, "bar5": 134, "cc_pipe": 134, "cq_pipe": 134, "endpoint_typ": 134, "ctl_max_payload_s": 134, "000b": 134, "001b": 134, "010b": 134, "011b": 134, "ctl_bar_apertur": 134, "apertur": [134, 135], "usabl": [134, 162], "cq_mfb_meta": 134, "cc_mfb_meta": 134, "mi_funct": 134, "pcie_rq_hdr_gen": 135, "in_address": 135, "in_vfid": 135, "in_tag": 135, "tag_8": 135, "tag_9": 135, "in_dw_cnt": 135, "in_attribut": 135, "snoop": 135, "in_fb": 135, "in_lb": 135, "in_addr_len": 135, "in_req_typ": 135, "out_head": 135, "pcie_cc_hdr_gen": 135, "fo": 135, "in_lower_addr": 135, "in_byte_cnt": 135, "in_comp_st": 135, "in_req_id": 135, "in_tc": 135, "class": [135, 140, 141, 144, 145, 152, 153, 154, 156, 157, 158, 159, 160, 163], "in_address_typ": 135, "in_meta_func_id": 135, "in_bus_num": 135, "comp_with_data": 135, "pcie_rc_hdr_depars": 135, "out_low_addr": 135, "out_complet": 135, "out_dw_cnt": 135, "out_tag": 135, "out_byte_cnt": 135, "out_attribut": 135, "out_comp_st": 135, "in_head": 135, "pcie_cq_hdr_depars": 135, "cquser_width": 135, "out_address": 135, "out_req_id": 135, "out_tc": 135, "out_fb": 135, "out_lb": 135, "out_address_typ": 135, "out_target_func": 135, "meta_func_id": 135, "out_bar_id": 135, "out_bar_apertur": 135, "out_addr_len": 135, "out_req_typ": 135, "msg": [135, 158], "msgd": 135, "in_axi_tus": 135, "tuser": [135, 140, 154], "in_intel_meta": 135, "bar_apertur": 135, "bar_id": 135, "target_func": 135, "accross": [136, 137], "storag": [136, 137], "n_loop_op": [136, 172], "credit": 136, "remap": 137, "codapa": 137, "checker": 137, "halt": 137, "tsu_format_to_n": [138, 139], "ts_n": [138, 139], "eventhough": 138, "reg_bitmap": 138, "001": [138, 139], "010": 138, "ts_tsu": 138, "gp": 139, "mi_data_reg": 139, "ctrl_reg": 139, "3b": 139, "incr_val_reg": 139, "realtime_reg": 139, "pps_reg": 139, "2b": 139, "inta": 139, "ts_dv": 139, "1hz": 139, "2hz": 139, "3hz": 139, "multiplexor": 139, "39b": 139, "96b": 139, "ts_mult_smart_dsp": 139, "smarter": 139, "ts_mult_use_dsp": 139, "pps_sel_width": 139, "clk_sel_width": 139, "synchroni": 139, "pps_n": 139, "pps_src": 139, "pps_sel": 139, "clk_freq": 139, "clk_src": 139, "clk_sel": 139, "fraction": 139, "xanosecond": 139, "logic_vector_array_axi": [140, 148], "tuser_width": [140, 154], "dut": [140, 141, 144, 147, 152, 153, 154, 156, 158, 159, 160, 161, 163, 164], "tdata": [140, 154], "tkeep": 140, "tlast": 140, "tvalid": 140, "treadi": [140, 154], "drive": [140, 145, 150, 151, 158, 162], "tkeep_width": 140, "careful": [140, 159], "dont": [140, 159], "passiv": [141, 150, 151, 152, 153, 158, 162], "randomiz": [141, 152, 153], "sequence_item": [141, 144, 147, 148, 152, 153, 154, 156, 157, 158, 161], "do_copi": [141, 150, 151, 152, 153, 161, 162], "do_compar": [141, 150, 151, 152, 153, 161, 162], "convert2str": [141, 150, 151, 152, 153, 158, 161, 162, 164], "subclass": [141, 152, 153, 158], "byte_array_mfb": [141, 148, 158, 159], "interest": [141, 152, 153], "randomli": [141, 144, 152, 153, 156, 157, 158, 160, 163], "sequence_simpl": [141, 147, 152, 153, 158, 163], "sequence_simple_const": [141, 152, 153], "sequence_simple_gauss": [141, 152, 153], "sequence_simple_inc": [141, 152, 153], "sequence_simple_dec": [141, 152, 153], "sequence_lib": [141, 152, 153, 158], "pick": [141, 152, 153], "config_sequ": [141, 144, 152, 153, 154, 156, 157, 158], "array_size_set": [141, 152, 153], "type_id": [141, 144, 147, 152, 153, 154, 156, 157, 158, 164], "cfg": [141, 144, 152, 153, 154, 156, 157, 158], "agent": [142, 143, 144, 145, 146, 148, 154, 155, 156, 157, 181], "acumul": [142, 143], "quest": [142, 143], "chunk": [142, 143, 146, 164], "bytes_vld": [142, 143, 150, 151], "null": [142, 143, 144, 147, 154, 156, 157, 158], "observ": [144, 154, 156, 158], "regions_s": [144, 156, 159], "mfb_data": [144, 156], "mfb_metadata": [144, 156], "pull": [144, 156, 158], "analysis_export": [144, 147, 154, 156, 157, 158], "uvm_act": [144, 154, 156, 157], "uvm_pass": [144, 154, 156, 157], "interface_nam": [144, 154, 156, 157, 158, 161], "databas": [144, 154, 156, 157, 158], "meta_behav": [144, 156, 157], "config_item": [144, 154, 156, 157], "meta_sof": [144, 156, 157], "meta_eof": [144, 156], "seq_cfg": [144, 154, 156, 157, 158], "byte_arrai": [144, 145, 158, 163], "reset_sync": [144, 154, 156, 157, 163], "uvm_test": [144, 154, 156, 157, 158], "uvm_componet_util": [144, 154, 156, 157], "m_reset": [144, 154, 156, 157], "env_rx": [144, 154, 156, 157], "m_env": [144, 154, 156, 157, 158], "uvm_compon": [144, 147, 154, 156, 157, 158], "super": [144, 147, 154, 156, 157, 158, 176], "endfunct": [144, 147, 154, 156, 157, 158], "void": [144, 147, 154, 156, 157, 158, 164], "build_phas": [144, 147, 154, 156, 157, 158], "uvm_phas": [144, 147, 154, 156, 157, 158], "phase": [144, 147, 154, 156, 157, 158], "m_cfg": [144, 154, 156, 157], "mfb_if": [144, 156, 157, 158], "space_size_set": [144, 154, 156, 157], "uvm_config_db": [144, 154, 156, 157, 158], "byte_array_mfb_env": 144, "m_eth": [144, 156, 157], "m_config": [144, 154, 156, 157, 158], "byte_arra_mfb": 144, "connect_phas": [144, 147, 154, 156, 157, 158], "sync_connect": [144, 154, 156, 157, 163], "endclass": [144, 147, 154, 156, 157, 158], "probability_set": [144, 154, 156], "percentig": 144, "infram": [144, 154, 156], "sequence_simple_rx_bas": [144, 154, 156, 157], "create_sequence_item": [144, 154, 156, 157, 160, 164], "child": [144, 154, 156, 157], "try_get": [144, 154, 156, 158], "download": 144, "state_packet_non": [144, 154, 156], "state_packet_new": [144, 154, 156], "state_packet_data": [144, 154, 156], "state_pakcet_spac": [144, 154, 156], "state_packet_space_new": [144, 154, 156], "env": [144, 148, 154, 156, 157, 158, 163, 164], "sequence_lib_rx": [144, 154, 156, 157], "sequence_simple_rx": [144, 154, 156], "sequence_full_speed_rx": [144, 154, 156, 157], "sequence_stop_rx": [144, 154, 156, 157], "repetit": [144, 154, 156, 157], "mfb_rx_speed": [144, 156], "init_sequence_librari": [144, 154, 156, 157, 158], "init_sequ": [144, 154, 156, 157, 158], "param_cfg": [144, 156, 157, 158], "add_sequ": [144, 154, 156, 157, 158], "get_typ": [144, 154, 156, 157, 158], "set_inst_overrid": [144, 154, 156, 157, 158], "get_full_nam": [144, 154, 156, 157, 158, 164], "introduc": [145, 158], "guarante": 145, "xmii": 145, "inherit": [145, 147, 164], "append": 145, "idle_count_min": 145, "idle_count_max": 145, "retriev": 145, "flush": [145, 158], "ther": 146, "machin": 146, "termin": [146, 162], "tehr": 146, "percentag": [146, 154, 156], "polynom": 146, "39": 146, "58": 146, "rand_rdi": 147, "rand_length": 147, "rdy": [147, 150, 151, 158], "reprez": 147, "folow": 147, "interfam": 147, "uvm_sequ": [147, 158], "uvm_object_util": [147, 158], "uvm_common": [147, 158], "gab": 147, "rand_rdy_rand": 147, "rand_length_rand": 147, "bodi": [147, 158], "forev": [147, 158], "int": [147, 158, 163], "m_valu": 147, "send_empty_fram": 147, "hl_sequenc": [147, 158], "hl_transact": 147, "beign": [147, 163], "send_fram": 147, "endtask": [147, 158, 163, 164], "comparer_base_ord": 147, "model_item": [147, 158], "dut_item": [147, 158], "comparer_base_disord": 147, "comparer_base_tag": [147, 158], "comparer_ord": [147, 158], "class_typ": 147, "comparer_disord": 147, "comparer_tag": 147, "watchdog": [147, 176], "dut_tr_timeout_set": 147, "model_tr_timeout_set": 147, "analysis_imp_model": [147, 158], "analysis_imp_dut": [147, 158], "reimplement": [147, 158], "model_typ": 147, "tr_model": [147, 158], "dut_typ": 147, "tr_dut": [147, 158], "scoreboard_channel_head": 147, "packet_head": 147, "uvm_logic_vector": [147, 158], "uvm_component_param_util": [147, 158], "uvm_app_cor": 147, "eq": 147, "clog2": [147, 159], "packet_s": 147, "error_msg": 147, "0d": [147, 158], "swrite": [147, 158], "tdiscard": 147, "tchannel": 147, "tmeta": 147, "tpacket_s": 147, "scenario": [147, 158], "entity_i": 147, "entity_a": 147, "ea_do": 147, "eb1_di": 147, "entity_b1_i": 147, "entity_b": 147, "eb1_do": 147, "eb2_di": 147, "entity_b2_i": 147, "eb2_do": 147, "fifo_en1_input": 147, "uvm_component_util": [147, 158], "uvm_analysis_imp_export": 147, "analysis_expoert": 147, "push_back": [147, 158], "fifo_en2_input": 147, "model_entityb": 147, "uvm_scoreboard": [147, 158], "model_a": 147, "m_model_a": 147, "model_b": 147, "m_model_b1": 147, "m_model_b2": 147, "mb1_in": 147, "mb2_in": 147, "cast": [147, 158], "m_fifo_input": 147, "uvm_tlm_analysis_fifo": 147, "in_a": 147, "run_phas": [147, 158], "tr_in_a": 147, "tr_in_b": 147, "tr_out": [147, 158], "time_array_add": 147, "m1_": 147, "enviro": [148, 163], "sychron": 148, "logic_vector_mvb": 148, "inner": [148, 158], "logic_vector_array_mfb": [148, 153, 157], "seg": 148, "sequence_rx_bas": 148, "sequence_tx_bas": 148, "ce_gener": 148, "ipg_gener": 148, "channel_align": 148, "data_buff": 148, "sequence_rx": [148, 158], "sequence_tx": 148, "lii": 148, "media": [150, 151], "throught": [150, 151], "eeof": [150, 151], "ordder": [150, 151], "http": [150, 151], "gitlab": [150, 151], "hft": [150, 151], "friedl": [150, 151], "feat": [150, 151], "ethphi": [150, 151], "eth_phi": [150, 151], "edb": [150, 151], "fast_sof": [150, 151], "earli": [150, 151], "ligc_vector_array_mfb": 152, "ligic": 152, "logic_vector": [152, 157], "convert2block": 153, "item_s": [154, 156], "logic_vector_arrai": [154, 156], "axi_if": 154, "logic_vector_array_axi_env": 154, "quicki": 154, "axi_rx_spe": 154, "logic_vector_array_mfb_env": 156, "items_width": 157, "logic_vector_mvb_env": 157, "sequence_rand_rx": 157, "sequence_burst_rx": 157, "mvb_rx_speed": 157, "doulo": 158, "guidelin": 158, "pack": 158, "unpack": [158, 174], "asic": 158, "einfochip": 158, "seriou": 158, "net": 158, "namespac": 158, "surround": 158, "mfb_properti": 158, "rx_mfb": 158, "prop_rdi": 158, "posedg": 158, "iff": 158, "b1": [158, 163, 164], "isunknown": 158, "endproperti": 158, "endmodul": 158, "try_next_item": 158, "get_next_item": 158, "4n": 158, "desynchron": 158, "race": 158, "10n": 158, "pkg": [158, 164], "start_item": [158, 163, 164], "finish_item": [158, 163, 164], "uvm_driv": 158, "vif": 158, "seq_item_port": 158, "cb": 158, "item_don": 158, "stick": 158, "registr": 158, "macro": [158, 164], "_rx": 158, "_tx": 158, "sequence_": 158, "m_": 158, "uvm_ag": 158, "uvm_analysis_port": 158, "analysis_port": 158, "m_sequenc": 158, "m_driver": 158, "m_monitor": 158, "axi_lite_interfac": 158, "seq_item_export": 158, "pre_do": 158, "mid_do": 158, "post_do": 158, "difficult": 158, "simple_simpl": 158, "uvm_sequence_item": 158, "this_item": 158, "size_max": 158, "uncov": 158, "improv": [158, 160], "effort": 158, "sequence_packet_smal": 158, "c_transact": 158, "2000": 158, "c_char_width": 158, "sequence_packet_larg": 158, "10000": 158, "200000": 158, "sequence_librari": 158, "uvm_sequence_library_util": 158, "redefin": 158, "uvm_env": 158, "m_agent": 158, "uvm_components_": 158, "uvm_object_": 158, "uvm_components_util": 158, "wrong": 158, "strang": 158, "unless": 158, "uvm_packag": 158, "factori": 158, "byte_array_moinitor": 158, "byte_array_mfb_monitor": 158, "m_byte_array_ag": 158, "byte_array_ag": 158, "m_mfb_agent": 158, "mfb_agent": 158, "mon": 158, "analysis_imp": 158, "byte_array_mfb_sequ": 158, "hl_item": 158, "word_siz": 158, "ll_transact": 158, "tr": 158, "hl": 158, "fifo_data": 158, "subenviron": 158, "byte_array_cfg": 158, "m_byte_array_cfg": 158, "mfb_cfg": 158, "m_mfb_cfg": 158, "byte_array_mfb_cfg": 158, "vif_nam": 158, "m_byte_arrai": 158, "uvm_sequence_librari": 158, "sequence_packet_mid": 158, "sequence_packet_rand_spac": 158, "sequence_packet_const": 158, "sequence_packet_incr": 158, "rx_agent": 158, "uvm_sequenc": 158, "m_mfb_sequenc": 158, "m_mvb_sequenc": 158, "m_config_sequenc": 158, "uvm_object_param_util": 158, "uvm_declare_p_sequenc": 158, "mfb_sequenc": 158, "mvm": 158, "mvb_sequenc": 158, "uvm_do_on": 158, "p_sequenc": 158, "report_phas": 158, "jenkin": 158, "prowid": 158, "comparer_": 158, "uvm_analysis_export": 158, "analysis_export_rx": 158, "analysis_export_tx": 158, "protect": 158, "m_compar": 158, "m_model": 158, "analysis_imp_rx": 158, "analysis_imp_tx": 158, "isnt": 158, "fifo_model_input": 158, "model_in": 158, "anlysis_export": 158, "get_type_nam": 158, "uvm_non": 158, "bidirect": 158, "uvm_analysis_imp_reset": 158, "analysis_imp_reset": 158, "write_reset": 158, "m_regmodel": 158, "metric": 158, "tell": 158, "output_cov": 158, "uvm_subscrib": 158, "packet_port_env": 158, "covergroup": 158, "cov_packet": 158, "items_s": 158, "coverpoint": 158, "num": 158, "illegal_bin": 158, "items_port": 158, "endgroup": 158, "coverage_bas": 158, "m_cov": 158, "counton": 158, "mash": 158, "contrast": 158, "jump": 158, "sim_flag": 158, "code_coverag": 158, "cov_html": 158, "dut_u": 158, "annot": 158, "cvg": 158, "bcefst": 158, "verbos": 158, "threshl": 158, "threshh": 158, "90": 158, "ucdb": 158, "vcover": 158, "multiv": 158, "imag": 158, "li": 158, "roll": 158, "uvm_logic_vector_arrai": 158, "byte_array_port_env": 158, "piec": 158, "msg_byte_arrai": 158, "msg_port": 158, "tr_paket": 158, "tr_port": 158, "paket": 158, "clone": 158, "sequence_byte_arrai": 158, "in_data": 158, "sequence_mvb": 158, "mvb_valid_item": 158, "mvb_agent": 158, "seq_byte_arrai": 158, "seq_mvb": 158, "msg_mvb": 158, "tlm": 158, "uvm_analysis_": 158, "uvm_tlm_analysis_": 158, "readabl": 158, "disadvantag": 158, "imposs": 158, "fifo_typ": 158, "type_item": 158, "quit": 158, "impement": 158, "packet_splitt": 158, "it_num": 158, "itoa": 158, "sc_output_": 158, "uvm_analysis_imp_decl": 158, "_meta": 158, "model_input_fifo": 158, "model_data": 158, "net_mod_logic_env": 158, "typedef": 158, "this_typ": 158, "uvm_analysis_imp_data": 158, "analysis_export_data": 158, "uvm_analysis_imp_meta": 158, "analysis_export_meta": 158, "struct": 158, "input_item": 158, "input_tim": 158, "data_item": 158, "meta_item": 158, "tmp_data": 158, "tmp_meta": 158, "write_data": 158, "write_meta": 158, "pop_front": 158, "user_to_cor": 158, "comparer_meta": 158, "tdut": 158, "tmodel": 158, "comparer_data": 158, "rx_mac_lite_region": 158, "tx_input_data": 158, "tx_input_meta": 158, "tx_out": 158, "comparesr": 158, "tx_compar": 158, "rx_input_data": 158, "rx_out_data": 158, "rx_out_hdr": 158, "rx_compare_data": 158, "rx_compare_meta": 158, "it_str": 158, "tx_out_": 158, "rx_input_data_": 158, "mvb_discard_": 158, "tx_input": 158, "tx_compare_": 158, "rx_input": 158, "rx_discard_": 158, "tx_output": 158, "rx_output": 158, "ret": [158, 163], "total_error": 158, "ttx": 158, "trx": 158, "assembl": 158, "rx_env": 158, "byte_aray_mfb": 158, "tx_env_bas": 158, "tx_env": 158, "tx_env_": 158, "analysis_export_rx_packet": 158, "analysis_export_tx_packet": 158, "sequence_rx_rdi": 158, "uvm_do_with": [158, 164], "env_main": 158, "seq_rx_packet": 158, "sequence_tx_rdi": 158, "seq_tx_rdi": 158, "raise_object": 158, "uvm_do": 158, "join_ani": 158, "drop_object": 158, "mfb_splitter_properti": 158, "reset_if": 158, "mvb_if": 158, "tx_mfb": 158, "mvb_properti": 158, "rx_mvb": 158, "run_test": 158, "finish_on_complet": 158, "uvm_root": 158, "m_root": 158, "v_tx_mfb": 158, "i_str": 158, "output_mfb_": 158, "v_mfb_tx": 158, "input_mfb": 158, "input_mvb": 158, "illegalnam": 158, "set_report_id_action_ti": 158, "uvm_no_act": 158, "unuseful": 158, "recording_detail": 158, "uvm_bitstream_t": 158, "prt": 158, "uvm_low": 158, "uvm_medium": [158, 164], "uvm_high": 158, "uvm_ful": 158, "hash": 158, "uvm_debug": 158, "newlin": 158, "tabul": 158, "charact": 158, "ttext": 158, "indent": 158, "uvm_object": 158, "non_parametrized_class": 158, "parametrized_class": 158, "val": 158, "uvm_ev": 158, "offer": 158, "barrier": 158, "uvm_pool": 158, "uvm_barri": 158, "agent_rx": 158, "agent_tx": 158, "math_pkg": 158, "mathemat": 158, "math_pack": 158, "sh_reg": 158, "sh_reg_bas": 158, "vhld": 158, "arch": 158, "ent": 158, "dut_bas": 158, "ver": 158, "ver_bas": 158, "suppress": 158, "numeric_std": 158, "std_logic_arith": 158, "discourag": 158, "std": [158, 164], "numericstdnowarn": 158, "std_arith": 158, "arith": 158, "stdarithnowarn": 158, "uvm_max_quit_count": 158, "extra_vflag": 158, "uvm_testnam": 158, "uvmcontrol": 158, "firmware_bas": 158, "containst": 159, "reciv": 159, "mi_bu": 160, "sequence_item_request": 160, "sequence_item_respons": [160, 164], "master_driv": 160, "master_sequ": 160, "known": 160, "sequence_slav": 160, "sequence_slave_same_addr": 160, "sequence_slave_incr_addr": 160, "sequence_slave_slave_burst": 160, "no_oper": 160, "sequence_slave_sim": 160, "mi_writ": 160, "mi_read": 160, "get_rsp": [160, 164], "sequence_mast": 160, "set_rd": 160, "sequence_master_burst": 160, "sequence_master_max": 160, "sequence_slave_librari": 160, "containt": [161, 163], "my": 161, "nad": 161, "modport": 161, "overridden": 161, "max_transaction_count": 161, "min_transaction_count": 161, "transaction_count": 161, "next_act": 161, "sand": 161, "everyth": 161, "medium": 162, "attach": 162, "proprietari": 162, "tranasciev": 162, "took": 162, "data_vld": 162, "hdr_vld": 162, "cicl": 163, "sequence_rand": 163, "ored": 163, "ocurr": 163, "comun": 163, "inconsistend": 163, "sync_cb": 163, "sync_regist": 163, "sync_termin": 163, "snyc_termin": 163, "cass": 163, "sync_": 163, "tak": 163, "is_reset": 163, "outpu": 163, "syncrhon": 163, "reset_ag": 163, "lewer": 163, "send_transact": 163, "hl_tr": 163, "sync_reset": 163, "reali": 163, "everyvher": 163, "env_config_item": 163, "driver_delai": 163, "slowest": 163, "reset_tim": 163, "seqeuenc": 163, "ran": 163, "configuret": 163, "pasiv": 163, "baselin": 164, "uvm_sim": 164, "sequence_tb": 164, "sequence_mi": 164, "sequence_mfb_data": 164, "sequence_mi_sim": 164, "uvm_mi": 164, "rsp": 164, "h0": 164, "h4": 164, "h8": 164, "uvm_info": 164, "hc": 164, "h1": 164, "construct": 164, "74": 164, "m_data": 164, "136": 164, "header_width": 164, "h04": 164, "h4c": 164, "h1f": 164, "hf0": 164, "h50": 164, "h7a": 164, "h02": 164, "hf404f404f404f404": 164, "sequence_meta": 164, "simluat": 164, "m_meta": 164, "lookup": [164, 177], "sequence_mvb_data": 164, "d4": 164, "d12": 164, "d16": 164, "hda7a5407": 164, "d512": 164, "hda7a5411": 164, "heb7ab8cc": 164, "d516": 164, "hda7a54cc": 164, "h6fbaaa52": 164, "h2474b6ac": 164, "hc4d1ce40": 164, "brief": 164, "overview": 167, "lutmem": 168, "asfifox": [168, 174], "asfifo_bram": 168, "asfifo_bram_block": 168, "asfifo_bram_releas": 168, "dreleas": 168, "asfifo_bram_datamux": 168, "asfifo_bram_xilinx": 168, "primit": [168, 173], "fifo_bram": 168, "fifo_bram_xilinx": 168, "fifo_n1": 168, "multi_fifo": 168, "sh_fifo": 168, "ug573": [168, 173], "ug574": [168, 173], "clb": [168, 173], "ug": [168, 173], "s10memori": [168, 173], "embed": [168, 173], "20208": [168, 173], "fl_tool": 169, "flu_tool": 170, "research": 171, "z": 171, "after_on": 172, "00100000": 172, "11000000": 172, "alu": 172, "barrel_shift": 172, "barrel_shifter_dsp": 172, "before_on": 172, "00011111": 172, "bin2hot": 172, "hot": 172, "carry_chain": 172, "cmp": 172, "leonardo": 172, "cnt_dist": 172, "sequentiali": 172, "sdp_memx": [172, 173], "dec1fn": 172, "demux": [172, 177], "unselect": 172, "dsp_xor": 172, "xor": 172, "dsp48e2": 172, "detector": [172, 176], "enc": 172, "first_on": [172, 176], "gen_nor": 172, "last_on": 172, "lfsr": 172, "fibonacci": 172, "xnor": 172, "modulo": 172, "modulo_lookup": 172, "mul48": 172, "mux_dsp": 172, "n_loop_op_pro": 172, "np_lutram_pro": [172, 173], "expert": [172, 173], "knowledg": [172, 173], "n_one": 172, "n_to_m_handshak": 172, "pipe_dsp": 172, "pipe_tree_add": 172, "adder": 172, "squarer": 172, "squar": 172, "latch": 172, "sum_on": 172, "xor48": 172, "bitwis": 172, "ternari": 173, "light": 173, "dp_bmem": 173, "dp_bram_xilinx": 173, "dp_bmem_v7": 173, "dp_uram_xilinx": 173, "gen_lutram": 173, "arria": 173, "gen_reg_arrai": 173, "altern": 173, "sdp_bmem": 173, "sdp_bmem_v7": 173, "sdp_bram_xilinx": 173, "xpm_memory_sdpram": 173, "altera_syncram": 173, "multiport": 173, "sdp_bram_behav": 173, "sdp_uram_xilinx": 173, "sp_bmem": 173, "sp_bram": 173, "sp_bram_xilinx": 173, "sp_uram_xilinx": 173, "trasform": 174, "stream2": 174, "masker": 174, "trimmer": 174, "mi_tool": 175, "mi2axi4": 175, "adc": 176, "candid": 176, "clk_gen": 176, "deficit_idle_count": 176, "event_count": 176, "fifo_pip": 176, "first_one_detector": 176, "hyper_pip": 176, "hyper": 176, "id32": 176, "interrupt_manag": 176, "agreg": 176, "packet_plann": 176, "reset_tree_gen": 176, "rr_arbit": 176, "arbitr": 176, "slr_cross": 176, "trans_sort": 176, "mvb_tool": 177, "merge_n_to_m": 177, "mvb2mfb": 177, "lite": 178, "40ge": 178, "methodologi": 181, "highli": 181, "tutori": 181}, "objects": {}, "objtypes": {}, "objnames": {}, "titleterms": {"minim": [0, 1], "ndk": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 15, 16, 25], "applic": [0, 1, 11, 13, 14, 18, 20], "The": [0, 18, 19, 20, 21, 22, 23, 30], "memori": [0, 21, 55, 173], "tester": [0, 55, 56], "exampl": [0, 12, 30, 72, 74, 81, 98, 111, 122, 128, 158, 164], "mi": [0, 22, 50, 53, 54, 55, 104, 107, 108, 109, 110, 111, 134, 160, 164, 175], "offset": 0, "document": 1, "amd": [2, 3], "alveo": 2, "u200": 2, "firmwar": [2, 3, 4, 5, 6, 7, 8, 9, 10, 12, 13, 14], "support": [2, 3, 4, 5, 6, 7, 8, 9, 10, 13, 23], "program": [2, 3, 56], "devic": [2, 3, 12, 130], "vcu118": 3, "vu9p": [3, 9], "bittwar": 4, "ia": 4, "420f": 4, "boot": [4, 5, 6], "instruct": [4, 5, 6], "initi": 4, "intel": [5, 6, 149], "stratix": 5, "10": 5, "dx": 5, "fpga": [5, 6, 12, 13, 14, 125], "dk": [5, 6, 12], "agilex": 6, "i": [6, 11, 13], "seri": 6, "reflexc": 7, "xpresssx": 7, "agi": 7, "fh400g": 7, "board": 7, "revis": 7, "test": [7, 16, 158], "script": [7, 158], "silicom": [8, 9, 10], "fb2cghh": 8, "ku15p": 8, "fb4cgg3": 9, "n6010": 10, "configur": [11, 23, 30, 71, 89, 98, 137, 141, 144, 152, 153, 154, 156, 157, 158], "file": [11, 30], "paramet": [11, 14, 98, 122], "parametr": [11, 158], "core": [11, 17, 20, 23, 26], "design": [11, 30], "descript": [11, 30, 49, 50, 51, 52, 55, 98, 109, 122, 150, 151, 162], "core_conf": 11, "tcl": [11, 30, 158], "core_const": 11, "mk": 11, "core_bootstrap": 11, "further": [11, 14], "work": [11, 14], "pass": 11, "through": 11, "modul": [11, 16, 18, 19, 20, 23, 28, 30, 158, 173], "ad": 11, "constant": 11, "vhdl": 11, "packag": [11, 47, 147, 158], "specif": [11, 48, 70, 72, 81, 98, 105, 109, 122, 158], "card": [11, 12, 13, 14, 130], "type": 11, "card_conf": 11, "card_const": 11, "user": [11, 13, 171], "build": [11, 12, 13, 14, 26, 30], "card_nam": 11, "makefil": [11, 30], "vivado": 11, "quartu": 11, "app_conf": 11, "tl": 11, "dr": 11, "need": [11, 13, 14], "includ": 11, "compon": [11, 12, 30, 49, 50, 51, 52, 55, 69, 81, 137, 148, 167, 168], "depend": [11, 14], "given": 11, "valu": [11, 122], "what": [11, 13, 14], "can": [11, 13], "do": [11, 13], "contact": 11, "author": 11, "tree": [12, 130], "dt": 12, "integr": 12, "system": [12, 30], "locat": 12, "dtb": 12, "one": 12, "gener": [12, 18, 42, 49, 50, 51, 52, 55, 56, 59, 65, 66, 68, 69, 70, 72, 74, 98, 122, 123, 127, 129, 150, 151, 158, 162], "dev": 12, "1sdx": 12, "p": 12, "requir": 12, "develop": [12, 13], "frequent": 13, "ask": 13, "question": 13, "network": [13, 20, 178], "kit": 13, "sw": [13, 18, 20, 49, 50, 55], "chip": [13, 30], "doe": 13, "commun": 13, "interfac": [13, 18, 20, 34, 125, 149, 150, 151, 158, 161, 162], "have": 13, "avail": 13, "ethernet": [13, 15, 18, 125], "standard": 13, "implement": [13, 26, 30], "iso": 13, "osi": 13, "protocol": 13, "jumbo": 13, "packet": [13, 18, 20, 44, 67, 87, 102], "also": 13, "an": [13, 14], "open": 13, "sourc": 13, "dma": [13, 15, 18, 19, 64, 70, 71], "control": [13, 19, 21, 23, 49, 50, 53, 54, 55, 65, 128, 134, 137, 165], "clock": [13, 168], "frequenc": 13, "ar": [13, 14], "stack": 13, "differ": 13, "between": 13, "netfpga": 13, "corundum": 13, "openn": 13, "how": [14, 18, 20, 164], "start": [14, 17, 65], "base": 14, "list": [14, 30], "make": 14, "prepar": 14, "host": 14, "pc": [14, 125], "load": 14, "check": 14, "terminologi": 15, "port": [15, 18, 39, 41, 42, 49, 50, 51, 52, 55, 98, 111, 122, 127, 129, 150, 151, 162], "lane": 15, "channel": [15, 65, 112], "stream": [15, 97, 117], "r": 16, "w": 16, "access": [16, 18, 20, 107], "scratch": 16, "regist": [16, 18, 127, 129, 180], "gl": [16, 73], "tutori": [16, 158], "cocotb": 17, "toplevel": 17, "simul": [17, 164], "quick": [17, 37], "us": [18, 20, 30, 111, 164], "receiv": [18, 20], "from": [18, 20], "transmit": [18, 20], "read": [18, 34], "write": [18, 34], "select": [19, 23], "medusa": 19, "ip": [19, 23, 26], "note": [19, 89, 158], "tab": [20, 26, 81, 107, 111], "1": [20, 26, 30, 81, 98, 107, 111, 122, 128], "logic": [20, 152, 153, 172], "2": [20, 30, 98, 111, 122, 128], "3": [20, 30, 111, 128], "reconfigur": [20, 90, 110, 128], "mac": [20, 127, 129, 149, 155], "lite": [20, 127, 129], "4": [20, 30, 128], "bu": [22, 53, 54, 55, 72, 109, 150, 151, 162], "interconnect": 22, "main": [22, 158], "alloc": 22, "address": [22, 50, 58, 89, 107, 111, 125, 130], "space": [22, 50, 89, 107, 125, 130], "pcie": [23, 59, 131, 132, 133, 135, 137, 179], "pcie_cor": 23, "hard": 23, "unit": [23, 24, 131], "pcie_ctrl": 23, "entiti": 23, "time": [24, 72, 98, 109, 122], "stamp": 24, "timestamp": [24, 93], "signal": [24, 72, 95, 122], "format": [24, 138], "architectur": [25, 42, 43, 44, 46, 53, 55, 90, 102, 110, 111, 125, 127, 129, 137], "f": 26, "tile": 26, "multir": 26, "tip": 26, "tile_multir": 26, "variant": 26, "switch": [26, 73], "profil": 26, "buffer": [27, 61, 63, 68, 100, 126], "verif": [27, 33, 81, 126, 158, 181], "plan": [27, 81, 126], "asynchron": [28, 168], "refer": [28, 42, 44, 48, 55, 137, 168, 173], "basic": [29, 158, 172], "tool": [29, 166, 169, 170, 174, 175, 177, 178, 179], "content": [29, 165, 166, 170, 174, 175, 177, 178, 179, 181], "hierarchi": 30, "variabl": 30, "obtain": 30, "properti": [30, 158], "mod": 30, "sv_lib": 30, "synthesi": 30, "advanc": 30, "comp": 30, "target": 30, "synthesizeproject": 30, "init": 30, "phase": 30, "setupdesign": 30, "add": 30, "addinputfil": 30, "implemen": 30, "synthetizedesign": 30, "implementdesign": 30, "final": 30, "savedesign": 30, "other": [30, 137, 158], "featur": [30, 37, 43, 44, 49, 50, 51, 52, 55, 102], "evalfil": 30, "batch": 30, "incomplet": 30, "synth_flag": 30, "arrai": [30, 141, 142, 143, 145, 146, 152, 153, 155], "item": [30, 115, 141, 149, 150, 151, 152, 153, 161, 162], "dsp": [31, 167], "compar": [31, 147], "asfifox": [32, 99], "block": [32, 33, 34, 37, 42, 48, 72, 82, 87, 93, 97, 136, 137], "diagram": [32, 33, 34, 37, 42, 48, 72, 82, 87, 93, 97, 98, 109, 122, 136, 137], "fifox": [33, 34, 101, 123], "multi": [34, 36, 39], "behavior": 34, "barrel": 35, "shifter": 35, "memx": 36, "counter": [36, 43], "n_loop_op": 37, "oper": [37, 89, 97, 98, 120, 122, 128], "flow": 37, "situat": 37, "solut": 37, "addit": [37, 44, 102], "reset": [37, 158, 163], "synchron": [38, 158], "sr": 38, "latch": 38, "bram": [39, 41], "np": 40, "lut": 40, "ram": 40, "simpl": [41, 49, 50, 78, 85, 92, 103, 163], "dual": [41, 168], "byte": [41, 132, 133, 141, 142, 143, 145, 146, 155], "enabl": [41, 80, 133], "crossbarx": [42, 77, 97, 100], "event": 43, "captur": 43, "planner": 44, "puls": 45, "short": 45, "transact": [46, 63, 68, 134, 137], "sorter": 46, "sdm": 48, "client": 48, "more": 48, "mem": 49, "logger": [49, 50], "kei": [49, 50, 51, 52, 55], "instanc": [49, 50, 51, 52], "templat": [49, 50, 51, 52], "usag": [49, 50, 72, 89, 107, 145, 158], "data": [50, 90], "warp": 50, "full": 50, "histogram": 51, "latenc": 52, "meter": 52, "amm_gen": 53, "intern": [53, 55], "amm_prob": 54, "ddr4": 55, "pytest": [55, 56], "pdf": 55, "report": [55, 56, 158], "sub": 55, "mem_test": 56, "softwar": [56, 62, 69], "c": 56, "py": 56, "report_gen": 56, "header": [57, 60, 135], "insertor": [57, 86], "manag": [58, 60, 62, 69, 125, 136], "addr": 59, "subcompon": [60, 64, 65, 66, 68, 70, 71, 82, 123], "input": [61, 158], "rx": [64, 125, 127, 144, 154, 156, 157], "calypt": [64, 70, 71], "stop": 65, "metadata": [66, 86], "extractor": 66, "dispatch": 67, "tx": [70, 125, 128, 129], "provid": 71, "futur": 71, "expect": 71, "flu": [72, 170], "tabl": [72, 124], "guidelin": 72, "copi": 72, "past": 72, "code": [72, 158], "gen": [73, 85, 91, 92, 111, 139], "loop": 73, "mfb": [74, 75, 76, 78, 79, 80, 81, 83, 84, 85, 88, 90, 91, 92, 94, 95, 98, 99, 101, 102, 103, 128, 159, 164, 174], "distribut": 74, "frame": [75, 76, 81, 82], "extend": 75, "trimmer": 76, "stream2": 77, "cutter": 78, "dropper": 79, "masker": 81, "unpack": 82, "arcitectur": 82, "loopback": 83, "merger": [84, 85], "delay": 87, "pipe": [88, 108], "rate": 89, "limit": [89, 93], "constraint": 90, "side": 90, "effect": 90, "shift": [90, 180], "splitter": [91, 92, 111], "trasform": 94, "auxiliari": 95, "checksum": 96, "calcul": 96, "function": [98, 158], "sof_po": 98, "index": 98, "eof_po": 98, "scenario": [98, 122, 128], "output": 100, "discard": [102, 114], "asfifo": [102, 103], "forc": 102, "pd": 103, "async": 104, "mi2avmm": 105, "mi2axi4": 106, "indirect": 107, "A": 109, "few": 109, "plu": 111, "set": 111, "up": 111, "addr_bas": 111, "mask": 111, "irrelev": 111, "bit": 111, "map": [111, 127, 129], "differnt": 111, "ab": 111, "mvb": [112, 113, 114, 115, 117, 118, 120, 121, 122, 123, 124, 161, 164, 177], "router": 112, "demux": 113, "merg": [115, 117], "shakedown": [116, 121], "merge_n_to_m": 116, "mux": 118, "mvb2mfb": 119, "variou": 122, "vld": 122, "lookup": 124, "40ge": 125, "phy": 125, "ultrascal": 125, "pma": [125, 146, 162], "adapt": [127, 129], "lbu": 128, "state": 128, "machin": 128, "idl": 128, "pkt_process": 128, "pkt_end": 128, "word_realign": 128, "pkt_halt": 128, "realign": 128, "5": 128, "6": 128, "pci_ext_cap": 130, "extra": 130, "endpoint": 130, "id": 130, "convers": 131, "count": 132, "decod": 133, "mtc": 134, "pars": 135, "depars": 135, "ptc": [136, 137], "tag": 136, "tsu": [138, 139, 165], "n": 138, "convertor": 138, "axi": 140, "agent": [140, 141, 149, 150, 151, 152, 153, 158, 159, 160, 161, 162, 163], "sequence_item": [140, 159, 160], "sequenc": [141, 142, 143, 144, 145, 146, 149, 150, 151, 152, 153, 154, 156, 157, 158, 161, 162], "monitor": [141, 142, 143, 145, 146, 149, 150, 151, 152, 153, 158, 161, 162], "lii": [142, 143, 150, 151], "convert": [142, 143, 146], "enviro": [142, 143, 146], "byte_array_mfb": 144, "environ": [144, 145, 154, 156, 157, 158], "top": [144, 154, 157], "low": [144, 154, 156, 157, 158], "inner": [144, 154, 156, 157], "mii": 145, "transit": 145, "sv": 145, "env": 145, "sequence_rx_bas": 145, "sequence_tx_bas": 145, "ce_gener": 145, "wrapper": 145, "ipg_gener": 145, "channel_align": 145, "data_buff": 145, "sequence_rx": 145, "sequence_tx": 145, "common": [147, 158], "random": 147, "class": 147, "fifo": [147, 158, 168], "seg": [149, 155], "driver": [149, 150, 151, 158, 161, 162], "config": [149, 161], "vector": [152, 153], "logic_vector_array_axi": 154, "level": [154, 158], "logic_vector_array_mfb": 156, "op": 156, "logic_vector_mvb": 157, "systemverilog": 158, "uvm": [158, 164, 181], "methodologi": 158, "ofm": [158, 171], "repositori": 158, "object": 158, "librari": 158, "layer": 158, "high": 158, "run": 158, "virtual": 158, "scoreboard": 158, "request": 158, "respons": 158, "coverag": 158, "byte_array_port": 158, "model": 158, "creat": 158, "testbench": 158, "uvm_info": 158, "uvm_error": 158, "v": 158, "uvm_fat": 158, "fdo": 158, "sychron": 163, "meta": 164, "debug": 166, "singl": 168, "fl": 169, "guid": 171, "element": 172, "miscellan": 176}, "envversion": {"sphinx.domains.c": 2, "sphinx.domains.changeset": 1, "sphinx.domains.citation": 1, "sphinx.domains.cpp": 8, "sphinx.domains.index": 1, "sphinx.domains.javascript": 2, "sphinx.domains.math": 2, "sphinx.domains.python": 3, "sphinx.domains.rst": 2, "sphinx.domains.std": 2, "sphinx": 57}, "alltitles": {"Minimal NDK application": [[0, "minimal-ndk-application"]], "The Memory Testers": [[0, "the-memory-testers"]], "The example of MI offsets": [[0, "the-example-of-mi-offsets"]], "Documentation of Minimal NDK Application": [[1, "documentation-of-minimal-ndk-application"]], "AMD Alveo U200": [[2, "amd-alveo-u200"]], "NDK firmware support": [[2, "ndk-firmware-support"], [3, "ndk-firmware-support"], [4, "ndk-firmware-support"], [5, "ndk-firmware-support"], [6, "ndk-firmware-support"], [7, "ndk-firmware-support"], [8, "ndk-firmware-support"], [9, "ndk-firmware-support"], [10, "ndk-firmware-support"]], "Programming the device": [[2, "programming-the-device"], [3, "programming-the-device"]], "AMD VCU118@VU9P": [[3, "amd-vcu118-vu9p"]], "Bittware IA-420F": [[4, "bittware-ia-420f"]], "Boot instructions (initial)": [[4, "boot-instructions-initial"]], "Intel Stratix 10 DX FPGA DK": [[5, "intel-stratix-10-dx-fpga-dk"]], "Boot instructions": [[5, "boot-instructions"], [6, "boot-instructions"]], "Intel Agilex I-Series FPGA DK": [[6, "intel-agilex-i-series-fpga-dk"]], "ReflexCES XpressSX AGI-FH400G": [[7, "reflexces-xpresssx-agi-fh400g"]], "Board Revision": [[7, "board-revision"]], "Board Test Scripts": [[7, "board-test-scripts"]], "Silicom fb2CGhh@KU15P": [[8, "silicom-fb2cghh-ku15p"]], "Silicom fb4CGg3@VU9P": [[9, "silicom-fb4cgg3-vu9p"]], "Silicom N6010": [[10, "silicom-n6010"]], "Configuration files and parameters": [[11, "configuration-files-and-parameters"]], "Parametrizing NDK-CORE design": [[11, "parametrizing-ndk-core-design"]], "File description": [[11, "file-description"], [11, "id1"]], "core_conf.tcl": [[11, "core-conf-tcl"]], "core_const.tcl": [[11, "core-const-tcl"]], "core.mk": [[11, "core-mk"]], "core_bootstrap.tcl": [[11, "core-bootstrap-tcl"]], "Further work with parameters": [[11, "further-work-with-parameters"], [11, "id2"]], "Passing through Modules.tcl": [[11, "passing-through-modules-tcl"], [11, "id3"]], "Adding constants to the VHDL package": [[11, "adding-constants-to-the-vhdl-package"], [11, "id4"]], "Parametrizing a specific card type": [[11, "parametrizing-a-specific-card-type"]], "card_conf.tcl": [[11, "card-conf-tcl"]], "card_const.tcl": [[11, "card-const-tcl"]], "card.mk": [[11, "card-mk"]], "Parametrizing the user application": [[11, "parametrizing-the-user-application"]], "Configuration files": [[11, "configuration-files"]], "build//Makefile": [[11, "build-card-name-makefile"]], "build//{Vivado,Quartus}.tcl": [[11, "build-card-name-vivado-quartus-tcl"]], "build//app_conf.tcl": [[11, "build-card-name-app-conf-tcl"]], "TL;DR": [[11, "tl-dr"]], "I need to include specific component in CORE depending on a given parameter value": [[11, "i-need-to-include-specific-component-in-core-depending-on-a-given-parameter-value"]], "What can I do with the core_conf.tcl file": [[11, "what-can-i-do-with-the-core-conf-tcl-file"]], "What can I do with the core_const.tcl file": [[11, "what-can-i-do-with-the-core-const-tcl-file"]], "What can I do with the card_conf.tcl file": [[11, "what-can-i-do-with-the-card-conf-tcl-file"]], "What can I do with the card_const.tcl file": [[11, "what-can-i-do-with-the-card-const-tcl-file"]], "What can I do with the app_conf.tcl file": [[11, "what-can-i-do-with-the-app-conf-tcl-file"]], "Contact for author": [[11, "contact-for-author"]], "Device Tree": [[12, "device-tree"], [130, "device-tree"]], "DT integration in build system": [[12, "dt-integration-in-build-system"]], "Location of DTB in the firmware": [[12, "location-of-dtb-in-the-firmware"]], "Example of DTS of one component": [[12, "example-of-dts-of-one-component"]], "Example of generated DTS for FPGA card (DK-DEV-1SDX-P)": [[12, "example-of-generated-dts-for-fpga-card-dk-dev-1sdx-p"]], "Requirements for developers": [[12, "requirements-for-developers"]], "Frequently Asked Questions": [[13, "frequently-asked-questions"]], "What is a Network Development Kit (NDK)?": [[13, "what-is-a-network-development-kit-ndk"]], "What SW do I need to build the NDK firmware?": [[13, "what-sw-do-i-need-to-build-the-ndk-firmware"]], "What FPGA chips and cards does NDK support?": [[13, "what-fpga-chips-and-cards-does-ndk-support"]], "What communication interfaces can a NDK applications have available?": [[13, "what-communication-interfaces-can-a-ndk-applications-have-available"]], "What Ethernet standards does NDK support?": [[13, "what-ethernet-standards-does-ndk-support"]], "Does NDK implement ISO/OSI protocol support?": [[13, "does-ndk-implement-iso-osi-protocol-support"]], "Does NDK support Jumbo packets?": [[13, "does-ndk-support-jumbo-packets"]], "Is there also an open-source DMA controller available?": [[13, "is-there-also-an-open-source-dma-controller-available"]], "What clock frequencies are available for the user application?": [[13, "what-clock-frequencies-are-available-for-the-user-application"]], "Is there a SW stack also available for the NDK?": [[13, "is-there-a-sw-stack-also-available-for-the-ndk"]], "What is the difference between NDK and NetFPGA?": [[13, "what-is-the-difference-between-ndk-and-netfpga"]], "What is the difference between NDK and Corundum?": [[13, "what-is-the-difference-between-ndk-and-corundum"]], "What is the difference between NDK and OpenNIC?": [[13, "what-is-the-difference-between-ndk-and-opennic"]], "How to start": [[14, "how-to-start"]], "What dependencies are needed to build an FPGA firmware": [[14, "what-dependencies-are-needed-to-build-an-fpga-firmware"]], "How to build an FPGA firmware with an NDK-based application": [[14, "how-to-build-an-fpga-firmware-with-an-ndk-based-application"]], "List of make parameters:": [[14, "list-of-make-parameters"]], "How to prepare the FPGA card and the host PC": [[14, "how-to-prepare-the-fpga-card-and-the-host-pc"]], "How to load the firmware to an FPGA card": [[14, "how-to-load-the-firmware-to-an-fpga-card"]], "How to check the NDK firmware in the FPGA": [[14, "how-to-check-the-ndk-firmware-in-the-fpga"]], "Further work with the NDK": [[14, "further-work-with-the-ndk"]], "NDK Terminology": [[15, "ndk-terminology"]], "Ethernet Port": [[15, "ethernet-port"]], "Ethernet Lanes": [[15, "ethernet-lanes"]], "Ethernet Channel": [[15, "ethernet-channel"]], "Ethernet Stream": [[15, "ethernet-stream"]], "DMA Stream": [[15, "dma-stream"]], "DMA Channel": [[15, "dma-channel"]], "NDK testing": [[16, "ndk-testing"]], "Testing R/W access to the scratch registers": [[16, "testing-r-w-access-to-the-scratch-registers"]], "GLS module tutorial": [[16, "gls-module-tutorial"]], "Cocotb toplevel simulation core": [[17, "cocotb-toplevel-simulation-core"]], "Quick start": [[17, "quick-start"]], "The Application": [[18, "the-application"]], "How to use the Application interfaces": [[18, "how-to-use-the-application-interfaces"]], "Receiving packets from Ethernet": [[18, "receiving-packets-from-ethernet"]], "Transmitting packets to the Ethernet": [[18, "transmitting-packets-to-the-ethernet"]], "Receiving packets from the DMA module": [[18, "receiving-packets-from-the-dma-module"]], "Transmitting packets to the DMA module": [[18, "transmitting-packets-to-the-dma-module"]], "Read/write access to the Application registers from SW": [[18, "read-write-access-to-the-application-registers-from-sw"]], "Ports and generics of the Application": [[18, "ports-and-generics-of-the-application"]], "The DMA module": [[19, "the-dma-module"]], "Selecting a DMA controller": [[19, "selecting-a-dma-controller"]], "DMA Medusa IP notes": [[19, "dma-medusa-ip-notes"]], "The Network Module": [[20, "the-network-module"]], "Tab. 1": [[20, "id6"], [81, "id2"], [107, "id2"], [111, "id2"]], "How to use the Network Module interfaces": [[20, "how-to-use-the-network-module-interfaces"]], "Receiving packets from the Application": [[20, "receiving-packets-from-the-application"]], "Transmitting packets to the Application": [[20, "transmitting-packets-to-the-application"]], "SW access to the Network Module Cores and Network Module Logics": [[20, "sw-access-to-the-network-module-cores-and-network-module-logics"]], "Tab. 2": [[20, "id7"], [111, "id3"]], "Tab. 3": [[20, "id8"], [111, "id4"]], "Network Module Core": [[20, "network-module-core"]], "SW access to the reconfiguration interfaces": [[20, "sw-access-to-the-reconfiguration-interfaces"]], "Network Module Logic": [[20, "network-module-logic"]], "SW access to the MAC Lites": [[20, "sw-access-to-the-mac-lites"]], "Tab. 4": [[20, "id9"]], "The Memory Controller": [[21, "the-memory-controller"]], "The MI bus interconnect": [[22, "the-mi-bus-interconnect"]], "The main allocation of the MI address space": [[22, "the-main-allocation-of-the-mi-address-space"]], "The PCIe module": [[23, "the-pcie-module"]], "Selecting a PCIe configuration": [[23, "selecting-a-pcie-configuration"]], "The PCIe Core (PCIE_CORE)": [[23, "the-pcie-core-pcie-core"]], "Supported PCIe Hard IP": [[23, "supported-pcie-hard-ip"]], "The PCIe Control unit (PCIE_CTRL)": [[23, "the-pcie-control-unit-pcie-ctrl"]], "The PCIe module entity": [[23, "the-pcie-module-entity"]], "Time Stamp Unit": [[24, "time-stamp-unit"]], "Timestamp signals": [[24, "timestamp-signals"]], "Timestamp format": [[24, "timestamp-format"]], "NDK architecture": [[25, "ndk-architecture"]], "F-Tile Multirate IP": [[26, "f-tile-multirate-ip"]], "Implemented IP cores": [[26, "implemented-ip-cores"]], "Build tips": [[26, "build-tips"]], "Tab. 1 F-Tile_Multirate IPs variants": [[26, "id1"]], "Switching profiles": [[26, "switching-profiles"]], "BUFFER": [[27, "buffer"], [126, "buffer"]], "Verification Plan": [[27, "verification-plan"], [126, "verification-plan"]], "Asynchronous modules": [[28, "asynchronous-modules"]], "References": [[28, "references"], [42, "references"], [44, "references"], [55, "references"], [137, "references"], [168, "references"], [173, "references"]], "Basic Tools": [[29, "basic-tools"]], "Content:": [[29, null], [165, null], [166, null], [170, null], [174, null], [175, null], [177, null], [178, null], [179, null]], "Build System": [[30, "build-system"]], "Hierarchy description in Modules.tcl": [[30, "hierarchy-description-in-modules-tcl"]], "Variables in Modules.tcl obtained by the build system": [[30, "variables-in-modules-tcl-obtained-by-the-build-system"]], "List of properties used in MOD variables": [[30, "list-of-properties-used-in-mod-variables"]], "Example of using properties": [[30, "example-of-using-properties"]], "List of properties used in SV_LIBS": [[30, "list-of-properties-used-in-sv-libs"]], "Example of using Modules.tcl variables": [[30, "example-of-using-modules-tcl-variables"]], "Component synthesis": [[30, "component-synthesis"]], "Advanced synthesis configuration": [[30, "advanced-synthesis-configuration"]], "Example of Makefile for component synthesis": [[30, "example-of-makefile-for-component-synthesis"]], "The comp target in Makefile": [[30, "the-comp-target-in-makefile"]], "Chip design synthesis and implementation": [[30, "chip-design-synthesis-and-implementation"]], "SynthesizeProject": [[30, "synthesizeproject"]], "1. Init phase (SetupDesign)": [[30, "init-phase-setupdesign"]], "2. File add phase (AddInputFiles)": [[30, "file-add-phase-addinputfiles"]], "3. Synthesis and Implemenation (SynthetizeDesign, ImplementDesign)": [[30, "synthesis-and-implemenation-synthetizedesign-implementdesign"]], "4. Final phase (SaveDesign)": [[30, "final-phase-savedesign"]], "Other features of the build system": [[30, "other-features-of-the-build-system"]], "EvalFile": [[30, "evalfile"]], "Batch feature in EvalFile": [[30, "batch-feature-in-evalfile"]], "Makefile": [[30, "makefile"]], "The (incomplete) list of SYNTH_FLAGS array items": [[30, "the-incomplete-list-of-synth-flags-array-items"]], "DSP Comparator": [[31, "dsp-comparator"]], "ASFIFOX": [[32, "asfifox"]], "Block diagram": [[32, "block-diagram"], [33, "block-diagram"], [34, "block-diagram"], [37, "block-diagram"], [42, "block-diagram"], [48, "block-diagram"], [82, "block-diagram"], [87, "block-diagram"], [93, "block-diagram"], [97, "block-diagram"], [136, "block-diagram"], [137, "block-diagram"]], "FIFOX": [[33, "fifox"]], "Verification": [[33, "verification"]], "Verification block diagram": [[33, "verification-block-diagram"]], "FIFOX Multi": [[34, "fifox-multi"]], "Write interface behavior": [[34, "write-interface-behavior"]], "Read interface behavior": [[34, "read-interface-behavior"]], "Barrel Shifter": [[35, "barrel-shifter"]], "Multi MEMx Counter": [[36, "multi-memx-counter"]], "N_LOOP_OP": [[37, "n-loop-op"]], "Operator flow": [[37, "operator-flow"]], "Situation": [[37, "situation"]], "Solution": [[37, "solution"]], "Additional Features": [[37, "additional-features"], [102, "additional-features"]], "Quick reset": [[37, "quick-reset"]], "Synchronous SR latch": [[38, "synchronous-sr-latch"]], "Multi-port BRAM": [[39, "multi-port-bram"]], "NP LUT RAM": [[40, "np-lut-ram"]], "Simple dual-port BRAM": [[41, "simple-dual-port-bram"]], "Simple dual-port BRAM with Byte Enable": [[41, "simple-dual-port-bram-with-byte-enable"]], "CrossbarX": [[42, "crossbarx"]], "Generics": [[42, "generics"], [150, "generics"], [151, "generics"], [162, "generics"]], "Ports": [[42, "ports"], [150, "ports"], [151, "ports"], [162, "ports"]], "Architecture": [[42, "architecture"], [43, "architecture"], [44, "architecture"], [46, "architecture"], [90, "architecture"], [102, "architecture"], [110, "architecture"], [111, "architecture"], [125, "architecture"], [127, "architecture"], [129, "architecture"]], "Event Counter": [[43, "event-counter"]], "Capture feature": [[43, "capture-feature"]], "Packet Planner": [[44, "packet-planner"]], "Additional features": [[44, "additional-features"]], "Pulse short": [[45, "pulse-short"]], "Transaction Sorter": [[46, "transaction-sorter"]], "Packages": [[47, "packages"]], "SDM CLIENT": [[48, "sdm-client"]], "Specification": [[48, "specification"], [105, "specification"]], "More references": [[48, "more-references"]], "Mem logger": [[49, "mem-logger"]], "Key features": [[49, "key-features"], [50, "key-features"], [51, "key-features"], [52, "key-features"], [55, "key-features"]], "Component port and generics description": [[49, "component-port-and-generics-description"], [50, "component-port-and-generics-description"], [51, "component-port-and-generics-description"], [52, "component-port-and-generics-description"], [55, "component-port-and-generics-description"]], "Instance template (simple usage)": [[49, "instance-template-simple-usage"], [50, "instance-template-simple-usage"]], "Control SW": [[49, "control-sw"], [50, "control-sw"], [55, "control-sw"]], "Data logger": [[50, "data-logger"]], "Data logger warping component": [[50, "data-logger-warping-component"]], "Instance template (full usage)": [[50, "instance-template-full-usage"]], "MI address space": [[50, "mi-address-space"]], "Histogramer": [[51, "histogramer"]], "Instance template": [[51, "instance-template"], [52, "instance-template"]], "Latency meter": [[52, "latency-meter"]], "AMM_GEN": [[53, "amm-gen"]], "Internal Architecture": [[53, "internal-architecture"], [55, "internal-architecture"]], "MI Bus Control": [[53, "mi-bus-control"], [54, "mi-bus-control"], [55, "mi-bus-control"]], "AMM_PROBE": [[54, "amm-probe"]], "DDR4 Memory Tester": [[55, "ddr4-memory-tester"]], "Pytest SW": [[55, "pytest-sw"]], "PDF report generator SW": [[55, "pdf-report-generator-sw"]], "Sub-components": [[55, "sub-components"]], "MEM_TESTER Software": [[56, "mem-tester-software"]], "C Program": [[56, "c-program"]], "Pytest Tester (mem_tester.py)": [[56, "pytest-tester-mem-tester-py"]], "Report Generator (report_gen.py)": [[56, "report-generator-report-gen-py"]], "Header Insertor": [[57, "header-insertor"]], "Address Manager": [[58, "address-manager"]], "PCIe Addres Generator": [[59, "pcie-addres-generator"]], "Header Manager": [[60, "header-manager"]], "Subcomponents:": [[60, null]], "Input Buffer": [[61, "input-buffer"]], "Software Manager": [[62, "software-manager"], [69, "software-manager"]], "Transaction Buffer": [[63, "transaction-buffer"]], "RX DMA Calypte": [[64, "rx-dma-calypte"]], "Subcomponents": [[64, null], [71, "subcomponents"], [82, "subcomponents"]], "Channel Start/stop control": [[65, "channel-start-stop-control"]], "General subcomponents": [[65, "general-subcomponents"], [66, "general-subcomponents"], [68, "general-subcomponents"], [70, "general-subcomponents"], [123, "general-subcomponents"]], "Metadata Extractor": [[66, "metadata-extractor"]], "Packet Dispatcher": [[67, "packet-dispatcher"]], "Transaction buffer": [[68, "transaction-buffer"]], "General components": [[69, "general-components"]], "TX DMA Calypte": [[70, "tx-dma-calypte"]], "Specific subcomponents": [[70, null]], "DMA Calypte": [[71, "dma-calypte"]], "Provided DMA configurations": [[71, "provided-dma-configurations"]], "Future expected": [[71, "future-expected"]], "FLU bus specification": [[72, "flu-bus-specification"]], "Table of generics": [[72, "table-of-generics"]], "Table of signals": [[72, "table-of-signals"]], "Usage guidelines": [[72, "usage-guidelines"]], "Timing diagram example": [[72, "timing-diagram-example"]], "Copy-paste code blocks": [[72, "copy-paste-code-blocks"]], "Gen Loop Switch (GLS)": [[73, "gen-loop-switch-gls"]], "MFB Generator": [[74, "mfb-generator"]], "Distribution examples": [[74, "distribution-examples"]], "MFB FRAME EXTENDER": [[75, "mfb-frame-extender"]], "MFB FRAME TRIMMER": [[76, "mfb-frame-trimmer"]], "CROSSBARX STREAM2": [[77, "crossbarx-stream2"]], "MFB Cutter Simple": [[78, "mfb-cutter-simple"]], "MFB Dropper": [[79, "mfb-dropper"]], "MFB Enabler": [[80, "mfb-enabler"]], "MFB Frame Masker": [[81, "mfb-frame-masker"]], "Component specification": [[81, "component-specification"]], "Examples": [[81, "examples"], [164, "examples"]], "Verification plan": [[81, "verification-plan"]], "Frame Unpacker": [[82, "frame-unpacker"]], "Arcitecture": [[82, "arcitecture"]], "MFB Loopback": [[83, "mfb-loopback"]], "MFB Merger": [[84, "mfb-merger"]], "MFB Merger Simple": [[85, "mfb-merger-simple"]], "MFB Merger Simple GEN": [[85, "id1"]], "Metadata Insertor": [[86, "metadata-insertor"]], "Packet Delayer": [[87, "packet-delayer"]], "MFB PIPE": [[88, "mfb-pipe"]], "Rate Limiter": [[89, "rate-limiter"]], "Operation": [[89, "operation"], [98, "operation"], [122, "operation"], [128, "operation"]], "Address space and configuration": [[89, "address-space-and-configuration"]], "Usage": [[89, "usage"], [107, "usage"], [145, "usage"]], "Notes": [[89, "notes"]], "MFB Reconfigurator": [[90, "mfb-reconfigurator"]], "Constraints and side-effects": [[90, "constraints-and-side-effects"]], "Data shifting": [[90, "data-shifting"]], "MFB Splitter": [[91, "mfb-splitter"]], "MFB Splitter Gen": [[91, "id1"]], "MFB Splitter Simple": [[92, "mfb-splitter-simple"]], "MFB Splitter Simple Gen": [[92, "id1"]], "Timestamp Limiter": [[93, "timestamp-limiter"]], "MFB Trasformer": [[94, "mfb-trasformer"]], "MFB Auxiliary Signals": [[95, "mfb-auxiliary-signals"]], "Checksum Calculator": [[96, "checksum-calculator"]], "CrossbarX Stream": [[97, "crossbarx-stream"]], "Operations": [[97, "operations"]], "MFB specification": [[98, "mfb-specification"]], "Generic parameters": [[98, "generic-parameters"], [122, "generic-parameters"]], "Port description": [[98, "port-description"], [122, "port-description"]], "Example of function of the SOF_POS index": [[98, "example-of-function-of-the-sof-pos-index"]], "Example of function of the EOF_POS index": [[98, "example-of-function-of-the-eof-pos-index"]], "Timing diagrams": [[98, "timing-diagrams"], [122, "timing-diagrams"]], "Scenario 1": [[98, "scenario-1"], [122, "scenario-1"], [128, "scenario-1"]], "Scenario 2": [[98, "scenario-2"], [122, "scenario-2"], [128, "scenario-2"]], "Example configurations": [[98, "example-configurations"]], "MFB ASFIFOX": [[99, "mfb-asfifox"]], "Crossbarx Output Buffer": [[100, "crossbarx-output-buffer"]], "MFB FIFOX": [[101, "mfb-fifox"]], "MFB Packet Discard ASFIFO": [[102, "mfb-packet-discard-asfifo"]], "Force Discard": [[102, "force-discard"]], "MFB PD ASFIFO SIMPLE": [[103, "mfb-pd-asfifo-simple"]], "MI ASYNC": [[104, "mi-async"]], "MI2AVMM": [[105, "mi2avmm"]], "MI2AXI4": [[106, "mi2axi4"]], "MI indirect access": [[107, "mi-indirect-access"]], "Address space": [[107, "address-space"], [125, "address-space"], [130, "id2"]], "MI Pipe": [[108, "mi-pipe"]], "MI bus specification": [[109, "mi-bus-specification"]], "MI bus description": [[109, "mi-bus-description"]], "A few timing diagrams": [[109, "a-few-timing-diagrams"]], "MI Reconfigurator": [[110, "mi-reconfigurator"]], "MI Splitter Plus Gen": [[111, "mi-splitter-plus-gen"]], "Examples of use": [[111, "examples-of-use"]], "Example 1 - setting up ADDR_BASE": [[111, "example-1-setting-up-addr-base"]], "Example 2 - masking irrelevant bits of the address": [[111, "example-2-masking-irrelevant-bits-of-the-address"]], "Example 3 - mapping ports to differnt ABs": [[111, "example-3-mapping-ports-to-differnt-abs"]], "MVB Channel Router": [[112, "mvb-channel-router"]], "MVB DEMUX": [[113, "mvb-demux"]], "MVB DISCARD": [[114, "mvb-discard"]], "MVB Merge Items": [[115, "mvb-merge-items"]], "SHAKEDOWN": [[116, "shakedown"]], "MERGE_N_TO_M": [[116, "merge-n-to-m"]], "MVB Merge Streams": [[117, "mvb-merge-streams"]], "MVB MUX": [[118, "mvb-mux"]], "MVB2MFB": [[119, "mvb2mfb"]], "MVB Operation": [[120, "mvb-operation"]], "MVB Shakedown": [[121, "mvb-shakedown"]], "MVB Specification": [[122, "mvb-specification"]], "Examples of various VLD signal values": [[122, "examples-of-various-vld-signal-values"]], "MVB FIFOX": [[123, "mvb-fifox"]], "MVB Lookup Table": [[124, "mvb-lookup-table"]], "40GE Ethernet PHY for Ultrascale+ FPGAs": [[125, "ge-ethernet-phy-for-ultrascale-fpgas"]], "Interface": [[125, "interface"], [149, "interface"], [158, "interface"], [161, "interface"]], "TX PCS": [[125, "tx-pcs"]], "RX PCS": [[125, "rx-pcs"]], "PMA": [[125, "pma"]], "Management": [[125, "management"]], "RX MAC LITE": [[127, "rx-mac-lite"]], "Adapter": [[127, "adapter"], [129, "adapter"]], "Register Map": [[127, "register-map"], [129, "register-map"]], "Ports and Generics": [[127, "ports-and-generics"], [129, "ports-and-generics"]], "MFB -> LBUS reconfigurator (TX LBUS)": [[128, "mfb-lbus-reconfigurator-tx-lbus"]], "Controlling state machine": [[128, "controlling-state-machine"]], "IDLE": [[128, "idle"]], "PKT_PROCESS": [[128, "pkt-process"]], "PKT_END": [[128, "pkt-end"]], "WORD_REALIGN": [[128, "word-realign"]], "PKT_HALT": [[128, "pkt-halt"]], "Examples of realignment": [[128, "examples-of-realignment"]], "Scenario 3": [[128, "scenario-3"]], "Scenario 4": [[128, "scenario-4"]], "Scenario 5": [[128, "scenario-5"]], "Scenario 6": [[128, "scenario-6"]], "TX MAC LITE": [[129, "tx-mac-lite"]], "PCI_EXT_CAP": [[130, "pci-ext-cap"]], "Extra space": [[130, "id3"], [130, "extra-space"]], "Endpoint ID": [[130, "endpoint-id"]], "Card ID": [[130, "card-id"]], "PCIE CONVERSION UNITS": [[131, "pcie-conversion-units"]], "PCIE Byte Count": [[132, "pcie-byte-count"]], "PCIe Byte Enable Decoder": [[133, "pcie-byte-enable-decoder"]], "MTC (MI Transaction Controller)": [[134, "mtc-mi-transaction-controller"]], "PCIE Header parsing/deparsing": [[135, "pcie-header-parsing-deparsing"]], "PTC Tag Manager": [[136, "ptc-tag-manager"]], "PTC (PCIe Transaction Controller)": [[137, "ptc-pcie-transaction-controller"]], "Other components": [[137, "other-components"]], "Architecture configurations": [[137, "architecture-configurations"]], "TSU Format to ns Convertor": [[138, "tsu-format-to-ns-convertor"]], "TSU GEN": [[139, "tsu-gen"]], "AXI Agent": [[140, "axi-agent"]], "sequence_item": [[140, "sequence-item"], [159, "sequence-item"]], "Byte Array agent": [[141, "byte-array-agent"]], "Byte Array sequence item": [[141, "byte-array-sequence-item"]], "Byte Array monitor": [[141, "byte-array-monitor"]], "Byte Array Sequence": [[141, "byte-array-sequence"]], "Sequence configuration": [[141, "sequence-configuration"], [152, "sequence-configuration"], [153, "sequence-configuration"]], "Byte Array to LII convert enviroment": [[142, "byte-array-to-lii-convert-enviroment"], [143, "byte-array-to-lii-convert-enviroment"]], "Byte Array to LII monitor": [[142, "byte-array-to-lii-monitor"], [143, "byte-array-to-lii-monitor"]], "Byte Array to LII Sequence": [[142, "byte-array-to-lii-sequence"], [143, "byte-array-to-lii-sequence"]], "Byte_array_mfb environment": [[144, "byte-array-mfb-environment"]], "Top sequencers and sequences": [[144, "top-sequencers-and-sequences"], [154, "top-sequencers-and-sequences"], [157, "top-sequencers-and-sequences"]], "Configuration": [[144, "configuration"], [154, "configuration"], [156, "configuration"], [157, "configuration"]], "Low sequence configuration": [[144, "low-sequence-configuration"], [156, "low-sequence-configuration"], [157, "low-sequence-configuration"]], "RX Inner sequences": [[144, "rx-inner-sequences"], [154, "rx-inner-sequences"], [156, "rx-inner-sequences"], [157, "rx-inner-sequences"]], "Byte array to MII transitional environment": [[145, "byte-array-to-mii-transitional-environment"]], "monitor.sv": [[145, "monitor-sv"]], "sequencer.sv": [[145, "sequencer-sv"]], "env.sv": [[145, "env-sv"]], "sequence_rx_base.sv": [[145, "sequence-rx-base-sv"]], "sequence_tx_base.sv": [[145, "sequence-tx-base-sv"]], "ce_generator.sv": [[145, "ce-generator-sv"]], "wrapper.sv": [[145, "wrapper-sv"]], "ipg_generator.sv": [[145, "ipg-generator-sv"]], "channel_align.sv": [[145, "channel-align-sv"]], "data_buffer.sv": [[145, "data-buffer-sv"]], "sequence_rx.sv and sequence_tx.sv": [[145, "sequence-rx-sv-and-sequence-tx-sv"]], "Byte array to pma convert enviroment": [[146, "byte-array-to-pma-convert-enviroment"]], "Byte Array to PMA monitor": [[146, "byte-array-to-pma-monitor"]], "Byte Array to PMA Sequence": [[146, "byte-array-to-pma-sequence"]], "Common package": [[147, "common-package"]], "Random": [[147, "random"]], "Comparer": [[147, "comparer"]], "comparer classes": [[147, "id1"], [147, "id2"], [147, "id3"]], "fifo": [[147, "fifo"]], "Components": [[148, "components"]], "Components:": [[148, null]], "Intel MAC SEG": [[149, "intel-mac-seg"]], "Sequence item": [[149, "sequence-item"], [161, "sequence-item"]], "Sequence": [[149, "sequence"], [158, "sequence"], [161, "sequence"]], "Driver": [[149, "driver"], [158, "driver"], [161, "driver"]], "Monitor": [[149, "monitor"], [161, "monitor"]], "Config": [[149, "config"], [161, "config"]], "Agent": [[149, "agent"], [158, "agent"], [161, "agent"]], "LII agent": [[150, "lii-agent"], [151, "lii-agent"]], "LII interface": [[150, "lii-interface"], [151, "lii-interface"]], "LII bus description": [[150, "lii-bus-description"], [151, "lii-bus-description"]], "LII sequence item": [[150, "lii-sequence-item"], [151, "lii-sequence-item"]], "LII monitor": [[150, "lii-monitor"], [151, "lii-monitor"]], "LII driver": [[150, "lii-driver"], [151, "lii-driver"]], "Logic vector agent": [[152, "logic-vector-agent"]], "Logic Vector Array sequence item": [[152, "logic-vector-array-sequence-item"], [153, "logic-vector-array-sequence-item"]], "Logic Vector Array monitor": [[152, "logic-vector-array-monitor"], [153, "logic-vector-array-monitor"]], "Logic Vector Array Sequence": [[152, "logic-vector-array-sequence"], [153, "logic-vector-array-sequence"]], "Logic Vector Array agent": [[153, "logic-vector-array-agent"]], "logic_vector_array_axi environment": [[154, "logic-vector-array-axi-environment"]], "Low-level sequence configuration": [[154, "low-level-sequence-configuration"]], "byte array to MAC SEG": [[155, "byte-array-to-mac-seg"]], "logic_vector_array_mfb environment": [[156, "logic-vector-array-mfb-environment"]], "op sequencers and sequences": [[156, "op-sequencers-and-sequences"]], "logic_vector_mvb environment": [[157, "logic-vector-mvb-environment"]], "SystemVerilog and UVM tutorial": [[158, "systemverilog-and-uvm-tutorial"]], "Other tutorials": [[158, "other-tutorials"]], "Basic usage of the UVM methodology in the OFM repository": [[158, "basic-usage-of-the-uvm-methodology-in-the-ofm-repository"]], "Properties": [[158, "properties"], [158, "id5"]], "Configuration object": [[158, "configuration-object"], [158, "id1"]], "Sequence library": [[158, "sequence-library"], [158, "id2"]], "Package": [[158, "package"]], "Layered agents": [[158, "layered-agents"]], "Environment": [[158, "environment"]], "Low-level sequence": [[158, "low-level-sequence"]], "High-level monitor": [[158, "high-level-monitor"]], "Run of a specific sequence": [[158, "run-of-a-specific-sequence"]], "Common environment": [[158, "common-environment"]], "Virtual sequencer": [[158, "virtual-sequencer"]], "Virtual sequence and synchronization": [[158, "virtual-sequence-and-synchronization"]], "Scoreboard": [[158, "scoreboard"], [158, "id3"], [158, "id4"]], "Request-response Agents": [[158, "request-response-agents"]], "Reset": [[158, "reset"]], "Coverage": [[158, "coverage"]], "Functional coverage": [[158, "functional-coverage"]], "Code coverage": [[158, "code-coverage"]], "Generating coverage reports": [[158, "generating-coverage-reports"]], "Verification example": [[158, "verification-example"]], "Byte_array_port environment": [[158, "byte-array-port-environment"]], "Model": [[158, "model"]], "Create model input fifo": [[158, "create-model-input-fifo"]], "Test environment": [[158, "test-environment"]], "Test": [[158, "test"]], "Testbench": [[158, "testbench"]], "NOTES": [[158, "notes"]], "UVM_info": [[158, "uvm-info"]], "uvm_info": [[158, "id6"]], "UVM_error vs UVM_fatal": [[158, "uvm-error-vs-uvm-fatal"]], "Parametrized object": [[158, "parametrized-object"]], "Synchronization": [[158, "synchronization"]], "OFM verification environment": [[158, "ofm-verification-environment"]], "Modules.tcl": [[158, "modules-tcl"]], "Main .fdo script for running the verification": [[158, "main-fdo-script-for-running-the-verification"]], "MFB Agent": [[159, "mfb-agent"]], "MI agent": [[160, "mi-agent"]], "Sequence_item": [[160, "sequence-item"]], "MVB agent": [[161, "mvb-agent"]], "PMA agent": [[162, "pma-agent"]], "PMA interface": [[162, "pma-interface"]], "PMA bus description": [[162, "pma-bus-description"]], "PMA sequence item": [[162, "pma-sequence-item"]], "PMA monitor": [[162, "pma-monitor"]], "PMA driver": [[162, "pma-driver"]], "RESET agent": [[163, "reset-agent"]], "simple sychronous RESET agents": [[163, "simple-sychronous-reset-agents"]], "UVM simulation": [[164, "uvm-simulation"]], "MFB + MI": [[164, "mfb-mi"]], "MFB + META/MVB": [[164, "mfb-meta-mvb"]], "MVB + MI": [[164, "mvb-mi"]], "How to use the UVM simulation": [[164, "how-to-use-the-uvm-simulation"]], "Controllers & TSU": [[165, "controllers-tsu"]], "Debug Tools": [[166, "debug-tools"]], "DSP components": [[167, "dsp-components"]], "FIFO components": [[168, "fifo-components"]], "Dual clock (asynchronous) FIFOs": [[168, "dual-clock-asynchronous-fifos"]], "Single clock FIFOs": [[168, "single-clock-fifos"]], "FL Tools": [[169, "fl-tools"]], "FLU Tools": [[170, "flu-tools"]], "OFM User Guide": [[171, "ofm-user-guide"]], "Basic logic elements": [[172, "basic-logic-elements"]], "Memory modules": [[173, "memory-modules"]], "MFB Tools": [[174, "mfb-tools"]], "MI Tools": [[175, "mi-tools"]], "Miscellaneous": [[176, "miscellaneous"]], "MVB Tools": [[177, "mvb-tools"]], "Network Tools": [[178, "network-tools"]], "PCIe Tools": [[179, "pcie-tools"]], "Shift registers": [[180, "shift-registers"]], "UVM Verification": [[181, "uvm-verification"]], "Contents:": [[181, null]]}, "indexentries": {}}) \ No newline at end of file diff --git a/devel/vhdl-typeindex.html b/devel/vhdl-typeindex.html index 713fc8875..172272faf 100644 --- a/devel/vhdl-typeindex.html +++ b/devel/vhdl-typeindex.html @@ -42,7 +42,7 @@ Minimal NDK Application Docs
    - Git branch: devel,
    Git hash: 8a6651c7 + Git branch: devel,
    Git hash: de32dba2