diff --git a/devel/_sources/comp/axis_tools/storage/asfifox/readme.rst.txt b/devel/_sources/comp/axis_tools/storage/asfifox/readme.rst.txt
new file mode 100644
index 000000000..1e7733a4c
--- /dev/null
+++ b/devel/_sources/comp/axis_tools/storage/asfifox/readme.rst.txt
@@ -0,0 +1,6 @@
+.. _axis_asfifox:
+
+AXIS_ASFIFOX
+------------
+
+.. vhdl:autoentity:: AXIS_ASFIFOX
diff --git a/devel/comp/axis_tools/storage/asfifox/readme.html b/devel/comp/axis_tools/storage/asfifox/readme.html
new file mode 100644
index 000000000..1f03fd237
--- /dev/null
+++ b/devel/comp/axis_tools/storage/asfifox/readme.html
@@ -0,0 +1,338 @@
+
+
+
+
+
+
+
+
+ AXIS_ASFIFOX — NDK-FPGA documentation
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+ NDK-FPGA
+
+
+
+
+
+
+
+
+
+AXIS_ASFIFOX
+
+
+ENTITY AXIS_ASFIFOX IS
+Generics
+
+Generic
+Type
+Default
+Description
+
+
+
+TDATA_WIDTH
+natural
+512
+Width of AXI-Stream data signal in bits.
+
+TUSER_WIDTH
+natural
+64
+Width of AXI-Stream user signal in bits.
+
+FIFO_ITEMS
+natural
+512
+FIFO depth in number of data words, must be power of two!
+Minimum value is 2.
+
+RAM_TYPE
+string
+“BRAM”
+Select memory implementation. Options:
+“LUT” - effective for shallow FIFO (approx. ITEMS <= 64),
+“BRAM” - effective for deep FIFO (approx. ITEMS > 64).
+
+FWFT_MODE
+boolean
+True
+First Word Fall Through mode. If FWFT_MODE=True, valid data will be
+ready at the ASFIFOX output without TX_AXIS_TREADY requests.
+
+OUTPUT_REG
+boolean
+True
+Enabled output registers allow better timing for a few flip-flops.
+
+AFULL_OFFSET
+natural
+FIFO_ITEMS/2
+Sets the maximum number of remaining free data words in the ASFIFOX
+that triggers the RX_FIFO_AFULL signal.
+
+AEMPTY_OFFSET
+natural
+FIFO_ITEMS/2
+Sets the maximum number of data words stored in the ASFIFOX that
+triggers the TX_FIFO_AEMPTY signal.
+
+DEVICE
+string
+“AGILEX”
+Target device: AGILEX, STRATIX10, ULTRASCALE,…
+
+
+
+Ports
+
+Port
+Type
+Mode
+Description
+
+
+
+=====
+RX AXI-Stream interface (RX_CLK)
+=====
+=====
+
+RX_CLK
+std_logic
+in
+
+
+RX_RESET
+std_logic
+in
+
+
+RX_AXIS_TDATA
+std_logic_vector(TDATA_WIDTH-1 downto 0)
+in
+
+
+RX_AXIS_TUSER
+std_logic_vector(TUSER_WIDTH-1 downto 0)
+in
+
+
+RX_AXIS_TKEEP
+std_logic_vector(TDATA_WIDTH/8-1 downto 0)
+in
+
+
+RX_AXIS_TLAST
+std_logic
+in
+
+
+RX_AXIS_TVALID
+std_logic
+in
+
+
+RX_AXIS_TREADY
+std_logic
+out
+
+
+RX_FIFO_AFULL
+std_logic
+out
+
+
+RX_FIFO_STATUS
+std_logic_vector(log2(FIFO_ITEMS) downto 0)
+out
+
+
+=====
+TX AXI-Stream interface (TX_CLK)
+=====
+=====
+
+TX_CLK
+std_logic
+in
+
+
+TX_RESET
+std_logic
+in
+
+
+TX_AXIS_TDATA
+std_logic_vector(TDATA_WIDTH-1 downto 0)
+out
+
+
+TX_AXIS_TUSER
+std_logic_vector(TUSER_WIDTH-1 downto 0)
+out
+
+
+TX_AXIS_TKEEP
+std_logic_vector(TDATA_WIDTH/8-1 downto 0)
+out
+
+
+TX_AXIS_TLAST
+std_logic
+out
+
+
+TX_AXIS_TVALID
+std_logic
+out
+
+
+TX_AXIS_TREADY
+std_logic
+in
+
+
+TX_FIFO_AEMPTY
+std_logic
+out
+
+
+TX_FIFO_STATUS
+std_logic_vector(log2(FIFO_ITEMS) downto 0)
+out
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
\ No newline at end of file
diff --git a/devel/comp/mfb_tools/edit/frame_extender/readme.html b/devel/comp/mfb_tools/edit/frame_extender/readme.html
index 5ef4f78ae..bf149d7f0 100644
--- a/devel/comp/mfb_tools/edit/frame_extender/readme.html
+++ b/devel/comp/mfb_tools/edit/frame_extender/readme.html
@@ -162,7 +162,12 @@
ENTITY MFB_FRAME_EXTENDER IS
The MFB_FRAME_EXTENDER component allows an MFB frame to be extended by adding
empty MFB blocks to its beginning. This component can be used, for example,
-to efficiently insert metadata into the framework.
+to efficiently insert metadata into the framework. The component has a pair
+of MVB+MFB interfaces on the input and output. For each MFB frame you need to
+send one MVB item. Instructions to extend the MFB frame are passed through
+the RX MVB interface. User metadata can be sent only through the MVB interface.
+In addition, the component also copies this metadata to the TX MFB interface
+where it is valid with SOF.
Generics
Generic
@@ -325,7 +330,7 @@
=====
-TX MFB interface
+TX MFB+MVB interface
=====
=====
@@ -357,7 +362,8 @@
TX_MFB_USERMETA
std_logic_vector(MFB_REGIONS*USERMETA_WIDTH-1 downto 0)
out
-
+The TX_MFB_USERMETA signal is valid with SOF and the transmitted items
+are the same as on the TX_MVB_USERMETA signal.
TX_MFB_SOF
std_logic_vector(MFB_REGIONS-1 downto 0)
diff --git a/devel/objects.inv b/devel/objects.inv
index 58198365d..2442a5c17 100644
Binary files a/devel/objects.inv and b/devel/objects.inv differ
diff --git a/devel/searchindex.js b/devel/searchindex.js
index 3c66a4f31..f63b45c91 100644
--- a/devel/searchindex.js
+++ b/devel/searchindex.js
@@ -1 +1 @@
-Search.setIndex({"alltitles": {"1. Init phase (SetupDesign)": [[169, "init-phase-setupdesign"]], "2. File add phase (AddInputFiles)": [[169, "file-add-phase-addinputfiles"]], "3. Synthesis and Implemenation (SynthetizeDesign, ImplementDesign)": [[169, "synthesis-and-implemenation-synthetizedesign-implementdesign"]], "4. Final phase (SaveDesign)": [[169, "final-phase-savedesign"]], "40GE Ethernet PHY for Ultrascale+ FPGAs": [[110, null]], "A few timing diagrams": [[89, "a-few-timing-diagrams"]], "AMD Alveo U200": [[170, null]], "AMD Alveo U55C": [[171, null]], "AMD VCU118@VU9P": [[172, null]], "AMM_GEN": [[30, null]], "AMM_PROBE": [[31, null]], "ASFIFOX": [[4, null]], "AVMM Agent": [[125, null]], "AVST CRDT Agent": [[126, null]], "AXI Agent": [[127, null]], "Adapter": [[112, "adapter"], [114, "adapter"]], "Adding constants to the VHDL package": [[190, "adding-constants-to-the-vhdl-package"], [190, "id4"]], "Additional Features": [[11, "additional-features"], [82, "additional-features"]], "Additional features": [[20, "additional-features"]], "Address Manager": [[35, null]], "Address space": [[87, "address-space"], [110, "address-space"], [115, "id2"]], "Address space and configuration": [[69, "address-space-and-configuration"]], "Address space size": [[28, "address-space-size"]], "Advanced synthesis configuration": [[169, "advanced-synthesis-configuration"]], "Agent": [[147, "agent"], [150, "agent"]], "Agents": [[126, "agents"]], "Applications": [[162, null]], "Architecture": [[18, "architecture"], [19, "architecture"], [20, "architecture"], [22, "architecture"], [61, "architecture"], [70, "architecture"], [82, "architecture"], [90, "architecture"], [91, "architecture"], [110, "architecture"], [112, "architecture"], [114, "architecture"]], "Architecture configurations": [[122, "architecture-configurations"]], "Arcitecture": [[62, "arcitecture"]], "Asynchronous modules": [[1, null]], "BUFFER": [[111, null], [187, null]], "Barrel Shifter": [[8, null]], "Basic Tools": [[2, null]], "Basic logic elements": [[163, null]], "Basic usage of the UVM methodology in the OFM repository": [[147, "basic-usage-of-the-uvm-methodology-in-the-ofm-repository"]], "Batch feature in EvalFile": [[169, "batch-feature-in-evalfile"]], "Bind": [[153, "bind"]], "Bittware IA-420F": [[173, null]], "Bittware IA-440I": [[174, null]], "Block diagram": [[4, "block-diagram"], [5, "block-diagram"], [6, "block-diagram"], [11, "block-diagram"], [18, "block-diagram"], [24, "block-diagram"], [62, "block-diagram"], [67, "block-diagram"], [73, "block-diagram"], [77, "block-diagram"], [121, "block-diagram"], [122, "block-diagram"]], "Board Revision": [[179, "board-revision"]], "Board Test Scripts": [[179, "board-test-scripts"]], "Boot Instructions": [[178, "boot-instructions"]], "Boot instructions": [[174, "boot-instructions"], [175, "boot-instructions"], [176, "boot-instructions"], [177, "boot-instructions"]], "Boot instructions (initial)": [[173, "boot-instructions-initial"], [183, "boot-instructions-initial"]], "Build System": [[169, null]], "Build system files": [[190, "build-system-files"]], "Build tips": [[185, "build-tips"]], "Bus Specifications": [[162, null]], "Byte Array Sequence": [[128, "byte-array-sequence"]], "Byte Array agent": [[128, null]], "Byte Array monitor": [[128, "byte-array-monitor"]], "Byte Array sequence item": [[128, "byte-array-sequence-item"]], "Byte Array to LII Sequence": [[129, "byte-array-to-lii-sequence"], [130, "byte-array-to-lii-sequence"]], "Byte Array to LII convert enviroment": [[129, null], [130, null]], "Byte Array to LII monitor": [[129, "byte-array-to-lii-monitor"], [130, "byte-array-to-lii-monitor"]], "Byte Array to PMA Sequence": [[133, "byte-array-to-pma-sequence"]], "Byte Array to PMA monitor": [[133, "byte-array-to-pma-monitor"]], "Byte array to MII transitional environment": [[132, null]], "Byte array to pma convert enviroment": [[133, null]], "Byte_array_mfb environment": [[131, null]], "Byte_array_port environment": [[147, "byte-array-port-environment"]], "C Program": [[33, "c-program"]], "CRDT Agent": [[188, null]], "CROSSBARX STREAM2": [[56, null]], "Callback": [[153, "callback"]], "Capture feature": [[19, "capture-feature"]], "Card ID": [[115, "card-id"]], "Channel Start/stop control": [[41, null]], "Checksum Calculator": [[76, null]], "Chip design synthesis and implementation": [[169, "chip-design-synthesis-and-implementation"]], "Cocotb toplevel simulation core": [[184, null]], "Code coverage": [[147, "code-coverage"]], "Common environment": [[147, "common-environment"]], "Common package": [[134, null]], "Comparer": [[134, "comparer"]], "Component port and generics description": [[14, "component-port-and-generics-description"], [25, "component-port-and-generics-description"], [26, "component-port-and-generics-description"], [27, "component-port-and-generics-description"], [29, "component-port-and-generics-description"], [32, "component-port-and-generics-description"]], "Component specification": [[60, "component-specification"]], "Component synthesis": [[169, "component-synthesis"]], "Components": [[135, null]], "Components:": [[135, null]], "Config": [[136, "config"], [150, "config"]], "Configuration": [[131, "configuration"], [142, "configuration"], [145, "configuration"], [146, "configuration"]], "Configuration files": [[190, "id5"], [190, "configuration-files"]], "Configuration files and parameters": [[190, null]], "Configuration generation": [[151, "configuration-generation"]], "Configuration generator configuration": [[151, "configuration-generator-configuration"]], "Configuration object": [[147, "configuration-object"], [147, "id1"]], "Constraints and side-effects": [[70, "constraints-and-side-effects"]], "Contact for author": [[190, "contact-for-author"]], "Content:": [[2, null], [156, null], [157, null], [161, null], [165, null], [166, null], [168, null], [203, null], [204, null]], "Contents:": [[206, null]], "Control SW": [[25, "control-sw"], [26, "control-sw"], [32, "control-sw"]], "Control/Status Registers": [[40, "control-status-registers"], [46, "control-status-registers"]], "Controllers & TSU": [[156, null]], "Controlling state machine": [[113, "controlling-state-machine"]], "Copy-paste code blocks": [[48, "copy-paste-code-blocks"]], "Coverage": [[147, "coverage"]], "Create model input fifo": [[147, "create-model-input-fifo"]], "CrossbarX": [[18, null]], "CrossbarX Stream": [[77, null]], "Crossbarx Output Buffer": [[80, null]], "DDR4 Memory Tester": [[32, null]], "DMA Calypte": [[47, null]], "DMA Channel": [[200, "dma-channel"]], "DMA Medusa IP notes": [[192, "dma-medusa-ip-notes"]], "DMA Stream": [[200, "dma-stream"]], "DSP Comparator": [[3, null]], "DSP components": [[158, null]], "DT integration in build system": [[191, "dt-integration-in-build-system"]], "Data logger": [[26, null]], "Data logger warping component": [[26, "data-logger-warping-component"]], "Data shifting": [[70, "data-shifting"]], "Debug Tools": [[157, null]], "Debugging - DEBUG part": [[28, "debugging-debug-part"]], "Debugging - HW part": [[28, "debugging-hw-part"]], "Debugging - SW part": [[28, "debugging-sw-part"]], "Device Tree": [[115, "device-tree"], [191, null]], "Device Tree nodes": [[169, "device-tree-nodes"]], "Distribution examples": [[50, "distribution-examples"]], "Does NDK implement ISO/OSI protocol support?": [[194, "does-ndk-implement-iso-osi-protocol-support"]], "Does NDK support Jumbo packets?": [[194, "does-ndk-support-jumbo-packets"]], "Driver": [[147, "driver"], [150, "driver"]], "Dual clock (asynchronous) FIFOs": [[159, "dual-clock-asynchronous-fifos"]], "Endpoint ID": [[115, "endpoint-id"]], "Entity Docs": [[186, "entity-docs"]], "Environment": [[147, "environment"]], "Ethernet Channel": [[200, "ethernet-channel"]], "Ethernet Lanes": [[200, "ethernet-lanes"]], "Ethernet Port": [[200, "ethernet-port"]], "Ethernet Stream": [[200, "ethernet-stream"]], "EvalFile": [[169, "evalfile"]], "Event Counter": [[19, null]], "Example 1": [[169, "example-1"]], "Example 1 - setting up ADDR_BASE": [[91, "example-1-setting-up-addr-base"]], "Example 2": [[169, "example-2"]], "Example 2 - masking irrelevant bits of the address": [[91, "example-2-masking-irrelevant-bits-of-the-address"]], "Example 3": [[169, "example-3"]], "Example 3 - mapping ports to differnt ABs": [[91, "example-3-mapping-ports-to-differnt-abs"]], "Example configurations": [[78, "example-configurations"], [151, "example-configurations"]], "Example of DTS of one component": [[191, "example-of-dts-of-one-component"]], "Example of Makefile for component synthesis": [[169, "example-of-makefile-for-component-synthesis"]], "Example of function of the EOF_POS index": [[78, "example-of-function-of-the-eof-pos-index"]], "Example of function of the SOF_POS index": [[78, "example-of-function-of-the-sof-pos-index"]], "Example of generated DTS for FPGA card": [[191, "example-of-generated-dts-for-fpga-card"]], "Example of using Modules.tcl variables": [[169, "example-of-using-modules-tcl-variables"]], "Example of using properties": [[169, "example-of-using-properties"]], "Examples": [[60, "examples"], [155, "examples"]], "Examples of realignment": [[113, "examples-of-realignment"]], "Examples of use": [[91, "examples-of-use"]], "Examples of various VLD signal values": [[107, "examples-of-various-vld-signal-values"]], "Extra space": [[115, "id3"], [115, "extra-space"]], "F-Tile Multirate IP": [[185, null]], "FIFO components": [[159, null]], "FIFOX": [[5, null]], "FIFOX Multi": [[6, null]], "FL Tools": [[160, null]], "FLU Tools": [[161, null]], "FLU bus specification": [[48, null]], "File description": [[190, "file-description"], [190, "id1"]], "FlowTest Sequence": [[151, null]], "Force Discard": [[82, "force-discard"]], "Frame Packer": [[61, null]], "Frame Unpacker": [[62, null]], "Frequently Asked Questions": [[194, null]], "Functional coverage": [[147, "functional-coverage"]], "Further work with parameters": [[190, "further-work-with-parameters"], [190, "id2"]], "Further work with the NDK": [[195, "further-work-with-the-ndk"]], "GLS module tutorial": [[201, "gls-module-tutorial"]], "Gen Loop Switch (GLS)": [[49, null]], "General Subcomponents": [[46, "general-subcomponents"]], "General components": [[45, "general-components"]], "General subcomponents": [[41, "general-subcomponents"], [42, "general-subcomponents"], [44, "general-subcomponents"], [108, "general-subcomponents"]], "Generating coverage reports": [[147, "generating-coverage-reports"]], "Generic parameters": [[78, "generic-parameters"], [107, "generic-parameters"]], "Generics": [[18, "generics"], [138, "generics"], [139, "generics"], [152, "generics"]], "H3 Class Hash": [[10, null]], "Header Insertor": [[34, null]], "Header Manager": [[36, null]], "Hierarchy description in Modules.tcl": [[169, "hierarchy-description-in-modules-tcl"]], "High-level monitor": [[147, "high-level-monitor"]], "Histogramer": [[27, null]], "How to build an FPGA firmware with an NDK-based application": [[195, "how-to-build-an-fpga-firmware-with-an-ndk-based-application"]], "How to check the NDK firmware in the FPGA": [[195, "how-to-check-the-ndk-firmware-in-the-fpga"]], "How to load the firmware to an FPGA card": [[195, "how-to-load-the-firmware-to-an-fpga-card"]], "How to prepare the FPGA card and the host PC": [[195, "how-to-prepare-the-fpga-card-and-the-host-pc"]], "How to start": [[195, null]], "How to use the Application interfaces": [[189, "how-to-use-the-application-interfaces"]], "How to use the Network Module interfaces": [[193, "how-to-use-the-network-module-interfaces"]], "How to use the UVM simulation": [[155, "how-to-use-the-uvm-simulation"]], "I need to include specific component in CORE depending on a given parameter value": [[190, "i-need-to-include-specific-component-in-core-depending-on-a-given-parameter-value"]], "IDLE": [[113, "idle"]], "Implemented IP cores": [[185, "implemented-ip-cores"]], "Input Buffer": [[37, null]], "Instance template": [[14, "instance-template"], [27, "instance-template"], [29, "instance-template"]], "Instance template (full usage)": [[26, "instance-template-full-usage"]], "Instance template (simple usage)": [[25, "instance-template-simple-usage"], [26, "instance-template-simple-usage"]], "Intel Agilex I-Series FPGA DK": [[176, null]], "Intel MAC SEG": [[136, null]], "Intel Stratix 10 DX FPGA DK": [[175, null]], "Interface": [[110, "interface"], [147, "interface"], [150, "interface"], [153, "interface"]], "Internal Architecture": [[30, "internal-architecture"], [32, "internal-architecture"]], "Is there a SW stack also available for the NDK?": [[194, "is-there-a-sw-stack-also-available-for-the-ndk"]], "Is there also an open-source DMA controller available?": [[194, "is-there-also-an-open-source-dma-controller-available"]], "JTAG-over-protocol Client": [[28, null]], "Key features": [[25, "key-features"], [26, "key-features"], [27, "key-features"], [29, "key-features"], [32, "key-features"]], "LBUS Agent": [[137, null]], "LII agent": [[138, null], [139, null]], "LII bus description": [[138, "lii-bus-description"], [139, "lii-bus-description"]], "LII driver": [[138, "lii-driver"], [139, "lii-driver"]], "LII interface": [[138, "lii-interface"], [139, "lii-interface"]], "LII monitor": [[138, "lii-monitor"], [139, "lii-monitor"]], "LII sequence item": [[138, "lii-sequence-item"], [139, "lii-sequence-item"]], "LOGIC VECTOR ARRAY LBUS Environment": [[144, null]], "Latency meter": [[29, null]], "Layered agents": [[147, "layered-agents"]], "List of make parameters:": [[195, "list-of-make-parameters"]], "List of properties used in MOD variables": [[169, "list-of-properties-used-in-mod-variables"]], "List of properties used in SV_LIBS": [[169, "list-of-properties-used-in-sv-libs"]], "Live value table memory": [[13, null]], "Local Subcomponents": [[36, "local-subcomponents"], [40, "local-subcomponents"], [46, "local-subcomponents"], [47, "local-subcomponents"]], "Location of DTB in the firmware": [[191, "location-of-dtb-in-the-firmware"]], "Logic Vector Array Sequence": [[140, "logic-vector-array-sequence"], [141, "logic-vector-array-sequence"]], "Logic Vector Array agent": [[141, null]], "Logic Vector Array monitor": [[140, "logic-vector-array-monitor"], [141, "logic-vector-array-monitor"]], "Logic Vector Array sequence item": [[140, "logic-vector-array-sequence-item"], [141, "logic-vector-array-sequence-item"]], "Logic vector agent": [[140, null]], "Low sequence configuration": [[131, "low-sequence-configuration"], [145, "low-sequence-configuration"], [146, "low-sequence-configuration"]], "Low-level sequence": [[147, "low-level-sequence"]], "Low-level sequence configuration": [[142, "low-level-sequence-configuration"]], "MEM_TESTER Software": [[33, null]], "MERGE_N_TO_M": [[98, "merge-n-to-m"]], "MFB + META/MVB": [[155, "mfb-meta-mvb"]], "MFB + MI": [[155, "mfb-mi"]], "MFB -> LBUS reconfigurator (TX LBUS)": [[113, null]], "MFB ASFIFOX": [[79, null]], "MFB Agent": [[148, null]], "MFB Auxiliary Signals": [[75, null]], "MFB Cutter Simple": [[57, null]], "MFB Dropper": [[58, null]], "MFB Enabler": [[59, null]], "MFB FIFOX": [[81, null]], "MFB FRAME EXTENDER": [[52, null]], "MFB FRAME TRIMMER": [[54, null]], "MFB Frame Masker": [[60, null]], "MFB Generator": [[50, null]], "MFB Loopback": [[63, null]], "MFB MVB Appender": [[51, null]], "MFB Merger": [[64, null]], "MFB Merger Simple": [[65, null]], "MFB Merger Simple GEN": [[65, "id1"]], "MFB PD ASFIFO SIMPLE": [[83, null]], "MFB PIPE": [[68, null]], "MFB Packet Discard ASFIFO": [[82, null]], "MFB Reconfigurator": [[70, null]], "MFB Splitter": [[71, null]], "MFB Splitter Gen": [[71, "id1"]], "MFB Splitter Simple": [[72, null]], "MFB Splitter Simple Gen": [[72, "id1"]], "MFB Tools": [[165, null]], "MFB Trasformer": [[74, null]], "MFB specification": [[78, null]], "MI ASYNC": [[84, null]], "MI Bus Control": [[30, "mi-bus-control"], [31, "mi-bus-control"], [32, "mi-bus-control"]], "MI Pipe": [[88, null]], "MI Reconfigurator": [[90, null]], "MI Splitter Plus Gen": [[91, null]], "MI Tools": [[166, null]], "MI address space": [[26, "mi-address-space"]], "MI agent": [[149, null]], "MI bus description": [[89, "mi-bus-description"]], "MI bus specification": [[89, null]], "MI indirect access": [[87, null]], "MI2AVMM": [[85, null]], "MI2AXI4": [[86, null]], "MTC (MI Transaction Controller)": [[119, null]], "MVB + MI": [[155, "mvb-mi"]], "MVB Channel Router": [[92, null]], "MVB Components": [[168, null]], "MVB DEMUX": [[93, null]], "MVB DISCARD": [[94, null]], "MVB FIFOX": [[108, null]], "MVB Gate": [[95, null]], "MVB Item Collision Resolver": [[96, null]], "MVB Lookup Table": [[109, null]], "MVB MUX": [[102, null]], "MVB Merge Items": [[97, null]], "MVB Merge Streams": [[99, null]], "MVB Merge Streams Ordered": [[101, null]], "MVB Operation": [[104, null]], "MVB Shakedown": [[105, null]], "MVB Specification": [[107, null]], "MVB agent": [[150, null]], "MVB2MFB": [[103, null]], "Main .fdo script for running the verification": [[147, "main-fdo-script-for-running-the-verification"]], "Makefile": [[169, "makefile"]], "Management": [[110, "management"]], "Mem logger": [[25, null]], "Memory clear": [[14, null]], "Memory modules": [[164, null]], "Metadata Extractor": [[42, null]], "Metadata Insertor": [[66, null]], "Minimal NDK application": [[0, null]], "Miscellaneous": [[167, null]], "Model": [[147, "model"]], "Modules.tcl": [[147, "modules-tcl"]], "Monitor": [[150, "monitor"]], "More references": [[24, "more-references"]], "Multi MEMx Counter": [[9, null]], "Multi-port BRAM": [[15, null]], "NDK Architecture": [[199, null]], "NDK Firmware Support": [[178, "ndk-firmware-support"]], "NDK Terminology": [[200, null]], "NDK firmware support": [[170, "ndk-firmware-support"], [171, "ndk-firmware-support"], [172, "ndk-firmware-support"], [173, "ndk-firmware-support"], [174, "ndk-firmware-support"], [175, "ndk-firmware-support"], [176, "ndk-firmware-support"], [177, "ndk-firmware-support"], [179, "ndk-firmware-support"], [180, "ndk-firmware-support"], [181, "ndk-firmware-support"], [182, "ndk-firmware-support"], [183, "ndk-firmware-support"]], "NDK testing": [[201, null]], "NETWORK MODULE": [[186, null]], "NOTES": [[147, "notes"]], "NP LUT RAM": [[16, null]], "N_LOOP_OP": [[11, null]], "Network Module Core": [[193, "network-module-core"]], "Network Module Logic": [[193, "network-module-logic"]], "Network Tools": [[203, null]], "Note": [[151, null], [151, null]], "Note\n :class: note": [[125, null]], "Notes": [[69, "notes"]], "OFM verification environment": [[147, "ofm-verification-environment"]], "Operation": [[69, "operation"], [78, "operation"], [107, "operation"], [113, "operation"]], "Operations": [[77, "operations"]], "Operator flow": [[11, "operator-flow"]], "Other components": [[122, "other-components"]], "Other features of the build system": [[169, "other-features-of-the-build-system"]], "Other tutorials": [[147, "other-tutorials"]], "Overview": [[162, null]], "PCIE Byte Count": [[117, null]], "PCIE CONVERSION UNITS": [[116, null]], "PCIE Header parsing/deparsing": [[120, null]], "PCI_EXT_CAP": [[115, null]], "PCIe Byte Enable Decoder": [[118, null]], "PCIe Tools": [[204, null]], "PDF report generator SW": [[32, "pdf-report-generator-sw"]], "PKT_END": [[113, "pkt-end"]], "PKT_HALT": [[113, "pkt-halt"]], "PKT_PROCESS": [[113, "pkt-process"]], "PLATFORM_TAGS": [[169, "platform-tags"]], "PMA": [[110, "pma"]], "PMA agent": [[152, null]], "PMA bus description": [[152, "pma-bus-description"]], "PMA driver": [[152, "pma-driver"]], "PMA interface": [[152, "pma-interface"]], "PMA monitor": [[152, "pma-monitor"]], "PMA sequence item": [[152, "pma-sequence-item"]], "PRO DESIGN Falcon": [[178, null]], "PTC (PCIe Transaction Controller)": [[122, null]], "PTC Tag Manager": [[121, null]], "Package": [[147, "package"]], "Packages": [[23, null]], "Packet Delayer": [[67, null]], "Packet Dispatcher": [[43, null]], "Packet Planner": [[20, null]], "Parametrized object": [[147, "parametrized-object"]], "Parametrizing NDK-FPGA design": [[190, "parametrizing-ndk-fpga-design"]], "Parametrizing a specific card type": [[190, "parametrizing-a-specific-card-type"]], "Parametrizing the user application": [[190, "parametrizing-the-user-application"]], "Passing through Modules.tcl": [[190, "passing-through-modules-tcl"], [190, "id3"]], "Port description": [[78, "port-description"], [107, "port-description"]], "Ports": [[18, "ports"], [138, "ports"], [139, "ports"], [152, "ports"]], "Ports and Generics": [[112, "ports-and-generics"], [114, "ports-and-generics"]], "Ports and generics of the Application": [[189, "ports-and-generics-of-the-application"]], "Priority for PLATFORM_TAGS": [[169, "priority-for-platform-tags"]], "Profile generation": [[151, "profile-generation"]], "Profile generator configuration": [[151, "profile-generator-configuration"]], "Programming the device": [[170, "programming-the-device"], [171, "programming-the-device"], [172, "programming-the-device"]], "Properties": [[147, "properties"], [147, "id5"]], "Pulse short": [[21, null]], "Pytest SW": [[32, "pytest-sw"]], "Pytest Tester (mem_tester.py)": [[33, "pytest-tester-mem-tester-py"]], "Quick reset": [[11, "quick-reset"]], "Quick start": [[184, "quick-start"]], "RESET agent": [[154, null]], "RX DMA Calypte": [[40, null]], "RX Inner sequences": [[131, "rx-inner-sequences"], [142, "rx-inner-sequences"], [145, "rx-inner-sequences"], [146, "rx-inner-sequences"]], "RX MAC LITE": [[112, null]], "RX PCS": [[110, "rx-pcs"]], "RX direction": [[144, "rx-direction"]], "Random": [[134, "random"]], "Rate Limiter": [[69, null]], "Read interface behavior": [[6, "read-interface-behavior"]], "Read/write access to the Application registers from SW": [[189, "read-write-access-to-the-application-registers-from-sw"]], "Receiving packets from Ethernet": [[189, "receiving-packets-from-ethernet"]], "Receiving packets from the Application": [[193, "receiving-packets-from-the-application"]], "Receiving packets from the DMA module": [[189, "receiving-packets-from-the-dma-module"]], "References": [[1, "references"], [18, "references"], [20, "references"], [32, "references"], [61, "references"], [122, "references"], [159, "references"], [164, "references"]], "ReflexCES XpressSX AGI-FH400G": [[179, null]], "Register FIFO": [[7, null]], "Register Map": [[112, "register-map"], [114, "register-map"]], "Report Generator (report_gen.py)": [[33, "report-generator-report-gen-py"]], "Request-response Agents": [[147, "request-response-agents"]], "Requirements for developers": [[191, "requirements-for-developers"]], "Reset": [[147, "reset"]], "Response logic": [[125, "response-logic"]], "Reusable Modules Library": [[162, null]], "Run of a specific sequence": [[147, "run-of-a-specific-sequence"]], "SDM CLIENT": [[24, null]], "SHAKEDOWN": [[98, null]], "SW access to the MAC Lites": [[193, "sw-access-to-the-mac-lites"]], "SW access to the Network Module Cores and Network Module Logics": [[193, "sw-access-to-the-network-module-cores-and-network-module-logics"]], "SW access to the reconfiguration interfaces": [[193, "sw-access-to-the-reconfiguration-interfaces"]], "Scenario 1": [[78, "scenario-1"], [107, "scenario-1"], [113, "scenario-1"]], "Scenario 2": [[78, "scenario-2"], [107, "scenario-2"], [113, "scenario-2"]], "Scenario 3": [[113, "scenario-3"]], "Scenario 4": [[113, "scenario-4"]], "Scenario 5": [[113, "scenario-5"]], "Scenario 6": [[113, "scenario-6"]], "Scoreboard": [[147, "scoreboard"], [147, "id3"], [147, "id4"]], "Selecting a DMA controller": [[192, "selecting-a-dma-controller"]], "Selecting a PCIe configuration": [[198, "selecting-a-pcie-configuration"]], "Sequence": [[136, "sequence"], [147, "sequence"], [150, "sequence"]], "Sequence Item": [[126, "sequence-item"], [137, "sequence-item"]], "Sequence Items": [[125, "sequence-items"]], "Sequence Libraries": [[137, "sequence-libraries"]], "Sequence configuration": [[128, "sequence-configuration"], [140, "sequence-configuration"], [141, "sequence-configuration"]], "Sequence item": [[136, "sequence-item"], [150, "sequence-item"]], "Sequence library": [[147, "sequence-library"], [147, "id2"]], "Sequence parameters": [[151, "sequence-parameters"]], "Sequence_item": [[149, "sequence-item"]], "Sequencers": [[144, "sequencers"]], "Sequences": [[125, "sequences"], [126, "sequences"], [137, "sequences"], [144, "sequences"]], "Shift registers": [[205, null]], "Silicom N6010": [[182, null]], "Silicom fb2CGhh@KU15P": [[180, null]], "Silicom fb4CGg3@VU9P": [[181, null]], "Simple dual-port BRAM": [[17, null]], "Simple dual-port BRAM with Byte Enable": [[17, "simple-dual-port-bram-with-byte-enable"]], "Single clock FIFOs": [[159, "single-clock-fifos"]], "Situation": [[11, "situation"]], "Software Manager": [[38, null], [45, null]], "Solution": [[11, "solution"]], "Specification": [[24, "specification"], [85, "specification"]], "Sub-components": [[32, "sub-components"]], "Subcomponents": [[62, "subcomponents"]], "Supported Cards": [[162, null]], "Supported PCIe Configurations": [[47, "supported-pcie-configurations"]], "Supported PCIe Hard IP": [[198, "supported-pcie-hard-ip"]], "Switching profiles": [[185, "switching-profiles"]], "Synchronization": [[147, "synchronization"]], "Synchronous SR latch": [[12, null]], "SynthesizeProject": [[169, "synthesizeproject"]], "SystemVerilog and UVM tutorial": [[147, null]], "TL;DR": [[190, "tl-dr"]], "TSU Format to ns Convertor": [[123, null]], "TSU GEN": [[124, null]], "TX DMA Calypte": [[46, null]], "TX MAC LITE": [[114, null]], "TX PCS": [[110, "tx-pcs"]], "TX direction": [[144, "tx-direction"]], "Tab. 1": [[40, "id2"], [46, "id2"], [60, "id2"], [87, "id2"], [91, "id2"], [193, "id6"]], "Tab. 1 F-Tile_Multirate IPs variants": [[185, "id1"]], "Tab. 2": [[46, "id3"], [91, "id3"], [193, "id7"]], "Tab. 3": [[91, "id4"], [193, "id8"]], "Tab. 4": [[193, "id9"]], "Table of generics": [[48, "table-of-generics"]], "Table of signals": [[48, "table-of-signals"]], "Terasic A2700": [[183, null]], "Test": [[147, "test"]], "Test environment": [[147, "test-environment"]], "Testbench": [[147, "testbench"]], "Testing R/W access to the scratch registers": [[201, "testing-r-w-access-to-the-scratch-registers"]], "The (incomplete) list of SYNTH_FLAGS array items": [[169, "the-incomplete-list-of-synth-flags-array-items"]], "The Application": [[189, null]], "The DMA module": [[192, null]], "The MI bus interconnect": [[197, null]], "The Memory Controller": [[196, null]], "The Memory Testers": [[0, "the-memory-testers"]], "The Network Module": [[193, null]], "The PCIe Control unit (PCIE_CTRL)": [[198, "the-pcie-control-unit-pcie-ctrl"]], "The PCIe Core (PCIE_CORE)": [[198, "the-pcie-core-pcie-core"]], "The PCIe module": [[198, null]], "The PCIe module entity": [[198, "the-pcie-module-entity"]], "The application MI offsets": [[0, "the-application-mi-offsets"]], "The comp target in Makefile": [[169, "the-comp-target-in-makefile"]], "The main allocation of the MI address space": [[197, "the-main-allocation-of-the-mi-address-space"]], "The verification of this component will be designed and implemented as part of the bachelor\u2019s thesis.": [[53, null], [55, null], [100, null], [106, null]], "Time Stamp Unit": [[202, null]], "Timestamp Limiter": [[73, null]], "Timestamp format": [[202, "timestamp-format"]], "Timestamp signals": [[202, "timestamp-signals"]], "Timing diagram example": [[48, "timing-diagram-example"]], "Timing diagrams": [[78, "timing-diagrams"], [107, "timing-diagrams"]], "Top sequencers and sequences": [[131, "top-sequencers-and-sequences"], [142, "top-sequencers-and-sequences"], [146, "top-sequencers-and-sequences"]], "Transaction Buffer": [[39, null]], "Transaction Sorter": [[22, null]], "Transaction buffer": [[44, null]], "Transmitting packets to the Application": [[193, "transmitting-packets-to-the-application"]], "Transmitting packets to the DMA module": [[189, "transmitting-packets-to-the-dma-module"]], "Transmitting packets to the Ethernet": [[189, "transmitting-packets-to-the-ethernet"]], "Typical Configurations": [[186, "typical-configurations"]], "UVM Verification": [[40, "uvm-verification"], [46, "uvm-verification"], [206, null]], "UVM simulation": [[155, null]], "UVM_error vs UVM_fatal": [[147, "uvm-error-vs-uvm-fatal"]], "UVM_info": [[147, "uvm-info"]], "Usage": [[69, "usage"], [87, "usage"], [132, "usage"]], "Usage guidelines": [[48, "usage-guidelines"]], "Variables in Modules.tcl obtained by the build system": [[169, "variables-in-modules-tcl-obtained-by-the-build-system"]], "Verification": [[5, "verification"]], "Verification Plan": [[40, "verification-plan"], [46, "verification-plan"], [111, "verification-plan"], [186, "verification-plan"], [187, "verification-plan"], [187, "id1"]], "Verification block diagram": [[5, "verification-block-diagram"]], "Verification example": [[147, "verification-example"]], "Verification plan": [[60, "verification-plan"]], "Virtual sequence and synchronization": [[147, "virtual-sequence-and-synchronization"]], "Virtual sequencer": [[147, "virtual-sequencer"]], "WORD_REALIGN": [[113, "word-realign"]], "Warning": [[151, null], [151, null]], "What Ethernet standards does NDK support?": [[194, "what-ethernet-standards-does-ndk-support"]], "What FPGA chips and cards does NDK support?": [[194, "what-fpga-chips-and-cards-does-ndk-support"]], "What SW do I need to build the NDK firmware?": [[194, "what-sw-do-i-need-to-build-the-ndk-firmware"]], "What can I do with the app_conf.tcl file": [[190, "what-can-i-do-with-the-app-conf-tcl-file"]], "What can I do with the card_conf.tcl file": [[190, "what-can-i-do-with-the-card-conf-tcl-file"]], "What can I do with the card_const.tcl file": [[190, "what-can-i-do-with-the-card-const-tcl-file"]], "What can I do with the core_conf.tcl file": [[190, "what-can-i-do-with-the-core-conf-tcl-file"]], "What can I do with the core_const.tcl file": [[190, "what-can-i-do-with-the-core-const-tcl-file"]], "What clock frequencies are available for the user application?": [[194, "what-clock-frequencies-are-available-for-the-user-application"]], "What communication interfaces can a NDK applications have available?": [[194, "what-communication-interfaces-can-a-ndk-applications-have-available"]], "What dependencies are needed to build an FPGA firmware": [[195, "what-dependencies-are-needed-to-build-an-fpga-firmware"]], "What is a Network Development Kit (NDK)?": [[194, "what-is-a-network-development-kit-ndk"]], "What is the difference between NDK and Corundum?": [[194, "what-is-the-difference-between-ndk-and-corundum"]], "What is the difference between NDK and NetFPGA?": [[194, "what-is-the-difference-between-ndk-and-netfpga"]], "What is the difference between NDK and OpenNIC?": [[194, "what-is-the-difference-between-ndk-and-opennic"]], "Write interface behavior": [[6, "write-interface-behavior"]], "build//Makefile": [[190, "build-card-name-makefile"]], "build//app_conf.tcl": [[190, "build-card-name-app-conf-tcl"]], "build//{Vivado,Quartus}.tcl": [[190, "build-card-name-vivado-quartus-tcl"]], "byte array to MAC SEG": [[143, null]], "card.mk": [[190, "card-mk"]], "card_conf.tcl": [[190, "card-conf-tcl"]], "card_const.tcl": [[190, "card-const-tcl"]], "ce_generator.sv": [[132, "ce-generator-sv"]], "channel_align.sv": [[132, "channel-align-sv"]], "comparer classes": [[134, "id1"], [134, "id2"], [134, "id3"]], "core.mk": [[190, "core-mk"]], "core_bootstrap.tcl": [[190, "core-bootstrap-tcl"]], "core_conf.tcl": [[190, "core-conf-tcl"]], "core_const.tcl": [[190, "core-const-tcl"]], "data_buffer.sv": [[132, "data-buffer-sv"]], "env.sv": [[132, "env-sv"]], "fifo": [[134, "fifo"]], "iWave G35P": [[177, null]], "ipg_generator.sv": [[132, "ipg-generator-sv"]], "logic_vector_array_axi environment": [[142, null]], "logic_vector_array_mfb environment": [[145, null]], "logic_vector_mvb environment": [[146, null]], "memory_model": [[125, "memory-model"]], "monitor.sv": [[132, "monitor-sv"]], "op sequencers and sequences": [[145, "op-sequencers-and-sequences"]], "probe agent": [[153, null]], "request_item": [[125, "request-item"]], "request_subscriber": [[125, "request-subscriber"]], "response_item": [[125, "response-item"]], "sequence_item": [[127, "sequence-item"], [148, "sequence-item"]], "sequence_item_request": [[125, "sequence-item-request"]], "sequence_item_response": [[125, "sequence-item-response"]], "sequence_rx.sv and sequence_tx.sv": [[132, "sequence-rx-sv-and-sequence-tx-sv"]], "sequence_rx_base.sv": [[132, "sequence-rx-base-sv"]], "sequence_tx_base.sv": [[132, "sequence-tx-base-sv"]], "sequencer.sv": [[132, "sequencer-sv"]], "simple sychronous RESET agents": [[154, "simple-sychronous-reset-agents"]], "uvm_info": [[147, "id6"]], "wrapper.sv": [[132, "wrapper-sv"]]}, "docnames": ["app-minimal", "async", "base", "comp/base/dsp/dsp_comparator/readme", "comp/base/fifo/asfifox/readme", "comp/base/fifo/fifox/readme", "comp/base/fifo/fifox_multi/readme", "comp/base/fifo/reg_fifo/readme", "comp/base/logic/barrel_shifter/readme", "comp/base/logic/cnt_multi_memx/readme", "comp/base/logic/h3hash/readme", "comp/base/logic/n_loop_op/readme", "comp/base/logic/sr_sync_latch/readme", "comp/base/mem/lvt_mem/readme", "comp/base/mem/mem_clear/readme", "comp/base/mem/mp_bram/readme", "comp/base/mem/np_lutram/readme", "comp/base/mem/sdp_bram/readme", "comp/base/misc/crossbarx/readme", "comp/base/misc/event_counter/readme", "comp/base/misc/packet_planner/readme", "comp/base/misc/pulse_short/readme", "comp/base/misc/trans_sorter/readme", "comp/base/pkg/readme", "comp/ctrls/sdm_client/readme", "comp/debug/data_logger/mem_logger/readme", "comp/debug/data_logger/readme", "comp/debug/histogramer/readme", "comp/debug/jtag_op_client/readme", "comp/debug/latency_meter/readme", "comp/debug/mem_tester/amm_gen/readme", "comp/debug/mem_tester/amm_probe/readme", "comp/debug/mem_tester/readme", "comp/debug/mem_tester/sw/readme", "comp/dma/dma_calypte/comp/rx/comp/hdr_insertor/readme", "comp/dma/dma_calypte/comp/rx/comp/hdr_manager/addr_manager/readme", "comp/dma/dma_calypte/comp/rx/comp/hdr_manager/readme", "comp/dma/dma_calypte/comp/rx/comp/input_buffer/readme", "comp/dma/dma_calypte/comp/rx/comp/software_manager/readme", "comp/dma/dma_calypte/comp/rx/comp/trans_buffer/readme", "comp/dma/dma_calypte/comp/rx/readme", "comp/dma/dma_calypte/comp/tx/comp/chan_start_stop_ctrl/readme", "comp/dma/dma_calypte/comp/tx/comp/metadata_extractor/readme", "comp/dma/dma_calypte/comp/tx/comp/packet_dispatcher/readme", "comp/dma/dma_calypte/comp/tx/comp/pcie_trans_buffer/readme", "comp/dma/dma_calypte/comp/tx/comp/software_manager/readme", "comp/dma/dma_calypte/comp/tx/readme", "comp/dma/dma_calypte/readme", "comp/flu_tools/readme", "comp/mfb_tools/debug/gen_loop_switch/readme", "comp/mfb_tools/debug/generator/readme", "comp/mfb_tools/edit/frame_appender/readme", "comp/mfb_tools/edit/frame_extender/readme", "comp/mfb_tools/edit/frame_extender/uvm/readme", "comp/mfb_tools/edit/frame_trimmer/readme", "comp/mfb_tools/edit/frame_trimmer/uvm/readme", "comp/mfb_tools/flow/crossbarx_stream2/readme", "comp/mfb_tools/flow/cutter_simple/readme", "comp/mfb_tools/flow/dropper/readme", "comp/mfb_tools/flow/enabler/readme", "comp/mfb_tools/flow/frame_masker/readme", "comp/mfb_tools/flow/frame_packer/readme", "comp/mfb_tools/flow/frame_unpacker/readme", "comp/mfb_tools/flow/loopback/readme", "comp/mfb_tools/flow/merger/readme", "comp/mfb_tools/flow/merger_simple/readme", "comp/mfb_tools/flow/metadata_insertor/readme", "comp/mfb_tools/flow/packet_delayer/readme", "comp/mfb_tools/flow/pipe/readme", "comp/mfb_tools/flow/rate_limiter/readme", "comp/mfb_tools/flow/reconfigurator/readme", "comp/mfb_tools/flow/splitter/readme", "comp/mfb_tools/flow/splitter_simple/readme", "comp/mfb_tools/flow/timestamp_limiter/readme", "comp/mfb_tools/flow/transformer/readme", "comp/mfb_tools/logic/auxiliary_signals/readme", "comp/mfb_tools/logic/checksum_calculator/readme", "comp/mfb_tools/logic/crossbarx_stream/readme", "comp/mfb_tools/readme", "comp/mfb_tools/storage/asfifox/readme", "comp/mfb_tools/storage/crossbarx_output_buffer/readme", "comp/mfb_tools/storage/fifox/readme", "comp/mfb_tools/storage/pd_asfifo/readme", "comp/mfb_tools/storage/pd_asfifo_simple/readme", "comp/mi_tools/async/readme", "comp/mi_tools/converters/mi2avmm/readme", "comp/mi_tools/converters/mi2axi4/readme", "comp/mi_tools/indirect_access/readme", "comp/mi_tools/pipe/readme", "comp/mi_tools/readme", "comp/mi_tools/reconf/readme", "comp/mi_tools/splitter_plus_gen/readme", "comp/mvb_tools/flow/channel_router/readme", "comp/mvb_tools/flow/demux/readme", "comp/mvb_tools/flow/discard/readme", "comp/mvb_tools/flow/gate/readme", "comp/mvb_tools/flow/item_collision_resolver/readme", "comp/mvb_tools/flow/merge_items/readme", "comp/mvb_tools/flow/merge_n_to_m/readme", "comp/mvb_tools/flow/merge_streams/readme", "comp/mvb_tools/flow/merge_streams/uvm/readme", "comp/mvb_tools/flow/merge_streams_ordered/readme", "comp/mvb_tools/flow/mux/readme", "comp/mvb_tools/flow/mvb2mfb/readme", "comp/mvb_tools/flow/operation/readme", "comp/mvb_tools/flow/shakedown/readme", "comp/mvb_tools/flow/shakedown/uvm/readme", "comp/mvb_tools/readme", "comp/mvb_tools/storage/fifox/readme", "comp/mvb_tools/storage/lookup_table/readme", "comp/nic/eth_phy/40ge/readme", "comp/nic/mac_lite/rx_mac_lite/comp/buffer/uvm/readme", "comp/nic/mac_lite/rx_mac_lite/readme", "comp/nic/mac_lite/tx_mac_lite/comp/adapters/lbus/reconf/readme", "comp/nic/mac_lite/tx_mac_lite/readme", "comp/pcie/common/readme", "comp/pcie/convertors/readme", "comp/pcie/logic/byte_count/readme", "comp/pcie/logic/byte_en_decoder/readme", "comp/pcie/mtc/readme", "comp/pcie/others/hdr_gen/readme", "comp/pcie/ptc/comp/tag_manager/readme", "comp/pcie/ptc/readme", "comp/tsu/tsu_format_to_ns/readme", "comp/tsu/tsu_gen/readme", "comp/uvm/avmm/readme", "comp/uvm/avst_crdt/readme", "comp/uvm/axi/readme", "comp/uvm/byte_array/readme", "comp/uvm/byte_array_lii/readme", "comp/uvm/byte_array_lii_rx/readme", "comp/uvm/byte_array_mfb/readme", "comp/uvm/byte_array_mii/readme", "comp/uvm/byte_array_pma/readme", "comp/uvm/common/readme", "comp/uvm/componets", "comp/uvm/intel_mac_seg/readme", "comp/uvm/lbus/readme", "comp/uvm/lii/readme", "comp/uvm/lii_rx/readme", "comp/uvm/logic_vector/readme", "comp/uvm/logic_vector_array/readme", "comp/uvm/logic_vector_array_axi/readme", "comp/uvm/logic_vector_array_intel_mac_seg/readme", "comp/uvm/logic_vector_array_lbus/readme", "comp/uvm/logic_vector_array_mfb/readme", "comp/uvm/logic_vector_mvb/readme", "comp/uvm/manual", "comp/uvm/mfb/readme", "comp/uvm/mi/readme", "comp/uvm/mvb/readme", "comp/uvm/packet_generators/flowtest/readme", "comp/uvm/pma/readme", "comp/uvm/probe/readme", "comp/uvm/reset/readme", "comp/uvm/sim_manual", "ctrls", "debug", "dsp", "fifo", "fl", "flu", "index", "logic", "memory", "mfb", "mi", "misc", "mvb", "ndk_build/readme", "ndk_cards/amd/alveo-u200/readme", "ndk_cards/amd/alveo-u55c/readme", "ndk_cards/amd/vcu118/readme", "ndk_cards/bittware/ia-420f/readme", "ndk_cards/bittware/ia-440i/readme", "ndk_cards/intel/dk-dev-1sdx-p/readme", "ndk_cards/intel/dk-dev-agi027res/readme", "ndk_cards/iwave/g35p/readme", "ndk_cards/prodesign/pd-falcon/readme", "ndk_cards/reflexces/agi-fh400g/readme", "ndk_cards/silicom/fb2cghh/readme", "ndk_cards/silicom/fb4cgg3/readme", "ndk_cards/silicom/n6010/readme", "ndk_cards/terasic/a2700/readme", "ndk_core/cocotb/README", "ndk_core/comp/eth/network_mod/comp/network_mod_core/doc/f-tile_multirate_ip", "ndk_core/comp/eth/network_mod/readme", "ndk_core/comp/eth/network_mod/uvm/readme", "ndk_core/comp/pcie/pcie_mod/comp/pcie_adapter/uvm/tbench/env/crdt_agent/readme", "ndk_core/doc/app", "ndk_core/doc/configuration", "ndk_core/doc/devtree", "ndk_core/doc/dma", "ndk_core/doc/eth", "ndk_core/doc/faq", "ndk_core/doc/how_to_start", "ndk_core/doc/mem", "ndk_core/doc/mi", "ndk_core/doc/pcie", "ndk_core/doc/readme", "ndk_core/doc/terminology", "ndk_core/doc/testing", "ndk_core/doc/tsu", "nic", "pcie", "shift", "ver"], "envversion": {"sphinx": 64, "sphinx.domains.c": 3, "sphinx.domains.changeset": 1, "sphinx.domains.citation": 1, "sphinx.domains.cpp": 9, "sphinx.domains.index": 1, "sphinx.domains.javascript": 3, "sphinx.domains.math": 2, "sphinx.domains.python": 4, "sphinx.domains.rst": 2, "sphinx.domains.std": 2}, "filenames": ["app-minimal.rst", "async.rst", "base.rst", "comp/base/dsp/dsp_comparator/readme.rst", "comp/base/fifo/asfifox/readme.rst", "comp/base/fifo/fifox/readme.rst", "comp/base/fifo/fifox_multi/readme.rst", "comp/base/fifo/reg_fifo/readme.rst", "comp/base/logic/barrel_shifter/readme.rst", "comp/base/logic/cnt_multi_memx/readme.rst", "comp/base/logic/h3hash/readme.rst", "comp/base/logic/n_loop_op/readme.rst", "comp/base/logic/sr_sync_latch/readme.rst", "comp/base/mem/lvt_mem/readme.rst", "comp/base/mem/mem_clear/readme.rst", "comp/base/mem/mp_bram/readme.rst", "comp/base/mem/np_lutram/readme.rst", "comp/base/mem/sdp_bram/readme.rst", "comp/base/misc/crossbarx/readme.rst", "comp/base/misc/event_counter/readme.rst", "comp/base/misc/packet_planner/readme.rst", "comp/base/misc/pulse_short/readme.rst", "comp/base/misc/trans_sorter/readme.rst", "comp/base/pkg/readme.rst", "comp/ctrls/sdm_client/readme.rst", "comp/debug/data_logger/mem_logger/readme.rst", "comp/debug/data_logger/readme.rst", "comp/debug/histogramer/readme.rst", "comp/debug/jtag_op_client/readme.rst", "comp/debug/latency_meter/readme.rst", "comp/debug/mem_tester/amm_gen/readme.rst", "comp/debug/mem_tester/amm_probe/readme.rst", "comp/debug/mem_tester/readme.rst", "comp/debug/mem_tester/sw/readme.rst", "comp/dma/dma_calypte/comp/rx/comp/hdr_insertor/readme.rst", "comp/dma/dma_calypte/comp/rx/comp/hdr_manager/addr_manager/readme.rst", "comp/dma/dma_calypte/comp/rx/comp/hdr_manager/readme.rst", "comp/dma/dma_calypte/comp/rx/comp/input_buffer/readme.rst", "comp/dma/dma_calypte/comp/rx/comp/software_manager/readme.rst", "comp/dma/dma_calypte/comp/rx/comp/trans_buffer/readme.rst", "comp/dma/dma_calypte/comp/rx/readme.rst", "comp/dma/dma_calypte/comp/tx/comp/chan_start_stop_ctrl/readme.rst", "comp/dma/dma_calypte/comp/tx/comp/metadata_extractor/readme.rst", "comp/dma/dma_calypte/comp/tx/comp/packet_dispatcher/readme.rst", "comp/dma/dma_calypte/comp/tx/comp/pcie_trans_buffer/readme.rst", "comp/dma/dma_calypte/comp/tx/comp/software_manager/readme.rst", "comp/dma/dma_calypte/comp/tx/readme.rst", "comp/dma/dma_calypte/readme.rst", "comp/flu_tools/readme.rst", "comp/mfb_tools/debug/gen_loop_switch/readme.rst", "comp/mfb_tools/debug/generator/readme.rst", "comp/mfb_tools/edit/frame_appender/readme.rst", "comp/mfb_tools/edit/frame_extender/readme.rst", "comp/mfb_tools/edit/frame_extender/uvm/readme.rst", "comp/mfb_tools/edit/frame_trimmer/readme.rst", "comp/mfb_tools/edit/frame_trimmer/uvm/readme.rst", "comp/mfb_tools/flow/crossbarx_stream2/readme.rst", "comp/mfb_tools/flow/cutter_simple/readme.rst", "comp/mfb_tools/flow/dropper/readme.rst", "comp/mfb_tools/flow/enabler/readme.rst", "comp/mfb_tools/flow/frame_masker/readme.rst", "comp/mfb_tools/flow/frame_packer/readme.rst", "comp/mfb_tools/flow/frame_unpacker/readme.rst", "comp/mfb_tools/flow/loopback/readme.rst", "comp/mfb_tools/flow/merger/readme.rst", "comp/mfb_tools/flow/merger_simple/readme.rst", "comp/mfb_tools/flow/metadata_insertor/readme.rst", "comp/mfb_tools/flow/packet_delayer/readme.rst", "comp/mfb_tools/flow/pipe/readme.rst", "comp/mfb_tools/flow/rate_limiter/readme.rst", "comp/mfb_tools/flow/reconfigurator/readme.rst", "comp/mfb_tools/flow/splitter/readme.rst", "comp/mfb_tools/flow/splitter_simple/readme.rst", "comp/mfb_tools/flow/timestamp_limiter/readme.rst", "comp/mfb_tools/flow/transformer/readme.rst", "comp/mfb_tools/logic/auxiliary_signals/readme.rst", "comp/mfb_tools/logic/checksum_calculator/readme.rst", "comp/mfb_tools/logic/crossbarx_stream/readme.rst", "comp/mfb_tools/readme.rst", "comp/mfb_tools/storage/asfifox/readme.rst", "comp/mfb_tools/storage/crossbarx_output_buffer/readme.rst", "comp/mfb_tools/storage/fifox/readme.rst", "comp/mfb_tools/storage/pd_asfifo/readme.rst", "comp/mfb_tools/storage/pd_asfifo_simple/readme.rst", "comp/mi_tools/async/readme.rst", "comp/mi_tools/converters/mi2avmm/readme.rst", "comp/mi_tools/converters/mi2axi4/readme.rst", "comp/mi_tools/indirect_access/readme.rst", "comp/mi_tools/pipe/readme.rst", "comp/mi_tools/readme.rst", "comp/mi_tools/reconf/readme.rst", "comp/mi_tools/splitter_plus_gen/readme.rst", "comp/mvb_tools/flow/channel_router/readme.rst", "comp/mvb_tools/flow/demux/readme.rst", "comp/mvb_tools/flow/discard/readme.rst", "comp/mvb_tools/flow/gate/readme.rst", "comp/mvb_tools/flow/item_collision_resolver/readme.rst", "comp/mvb_tools/flow/merge_items/readme.rst", "comp/mvb_tools/flow/merge_n_to_m/readme.rst", "comp/mvb_tools/flow/merge_streams/readme.rst", "comp/mvb_tools/flow/merge_streams/uvm/readme.rst", "comp/mvb_tools/flow/merge_streams_ordered/readme.rst", "comp/mvb_tools/flow/mux/readme.rst", "comp/mvb_tools/flow/mvb2mfb/readme.rst", "comp/mvb_tools/flow/operation/readme.rst", "comp/mvb_tools/flow/shakedown/readme.rst", "comp/mvb_tools/flow/shakedown/uvm/readme.rst", "comp/mvb_tools/readme.rst", "comp/mvb_tools/storage/fifox/readme.rst", "comp/mvb_tools/storage/lookup_table/readme.rst", "comp/nic/eth_phy/40ge/readme.rst", "comp/nic/mac_lite/rx_mac_lite/comp/buffer/uvm/readme.rst", "comp/nic/mac_lite/rx_mac_lite/readme.rst", "comp/nic/mac_lite/tx_mac_lite/comp/adapters/lbus/reconf/readme.rst", "comp/nic/mac_lite/tx_mac_lite/readme.rst", "comp/pcie/common/readme.rst", "comp/pcie/convertors/readme.rst", "comp/pcie/logic/byte_count/readme.rst", "comp/pcie/logic/byte_en_decoder/readme.rst", "comp/pcie/mtc/readme.rst", "comp/pcie/others/hdr_gen/readme.rst", "comp/pcie/ptc/comp/tag_manager/readme.rst", "comp/pcie/ptc/readme.rst", "comp/tsu/tsu_format_to_ns/readme.rst", "comp/tsu/tsu_gen/readme.rst", "comp/uvm/avmm/readme.rst", "comp/uvm/avst_crdt/readme.rst", "comp/uvm/axi/readme.rst", "comp/uvm/byte_array/readme.rst", "comp/uvm/byte_array_lii/readme.rst", "comp/uvm/byte_array_lii_rx/readme.rst", "comp/uvm/byte_array_mfb/readme.rst", "comp/uvm/byte_array_mii/readme.rst", "comp/uvm/byte_array_pma/readme.rst", "comp/uvm/common/readme.rst", "comp/uvm/componets.rst", "comp/uvm/intel_mac_seg/readme.rst", "comp/uvm/lbus/readme.rst", "comp/uvm/lii/readme.rst", "comp/uvm/lii_rx/readme.rst", "comp/uvm/logic_vector/readme.rst", "comp/uvm/logic_vector_array/readme.rst", "comp/uvm/logic_vector_array_axi/readme.rst", "comp/uvm/logic_vector_array_intel_mac_seg/readme.rst", "comp/uvm/logic_vector_array_lbus/readme.rst", "comp/uvm/logic_vector_array_mfb/readme.rst", "comp/uvm/logic_vector_mvb/readme.rst", "comp/uvm/manual.rst", "comp/uvm/mfb/readme.rst", "comp/uvm/mi/readme.rst", "comp/uvm/mvb/readme.rst", "comp/uvm/packet_generators/flowtest/readme.rst", "comp/uvm/pma/readme.rst", "comp/uvm/probe/readme.rst", "comp/uvm/reset/readme.rst", "comp/uvm/sim_manual.rst", "ctrls.rst", "debug.rst", "dsp.rst", "fifo.rst", "fl.rst", "flu.rst", "index.rst", "logic.rst", "memory.rst", "mfb.rst", "mi.rst", "misc.rst", "mvb.rst", "ndk_build/readme.rst", "ndk_cards/amd/alveo-u200/readme.rst", "ndk_cards/amd/alveo-u55c/readme.rst", "ndk_cards/amd/vcu118/readme.rst", "ndk_cards/bittware/ia-420f/readme.rst", "ndk_cards/bittware/ia-440i/readme.rst", "ndk_cards/intel/dk-dev-1sdx-p/readme.rst", "ndk_cards/intel/dk-dev-agi027res/readme.rst", "ndk_cards/iwave/g35p/readme.rst", "ndk_cards/prodesign/pd-falcon/readme.rst", "ndk_cards/reflexces/agi-fh400g/readme.rst", "ndk_cards/silicom/fb2cghh/readme.rst", "ndk_cards/silicom/fb4cgg3/readme.rst", "ndk_cards/silicom/n6010/readme.rst", "ndk_cards/terasic/a2700/readme.rst", "ndk_core/cocotb/README.rst", "ndk_core/comp/eth/network_mod/comp/network_mod_core/doc/f-tile_multirate_ip.rst", "ndk_core/comp/eth/network_mod/readme.rst", "ndk_core/comp/eth/network_mod/uvm/readme.rst", "ndk_core/comp/pcie/pcie_mod/comp/pcie_adapter/uvm/tbench/env/crdt_agent/readme.rst", "ndk_core/doc/app.rst", "ndk_core/doc/configuration.rst", "ndk_core/doc/devtree.rst", "ndk_core/doc/dma.rst", "ndk_core/doc/eth.rst", "ndk_core/doc/faq.rst", "ndk_core/doc/how_to_start.rst", "ndk_core/doc/mem.rst", "ndk_core/doc/mi.rst", "ndk_core/doc/pcie.rst", "ndk_core/doc/readme.rst", "ndk_core/doc/terminology.rst", "ndk_core/doc/testing.rst", "ndk_core/doc/tsu.rst", "nic.rst", "pcie.rst", "shift.rst", "ver.rst"], "indexentries": {}, "objects": {}, "objnames": {}, "objtypes": {}, "terms": {"": [0, 1, 3, 6, 11, 18, 19, 20, 24, 32, 40, 46, 48, 50, 59, 60, 61, 62, 67, 69, 70, 73, 77, 78, 82, 87, 89, 91, 110, 112, 122, 123, 125, 134, 147, 149, 150, 162, 169, 174, 185, 189, 190, 191, 193, 195, 198, 202], "0": [0, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 19, 20, 21, 22, 23, 24, 25, 26, 27, 29, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 54, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 101, 102, 103, 104, 105, 107, 108, 109, 110, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 123, 124, 125, 126, 127, 132, 134, 136, 137, 138, 139, 140, 141, 147, 149, 150, 151, 153, 154, 159, 164, 169, 171, 177, 179, 182, 183, 185, 186, 189, 191, 192, 193, 195, 198, 201, 202], "00": [0, 3, 32, 91, 120, 195], "000": [5, 19, 21, 123, 124, 169], "0000": [6, 78, 89, 107, 118, 120, 195], "000000": 91, "00000000": 201, "00000042": 201, "000000_000000_000000_000000": 78, "0001": [6, 107, 118, 120], "000111": 91, "00011111": 163, "000b": 119, "001": [123, 124], "0010": [118, 120], "001000": 91, "00100000": 163, "0011": [6, 15, 118], "001100_011111_000000_000001": 78, "001111": 91, "001b": 119, "0045": 89, "0089": 89, "01": [3, 89, 152], "010": 123, "0100": [118, 120], "010000": 91, "01000000": [119, 198], "0101": 118, "010b": 119, "0110": 118, "0111": [6, 118], "011101": 91, "011110": 91, "011b": 119, "02": 195, "02000000": [119, 198], "024": 19, "03": 32, "03000000": [119, 198], "04": [0, 32], "04000000": [119, 198], "048": 21, "05000000": [119, 198], "06000000": [119, 198], "07": [191, 195], "08": 195, "0a000000": [119, 198], "0b000001": 69, "0b000010": 69, "0b000100": 69, "0d": [134, 147], "0gb": 69, "0th": [78, 113], "0x": 69, "0x0": [40, 46, 49, 91, 92, 110, 169, 201], "0x00": [30, 31, 40, 46, 50, 63, 69, 73, 85, 87, 112, 114, 115, 124, 191], "0x000": 49, "0x0000": [26, 32, 50, 193], "0x000000": [50, 92, 193], "0x0000000": 193, "0x00000000": [0, 91, 197], "0x00000001": 91, "0x000000013": 91, "0x000000017": 91, "0x00000002": 91, "0x00000003": 91, "0x00000004": 91, "0x00000005": 91, "0x00000006": 91, "0x00000007": 91, "0x00000008": 91, "0x0000000b": 91, "0x0000000c": 91, "0x0000000f": 91, "0x00000010": 91, "0x00000014": 91, "0x00000018": 91, "0x0000001c": 91, "0x0000001f": 91, "0x00000020": 91, "0x0000003f": 91, "0x00000040": 91, "0x00000077": 91, "0x00000078": 91, "0x000000ab": 91, "0x000000ac": 91, "0x000000cb": 91, "0x000000cc": 91, "0x000000f7": 91, "0x000000f8": 91, "0x000000ff": 197, "0x00000100": 197, "0x000001fb": 91, "0x000004": 92, "0x000008": 92, "0x00000fff": 197, "0x00001000": [0, 197], "0x00001fff": 197, "0x00002000": [0, 197], "0x00002fff": 197, "0x00003000": [193, 197], "0x00003010": 0, "0x0000301c": 0, "0x00003110": 0, "0x0000311c": 0, "0x00003fff": [193, 197], "0x00004000": [0, 197], "0x000040ff": 197, "0x00004100": 197, "0x00004fff": 197, "0x00005000": [0, 197], "0x00005080": 0, "0x000050c0": 0, "0x00005200": 0, "0x00005280": 0, "0x000052c0": 0, "0x00007fff": 197, "0x00008000": [0, 193, 197], "0x00008200": 0, "0x0000a000": 0, "0x0000a200": 0, "0x0000bfff": 197, "0x0000c000": 197, "0x0000ffff": [193, 197], "0x00010000": [0, 28, 197], "0x00010004": 191, "0x0001ffff": 197, "0x00020000": 197, "0x0004": [26, 32], "0x0008": [26, 32], "0x000c": [26, 32], "0x0010": [26, 32], "0x0014": [26, 32], "0x0018": 32, "0x00200000": 193, "0x004": 49, "0x0040": 32, "0x007fffff": 197, "0x008": 49, "0x00800000": [0, 193, 197], "0x00a00000": 0, "0x00c": 49, "0x00ffffff": [193, 197], "0x01": [50, 112, 114, 191], "0x01000000": [0, 191, 197], "0x01000080": 0, "0x01000100": 0, "0x01000180": 0, "0x01000200": 0, "0x01000280": 0, "0x01000300": 0, "0x01000380": 0, "0x01000400": 0, "0x01000480": 0, "0x01000500": 0, "0x01000580": 0, "0x01000600": 0, "0x01000680": 0, "0x01000700": 0, "0x01000780": 0, "0x01200000": 0, "0x01200080": 0, "0x01200100": 0, "0x01200180": 0, "0x01200200": 0, "0x01200280": 0, "0x01200300": 0, "0x01200380": 0, "0x01200400": 0, "0x01200480": 0, "0x01200500": 0, "0x01200580": 0, "0x01200600": 0, "0x01200680": 0, "0x01200700": 0, "0x01200780": 0, "0x013fffff": 197, "0x01400000": 197, "0x01ff": 193, "0x01ffffff": 197, "0x02": [112, 114, 191], "0x020": 115, "0x0200": 193, "0x0200000": 193, "0x02000000": [0, 197], "0x02800000": 0, "0x03": [85, 112, 191], "0x03000000": 0, "0x03020000": 0, "0x03040000": 0, "0x03060000": 0, "0x03080000": 0, "0x030a0000": 0, "0x030c0000": 0, "0x030e0000": 0, "0x03ff": 193, "0x03ffffff": 197, "0x04": [30, 31, 40, 46, 50, 63, 69, 73, 85, 87, 112, 114, 115, 124, 191], "0x040": 49, "0x0400": 193, "0x04c": 49, "0x05": 191, "0x050": 49, "0x050501": [50, 92], "0x05c": 49, "0x05ff": 193, "0x06": 191, "0x060": 49, "0x0600": 193, "0x06c": 49, "0x07": [85, 191], "0x070": 49, "0x070401": [50, 92], "0x07c": 49, "0x07ff": 193, "0x08": [30, 31, 40, 46, 50, 69, 73, 87, 112, 114, 115, 124, 191], "0x080": 49, "0x0800": [28, 193], "0x09": 191, "0x09ff": 193, "0x0a": 191, "0x0a00": 193, "0x0b": 191, "0x0bf": 49, "0x0bff": 193, "0x0c": [30, 31, 40, 46, 48, 50, 69, 87, 112, 114, 115, 124, 191], "0x0c0": 49, "0x0c00": 193, "0x0d": 191, "0x0d7b": 115, "0x0dff": 193, "0x0e": 191, "0x0e00": 193, "0x0f": 191, "0x0ff": 49, "0x0fff": 193, "0x1": [40, 46, 115, 138, 139], "0x10": [30, 31, 40, 46, 50, 69, 87, 112, 114, 115, 124, 191], "0x100": [40, 46, 49, 191], "0x1000": [28, 191], "0x10000": [110, 169, 191], "0x1000000": 191, "0x1000080": 191, "0x1000100": 191, "0x1000180": 191, "0x1000200": 191, "0x1000280": 191, "0x1000300": 191, "0x1000380": 191, "0x10004": 110, "0x1000400": 191, "0x1000480": 191, "0x1000500": 191, "0x1000580": 191, "0x1000600": 191, "0x1000680": 191, "0x1000700": 191, "0x1000780": 191, "0x10008": 110, "0x1000c": 110, "0x10010": 110, "0x10014": 110, "0x10018": 110, "0x1200000": 191, "0x1200080": 191, "0x1200100": 191, "0x1200180": 191, "0x1200200": 191, "0x1200280": 191, "0x1200300": 191, "0x1200380": 191, "0x1200400": 191, "0x1200480": 191, "0x1200500": 191, "0x1200580": 191, "0x1200600": 191, "0x1200680": 191, "0x1200700": 191, "0x1200780": 191, "0x14": [31, 40, 46, 50, 69, 87, 112, 114, 115, 124], "0x1600": 169, "0x17f": 49, "0x18": [31, 40, 46, 50, 69, 91, 112, 114, 115, 124], "0x180": 49, "0x1800": 28, "0x1bf": 49, "0x1c": [31, 40, 46, 50, 112, 114, 115, 124], "0x1c0": 49, "0x1f": 48, "0x1ff": 49, "0x1fffff": 193, "0x2": [40, 46], "0x20": [31, 40, 46, 50, 112, 114, 124, 191], "0x200": [191, 193], "0x2000": [191, 193], "0x20000": [169, 191], "0x200000": [46, 193], "0x2000000": 191, "0x21ff": 193, "0x2200": 193, "0x23ff": 193, "0x24": [31, 40, 46, 50, 112, 114, 124], "0x2400": 193, "0x25ff": 193, "0x2600": 193, "0x27ff": 193, "0x28": [31, 40, 46, 112, 114], "0x2800": 193, "0x2800000": 191, "0x29ff": 193, "0x2a00": 193, "0x2bff": 193, "0x2c": [31, 40, 46, 112, 114, 191], "0x2c00": 193, "0x2dff": 193, "0x2e00": 193, "0x2fff": 193, "0x3": 91, "0x30": [40, 46, 112, 114, 169, 191], "0x30000": [110, 169], "0x3000000": 191, "0x30004": 110, "0x30008": 110, "0x3000c": 110, "0x30010": 110, "0x30040": 110, "0x30058": 110, "0x30064": 110, "0x30068": 110, "0x3010": 191, "0x30190": 110, "0x301b4": 110, "0x301c": 191, "0x3020000": 191, "0x30320": 110, "0x30344": 110, "0x3040000": 191, "0x3060000": 191, "0x3080000": 191, "0x30a0000": 191, "0x30c0000": 191, "0x30e0000": 191, "0x3110": 191, "0x311c": 191, "0x34": [31, 40, 46, 112], "0x38": [31, 40, 46, 112], "0x3c": [31, 40, 46, 112, 191], "0x3fff": 191, "0x3fffff": 193, "0x4": [49, 91, 138, 139, 169], "0x40": [31, 40, 46, 112, 169, 191], "0x4000": [28, 191], "0x40000": 191, "0x42": 201, "0x44": [31, 40, 46], "0x48": [31, 40, 46], "0x4c": [40, 46], "0x50": [40, 46], "0x5000": 191, "0x5080": 191, "0x50c0": 191, "0x5200": 191, "0x5280": 191, "0x52c0": 191, "0x54": [40, 46], "0x58": [40, 46], "0x5c": [40, 46], "0x60": [40, 46], "0x64": [40, 46], "0x65c33529": 191, "0x68": [40, 46], "0x6c": [40, 46], "0x7": 91, "0x70": [40, 46], "0x74": [40, 46], "0x78": [40, 46], "0x7c": [40, 46], "0x8": 49, "0x80": [40, 46, 112, 169, 191], "0x8000": [169, 191], "0x800000": 191, "0x8200": 191, "0xa0": 191, "0xa000": 191, "0xa00000": 191, "0xa200": 191, "0xc": 49, "0xc000": [28, 191], "0xff0001": [50, 92], "0xff0002": [50, 92], "0xffff": 50, "0xffffffff": 91, "0xfffffffff": 91, "1": [0, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 41, 42, 43, 44, 45, 47, 48, 49, 50, 51, 52, 54, 56, 57, 58, 59, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 79, 80, 81, 82, 83, 84, 85, 86, 88, 89, 92, 93, 94, 95, 96, 97, 98, 99, 101, 102, 103, 104, 105, 108, 109, 110, 111, 112, 114, 116, 117, 119, 120, 122, 123, 124, 125, 126, 127, 133, 134, 136, 137, 138, 139, 140, 141, 147, 149, 150, 151, 152, 153, 154, 155, 163, 173, 176, 177, 179, 183, 186, 187, 189, 190, 198, 201, 202], "10": [0, 1, 3, 13, 24, 26, 31, 32, 46, 56, 89, 96, 110, 112, 114, 120, 123, 124, 134, 147, 149, 151, 152, 155, 159, 162, 164, 167, 183, 186, 193, 194, 195, 196, 198, 199], "100": [18, 111, 112, 114, 123, 124, 131, 142, 145, 147, 149, 151, 183, 186, 191, 192, 193, 194, 198, 199, 200], "1000": [67, 69, 73, 118, 120], "10000": [46, 147], "1001": 78, "100g": [69, 78, 170, 171, 172, 173, 175, 177, 178, 180, 181, 182, 185, 191], "100g0": [170, 172], "100g2": [170, 171, 172, 173, 175, 177, 178, 180, 181, 182, 191, 195], "100g4": [176, 179, 181, 190], "100gbase": 194, "100ge": 185, "100mhz": 194, "101": [23, 123, 124, 189, 193], "101010": 91, "101011": 91, "101_000_000_000": 78, "1024": [19, 48, 77, 119, 131, 142, 145, 146, 155], "103": 48, "104": 48, "10g": [110, 138, 139], "10g8": [173, 175, 176, 179, 182], "10gb": [69, 114], "10gbase": 194, "10ge": [138, 139, 185], "10n": 147, "11": [3, 26, 31, 48, 89, 91, 110, 117, 120, 189], "1100": 118, "11000000": 163, "110010": 91, "110010_010101_111000_110000": 78, "110011": 91, "110_010_000_011": 78, "111": [32, 123, 124], "1110": [78, 107], "1111": [6, 118], "111101": 91, "111110": 91, "111111": 91, "1145": 13, "117": [0, 32], "12": [0, 19, 25, 26, 31, 36, 38, 45, 46, 47, 48, 49, 61, 62, 78, 80, 103, 110, 120, 189, 191, 195], "1234": 89, "125": [48, 110], "12613618": 0, "127": [34, 48], "128": [25, 34, 36, 39, 40, 46, 48, 66, 70, 105, 109, 114, 119, 120, 128, 131, 137, 140, 141, 142, 145, 146, 201], "128501": 32, "128b": [112, 115], "12th": 78, "13": [0, 26, 28, 41, 42, 46, 48, 61, 117, 120], "131": 0, "13345442": 0, "134": 185, "134217724": 0, "135": 48, "136": 155, "137": [32, 155], "13893635": 0, "1393": 32, "14": [26, 28, 45, 47, 51, 52, 54, 56, 61, 62, 76, 179], "141": 0, "147": 32, "15": [0, 23, 26, 50, 92, 112, 124, 189, 193], "150": [77, 178], "1522": 194, "1526": 112, "153": 32, "156": 110, "16": [0, 5, 13, 15, 23, 26, 28, 32, 35, 36, 38, 40, 41, 42, 43, 44, 46, 47, 48, 50, 51, 62, 66, 69, 76, 80, 81, 89, 92, 97, 104, 108, 112, 113, 120, 124, 134, 147, 155, 179, 186, 189, 190, 193, 195, 198], "160": 0, "161": 0, "16165552": 32, "16383": [49, 186, 194], "16384": [28, 112, 114], "1643": 32, "165": 0, "16777215": 32, "168": 151, "16b": [62, 124], "17": [26, 120, 173, 195], "18": [19, 182], "183": [116, 120], "19": 110, "192": 151, "1b": [115, 124], "1gb": 183, "1hz": 124, "1sd280pt2f55e1vg": [169, 175], "1sdx": [175, 176, 186], "1sm21beu2f55e2vg": 178, "1st": [3, 40, 46, 69, 78], "1x": [173, 174, 177, 178, 179], "1x100ge": 193, "1x400gbe": [176, 179], "1x400ge": [174, 183, 193], "1xgen1x16": 198, "1xgen3x16": 198, "1xgen3x8ll": 198, "1xgen4x16": 195, "2": [0, 3, 4, 5, 6, 7, 11, 12, 13, 15, 18, 19, 20, 21, 23, 24, 26, 27, 30, 31, 32, 34, 36, 38, 40, 41, 42, 43, 44, 45, 47, 48, 49, 50, 51, 52, 56, 57, 58, 60, 61, 62, 64, 65, 66, 67, 69, 70, 71, 72, 73, 74, 76, 77, 79, 80, 83, 84, 85, 86, 88, 89, 90, 92, 93, 99, 101, 102, 105, 110, 111, 112, 114, 116, 117, 119, 120, 122, 123, 124, 126, 136, 137, 138, 139, 147, 150, 152, 153, 154, 164, 170, 172, 174, 177, 179, 180, 181, 183, 185, 186, 187, 189, 190, 195, 198, 201], "20": [18, 20, 32, 62, 110, 122, 134, 147], "200": [19, 26, 69, 110, 149, 183, 186, 194], "2000": 147, "20000": 111, "200000": 147, "200000000": 73, "200g": [177, 183], "200g2": [176, 179], "200mhz": 194, "201": 110, "2014": 1, "2015": 1, "2019": [18, 20, 122], "20208": [159, 164], "2022": [170, 172, 191, 195], "2023": 61, "2024": [61, 169, 174, 195], "2048": [28, 67, 73], "2048b": [69, 186], "21": 112, "218": 110, "219": 110, "21st": 78, "22": [32, 112, 191, 195], "23": [0, 23, 92, 112, 189, 193], "24": [19, 23, 32, 36, 40, 43, 46, 47, 63, 77, 78, 104, 147, 171, 183, 189, 193], "241581": 32, "248": 48, "25": [3, 23, 110, 183, 186, 189, 193, 200], "250": [32, 47], "255": [48, 110, 151], "256": [7, 8, 10, 25, 32, 47, 48, 92, 116, 119, 155, 189], "256b": 116, "2570": 32, "25g": 185, "25g8": [173, 175, 176, 179, 182], "25gbase": 194, "25ge": 185, "25mhz": 110, "26": [23, 25, 32, 189, 193, 197], "2629629": 13, "265549": 0, "265625": 110, "266660": [32, 189], "26b": 115, "27": [23, 112, 189, 193], "27238": 0, "28": [23, 112, 151, 189, 193, 200], "288": 5, "288000": [81, 104, 108], "29": [23, 189, 193], "2b": 124, "2d": 26, "2hz": 124, "2l": 171, "2nd": [3, 69, 78], "2x": [31, 112, 170, 171, 172, 175, 176, 177, 180, 181, 182, 183], "2x100gbe": [170, 171, 172, 177, 180, 181], "2x100ge": [173, 175, 178, 182], "2x200gbe": [176, 179], "2x200ge": 193, "2x40gbe": [176, 179], "2x40ge": 193, "2xgen4x8x8": 198, "2xgen5x8x8": 198, "3": [0, 5, 11, 12, 16, 19, 22, 26, 28, 30, 31, 32, 34, 36, 48, 50, 60, 69, 70, 78, 85, 86, 87, 89, 90, 101, 105, 107, 110, 112, 114, 115, 118, 119, 120, 124, 136, 174, 177, 179, 183, 185, 186, 189, 190, 192, 198, 201], "30": [23, 151, 183, 189, 193], "300": 194, "300000": 25, "31": [23, 48, 50, 92, 110, 112, 114, 115, 119, 124, 138, 139, 189, 193, 198, 201, 202], "3125": 110, "31st": 78, "32": [0, 4, 5, 12, 19, 20, 23, 25, 26, 32, 34, 36, 38, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 56, 63, 64, 66, 69, 70, 71, 73, 74, 78, 81, 84, 85, 86, 87, 88, 89, 91, 92, 94, 95, 97, 98, 99, 101, 104, 108, 109, 110, 112, 116, 119, 120, 123, 124, 134, 138, 139, 151, 152, 155, 167, 186, 189, 193, 198, 201, 202], "322": 110, "32b": [124, 194], "32bit": [24, 147], "33": [23, 110, 116, 189, 193], "33554431": 0, "36": [23, 189, 193], "37": [23, 189, 193], "38": [23, 189, 193], "39": 133, "39b": 124, "3b": 124, "3fbf807": 32, "3hz": 124, "3rd": [78, 91], "3th": 5, "3x": 177, "4": [0, 6, 11, 19, 20, 23, 24, 26, 30, 31, 32, 34, 36, 37, 39, 41, 43, 46, 47, 48, 49, 50, 52, 54, 56, 57, 58, 59, 60, 61, 62, 64, 68, 69, 72, 75, 76, 77, 78, 79, 80, 81, 83, 89, 90, 91, 92, 94, 95, 96, 97, 98, 99, 101, 103, 105, 107, 108, 109, 110, 112, 114, 116, 117, 120, 126, 137, 151, 185, 186, 189, 190, 191, 192, 195, 200, 201], "40": [69, 183, 186], "400": [47, 77, 110, 112, 114, 162, 186, 192, 194, 199], "400g": [61, 69, 78, 174, 176, 179, 183], "400g1": [174, 176, 179, 183, 186], "400gbp": 162, "401": 110, "4096": [15, 28, 61], "40g": [110, 177], "40g2": [176, 179], "40ge": 203, "41": 32, "412": 151, "418": 110, "419": 110, "42": [0, 110], "420f": 162, "425": 0, "427": 0, "4294967295": 0, "43": 201, "4321": 89, "435": 32, "44": 110, "440i": 162, "440i_design_nam": 174, "441": 32, "45": [110, 195], "453": 32, "459": 32, "46": 0, "465": 32, "47": 112, "471": 32, "477": 32, "48": [48, 67, 73, 112, 186, 189], "483": 32, "489": 32, "48th": 78, "49": 110, "495": 32, "4b": [23, 115], "4n": 147, "4x": [178, 181], "4x100gbe": [176, 179, 181], "4x100ge": 193, "4x10ge": 193, "4x25": 193, "4x25ge": 193, "5": [0, 20, 24, 26, 31, 32, 50, 60, 69, 77, 91, 92, 110, 112, 119, 138, 139, 152, 169, 186, 191, 193, 195, 201], "50": [110, 147, 151, 155, 186], "500": [149, 155, 169], "501": 32, "50118": 32, "503": 0, "50g8": [176, 179], "50gb": 69, "50th": 78, "51": 110, "511": [48, 113], "512": [4, 6, 9, 17, 25, 32, 47, 48, 49, 61, 79, 80, 81, 83, 108, 116, 119, 147, 189], "512b": [33, 69, 112, 116, 186], "52": 110, "527": 0, "53": 110, "536": 103, "5476": 89, "54xx": 89, "551": 0, "555": 0, "56": 0, "56th": 78, "573": 32, "575": [0, 21], "579": 32, "58": 133, "5th": 78, "6": [0, 11, 23, 26, 31, 32, 50, 78, 91, 110, 112, 114, 120, 171, 173, 179, 182, 183, 189, 193, 201], "60": [62, 112], "60b": [56, 113, 114, 189, 193], "60gb": 69, "62": [41, 42, 43, 44, 120, 155], "62500": 69, "627": 32, "62961": 32, "62962": 32, "63": [11, 34, 124, 202], "630": 32, "633": 32, "64": [4, 5, 8, 9, 10, 11, 13, 16, 17, 19, 32, 35, 36, 38, 40, 45, 46, 47, 48, 50, 61, 65, 67, 70, 73, 77, 79, 80, 81, 86, 93, 102, 104, 108, 112, 120, 123, 128, 136, 140, 141, 186, 189, 190, 198, 201, 202], "64b": [23, 124], "66": [32, 110], "6618217": 0, "67108860": 32, "67xx": 89, "68": 0, "69": 32, "6th": 78, "7": [0, 11, 24, 25, 26, 31, 32, 48, 50, 56, 61, 76, 91, 92, 110, 112, 114, 119, 120, 159, 163, 164, 189, 193, 201], "71": 201, "72": [5, 81, 104, 108], "74": 155, "74899": 0, "75": 32, "75gb": 69, "78": [0, 201], "79": 201, "7seri": [3, 4, 5, 15, 16, 17, 60, 64, 66, 68, 71, 81, 88, 104, 108, 120], "8": [0, 9, 15, 17, 24, 25, 26, 31, 32, 34, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 54, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 83, 84, 85, 86, 88, 89, 90, 91, 92, 95, 103, 107, 108, 109, 110, 112, 113, 114, 116, 119, 120, 124, 138, 139, 147, 149, 155, 169, 174, 186, 189, 190, 191, 193, 195, 198, 200, 201], "80": [32, 48], "802": 110, "81": [110, 116], "82": 110, "83": [0, 110, 201], "85": [111, 116, 120], "852": 0, "87": 32, "88": [116, 120], "88513": 0, "8x10gbe": [176, 179], "8x10ge": [173, 175, 182, 193], "8x25gbe": [176, 179], "8x25ge": [173, 175, 182, 193], "8x50gbe": [176, 179], "8x50ge": 193, "9": [17, 26, 31, 43, 45, 76, 91, 110, 123, 124], "90": [111, 147], "91": 185, "93": [0, 32], "94": [191, 195], "95415f0": 191, "96": [0, 48, 120, 201], "96b": 124, "97": 32, "98": 89, "98xx": 89, "99": 32, "999": [124, 202], "A": [4, 5, 11, 17, 18, 19, 22, 26, 27, 40, 41, 46, 48, 60, 67, 69, 78, 113, 121, 131, 134, 141, 142, 145, 146, 147, 149, 162, 169, 179, 189, 190, 191, 193, 194, 197, 198, 201, 204], "AND": [35, 57, 58, 59, 75, 81, 91, 92, 105, 112, 163, 186, 189, 198], "And": [0, 34, 60, 70, 150, 190], "As": [69, 78, 89, 91, 112, 114, 132, 189, 190, 193], "At": [18, 22, 61, 62, 69, 70, 82, 89, 121, 129, 130, 147, 169], "BE": [23, 69, 89, 90, 155], "BY": 189, "Be": [28, 151], "But": [11, 20, 69, 78, 89, 149], "By": [0, 49, 69, 114, 198], "FOR": [35, 167], "For": [0, 1, 6, 7, 11, 15, 18, 19, 20, 32, 33, 42, 43, 46, 57, 59, 61, 69, 70, 78, 79, 81, 82, 89, 91, 93, 102, 110, 112, 113, 114, 115, 116, 118, 120, 121, 122, 125, 127, 129, 130, 131, 134, 142, 145, 146, 147, 148, 150, 153, 154, 155, 157, 162, 169, 170, 171, 172, 183, 189, 190, 193, 195, 199, 200, 201], "IN": [18, 138, 139, 152], "If": [0, 4, 7, 15, 17, 19, 20, 22, 26, 32, 43, 51, 59, 60, 65, 69, 70, 77, 79, 82, 89, 90, 91, 102, 105, 112, 114, 119, 121, 129, 130, 134, 147, 149, 150, 151, 162, 169, 174, 185, 189, 191, 192, 193, 194, 195, 198, 201], "In": [0, 6, 7, 11, 12, 18, 24, 32, 36, 40, 46, 51, 60, 61, 69, 70, 73, 77, 78, 85, 89, 90, 91, 92, 93, 107, 113, 114, 121, 122, 131, 132, 134, 138, 139, 142, 145, 146, 147, 150, 154, 155, 162, 169, 177, 183, 189, 191, 193, 196, 198, 200, 201], "It": [0, 1, 7, 19, 24, 28, 30, 38, 45, 49, 52, 56, 60, 62, 65, 67, 69, 70, 72, 79, 80, 89, 91, 92, 103, 110, 112, 115, 119, 121, 122, 123, 125, 127, 131, 132, 136, 141, 142, 145, 146, 147, 149, 155, 159, 163, 164, 167, 169, 183, 185, 186, 189, 190, 191, 193, 197, 199], "Its": [32, 38, 51, 61, 113, 193, 198], "NO": [149, 170, 172, 174, 175, 176, 177, 185], "NOT": [70, 112], "No": [48, 104, 121, 131, 142, 145, 192, 194], "Not": [49, 61, 73, 78, 107, 116, 178, 194], "OF": 59, "ON": [73, 177, 183], "OR": [23, 91, 163, 171, 189, 193], "ORed": 91, "Of": [62, 112, 114, 116, 189], "On": [20, 90, 107, 111, 113, 121, 122, 138, 139, 152, 173, 175, 176, 183, 193, 195], "One": [0, 10, 30, 70, 72, 102, 104, 108, 127, 131, 144, 145, 147, 150, 163, 169, 183], "Or": 69, "Such": [11, 22, 60, 134, 191], "That": [62, 78, 87, 89, 91, 147, 153, 193], "The": [1, 2, 3, 4, 5, 6, 8, 11, 12, 15, 17, 18, 19, 20, 22, 26, 27, 28, 30, 32, 34, 35, 36, 37, 39, 40, 41, 42, 44, 45, 46, 47, 50, 51, 52, 54, 56, 57, 59, 60, 61, 62, 63, 67, 69, 70, 71, 73, 76, 77, 78, 79, 82, 84, 85, 87, 89, 90, 91, 92, 94, 96, 99, 102, 107, 109, 110, 112, 113, 114, 115, 116, 117, 119, 120, 121, 122, 124, 125, 126, 127, 128, 131, 132, 134, 136, 137, 140, 141, 142, 144, 145, 146, 147, 148, 149, 150, 151, 153, 155, 156, 157, 159, 162, 163, 164, 165, 167, 168, 178, 179, 183, 185, 186, 190, 191, 194, 195, 199, 200, 201, 202, 203, 204], "Their": [107, 169], "Then": [0, 25, 30, 32, 62, 69, 73, 78, 89, 91, 122, 147, 149, 154, 190, 191, 193], "There": [4, 5, 11, 24, 26, 32, 39, 48, 61, 64, 67, 69, 73, 74, 89, 91, 94, 98, 101, 112, 113, 114, 122, 125, 129, 130, 131, 132, 133, 134, 136, 138, 139, 144, 145, 146, 147, 148, 149, 150, 152, 155, 163, 169, 185, 186, 189, 190, 191, 193, 198, 201], "These": [0, 18, 48, 49, 60, 62, 69, 70, 78, 85, 89, 91, 107, 116, 122, 125, 132, 134, 147, 169, 185, 189, 190, 192, 193, 197, 202], "To": [11, 12, 18, 19, 20, 22, 30, 32, 35, 62, 69, 70, 73, 82, 90, 91, 107, 112, 114, 121, 132, 133, 162, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 190, 191, 193, 195], "WITH": [57, 58, 59], "Will": 32, "With": [6, 20, 32, 60, 89, 147, 163, 191], "_clear": 164, "_conf": 190, "_const": 190, "_data": [48, 126, 147], "_det": 110, "_dst_rdy": [48, 189, 193], "_eop": 48, "_eop_po": 48, "_hdr": 126, "_help_": 185, "_meta": 147, "_n": 48, "_range_max_numb": 151, "_rx": 147, "_sop": 48, "_sop_po": 48, "_src_rdy": 48, "_tx": 147, "a0": 89, "a1": [89, 113], "a2": [89, 113], "a2700": 162, "a3": 89, "a5": 89, "ab": 13, "abcdef0123456789": 190, "abid": 113, "abil": [19, 78, 82, 110, 193], "abl": [19, 20, 24, 25, 58, 82, 89, 107, 112, 114, 121, 147, 167, 174], "abnorm": 91, "about": [11, 18, 24, 25, 26, 36, 42, 79, 80, 81, 82, 91, 112, 116, 132, 147, 169, 174, 189, 190, 191, 193, 195, 198], "abov": [3, 18, 48, 62, 69, 70, 78, 91, 107, 122, 124, 128, 131, 140, 141, 145, 146, 150, 169, 170, 171, 172, 190, 193, 199], "abrevi": 190, "abstract": [17, 147], "academi": 194, "acc": 45, "acceler": [158, 162, 183, 199], "accept": [4, 18, 24, 34, 37, 41, 46, 48, 62, 78, 85, 89, 91, 105, 107, 149, 150], "access": [0, 11, 19, 24, 27, 28, 32, 38, 40, 45, 46, 47, 50, 69, 89, 90, 112, 114, 115, 124, 147, 162, 166, 167, 169, 174, 190, 191, 196, 197, 199], "accident": 191, "accord": [0, 4, 15, 17, 36, 41, 42, 43, 51, 60, 61, 62, 69, 72, 73, 77, 78, 84, 85, 89, 91, 92, 107, 110, 112, 113, 114, 147, 167, 178, 186, 190, 191, 193, 198], "accordingli": [69, 91, 113, 132], "account": [20, 60, 191], "accross": [121, 122], "accumul": [19, 20, 61, 62, 67, 69, 73, 101, 132, 133], "accumult": 19, "accur": [124, 199, 202], "achiev": [3, 18, 99, 147, 190, 193], "ack": 189, "aclk": 21, "acm": 13, "across": [19, 60, 190], "act": [24, 28, 91, 149, 197], "action": [36, 70], "activ": [1, 11, 32, 45, 64, 82, 89, 98, 99, 112, 114, 122, 124, 128, 131, 132, 136, 138, 139, 140, 141, 142, 145, 146, 147, 150, 152, 153, 154, 183, 189, 193, 198], "activity_rx": 186, "activity_tx": 186, "actual": [5, 6, 11, 18, 19, 38, 40, 45, 62, 69, 91, 112, 114, 124, 147, 149, 150, 189, 193], "actuali": 70, "acumul": [129, 130], "ad": [10, 28, 32, 47, 52, 62, 69, 112, 113, 123, 147, 162, 169, 194], "adapt": [177, 193, 198], "adapter_error": 112, "adapter_link_up": 112, "adc": 167, "adc_sensor": 167, "add": [8, 10, 11, 22, 26, 28, 39, 48, 70, 124, 132, 147, 149, 155, 163, 179, 189, 190, 193], "add_callback": 153, "add_sequ": [131, 142, 145, 146, 147], "add_stat": 26, "adder": 163, "addit": [8, 47, 48, 84, 162, 163, 164, 169, 191, 193, 198], "addition": [32, 109, 193], "additionali": 61, "addr": [32, 33, 35, 40, 43, 89, 90, 114, 147, 149, 155, 191], "addr_bas": 35, "addr_channel": 35, "addr_data_bas": 36, "addr_data_channel": 36, "addr_data_mask": 36, "addr_data_sw_point": 36, "addr_header_bas": 36, "addr_header_channel": 36, "addr_header_mask": 36, "addr_header_sw_point": 36, "addr_mask": [35, 86, 91], "addr_sw_point": 35, "addr_vld": 35, "addr_width": [35, 36, 84, 85, 86, 87, 88, 89, 91, 147, 149], "addra": 16, "addrb": 16, "address": [0, 11, 13, 14, 15, 17, 18, 19, 20, 23, 24, 30, 31, 32, 33, 36, 38, 40, 42, 43, 44, 46, 49, 50, 63, 73, 77, 78, 82, 84, 85, 86, 89, 90, 92, 109, 112, 114, 119, 120, 124, 125, 147, 149, 151, 167, 169, 174, 183, 186, 189, 191, 193, 198, 201], "address_width": 125, "adher": [113, 147, 190], "adjac": 193, "adjust": [8, 60, 69, 77, 147, 169, 190], "adn": 32, "adress": [27, 46, 85], "advanc": [91, 127, 148, 164], "advantag": [12, 18, 91, 147], "advis": 7, "aempti": [5, 6, 108], "affect": [4, 18, 38, 40, 47, 66, 67, 69, 190], "after": [3, 18, 19, 20, 24, 27, 28, 32, 33, 34, 35, 43, 45, 46, 54, 59, 60, 61, 62, 65, 69, 70, 73, 77, 85, 87, 89, 91, 96, 112, 113, 121, 133, 134, 147, 148, 153, 167, 169, 173, 175, 176, 178, 183, 185, 191, 193, 195, 201], "after_on": 163, "afterward": 19, "aful": [5, 6, 108], "afull_offset": 83, "again": [0, 60, 65, 69, 89, 121, 189, 201], "agent": [129, 130, 131, 132, 133, 135, 136, 142, 143, 144, 145, 146, 206], "agent_rx": 147, "agent_rx_data": 126, "agent_rx_hdr": 126, "agent_tx": 147, "agent_tx_data": 126, "agent_tx_hdr": 126, "agfb014r24a2e2v": 182, "agfb014r24b2e2v": 173, "agi": [162, 185], "agi027r": [176, 186], "agib023r18a1e1v": 174, "agib027r29a1e2v": 179, "agib027r29a1e2vb": 183, "agib027r29a1e2vr0": [176, 179], "agib027r29a1e2vr3": 179, "agilex": [3, 4, 5, 13, 15, 17, 24, 25, 32, 35, 36, 47, 51, 52, 54, 56, 60, 61, 62, 67, 69, 72, 73, 76, 83, 85, 86, 95, 96, 99, 101, 103, 104, 105, 109, 112, 114, 119, 120, 159, 162, 164, 169, 193, 194, 196], "agreg": 167, "aim": 89, "algorithm": [20, 76, 77, 92, 134, 147, 167], "alhough": 113, "alias": 80, "alig": 97, "align": [20, 24, 34, 37, 39, 48, 51, 61, 62, 70, 78, 90, 97, 103, 107, 110, 113, 120, 147, 167], "all": [0, 6, 11, 14, 18, 19, 20, 22, 23, 26, 28, 30, 32, 33, 41, 42, 43, 44, 45, 46, 48, 49, 50, 59, 60, 62, 63, 66, 69, 70, 71, 73, 77, 78, 82, 89, 90, 91, 92, 93, 96, 101, 107, 110, 112, 114, 115, 116, 121, 122, 123, 125, 126, 128, 129, 130, 131, 132, 133, 134, 138, 139, 140, 141, 142, 145, 147, 149, 150, 152, 153, 154, 155, 162, 163, 169, 174, 185, 186, 189, 190, 191, 193, 194, 195, 198, 200], "alloc": [189, 198], "allow": [3, 4, 6, 11, 15, 17, 18, 19, 20, 21, 22, 36, 38, 40, 43, 45, 47, 49, 50, 52, 54, 58, 60, 69, 70, 78, 79, 84, 94, 97, 107, 109, 110, 112, 113, 114, 119, 121, 132, 138, 139, 147, 157, 159, 163, 164, 179, 185, 186, 189, 190, 192, 193, 195, 197, 198, 199], "allow_single_fifo": 6, "allwai": [64, 70, 111], "almost": [5, 6, 20, 67, 73, 83, 159, 201], "almost_empti": [81, 108], "almost_empty_offset": [4, 5, 6, 79, 81, 108], "almost_ful": [81, 108], "almost_full_offset": [4, 5, 6, 79, 81, 108], "alon": 11, "along": [8, 61, 62, 89, 112, 178, 193], "alow": [32, 47], "alreadi": [20, 28, 43, 60, 113, 122, 169, 190, 191, 195], "also": [0, 3, 4, 6, 7, 8, 11, 13, 17, 20, 25, 26, 28, 32, 36, 37, 38, 48, 51, 60, 61, 62, 69, 70, 77, 80, 84, 87, 89, 91, 107, 110, 112, 113, 121, 122, 129, 130, 131, 132, 133, 134, 142, 145, 147, 150, 151, 162, 163, 169, 173, 174, 175, 176, 181, 183, 185, 189, 190, 191, 192, 193, 197, 198, 199, 201, 204], "altera": [4, 88, 169], "altera_syncram": 164, "altern": [28, 164], "although": [69, 78, 169, 170, 171, 172], "altogeth": [78, 193], "alu": 163, "alveo": 162, "alwai": [18, 24, 34, 37, 39, 69, 89, 90, 91, 107, 112, 113, 147, 149, 193, 194, 195, 197, 198], "am": 110, "am_in": 110, "amd": [47, 138, 139, 152, 162, 177, 194], "american": 89, "amm": [30, 31, 32, 33, 189], "amm_addr": 32, "amm_addr_width": 32, "amm_address": 32, "amm_burst_count": 32, "amm_burst_count_width": 32, "amm_clk": 32, "amm_data_width": [30, 32], "amm_freq_khz": [25, 32, 189], "amm_gen": [32, 33], "amm_mux": 32, "amm_prob": 32, "amm_probe_en": 32, "amm_read": 32, "amm_read_data": 32, "amm_read_data_valid": 32, "amm_readi": 32, "amm_rst": 32, "amm_writ": 32, "amm_write_data": 32, "among": [189, 193, 197, 201], "amount": [6, 7, 13, 15, 20, 39, 50, 62, 65, 67, 69, 78, 93, 105, 107, 132, 133, 152, 164], "an": [0, 5, 6, 7, 11, 19, 20, 23, 27, 28, 40, 43, 46, 47, 49, 50, 52, 60, 63, 65, 69, 70, 72, 73, 78, 82, 84, 89, 91, 109, 110, 113, 115, 119, 122, 125, 132, 134, 137, 138, 139, 141, 142, 147, 149, 151, 153, 155, 157, 162, 163, 164, 167, 169, 173, 175, 176, 178, 179, 183, 185, 189, 190, 192, 193, 196, 197, 198, 200, 201, 202], "analysi": [128, 129, 130, 133, 134, 138, 139, 140, 141, 144, 150, 152, 185], "analysis_expoert": 134, "analysis_export": [131, 134, 142, 145, 146, 147], "analysis_export_data": 147, "analysis_export_meta": 147, "analysis_export_rx": 147, "analysis_export_rx_packet": 147, "analysis_export_tx": 147, "analysis_export_tx_packet": 147, "analysis_imp": 147, "analysis_imp_dut": [134, 147], "analysis_imp_model": [134, 147], "analysis_imp_reset": 147, "analysis_imp_rx": 147, "analysis_imp_tx": 147, "analysis_port": 147, "analyz": [28, 62, 96, 112], "ancestor": 169, "anew": 96, "ani": [0, 5, 10, 11, 18, 20, 22, 26, 54, 57, 58, 59, 60, 66, 68, 69, 70, 78, 79, 81, 87, 89, 90, 91, 93, 107, 112, 131, 132, 142, 145, 146, 147, 151, 154, 169, 191, 195, 201], "anlysis_export": 147, "annot": 147, "announc": 115, "anot": 45, "anoth": [19, 20, 22, 32, 62, 70, 73, 78, 82, 89, 91, 113, 124, 134, 142, 145, 147, 155, 164, 167, 169, 190, 191, 200], "another_lib": 169, "anotherlib": 169, "answer": 89, "anyhow": 89, "anyth": [22, 67, 69, 91, 134, 185], "anywher": [69, 169], "ap": 178, "apertur": [119, 120], "api": [189, 194, 197], "app": [0, 28, 162, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 186, 189, 190, 191, 195, 196], "app_archgrp": 190, "app_clk": 189, "app_conf": [28, 195], "app_cor": [0, 191], "app_core_minimal_0": [0, 191], "app_core_minimal_1": [0, 191], "app_reset": 189, "appart": [20, 70, 122], "appear": [9, 70, 78, 82, 113], "append": [132, 165, 169], "append_fifo_depth": 51, "appli": [3, 21, 36, 48, 60, 62, 63, 67, 69, 70, 107, 112, 113, 185], "applianc": 199, "applic": [28, 69, 107, 116, 169, 170, 172, 178, 183, 191, 192, 196, 197, 199, 201, 202], "application_cor": [189, 190], "application_core_entity_onli": 190, "approach": [13, 69, 89, 147, 169], "appropri": [34, 67, 73, 77, 115, 155, 169, 189, 193, 198], "approx": [4, 79], "approxim": 179, "aproxim": 20, "ar": [0, 2, 3, 4, 5, 6, 7, 10, 11, 12, 13, 15, 17, 18, 19, 20, 22, 23, 24, 25, 27, 28, 30, 34, 35, 36, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 54, 57, 59, 60, 61, 62, 64, 65, 66, 67, 69, 70, 73, 74, 76, 77, 78, 84, 85, 87, 89, 91, 92, 96, 98, 101, 103, 104, 107, 110, 112, 113, 114, 115, 116, 118, 120, 121, 122, 124, 125, 126, 131, 132, 133, 134, 136, 138, 139, 142, 145, 146, 147, 149, 150, 151, 152, 153, 155, 156, 157, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 179, 180, 181, 182, 183, 185, 186, 189, 190, 191, 192, 193, 196, 197, 198, 200, 201, 202, 203, 204], "arbirarili": 21, "arbitr": 167, "arbitrari": [12, 17, 18, 21, 38, 60, 65, 70, 77, 89, 107, 113, 147, 167], "arbitrarili": 89, "arch": 147, "archgrp": [169, 190], "archgrp_arr": 190, "architectur": [5, 6, 11, 15, 50, 51, 64, 81, 104, 108, 121, 147, 159, 162, 164, 169, 186, 190, 192, 193, 195, 196, 198], "archiv": [10, 169], "ardi": [85, 89, 149], "area": [35, 47], "aren": [91, 169], "argument": [24, 32, 33, 147, 151], "arith": 147, "around": [15, 25, 61, 70, 90, 91, 121, 122, 164], "arrai": [22, 61, 75, 121, 131, 135, 142, 145, 147, 150, 155, 163, 164, 190], "arrang": [61, 107, 124], "array_size_set": [128, 140, 141], "arria": 164, "arria10": [4, 5, 15, 17, 81, 104, 108], "arriv": [18, 22, 60, 61, 82, 112, 113, 129, 130, 167], "arrow": 69, "art": 162, "asap": 104, "asfifo": [114, 122, 159, 165], "asfifo_bram": 159, "asfifo_bram_block": 159, "asfifo_bram_datamux": 159, "asfifo_bram_releas": 159, "asfifo_bram_xilinx": 159, "asfifox": [159, 165], "asic": 147, "asid": 11, "assembl": [61, 147], "assembli": 61, "assert": [7, 12, 14, 30, 32, 62, 72, 73, 77, 78, 85, 89, 93, 95, 107, 110, 112, 114, 129, 130, 137, 138, 139, 147, 149, 150, 155], "assign": [18, 20, 91, 112, 121, 122, 150, 167, 185, 189, 190], "associ": [64, 71, 91, 116, 147, 190, 194, 198], "assum": [147, 198], "asx4": 197, "async": [110, 114, 166], "async_bus_handshak": [1, 169], "async_gener": 1, "async_mask": 21, "async_open_loop": 1, "async_open_loop_smd": 1, "async_reset": [1, 167], "asynch": 49, "asynchron": [2, 4, 11, 63, 82, 84, 154, 185], "atom": [18, 112], "attach": [152, 178], "attempt": [6, 69], "attent": [69, 201], "attribut": 70, "author": [191, 195], "auto": [5, 6, 10, 32, 81, 104, 108, 109, 121, 189], "autogener": 125, "autom": 32, "automat": [5, 6, 10, 19, 30, 60, 69, 73, 147, 159, 164, 169, 192, 196, 198], "automaticli": 80, "auxiliari": [42, 60, 61, 69, 116, 119, 162, 165, 192], "avail": [0, 4, 10, 20, 24, 26, 32, 43, 50, 60, 69, 73, 82, 92, 110, 112, 114, 121, 122, 124, 147, 169, 174, 178, 189, 193, 195, 197, 198, 199, 201, 202], "avalon": [0, 24, 32, 85, 125, 162, 189, 193, 196, 198], "averag": [18, 20, 25, 26, 31, 46, 77], "avg": [0, 32, 77], "avmm": [85, 135, 189, 193], "avmm_address": 85, "avmm_byteen": 85, "avmm_read": 85, "avmm_readdata": 85, "avmm_readdatavalid": 85, "avmm_waitrequest": 85, "avmm_writ": 85, "avmm_writedata": 85, "avoid": [11, 20, 24, 60, 69, 73, 87, 189, 190, 193], "avst": [112, 114, 135, 193], "await": [18, 96], "awar": [69, 169], "axi": [86, 116, 120, 122, 135, 142, 162, 189, 198], "axi4": [86, 162, 196], "axi_araddr": 86, "axi_arburst": 86, "axi_arid": 86, "axi_arlen": 86, "axi_arprot": 86, "axi_arreadi": 86, "axi_ars": 86, "axi_arvalid": 86, "axi_awaddr": 86, "axi_awburst": 86, "axi_awid": 86, "axi_awlen": 86, "axi_awprot": 86, "axi_awreadi": 86, "axi_aws": 86, "axi_awvalid": 86, "axi_bid": 86, "axi_breadi": 86, "axi_bresp": 86, "axi_bvalid": 86, "axi_ccuser_width": 116, "axi_cquser_width": 116, "axi_data_width": [86, 116], "axi_if": 142, "axi_lite_interfac": 147, "axi_rdata": 86, "axi_rid": 86, "axi_rlast": 86, "axi_rreadi": 86, "axi_rresp": 86, "axi_rvalid": 86, "axi_rx_spe": 142, "axi_wdata": 86, "axi_wreadi": 86, "axi_wstrb": 86, "axi_wvalid": 86, "b": [18, 22, 26, 32, 40, 46, 48, 62, 89, 113, 134, 147, 153, 194], "b0": [89, 147, 153, 154, 155], "b01": 183, "b011": 183, "b1": [147, 154, 155], "b10": 183, "b_array_t": [26, 91], "bachelor": 1, "back": [20, 69, 73, 77, 82, 147, 150, 169, 190, 192, 193, 198, 201], "backbon": 194, "backpressur": [113, 193], "backward": 51, "bandwidth": 48, "bar": [119, 120, 198], "bar0": [119, 191, 198], "bar0_base_addr": [119, 198], "bar1": 119, "bar1_base_addr": [119, 198], "bar2": [119, 198], "bar2_base_addr": [119, 198], "bar3": 119, "bar3_base_addr": [119, 198], "bar4": [119, 198], "bar4_base_addr": [119, 198], "bar5": 119, "bar5_base_addr": [119, 198], "bar_apertur": 120, "bar_id": 120, "bar_shift_lat": 8, "bare": 169, "barrel": [44, 61, 163], "barrel_shift": 163, "barrel_shifter_dsp": 163, "barrel_shifter_gen": [8, 113], "barrel_shifter_gen_pip": 8, "barrier": 147, "base": [0, 2, 5, 18, 27, 30, 31, 32, 35, 38, 40, 46, 61, 62, 69, 71, 78, 80, 88, 90, 91, 102, 104, 110, 113, 115, 119, 120, 121, 122, 124, 125, 128, 131, 132, 140, 142, 145, 146, 147, 150, 151, 159, 163, 164, 167, 169, 190, 191, 193, 196, 198, 199], "base_addr": 169, "base_address": 191, "baseh": 40, "basel": 40, "baselin": 155, "basi": 78, "basic": [26, 32, 33, 69, 73, 92, 113, 131, 138, 139, 141, 142, 144, 145, 146, 152, 162, 169, 170, 191, 193, 195, 196, 201], "bbuild": 28, "bcefst": 147, "bclk": 21, "bear": 18, "becaus": [11, 20, 21, 32, 34, 39, 60, 69, 70, 82, 89, 91, 113, 128, 140, 141, 147, 150, 169, 185, 190, 191, 193], "been": [11, 12, 18, 19, 20, 43, 57, 60, 64, 78, 107, 112, 113, 116, 121, 122, 131, 134, 142, 147, 149, 163, 169, 170, 171, 172, 174, 191], "befor": [5, 9, 18, 19, 20, 23, 50, 60, 65, 69, 70, 73, 77, 78, 82, 87, 89, 91, 93, 104, 112, 114, 121, 122, 131, 134, 142, 145, 147, 149, 153, 169, 173, 174, 183, 186, 189, 190, 192, 193, 198], "before_on": 163, "begener": 50, "begin": [11, 34, 37, 39, 46, 52, 56, 61, 69, 78, 91, 113, 131, 132, 134, 145, 146, 147, 151, 153, 154, 169], "beginbursttransf": 85, "beginig": 70, "behav": [7, 12, 44, 46, 60, 131, 142, 145, 146, 147, 150, 169], "behavior": [11, 12, 45, 84, 89, 92, 113, 123, 144, 147, 150, 159, 163, 164, 167, 193], "behaviour": [13, 60, 104, 150], "behind": [62, 73, 89, 189], "beign": [134, 154], "being": [12, 28, 60, 61, 69, 70, 73, 78, 89, 90, 107, 112, 114, 131, 145, 169], "bellow": [111, 175, 176], "belong": [78, 91, 190, 193], "below": [0, 20, 23, 28, 62, 69, 73, 89, 91, 107, 116, 121, 131, 132, 134, 142, 145, 146, 147, 150, 152, 162, 169, 170, 171, 172, 179, 189, 190, 192, 193, 195, 197, 198, 201, 202], "bene\u0161": 61, "ber": 110, "ber_mon": 110, "besid": [49, 63], "best": [47, 61, 92, 169], "better": [4, 15, 17, 18, 23, 32, 35, 66, 73, 79, 88, 89, 92, 99, 103, 113, 134, 136, 147, 169], "between": [0, 11, 18, 19, 20, 24, 25, 28, 29, 30, 32, 48, 49, 56, 61, 62, 67, 69, 70, 73, 77, 78, 79, 84, 85, 91, 99, 105, 107, 110, 121, 122, 128, 131, 132, 134, 140, 141, 142, 145, 146, 147, 149, 152, 163, 167, 169, 186, 189, 193, 197, 198, 199, 201], "bidirect": 147, "bifurac": 198, "bifurc": [183, 198], "big": [101, 163], "bigger": [70, 107], "bin": [28, 91, 147, 177], "bin2hot": 163, "binari": [19, 61, 78, 91, 163, 169, 191], "bind": [115, 135], "bip": 110, "bit": [0, 1, 3, 4, 5, 6, 7, 8, 11, 12, 15, 17, 18, 19, 21, 22, 23, 24, 26, 28, 30, 31, 32, 33, 36, 40, 46, 47, 48, 49, 50, 51, 52, 56, 57, 61, 62, 63, 64, 65, 67, 69, 70, 71, 72, 73, 76, 77, 78, 84, 85, 86, 87, 89, 90, 92, 94, 97, 98, 99, 101, 103, 107, 110, 112, 114, 115, 116, 119, 120, 123, 124, 125, 138, 139, 147, 150, 152, 153, 154, 163, 167, 177, 189, 193, 195, 197, 198, 201, 202], "bitrat": 110, "bitstream": [170, 171, 172, 173, 175, 176, 178, 183, 195], "bittwar": 162, "bitwis": 163, "black": 201, "blaster": 178, "blob": [115, 191], "block": [0, 3, 8, 15, 17, 26, 27, 28, 37, 39, 40, 44, 46, 47, 49, 50, 51, 52, 56, 61, 63, 64, 65, 69, 70, 71, 72, 75, 76, 78, 104, 110, 112, 113, 114, 116, 119, 133, 141, 147, 150, 152, 159, 163, 189, 190, 192, 193, 198, 199], "block_aux_en": 75, "block_en": [15, 17], "block_lock": [110, 152], "block_siz": [8, 35, 37, 49, 50, 54, 57, 58, 59, 60, 63, 65, 68, 70, 72, 74, 75, 78, 81, 131, 145, 146, 147, 148, 186], "block_width": [8, 15, 17], "blok": 3, "blokov\u00fd": 5, "board": [178, 183, 186, 189, 195, 199], "board_rev": [179, 195], "bock_width": 15, "bodfc": 112, "bodfch": 112, "bodfcl": 112, "bodi": [134, 147], "boolean": [3, 4, 5, 6, 7, 8, 10, 15, 17, 19, 25, 27, 32, 35, 39, 40, 47, 49, 50, 51, 59, 60, 63, 64, 65, 66, 68, 71, 73, 75, 76, 77, 79, 80, 84, 88, 91, 92, 93, 94, 95, 97, 98, 99, 101, 104, 105, 108, 109, 112, 114, 116, 117, 119, 124, 186, 198], "boot": [73, 170, 171, 172, 179, 180, 181, 182, 191, 195, 197], "boot_en": 191, "bootload": 177, "border": 69, "bot": [70, 154], "both": [3, 4, 5, 11, 12, 18, 20, 25, 27, 44, 47, 49, 63, 69, 70, 78, 82, 84, 85, 89, 90, 91, 97, 104, 107, 110, 113, 120, 122, 123, 131, 142, 144, 145, 146, 147, 149, 150, 174, 185, 189, 190, 193, 194], "bottleneck": 20, "bottom": [62, 177, 179], "bound": [153, 191], "boundari": [110, 128, 140, 141], "box": [26, 27], "box_cnt": [14, 27], "box_width": [14, 27], "bp": 69, "brake": 186, "bram": [4, 5, 13, 27, 44, 61, 79, 81, 82, 84, 104, 108, 109, 159, 162, 164, 169, 191], "branch": 28, "brand": 60, "break": [18, 93, 105, 125, 127, 147, 148], "bridg": [24, 32], "brief": 155, "brnolog": [162, 192], "broadcast": [23, 189, 193], "brodcast": 112, "broken": [28, 119], "bs_calc": 61, "bscn": 69, "bt": 179, "bu": [0, 12, 18, 19, 24, 25, 26, 37, 38, 39, 40, 41, 44, 45, 46, 47, 56, 57, 63, 64, 68, 70, 71, 75, 78, 84, 85, 90, 91, 92, 93, 95, 102, 103, 107, 109, 110, 112, 114, 116, 119, 120, 122, 124, 132, 135, 160, 161, 165, 166, 167, 168, 189, 191, 192, 193, 196, 198, 199, 201], "buf_a_col": 18, "buf_a_sect": 18, "buf_a_stream_row": 18, "buf_b_col": 18, "buf_b_row": 18, "buf_b_sect": 18, "buf_block": 80, "buf_byt": 80, "buf_word": 80, "buff": [30, 32, 33], "buff_rd_addr": 43, "buff_rd_chan": 43, "buff_rd_data": 43, "buff_rd_data_vld": 43, "buff_rd_en": 43, "buffer": [7, 18, 20, 30, 32, 33, 34, 35, 36, 40, 42, 43, 45, 46, 47, 56, 67, 73, 77, 82, 101, 105, 110, 112, 113, 114, 165, 167, 189, 193, 198], "buffer_ae_offset": 73, "buffer_af_offset": 73, "buffer_s": 73, "buffered_data_s": 39, "bug": [61, 147, 191], "build": [0, 28, 69, 110, 147, 162, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 193, 199, 201], "build_phas": [131, 134, 142, 145, 146, 147, 153], "built": [28, 94, 174, 190, 195], "buld": [170, 171, 172], "bundl": 169, "burst": [0, 30, 31, 32, 50, 85, 144, 146, 147, 149, 189], "burst_cnt": [30, 33], "burst_id": 33, "burst_siz": 50, "burst_width": 125, "burstcount": [85, 125], "bus_handshak": 169, "buse": [0, 1, 88, 107, 162, 189, 192, 193, 198], "busi": [69, 87], "buss": 48, "bw_bmc_fpga_load": 174, "bw_card_list": 174, "bypass": [15, 73, 76], "byte": [19, 20, 23, 34, 35, 36, 38, 39, 40, 41, 42, 44, 45, 46, 47, 48, 49, 50, 52, 56, 61, 62, 69, 76, 84, 85, 89, 90, 92, 112, 114, 115, 116, 119, 120, 124, 131, 135, 138, 139, 140, 141, 142, 145, 146, 149, 151, 152, 155, 164, 186, 189, 193, 198, 201, 204], "byte_aray_mfb": 147, "byte_arra_mfb": 131, "byte_arrai": [131, 132, 147, 154], "byte_array_ag": 147, "byte_array_cfg": 147, "byte_array_mfb": [128, 135, 147, 148], "byte_array_mfb_cfg": 147, "byte_array_mfb_env": 131, "byte_array_mfb_monitor": 147, "byte_array_mfb_sequ": 147, "byte_array_moinitor": 147, "byte_array_port_env": 147, "bytes_max_numb": 151, "bytes_min_numb": 151, "bytes_per_packet_max_numb": 151, "bytes_per_packet_min_numb": 151, "bytes_per_packet_rev_max_numb": 151, "bytes_per_packet_rev_min_numb": 151, "bytes_rev_max_numb": 151, "bytes_rev_min_numb": 151, "bytes_vld": [129, 130, 138, 139], "bytesh": [40, 46], "bytesl": [40, 46], "c": [10, 32, 40, 46, 89, 147], "c_char_width": 147, "c_data": 153, "c_transact": 147, "ca": 20, "cabal": 1, "cabl": [28, 170, 171, 172, 173, 174, 175, 176, 178, 183], "cage": 200, "calcul": [4, 20, 25, 26, 42, 46, 61, 69, 73, 77, 78, 92, 107, 112, 114, 117, 121, 134, 147, 150, 165, 167, 169, 189, 201], "calibr": [32, 189], "call": [25, 51, 73, 78, 107, 145, 146, 147, 153, 162, 169, 173, 175, 176, 183, 189, 190, 191, 193], "callback": [135, 169], "calucul": 76, "calypt": [38, 45, 162, 192, 194, 204], "cam": 112, "came": [11, 43], "can": [0, 1, 3, 4, 5, 6, 7, 8, 10, 11, 12, 15, 18, 19, 20, 21, 22, 25, 26, 27, 28, 29, 30, 32, 33, 36, 37, 41, 42, 44, 46, 47, 52, 56, 59, 60, 61, 62, 65, 67, 69, 70, 73, 76, 77, 78, 79, 82, 84, 87, 88, 89, 90, 91, 92, 93, 95, 97, 98, 99, 101, 104, 105, 107, 109, 110, 112, 113, 114, 121, 122, 123, 125, 126, 128, 131, 132, 134, 140, 141, 142, 145, 146, 147, 149, 151, 153, 154, 155, 159, 162, 163, 164, 167, 169, 170, 171, 172, 173, 174, 177, 179, 183, 185, 189, 191, 192, 193, 195, 197, 198, 199, 200, 201, 202], "candid": [159, 164, 167], "cannot": [6, 11, 20, 51, 60, 70, 82, 111, 114, 122, 132, 147, 149, 154, 163, 191], "capabl": [5, 28, 63, 115, 125, 179, 191, 198], "capac": [24, 101], "cappabl": 70, "capture_en": 19, "capture_fifo_item": 19, "card": [0, 23, 28, 32, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 189, 193, 196, 197, 198, 199, 201], "card_archgrp": 190, "card_conf": [170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183], "card_id": 198, "card_id_width": 198, "card_root_directori": 190, "care": [42, 77, 89, 113, 125, 132, 151], "careful": [127, 148], "carefulli": 105, "carri": [89, 91, 163, 189, 193], "carry_chain": 163, "case": [0, 3, 4, 6, 7, 11, 12, 20, 22, 36, 40, 46, 60, 61, 69, 70, 73, 78, 87, 89, 90, 91, 93, 96, 105, 107, 112, 113, 114, 120, 122, 132, 147, 151, 155, 169, 185, 189, 190, 191, 195, 196, 198, 201], "cass": 154, "cast": [134, 147, 153], "caus": [18, 22, 51, 69, 70, 89, 112, 113, 114, 190], "caution": 91, "caveat": 169, "cb": 147, "cbs_simpl": 153, "cc": [116, 119, 120, 198], "cc_axi": 116, "cc_axi_data": 116, "cc_axi_keep": 116, "cc_axi_last": 116, "cc_axi_readi": 116, "cc_axi_us": 116, "cc_axi_valid": 116, "cc_mfb": 116, "cc_mfb_block_siz": 198, "cc_mfb_data": [116, 119], "cc_mfb_dst_rdy": [116, 119], "cc_mfb_eof": [116, 119], "cc_mfb_eof_po": [116, 119], "cc_mfb_item_width": 198, "cc_mfb_meta": 119, "cc_mfb_region": 198, "cc_mfb_region_s": 198, "cc_mfb_sof": [116, 119], "cc_mfb_sof_po": [116, 119], "cc_mfb_src_rdy": [116, 119], "cc_pipe": 119, "cc_user_width": 116, "cd": [0, 25, 28, 32, 179], "cdc": 21, "cdgmii": [112, 114], "ce_gener": 135, "ceil": [36, 69], "cell": 191, "certain": [19, 51, 60, 91, 167, 191], "cesnet": [0, 28, 169, 179, 190, 191, 194], "cfc": 112, "cfch": 112, "cfcl": 112, "cfg": [128, 131, 140, 141, 142, 145, 146, 147], "cgmii": 112, "ch": 185, "ch_cnt": 92, "ch_diff": 92, "ch_max": [50, 92], "ch_min": [50, 92], "ch_next": 92, "ch_out": 92, "chain": [28, 91, 163], "challeng": 169, "chan": [0, 92], "chang": [15, 18, 19, 30, 50, 60, 66, 69, 70, 74, 89, 90, 91, 92, 112, 113, 114, 116, 119, 122, 131, 133, 134, 142, 145, 146, 147, 151, 153, 154, 155, 185, 190, 191, 194, 195], "channel": [0, 9, 23, 35, 36, 38, 40, 42, 43, 44, 45, 46, 47, 49, 50, 61, 67, 73, 78, 80, 89, 107, 132, 134, 147, 159, 163, 168, 185, 186, 189, 190, 192, 193, 195, 201], "channel_align": 135, "channel_cor": 45, "channel_id": 193, "channel_vld": 35, "channels_width": 50, "chapter": [0, 2, 112, 114, 156, 157, 160, 161, 165, 166, 168, 189, 190, 191, 192, 195, 200, 201, 203, 204], "charact": 147, "characterist": [64, 66, 70, 71], "check": [5, 10, 20, 24, 28, 46, 60, 65, 70, 87, 89, 112, 114, 121, 122, 138, 139, 147, 149, 150, 153, 174, 183, 185, 186, 187, 190, 193], "checker": 122, "checksum": [114, 165], "checksum_calcul": 76, "child": [131, 142, 145, 146], "chip": [24, 163, 189], "choic": [5, 155, 185], "choke": 189, "choos": [3, 5, 91, 131, 133, 142, 145, 146, 190], "chosen": [5, 42, 190], "chunk": [129, 130, 133, 155], "cicl": 154, "circuit": 12, "circumst": 69, "clarifi": 169, "clariti": 190, "class": [46, 120, 126, 127, 128, 131, 132, 137, 140, 141, 142, 145, 146, 147, 148, 149, 153, 154, 163], "class_typ": 134, "classic": 5, "claus": 110, "clb": [159, 164], "clear": [12, 27, 49, 78, 89, 112, 114, 155, 163, 164], "clear_addr": 14, "clear_by_read": 27, "clear_by_rst": [14, 27], "clear_don": 14, "clear_en": 14, "clear_wr": 14, "client": [156, 157, 193], "clk": [3, 5, 6, 7, 8, 9, 10, 12, 13, 14, 15, 18, 19, 25, 26, 27, 29, 31, 32, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 49, 50, 51, 52, 54, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 81, 85, 86, 87, 88, 91, 92, 93, 94, 95, 96, 97, 98, 99, 101, 102, 103, 104, 105, 108, 109, 113, 117, 119, 123, 124, 134, 147, 153, 154, 169, 185], "clk2": [18, 77, 163], "clk_": 84, "clk_arb": [18, 77], "clk_en": [3, 132], "clk_eth": [186, 189], "clk_freq": 124, "clk_frequenc": 73, "clk_in": 80, "clk_m": 84, "clk_meta": 80, "clk_out": 80, "clk_period": [147, 155, 169], "clk_port": 169, "clk_sel": 124, "clk_sel_width": 124, "clk_src": 124, "clk_stabl": 110, "clk_user": [186, 189], "clk_user_x2": 189, "clk_user_x3": 189, "clk_user_x4": 189, "clk_x2": 56, "clock": [1, 3, 4, 5, 6, 9, 10, 12, 13, 15, 17, 18, 19, 20, 21, 26, 27, 32, 35, 38, 45, 48, 49, 51, 52, 56, 57, 58, 59, 60, 61, 62, 63, 65, 66, 67, 68, 69, 70, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 84, 85, 86, 89, 91, 92, 93, 94, 96, 97, 98, 99, 101, 102, 103, 105, 107, 109, 110, 112, 114, 119, 122, 124, 127, 132, 133, 138, 139, 147, 148, 149, 150, 152, 153, 154, 162, 164, 165, 167, 168, 169, 185, 186, 189, 193, 198, 202], "clog2": [134, 148], "clone": [28, 147], "close": [19, 191, 192, 204], "closur": 12, "cmac": [112, 114, 170, 171, 172, 177, 180, 181, 186, 193, 194], "cmake": 28, "cmd": [26, 87, 112, 114], "cmd_a": 26, "cmd_width": 26, "cmp": 163, "cnt": [11, 26, 31, 32, 163], "cnt_dist": 163, "cnt_max": 65, "cnt_multi_memx": [9, 163], "cnt_next": 11, "cnt_width": 9, "cnter": 26, "cnter_cnt": 26, "cnter_diff_0": 26, "cnter_diff_1": 26, "cnter_diff_2": 26, "cnter_incr_0": 26, "cnter_incr_1": 26, "cnter_incr_2": 26, "cnter_submit_0": 26, "cnter_submit_1": 26, "cnter_submit_2": 26, "cnter_width": 26, "cnters_diff": 26, "cnters_incr": 26, "cnters_submit": 26, "cntr": 50, "cntrs_width": [40, 46], "cocotb": 201, "codapa": 122, "code": [0, 1, 5, 28, 91, 134, 163, 169, 190, 206], "code_archgrp": 190, "code_coverag": 147, "colid": 18, "collect": [110, 153, 162], "collis": [11, 18, 27, 168], "color": [18, 91], "color_conf_delai": 18, "color_timeout_width": 18, "column": [18, 91], "com": [28, 89, 177], "combin": [11, 40, 46, 61, 89, 91, 98, 114, 123, 155, 189, 190], "combinatori": 12, "combo": 195, "combo_user_const": 190, "come": [11, 18, 41, 61, 77, 78, 89, 110, 113, 138, 139, 147, 193, 198, 201], "command": [0, 24, 26, 28, 33, 87, 110, 112, 114, 147, 153, 154, 155, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 190, 195], "comment": [147, 169, 190, 191], "commentari": 190, "common": [3, 17, 18, 25, 26, 32, 48, 60, 64, 71, 85, 87, 88, 91, 98, 110, 126, 135, 137, 162, 169, 190, 206], "common_clock": 17, "commonli": [89, 134, 135, 136, 147, 153, 155, 169], "commun": [28, 31, 78, 107, 119, 122, 125, 126, 127, 136, 137, 138, 139, 147, 148, 149, 189, 191, 192, 196, 197, 198, 199, 204], "comp": [0, 2, 28, 32, 33, 88, 138, 139, 147, 156, 157, 160, 161, 165, 166, 168, 185, 201, 203, 204], "comp_": 169, "comp_with_data": 120, "compar": [11, 32, 45, 64, 91, 122, 128, 135, 138, 139, 140, 141, 147, 152, 163, 198], "comparer_": 147, "comparer_base_ord": 134, "comparer_base_tag": [134, 147], "comparer_base_unord": 134, "comparer_data": 147, "comparer_meta": 147, "comparer_ord": [134, 147], "comparer_tag": 134, "comparer_unord": 134, "comparesr": 147, "comparison": [3, 61, 134, 169], "compat": [11, 32, 33, 61, 68, 162, 167, 169, 190, 191, 195], "compens": 110, "compil": [147, 169, 190, 191, 192, 198], "complet": [11, 18, 23, 33, 46, 47, 59, 69, 78, 82, 116, 119, 120, 121, 147, 162, 169, 173, 175, 176, 178, 183, 191, 193, 195, 198], "completit": [120, 198], "complex": [11, 20, 38, 40, 47, 69, 70, 121, 125, 138, 139, 169], "compli": 18, "complic": [11, 70, 90, 149], "compon": [2, 5, 6, 7, 10, 12, 18, 19, 20, 21, 24, 28, 30, 33, 34, 35, 36, 37, 39, 41, 42, 43, 44, 46, 49, 50, 51, 52, 54, 56, 57, 58, 59, 61, 62, 63, 65, 67, 68, 69, 70, 72, 73, 74, 76, 77, 79, 80, 81, 84, 85, 86, 87, 89, 90, 92, 93, 94, 96, 97, 98, 99, 102, 103, 104, 105, 107, 109, 110, 112, 113, 114, 116, 117, 118, 119, 120, 123, 124, 125, 128, 132, 134, 136, 138, 139, 140, 141, 147, 152, 153, 154, 155, 157, 160, 161, 162, 163, 164, 165, 166, 167, 188, 189, 193, 194, 197, 201, 202, 203, 204, 206], "compos": [78, 124, 150, 169], "comprehens": 162, "compress": [115, 191], "comput": [0, 10, 62, 122], "comun": 154, "concaten": [62, 97, 190], "concern": [70, 169, 193], "concret": 32, "concurr": 19, "condit": [69, 103, 112, 113, 147, 190, 198], "condition": 190, "conduct": [78, 107], "conector": [170, 171, 172, 173, 174, 175, 176, 177, 179, 180, 181, 182, 183], "conenct": 70, "config": [50, 131, 135, 142, 145, 146, 147, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 190], "config_filepath": 151, "config_gener": 151, "config_generator_config_filepath": 151, "config_item": [125, 131, 142, 145, 146], "config_sequ": [128, 131, 140, 141, 142, 145, 146, 147], "configr": 47, "configur": [0, 1, 19, 24, 26, 28, 32, 34, 38, 40, 45, 46, 49, 50, 51, 57, 59, 60, 61, 70, 74, 75, 89, 90, 92, 107, 109, 110, 112, 113, 114, 115, 116, 119, 124, 125, 126, 127, 129, 130, 133, 135, 138, 139, 148, 152, 154, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 189, 191, 193, 194, 195, 202], "configuret": 154, "confirm": [6, 18, 22, 36, 167], "conform": 18, "connect": [0, 4, 5, 7, 11, 12, 18, 20, 21, 28, 30, 32, 38, 40, 46, 47, 48, 49, 60, 61, 70, 73, 85, 89, 90, 91, 93, 110, 112, 114, 115, 122, 128, 129, 130, 131, 133, 134, 138, 139, 140, 141, 142, 145, 146, 147, 149, 150, 152, 153, 154, 163, 167, 170, 171, 172, 173, 174, 175, 176, 179, 183, 186, 189, 191, 192, 193, 195, 198, 199, 201], "connect_phas": [131, 134, 142, 145, 146, 147], "connector": [170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 198, 199], "consecut": [11, 19, 69, 78, 107, 113, 128, 140, 141], "consequ": 193, "consid": [18, 20, 49, 50, 78, 91, 105, 107, 147, 190, 191, 193, 201], "consist": [19, 28, 41, 62, 69, 70, 72, 77, 82, 91, 107, 113, 121, 122, 147, 191, 192, 199], "consol": 198, "constain": 91, "constant": [21, 26, 47, 61, 62, 69, 78, 85, 91, 107, 112, 114, 151, 163, 191, 201], "constist": [44, 46], "constr_quartu": 169, "constr_text": 169, "constr_vivado": 169, "constrain": 190, "constraint": [1, 101, 133, 147, 169, 190, 193], "construct": 155, "constructor": 26, "consum": [62, 70, 104, 105], "consume_item_width": 104, "consumpt": [7, 11, 64, 91], "contain": [0, 11, 18, 19, 20, 26, 32, 37, 40, 45, 46, 49, 50, 51, 60, 62, 64, 70, 71, 78, 80, 82, 84, 90, 91, 93, 101, 107, 109, 112, 114, 117, 120, 122, 124, 125, 126, 127, 128, 131, 132, 134, 136, 137, 138, 139, 140, 141, 142, 144, 145, 146, 147, 149, 152, 154, 155, 159, 160, 161, 163, 165, 166, 168, 169, 179, 189, 190, 191, 192, 193, 196, 198, 199, 201, 202, 206], "containst": 148, "containt": [150, 154], "content": [27, 36, 39, 42, 61, 109, 112, 113, 114, 125, 150, 191], "continu": [6, 19, 30, 46, 48, 82, 91, 113, 118, 154, 192, 201, 202], "contiuou": 118, "contol": 39, "contrast": 147, "control": [0, 6, 19, 21, 38, 45, 50, 61, 63, 69, 89, 92, 95, 110, 112, 114, 124, 126, 127, 132, 147, 148, 152, 155, 162, 167, 186, 189, 191, 193, 195, 197, 199, 200, 201, 204], "conv_bscn2gb": 69, "conv_gbs2bscn": 69, "conv_ps2pscn": 69, "conv_pscn2p": 69, "convent": 78, "convers": [49, 69, 110, 114, 119, 124, 128, 140, 141, 204], "convert": [22, 24, 26, 69, 85, 86, 90, 91, 103, 105, 112, 113, 114, 116, 121, 122, 123, 125, 131, 135, 136, 142, 144, 145, 146, 162, 163, 167, 173, 175, 176, 183, 190, 193, 196, 198], "convert2block": 141, "convert2str": [128, 138, 139, 140, 141, 147, 150, 152, 155], "converttim": 26, "cooper": [147, 179], "copi": [56, 70, 128, 138, 139, 140, 141, 152, 155, 184, 195], "copr": [0, 28], "core": [0, 10, 11, 28, 40, 46, 47, 50, 110, 115, 121, 122, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 186, 189, 197, 199, 201], "core_archgrp": 190, "core_boostrap": 190, "core_func": 190, "correct": [4, 5, 15, 17, 19, 36, 46, 84, 90, 112, 115, 116, 117, 119, 126, 147, 150, 153, 179, 186, 195, 198], "correctli": [60, 69, 90, 112, 132, 137, 147, 189, 191, 195], "correspond": [6, 18, 22, 28, 35, 62, 69, 73, 78, 110, 113, 121, 122, 150, 189, 190, 192, 193, 194, 200, 201], "correspons": 22, "cos521": 10, "cost": [20, 96, 101], "could": [11, 12, 18, 20, 21, 51, 60, 61, 78, 91, 107, 147, 152, 185], "count": [0, 6, 19, 20, 25, 26, 30, 31, 32, 57, 69, 77, 93, 105, 112, 114, 163, 167, 189, 202, 204], "counter": [1, 11, 18, 26, 29, 31, 32, 36, 38, 40, 41, 43, 45, 46, 47, 50, 64, 67, 73, 99, 110, 112, 114, 155, 163, 167], "counton": 147, "coupl": [89, 186], "cours": [10, 19, 87], "cov_html": 147, "cov_packet": 147, "cover": [46, 60, 104, 186], "coverag": 5, "coverage_bas": 147, "covergroup": 147, "coverpoint": 147, "cpack": 28, "cpu": 189, "cq": [116, 119, 120, 198], "cq_axi": 116, "cq_axi_data": 116, "cq_axi_keep": 116, "cq_axi_last": 116, "cq_axi_readi": 116, "cq_axi_us": 116, "cq_axi_valid": 116, "cq_fbe": 116, "cq_lbe": 116, "cq_mfb": 116, "cq_mfb_block_siz": 198, "cq_mfb_data": [116, 119], "cq_mfb_dst_rdy": [116, 119], "cq_mfb_eof": [116, 119], "cq_mfb_eof_po": [116, 119], "cq_mfb_item_width": 198, "cq_mfb_meta": 119, "cq_mfb_region": 198, "cq_mfb_region_s": 198, "cq_mfb_sof": [116, 119], "cq_mfb_sof_po": [116, 119], "cq_mfb_src_rdy": [116, 119], "cq_pipe": 119, "cq_tph_present": 116, "cq_tph_st_tag": 116, "cq_tph_type": 116, "cq_user_width": 116, "cquser_width": 120, "cr": 110, "crash": 190, "crc": [23, 112, 114, 189, 193, 194, 201], "crc_check_en": 112, "crc_error": 112, "crc_insert_en": 114, "crc_is_receiv": 112, "crc_remove_en": 112, "crdt": 135, "creat": [18, 26, 28, 35, 38, 49, 51, 61, 62, 64, 70, 71, 78, 88, 107, 122, 128, 131, 132, 134, 138, 139, 140, 141, 142, 145, 146, 149, 150, 152, 153, 155, 163, 169, 178, 183, 184, 189, 191, 193, 194, 195], "create_sequence_item": [131, 142, 145, 146, 149, 155], "create_stat": 26, "creation": [107, 129, 130, 133, 142, 147, 169], "creator": 62, "credit": [121, 126], "critic": 89, "cross": [1, 21, 30, 32, 63, 84, 147, 167], "crossbar": 18, "crossbarx": [22, 114, 165, 167], "crossbarx_stream": 77, "csp": 174, "csr": [189, 193, 197, 199], "csv": 151, "ctl_bar_apertur": 119, "ctl_max_payload_s": 119, "ctrl": [26, 30, 31, 32, 112, 156, 167], "ctrl_reg": 124, "ctrli": 26, "ctrli_width": 26, "ctrlo": 26, "ctrlo_default": 26, "ctrlo_width": 26, "curent": 29, "current": [4, 6, 10, 15, 18, 20, 23, 28, 30, 32, 33, 34, 36, 40, 43, 46, 54, 59, 60, 61, 62, 64, 65, 67, 69, 70, 73, 78, 79, 80, 82, 85, 87, 89, 107, 112, 113, 114, 119, 121, 122, 132, 147, 149, 169, 191, 192, 193, 194, 196, 198], "current_tim": 67, "currently_stor": [4, 5, 6], "custom": [26, 149, 155, 169, 190, 191], "customiz": 164, "customli": 169, "cut": [57, 62, 112, 122, 129, 130, 133], "cutted_item": 57, "cutter": [42, 112, 122, 165], "cvg": 147, "cx": 77, "cx_clk_arb": 77, "cx_reset_arb": 77, "cx_use_clk2": 77, "cx_use_clk_arb": 77, "cycl": [1, 3, 5, 6, 10, 11, 13, 15, 18, 19, 20, 21, 26, 27, 31, 48, 50, 60, 61, 65, 69, 73, 78, 85, 89, 90, 92, 96, 107, 109, 113, 121, 122, 124, 127, 133, 138, 139, 147, 148, 149, 154, 159, 162, 163, 164, 165, 167, 168, 173, 175, 176, 183], "cz": 190, "czech": 194, "d": [32, 33, 48, 89, 178, 185], "d0": [89, 155], "d1": 89, "d12": 155, "d16": 155, "d2": 89, "d3": 89, "d4": 155, "d512": 155, "d516": 155, "d8": [89, 155], "d9": 89, "damag": 46, "danger": 186, "data": [4, 5, 6, 7, 8, 10, 11, 12, 13, 15, 17, 18, 19, 20, 23, 25, 30, 31, 32, 33, 35, 36, 37, 39, 40, 43, 44, 45, 46, 47, 48, 49, 50, 51, 54, 56, 57, 60, 61, 62, 63, 64, 65, 67, 68, 69, 72, 73, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 89, 90, 91, 92, 94, 96, 97, 98, 99, 101, 104, 105, 107, 108, 110, 112, 114, 115, 116, 119, 120, 122, 124, 125, 127, 128, 131, 132, 133, 134, 136, 137, 138, 139, 140, 141, 142, 144, 145, 146, 147, 148, 149, 150, 152, 153, 154, 155, 157, 159, 162, 163, 164, 167, 186, 189, 192, 193, 194, 195, 198, 199, 200, 201], "data_addr_req_cntr_inc": 36, "data_addr_stall_inc": 36, "data_block_s": 80, "data_block_width": 80, "data_buff": [135, 169], "data_buff_full_chan": 38, "data_buff_full_cntr_incr": 38, "data_clear_i": 14, "data_dir": 18, "data_in": [8, 10, 12], "data_in_rdi": 10, "data_in_vld": 10, "data_item": 147, "data_item_width": 80, "data_logg": [0, 25, 26, 32], "data_logger_i": 26, "data_mux_lat": 18, "data_mux_outreg_en": 18, "data_out": [8, 10], "data_out_rdi": 10, "data_out_vld": 10, "data_pcie_hdr": 36, "data_pcie_hdr_dst_rdi": 36, "data_pcie_hdr_s": 36, "data_pcie_hdr_src_rdi": 36, "data_pointer_width": [43, 45, 46], "data_rot_lat": 18, "data_rot_outreg_en": 18, "data_vld": 152, "data_width": [4, 5, 6, 7, 10, 12, 13, 14, 15, 16, 17, 29, 48, 84, 85, 87, 88, 89, 91, 93, 98, 102, 125, 127, 138, 139, 142, 147, 149, 152, 153, 155], "databas": [131, 136, 142, 145, 146, 147], "datafram": 26, "datalogg": 26, "datapath": 201, "date": [169, 201], "david": 61, "dba_rd_chan": 38, "dba_rd_data": 38, "dbg_gls0": [0, 191], "dbg_gls1": [0, 191], "dbg_signal_width": 41, "dd": [173, 174, 176, 177, 178, 179, 183, 200], "ddr": [32, 162], "ddr4": [157, 183, 189, 196], "ddr_logger_0": 191, "ddr_logger_1": 191, "ddr_logger_2": 191, "ddr_logger_3": 191, "ddr_tester_0": 191, "ddr_tester_1": 191, "ddr_tester_2": 191, "ddr_tester_3": 191, "deactiv": 1, "deadlock": [20, 22, 197], "deafult": 61, "deal": 62, "deassert": [7, 12, 78, 85, 89, 107, 113, 137, 155], "deb": 195, "debug": [0, 19, 20, 32, 41, 46, 47, 112, 147, 162, 167, 170, 171, 172, 178, 179, 192, 197, 198, 201], "debug_rand_addr": 32, "debugaccess": 85, "dec": [3, 114], "dec1fn": 163, "decid": [67, 82, 91, 136, 150], "declar": [48, 70, 78, 107, 169, 185, 190], "decod": [2, 42, 89, 110, 163, 204], "decreas": [70, 74, 77, 128, 140, 141], "decrement": [11, 149], "dedic": [62, 167, 169, 174, 186, 199], "deduc": 11, "deem": 22, "deep": [4, 79, 189], "def": 26, "def_refr_period": 32, "default": [0, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 15, 16, 17, 19, 21, 25, 26, 27, 28, 29, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 49, 50, 51, 52, 54, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 79, 80, 81, 83, 84, 85, 86, 87, 88, 89, 91, 92, 93, 94, 95, 96, 97, 98, 99, 101, 102, 103, 104, 105, 108, 109, 112, 114, 116, 117, 119, 120, 123, 124, 125, 131, 138, 139, 142, 145, 146, 147, 149, 151, 152, 154, 155, 163, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 185, 186, 189, 190, 191, 192, 194, 198, 202], "default_addr_limit": 32, "default_burst_cnt": 32, "default_mod": 92, "deficit": [20, 77, 114, 167], "deficit_idle_count": 167, "defin": [5, 10, 11, 18, 19, 24, 27, 29, 38, 40, 45, 47, 48, 62, 69, 77, 81, 83, 89, 91, 101, 104, 108, 110, 114, 119, 132, 147, 149, 151, 152, 153, 155, 169, 183, 186, 189, 193, 198], "definit": [11, 30, 31, 32, 112, 114, 153, 169, 189], "degrad": [110, 194], "delai": [5, 15, 18, 21, 60, 69, 89, 134, 149, 154], "delay": [73, 165], "delay_count": 21, "delet": [82, 110, 147, 149], "deliber": 190, "delimit": [41, 42, 46, 132], "demo": [186, 189], "demonst": 60, "demonstr": [11, 60, 78, 107, 162, 169], "demultiplex": [93, 163], "demux": [163, 168], "demux_width": 93, "dens": 101, "depars": [42, 204], "depend": [11, 18, 20, 22, 28, 61, 69, 70, 81, 90, 91, 104, 107, 108, 112, 121, 122, 129, 130, 133, 138, 139, 147, 149, 152, 169, 179, 189, 193, 194, 196], "deprac": 46, "deprec": [159, 164, 189], "depreci": [31, 32, 33], "depth": [4, 5, 13, 15, 17, 28, 45, 49, 52, 61, 79, 81, 83, 97, 102, 104, 108], "deriv": [62, 70, 73, 78, 80], "descend": 190, "descrambl": [110, 133], "descrambler_gen": 110, "describ": [0, 2, 18, 48, 69, 78, 107, 110, 121, 122, 128, 131, 132, 140, 141, 142, 145, 146, 147, 150, 151, 152, 155, 156, 157, 169, 170, 171, 172, 189, 190, 191, 192, 193, 195, 197, 201, 202, 203, 204], "descript": [0, 1, 3, 4, 5, 6, 7, 8, 9, 10, 12, 13, 15, 16, 17, 18, 19, 20, 21, 23, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 54, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 79, 80, 81, 83, 84, 85, 86, 87, 88, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 101, 102, 103, 104, 105, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 122, 123, 124, 128, 131, 134, 135, 140, 141, 142, 145, 146, 149, 150, 155, 157, 160, 161, 165, 166, 167, 168, 179, 183, 186, 187, 189, 191, 192, 193, 197, 198, 199, 202, 204], "descriptor": [38, 40, 47], "desctin": 18, "deseri": 110, "deserv": 169, "design": [5, 11, 12, 17, 28, 38, 40, 42, 46, 47, 48, 78, 107, 110, 113, 122, 147, 153, 162, 167, 174, 183, 185, 186, 189, 191, 193, 195, 197, 198], "desir": [19, 61, 69, 76, 87, 155, 192, 198], "deskew": 110, "desnt": 15, "despair": 69, "destin": [18, 23, 48, 50, 65, 68, 92, 94, 97, 99, 101, 112, 114, 116, 150, 163, 167, 189, 193, 201], "destination_devic": 177, "desynchron": 147, "detail": [0, 1, 17, 18, 20, 24, 61, 77, 107, 110, 116, 122, 138, 139, 147, 159, 162, 163, 164, 167, 169, 179, 183, 189, 190, 192, 193, 195], "detect": [1, 11, 18, 30, 32, 35, 69, 110, 121, 124, 159, 163, 167, 190, 201], "detector": [163, 167], "determin": [4, 5, 6, 26, 40, 44, 46, 56, 62, 64, 69, 78, 81, 89, 91, 93, 99, 108, 112, 114, 116, 125, 151, 189], "dev": [32, 175, 176, 186, 190, 191], "develop": [147, 155, 162, 165, 168, 169, 174, 175, 176, 190, 192, 195, 199], "deviat": 46, "devic": [3, 4, 5, 6, 9, 13, 15, 16, 17, 18, 19, 24, 25, 28, 29, 32, 33, 34, 35, 36, 38, 40, 41, 42, 43, 44, 45, 46, 47, 49, 50, 51, 52, 54, 56, 60, 61, 62, 63, 64, 66, 67, 68, 69, 70, 71, 72, 73, 76, 77, 79, 80, 81, 83, 84, 85, 86, 88, 91, 92, 95, 96, 97, 99, 101, 102, 103, 104, 105, 108, 109, 110, 112, 114, 116, 119, 120, 124, 147, 183, 185, 186, 189, 197, 198], "devicetre": [189, 197, 198, 201], "devtre": [0, 28, 32, 169, 191, 197], "dfc": [112, 114], "dfch": [112, 114], "dfcl": [112, 114], "dfifo": 112, "dfifo_ovf": 112, "di": [5, 6, 16, 76, 134, 163], "diagnost": 193, "diagram": [0, 49, 70, 90, 113, 119, 179, 189, 190, 192, 193, 198, 199], "did": 112, "didn": 151, "differ": [3, 11, 18, 19, 22, 26, 28, 32, 44, 48, 69, 70, 77, 89, 90, 92, 96, 97, 103, 112, 113, 114, 116, 121, 122, 124, 128, 132, 134, 140, 141, 147, 149, 150, 155, 156, 162, 169, 190, 192, 193, 196, 200], "difficult": [51, 147], "difficulti": 70, "digit": 19, "dimens": [18, 138, 139, 152], "dimm": 183, "din": 98, "din_dst_rdi": 98, "din_src_rdi": 98, "din_vld": 98, "dir": [18, 138, 139, 152, 169], "direct": [0, 8, 18, 24, 46, 47, 48, 49, 78, 89, 90, 107, 113, 131, 142, 145, 146, 147, 150, 151, 163, 169, 197, 198, 200], "directli": [7, 15, 17, 20, 22, 25, 28, 60, 61, 69, 85, 91, 110, 124, 147, 153, 169, 174, 190, 191, 193, 198, 201], "directori": [0, 2, 46, 124, 147, 156, 157, 160, 161, 165, 166, 168, 169, 184, 185, 190, 191, 195, 203, 204, 206], "disabl": [3, 5, 6, 15, 26, 28, 40, 46, 49, 50, 51, 59, 63, 76, 88, 92, 95, 98, 108, 110, 112, 114, 124, 147, 151, 153, 170, 172, 186, 195, 198, 202], "disadvantag": 147, "disc_bts_cnt_width": [38, 45], "disc_pkt_cnt_width": [38, 45], "discard": [23, 36, 40, 41, 46, 52, 56, 59, 60, 77, 83, 112, 114, 134, 147, 165, 168, 186, 189, 193, 194], "discart": [38, 45, 114], "discourag": 147, "disect": 18, "disjoint": 89, "dispatch": 46, "displai": [46, 60, 134, 179, 189, 193], "distinct": 28, "distinguish": [48, 69, 78, 169], "distmem": 13, "distr": 92, "distribut": [4, 16, 20, 89, 92, 107, 110, 128, 132, 140, 141, 154, 163, 189], "divid": [0, 32, 69, 70, 78, 89, 92, 141, 147, 153, 155, 192, 197, 198], "divis": [52, 70], "dk": [162, 186], "dl": 13, "dll": 169, "dma": [0, 34, 35, 36, 38, 39, 41, 42, 43, 44, 45, 49, 67, 73, 92, 121, 122, 162, 170, 172, 186, 190, 195, 197, 198, 199, 201, 204], "dma_bar_en": 198, "dma_bus_pack": 23, "dma_calypt": 47, "dma_calypte_debug_cor": 169, "dma_calypte_debug_core0": 169, "dma_calypte_latency_met": 169, "dma_calypte_latency_meter0": 169, "dma_calypte_reset_fsm": 169, "dma_calypte_reset_fsm0": 169, "dma_calypte_rx_perf_cntr": 169, "dma_calypte_rx_perf_cntrs0": 169, "dma_calypte_test_core0": 169, "dma_calypte_tx_data_buff": 169, "dma_calypte_tx_hdr_buff": 169, "dma_cc_mfb_data": 198, "dma_cc_mfb_dst_rdi": 198, "dma_cc_mfb_eof": 198, "dma_cc_mfb_eof_po": 198, "dma_cc_mfb_meta": 198, "dma_cc_mfb_sof": 198, "dma_cc_mfb_sof_po": 198, "dma_cc_mfb_src_rdi": 198, "dma_clk": [189, 198], "dma_clk_x2": 189, "dma_cq_mfb_data": 198, "dma_cq_mfb_dst_rdi": 198, "dma_cq_mfb_eof": 198, "dma_cq_mfb_eof_po": 198, "dma_cq_mfb_meta": 198, "dma_cq_mfb_sof": 198, "dma_cq_mfb_sof_po": 198, "dma_cq_mfb_src_rdi": 198, "dma_ctrl_calypte_": 169, "dma_ctrl_ndp_rx": [0, 191], "dma_ctrl_ndp_rx0": [0, 191], "dma_ctrl_ndp_rx1": [0, 191], "dma_ctrl_ndp_rx10": [0, 191], "dma_ctrl_ndp_rx11": [0, 191], "dma_ctrl_ndp_rx12": [0, 191], "dma_ctrl_ndp_rx13": [0, 191], "dma_ctrl_ndp_rx14": [0, 191], "dma_ctrl_ndp_rx15": [0, 191], "dma_ctrl_ndp_rx2": [0, 191], "dma_ctrl_ndp_rx3": [0, 191], "dma_ctrl_ndp_rx4": [0, 191], "dma_ctrl_ndp_rx5": [0, 191], "dma_ctrl_ndp_rx6": [0, 191], "dma_ctrl_ndp_rx7": [0, 191], "dma_ctrl_ndp_rx8": [0, 191], "dma_ctrl_ndp_rx9": [0, 191], "dma_ctrl_ndp_tx": [0, 191], "dma_ctrl_ndp_tx0": [0, 191], "dma_ctrl_ndp_tx1": [0, 191], "dma_ctrl_ndp_tx10": [0, 191], "dma_ctrl_ndp_tx11": [0, 191], "dma_ctrl_ndp_tx12": [0, 191], "dma_ctrl_ndp_tx13": [0, 191], "dma_ctrl_ndp_tx14": [0, 191], "dma_ctrl_ndp_tx15": [0, 191], "dma_ctrl_ndp_tx2": [0, 191], "dma_ctrl_ndp_tx3": [0, 191], "dma_ctrl_ndp_tx4": [0, 191], "dma_ctrl_ndp_tx5": [0, 191], "dma_ctrl_ndp_tx6": [0, 191], "dma_ctrl_ndp_tx7": [0, 191], "dma_ctrl_ndp_tx8": [0, 191], "dma_ctrl_ndp_tx9": [0, 191], "dma_discard": 36, "dma_downhdr_width": [64, 71, 198], "dma_endpoint": 47, "dma_ep": 198, "dma_hdr": 36, "dma_hdr_addr_req_cntr_inc": 36, "dma_hdr_addr_stall_inc": 36, "dma_hdr_buff_full_chan": 38, "dma_hdr_buff_full_cntr_incr": 38, "dma_hdr_dst_rdi": 36, "dma_hdr_meta_width": 189, "dma_hdr_pointer_width": [43, 45, 46], "dma_hdr_src_rdi": 36, "dma_hdr_width": 43, "dma_mfb_region": 189, "dma_mfb_region_s": 189, "dma_modul": [0, 191], "dma_params_": 169, "dma_params_rx0": 191, "dma_params_tx0": 191, "dma_pcie_hdr": 36, "dma_pcie_hdr_dst_rdi": 36, "dma_pcie_hdr_s": 36, "dma_pcie_hdr_src_rdi": 36, "dma_port": 198, "dma_rc_mfb_data": 198, "dma_rc_mfb_dst_rdi": 198, "dma_rc_mfb_eof": 198, "dma_rc_mfb_eof_po": 198, "dma_rc_mfb_meta": 198, "dma_rc_mfb_sof": 198, "dma_rc_mfb_sof_po": 198, "dma_rc_mfb_src_rdi": 198, "dma_rc_mvb_data": 198, "dma_rc_mvb_dst_rdi": 198, "dma_rc_mvb_src_rdi": 198, "dma_rc_mvb_vld": 198, "dma_reset": [189, 198], "dma_reset_x2": 189, "dma_rq_mfb_data": 198, "dma_rq_mfb_dst_rdi": 198, "dma_rq_mfb_eof": 198, "dma_rq_mfb_eof_po": 198, "dma_rq_mfb_meta": 198, "dma_rq_mfb_sof": 198, "dma_rq_mfb_sof_po": 198, "dma_rq_mfb_src_rdi": 198, "dma_rq_mvb_data": 198, "dma_rq_mvb_dst_rdi": 198, "dma_rq_mvb_src_rdi": 198, "dma_rq_mvb_vld": 198, "dma_rx": 49, "dma_rx_": 189, "dma_rx_blocking_mod": 190, "dma_rx_channel": 189, "dma_rx_frame_size_max": 189, "dma_rx_mfb_data": [49, 189], "dma_rx_mfb_dst_rdi": [49, 189], "dma_rx_mfb_eof": [49, 189], "dma_rx_mfb_eof_po": [49, 189], "dma_rx_mfb_sof": [49, 189], "dma_rx_mfb_sof_po": [49, 189], "dma_rx_mfb_src_rdi": [49, 189], "dma_rx_mvb_channel": [49, 189], "dma_rx_mvb_discard": [49, 189], "dma_rx_mvb_dst_rdi": [49, 189], "dma_rx_mvb_hdr_meta": [49, 189], "dma_rx_mvb_len": [49, 189], "dma_rx_mvb_src_rdi": [49, 189], "dma_rx_mvb_vld": [49, 189], "dma_stream": 189, "dma_tx": 49, "dma_tx_": 189, "dma_tx_channel": 189, "dma_tx_frame_size_max": 189, "dma_tx_mfb_data": [49, 189], "dma_tx_mfb_dst_rdi": [49, 189], "dma_tx_mfb_eof": [49, 189], "dma_tx_mfb_eof_po": [49, 189], "dma_tx_mfb_sof": [49, 189], "dma_tx_mfb_sof_po": [49, 189], "dma_tx_mfb_src_rdi": [49, 189], "dma_tx_mvb_channel": [49, 189], "dma_tx_mvb_dst_rdi": [49, 189], "dma_tx_mvb_hdr_meta": [49, 189], "dma_tx_mvb_len": [49, 189], "dma_tx_mvb_src_rdi": [49, 189], "dma_tx_mvb_vld": [49, 189], "dma_tx_usr_choke_chan": 189, "dma_typ": [190, 192, 195], "dma_uphdr_width": [64, 71, 198], "dnf": [28, 195], "do": [5, 6, 50, 60, 69, 70, 73, 82, 91, 92, 93, 112, 114, 116, 119, 126, 134, 147, 155, 163, 169, 174, 183, 185, 191, 193, 195, 201], "do_compar": [128, 138, 139, 140, 141, 150, 152], "do_copi": [128, 138, 139, 140, 141, 150, 152], "dob": 16, "doc": [23, 189, 193], "document": [0, 5, 6, 18, 28, 46, 48, 62, 78, 79, 81, 122, 131, 142, 145, 146, 147, 148, 155, 159, 163, 164, 167, 169, 185, 190, 192, 193, 195, 201], "doe": [0, 4, 11, 22, 24, 42, 46, 48, 50, 54, 60, 62, 69, 70, 78, 85, 89, 90, 98, 105, 112, 113, 114, 119, 123, 128, 140, 141, 147, 169, 186, 189, 191, 195, 198], "doesn": [90, 91, 112, 131, 134, 136, 142, 145, 146, 147, 162, 169], "doi": 13, "domain": [1, 21, 32, 79, 84, 154, 193], "don": [11, 18, 21, 32, 89, 91, 112, 147, 151, 152], "done": [11, 18, 19, 26, 28, 32, 45, 61, 62, 69, 70, 90, 102, 112, 113, 121, 122, 134, 147, 154, 169, 174, 189, 190], "dont": [127, 148], "dont_car": 13, "doubl": [18, 70, 77, 112, 114, 121, 122, 159, 163, 189, 193], "doulo": 147, "dout": 98, "dout_dst_rdi": 98, "dout_src_rdi": 98, "dout_vld": 98, "down": [15, 22, 69, 90, 91, 113], "download": [28, 131, 174, 178], "downstream": [47, 121, 122], "downto": [3, 4, 5, 6, 7, 8, 9, 10, 12, 13, 14, 15, 16, 17, 19, 21, 25, 26, 27, 29, 32, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 54, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 79, 80, 81, 83, 84, 85, 86, 87, 88, 89, 91, 92, 93, 94, 95, 96, 97, 98, 99, 101, 102, 103, 104, 105, 108, 109, 110, 112, 113, 114, 115, 116, 117, 118, 119, 120, 123, 124, 186, 189, 198], "dp_bmem": 164, "dp_bmem_behav": 169, "dp_bmem_v7": 164, "dp_bram": [30, 164], "dp_bram_xilinx": 164, "dp_uram_xilinx": 164, "dpi": 169, "dpm": [40, 46], "dpm_rd_chan": 38, "dpm_rd_data": 38, "draft": [23, 189, 193, 197], "drc": 193, "drd": [89, 90, 149], "drdy": [85, 89, 149], "dreleas": 159, "drive": [127, 132, 136, 138, 139, 147, 152], "driven": [12, 21], "driver": [28, 32, 38, 127, 128, 129, 130, 133, 135, 140, 141, 148, 149, 154, 179, 191, 194, 195], "driver_delai": 154, "drop": [41, 43, 46, 48, 56, 58, 67, 110, 111, 153, 155, 186], "drop_object": 147, "drope": 46, "dropper": [41, 155, 165], "drp_bridg": 193, "drpclk": 110, "dsp": [2, 45, 47, 114, 123, 124, 163], "dsp48e2": 163, "dsp_cnt_width": 47, "dsp_compar": 3, "dsp_enabl": 3, "dsp_xor": 163, "dst": [50, 92, 105, 147], "dst_buf": [18, 167], "dst_buf_col": 18, "dst_buf_row": 18, "dst_buf_wr_addr": 18, "dst_buf_wr_data": 18, "dst_buf_wr_en": 18, "dst_buf_wr_i": 18, "dst_channel": 92, "dst_rdy": [4, 48, 78, 82, 93, 105, 107, 111, 121, 122, 131, 145, 146, 147, 148, 150, 163], "dt": [115, 169, 190], "dtb": [115, 169], "dtb_data": 191, "dtb_pkg": 115, "dtc": [191, 195], "dts_appendprop_comp_nod": 169, "dts_appendprop_int": 169, "dts_applic": 191, "dts_boot_control": 191, "dts_build_netcop": 191, "dts_build_project": 191, "dts_calypte_test_cor": 169, "dts_create_nod": 169, "dts_dma_calypte_ctrl": 169, "dts_dma_modul": 191, "dts_my_comp": 191, "dts_templat": 169, "dual": [1, 4, 30, 44, 164], "due": [6, 32, 61, 65, 70, 73, 112, 114, 134], "duplic": [56, 198], "durat": [21, 29, 73], "dure": [13, 19, 27, 32, 48, 60, 62, 69, 107, 114, 147, 164, 169, 185, 190], "dut": [125, 126, 127, 128, 131, 134, 137, 140, 141, 142, 144, 145, 147, 148, 149, 150, 153, 154, 155], "dut_bas": 147, "dut_item": [134, 147], "dut_tr_timeout_set": 134, "dut_typ": 134, "dut_u": [147, 153], "dw": [34, 120], "dw_count": 117, "dword": [23, 44, 115, 116, 117, 119, 120], "dwr": [89, 90, 149], "dx": [162, 195], "dynam": [121, 122, 169, 185, 190, 193, 194], "e": [11, 12, 19, 20, 28, 40, 44, 46, 61, 62, 69, 70, 73, 78, 85, 89, 90, 91, 101, 107, 112, 113, 114, 116, 147, 151, 153, 155, 169, 170, 171, 173, 174, 175, 178, 180, 182, 184, 186, 189, 190, 193, 194, 201], "e_p": 185, "e_til": [186, 191], "ea_do": 134, "each": [0, 6, 11, 15, 18, 20, 23, 26, 27, 28, 32, 35, 39, 40, 44, 45, 46, 49, 51, 57, 58, 59, 60, 61, 62, 64, 67, 69, 70, 71, 72, 73, 75, 76, 78, 80, 82, 83, 87, 89, 90, 91, 92, 93, 94, 96, 97, 98, 99, 101, 102, 103, 104, 107, 110, 112, 113, 114, 115, 116, 117, 121, 122, 134, 147, 150, 155, 159, 163, 167, 169, 185, 186, 189, 190, 191, 192, 193, 194, 196, 197, 198, 200, 201, 202], "earli": [138, 139], "earlier": [78, 147, 155], "easi": [0, 133, 138, 139, 152, 179, 194, 197, 201], "easier": [11, 48, 91, 132, 169], "easili": [37, 61, 88, 169, 191, 199], "eb1_di": 134, "eb1_do": 134, "eb2_di": 134, "eb2_do": 134, "ecc": [32, 189], "edb": [138, 139], "edg": [1, 30, 32, 78, 153, 163, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183], "edge_detect": [30, 163], "edit": [30, 32, 191, 195], "editor": 48, "edu": 10, "eeof": [138, 139], "efd": 112, "effect": [4, 6, 66, 79, 81, 101, 104, 108, 110, 159, 164], "effectiv": 17, "effici": [52, 99, 101, 103, 162], "effort": 147, "eg": [104, 191], "ehip_port_typ": 186, "eight": [152, 193], "einfochip": 147, "either": [30, 32, 34, 57, 60, 61, 62, 69, 70, 78, 89, 169, 190], "element": [2, 151, 158, 190], "elf": 177, "elimin": [18, 20, 122], "els": [3, 26, 89, 91, 92, 114, 131, 134, 141, 145, 146, 147, 190], "elseif": [169, 190], "embed": [159, 164], "emif": [30, 32, 33, 189, 196], "emif_auto_precharg": [32, 189], "emif_cal_fail": [32, 189], "emif_cal_success": [32, 189], "emif_ecc_isr": 32, "emif_ecc_usr_int": 189, "emif_rst_don": [32, 189], "emif_rst_req": [32, 189], "emploi": 193, "empti": [5, 6, 20, 52, 67, 73, 78, 129, 130, 144, 154, 159, 169, 191], "emul": 105, "en": [21, 153, 177], "ena": 137, "enabl": [0, 3, 4, 5, 6, 8, 10, 11, 15, 18, 19, 20, 21, 24, 26, 28, 32, 40, 42, 46, 47, 49, 50, 52, 54, 56, 57, 58, 60, 63, 64, 65, 66, 71, 73, 76, 77, 79, 82, 84, 85, 89, 90, 91, 92, 93, 97, 98, 99, 101, 104, 112, 114, 116, 117, 119, 120, 122, 123, 124, 132, 138, 139, 147, 149, 162, 163, 164, 165, 169, 179, 183, 186, 189, 192, 193, 194, 198, 201, 202, 204], "enabled_chan": [38, 43, 45], "enc": 163, "encapsul": 151, "encapsulation_element_max_numb": 151, "encod": [2, 110, 133, 152, 163], "encount": 69, "end": [11, 19, 20, 26, 28, 29, 32, 34, 41, 46, 48, 56, 59, 60, 62, 63, 69, 70, 73, 78, 89, 91, 112, 113, 114, 116, 129, 130, 131, 132, 133, 134, 138, 139, 145, 146, 147, 150, 151, 153, 154, 159, 169, 189, 201], "end_ev": 29, "end_event_meta": 29, "end_meta_width": 29, "end_profil": 185, "end_time_max": 151, "end_time_min": 151, "endclass": [131, 134, 142, 145, 146, 147, 153], "endfunct": [131, 134, 142, 145, 146, 147, 153], "endgroup": 147, "endian": 62, "endinterfac": 153, "endmodul": 147, "endpoint": [23, 38, 40, 41, 44, 45, 47, 119, 189, 192, 195, 198], "endpoint_typ": 119, "endproperti": 147, "endtask": [134, 147, 153, 154, 155], "engin": [32, 46, 147], "enhanc": 12, "enjoi": 28, "enlarg": 112, "enough": [20, 69, 78, 90, 147, 149, 193, 200], "ensur": [56, 69, 84, 96, 122, 183, 189, 190, 191], "ent": 147, "enter": [113, 134, 186, 189, 193, 198], "entir": [0, 18, 23, 113, 122, 169, 189, 191, 193, 197, 201], "entiti": [3, 4, 5, 6, 7, 8, 9, 10, 12, 13, 14, 15, 16, 17, 19, 21, 25, 26, 27, 29, 32, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 54, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 79, 80, 81, 83, 84, 85, 86, 87, 88, 91, 92, 93, 94, 95, 96, 97, 98, 99, 101, 102, 103, 104, 105, 108, 109, 110, 112, 113, 114, 116, 117, 118, 119, 120, 123, 124, 134, 153, 169, 189, 190], "entity_a": 134, "entity_b": 134, "entity_b1_i": 134, "entity_b2_i": 134, "entity_bas": [147, 169, 191], "entity_i": 134, "entity_name_1": 190, "entity_name_2": 190, "entri": [46, 109, 169, 190], "enum": 125, "env": [131, 135, 136, 142, 145, 146, 147, 154, 155], "env_config_item": 154, "env_main": 147, "env_rx": [131, 142, 145, 146], "enviro": [135, 154], "environ": [5, 46, 127, 128, 133, 135, 136, 140, 141, 148, 155, 169, 190, 206], "eof": [34, 51, 56, 57, 59, 60, 61, 62, 65, 66, 70, 77, 78, 80, 82, 83, 112, 113, 114, 116, 129, 130, 131, 138, 139, 145, 147, 148, 154, 189], "eof_po": [34, 61, 70, 148], "eof_pos_width": 78, "eop": [48, 137], "eop_empti": 136, "eop_po": 48, "ep": 189, "epoch": 202, "epon": 110, "eq": 134, "equal": [3, 27, 32, 69, 77, 78, 89, 91, 124, 147, 169, 186, 189], "equat": 69, "eras": [27, 82], "err": [32, 137], "error": [0, 23, 32, 87, 89, 110, 111, 112, 134, 136, 144, 147, 185, 186, 189, 190, 193], "error_msg": 134, "errorcrc": [23, 189, 193], "errorfram": [23, 189, 193], "errormac": [23, 189, 193], "errormaxtu": [23, 189, 193], "errormintu": [23, 189, 193], "errorn": 114, "especi": [190, 191, 201], "essenti": [89, 147], "etc": [0, 2, 40, 46, 48, 69, 89, 105, 141, 147, 169, 190, 191, 193, 198], "eth": [0, 49, 92, 112, 114, 134, 174, 186, 189, 191, 193, 194, 195, 201], "eth0": 191, "eth1": 191, "eth_channel": 189, "eth_core_arch": 186, "eth_hdr_pack": [23, 112, 189, 193], "eth_link_up": 114, "eth_mac_bypass": 186, "eth_mfb_region": 189, "eth_mfb_region_s": 189, "eth_phi": [138, 139], "eth_port": 186, "eth_port_chan": 186, "eth_port_rx_mtu": 186, "eth_port_spe": 186, "eth_port_tx_mtu": 186, "eth_refclk_n": 186, "eth_refclk_p": 186, "eth_rx": 49, "eth_rx_": 189, "eth_rx_hdr_width": [112, 186, 189], "eth_rx_link_up": 189, "eth_rx_mfb_data": [49, 189], "eth_rx_mfb_dst_rdi": [49, 189], "eth_rx_mfb_eof": [49, 189], "eth_rx_mfb_eof_po": [49, 189], "eth_rx_mfb_sof": [49, 189], "eth_rx_mfb_sof_po": [49, 189], "eth_rx_mfb_src_rdi": [49, 189], "eth_rx_mvb_channel": 49, "eth_rx_mvb_data": 189, "eth_rx_mvb_discard": 49, "eth_rx_mvb_dst_rdi": [49, 189], "eth_rx_mvb_hdr_meta": 49, "eth_rx_mvb_len": 49, "eth_rx_mvb_src_rdi": [49, 189], "eth_rx_mvb_vld": [49, 189], "eth_rx_n": 186, "eth_rx_p": 186, "eth_stream": [186, 189], "eth_tx": 49, "eth_tx_hdr_width": [186, 189], "eth_tx_mfb_": 189, "eth_tx_mfb_data": [49, 189], "eth_tx_mfb_dst_rdi": [49, 189], "eth_tx_mfb_eof": [49, 189], "eth_tx_mfb_eof_po": [49, 189], "eth_tx_mfb_hdr": 189, "eth_tx_mfb_sof": [49, 189], "eth_tx_mfb_sof_po": [49, 189], "eth_tx_mfb_src_rdi": [49, 189], "eth_tx_mvb_channel": [49, 186, 189], "eth_tx_mvb_dst_rdi": 49, "eth_tx_mvb_hdr_meta": 49, "eth_tx_mvb_len": 49, "eth_tx_mvb_src_rdi": 49, "eth_tx_mvb_timestamp": [186, 189], "eth_tx_mvb_vld": [49, 186, 189], "eth_tx_n": 186, "eth_tx_p": 186, "eth_tx_phy_rdi": 189, "eth_vers": 114, "etherlink": 28, "ethernet": [0, 23, 49, 50, 92, 112, 114, 136, 138, 139, 162, 167, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 185, 186, 190, 193, 197, 199, 201, 202, 203], "ethphi": [138, 139], "evalu": [62, 153, 169, 172], "even": [11, 18, 21, 22, 26, 32, 50, 62, 69, 89, 92, 147, 189, 193, 194], "evenli": [0, 20, 107, 189, 193], "event": [26, 29, 84, 138, 139, 167], "event_count": 167, "event_counter_mi_wrapp": 19, "event_data": 153, "event_sign": 153, "eventhough": 123, "eventu": 193, "everi": [11, 26, 27, 32, 39, 44, 69, 77, 78, 90, 113, 128, 133, 140, 141, 147, 149, 155, 169], "everyth": [150, 174], "everyvher": 154, "evolut": 19, "ex_test": 60, "exacli": [101, 104], "exact": 78, "exactli": [19, 32, 69, 102], "exampl": [0, 6, 8, 11, 19, 20, 22, 23, 25, 26, 32, 52, 69, 70, 73, 89, 90, 92, 112, 114, 118, 124, 128, 131, 134, 135, 140, 141, 142, 145, 146, 153, 154, 157, 162, 163, 179, 184, 189, 190, 193, 195, 198, 199, 200, 201], "example1": 5, "exce": 69, "except": [18, 42, 57, 138, 139, 169], "exception": 169, "execut": [18, 27, 28, 90, 93, 104, 169, 197, 201], "exist": [62, 105, 131, 147, 169, 179, 191, 193, 197], "exit": 32, "exp_rom_base_addr": [119, 198], "expans": [56, 119, 194], "expect": [11, 28, 60, 72, 89, 91, 147, 178, 186, 195], "experi": 195, "experiment": [50, 170, 172], "expert": [163, 164], "expir": 18, "explain": [90, 91, 147, 155, 193, 200], "explan": 169, "explicit": 169, "exponenti": 61, "export": [129, 130, 131, 133, 142, 144, 145, 146, 169], "expr": 169, "express": [43, 46, 47, 101, 115, 116, 118, 147, 169, 198], "ext": 201, "ext_siz": 52, "extend": [56, 60, 62, 69, 77, 110, 115, 131, 134, 142, 145, 146, 147, 153, 163, 165, 191, 198], "extens": [52, 115, 149, 169, 191, 198], "extent": 191, "extern": [0, 24, 30, 32, 33, 73, 98, 110, 124, 157, 189, 194, 196, 199, 201, 202], "external_tim": 73, "external_time_src": 73, "extra": [112, 114, 147, 159, 169], "extra_librari": 169, "extra_modul": 169, "extra_vflag": 147, "extract": [47, 61, 62, 112, 122, 132], "extractor": [46, 122], "extrem": 69, "f": [32, 47, 112, 114, 174, 176, 179, 183, 193, 194, 201], "f0": [183, 195], "f1": 183, "f_extend_end_en": 77, "f_extend_end_s": 77, "f_extend_start_en": 77, "f_extend_start_s": 77, "f_gap_adjust_en": 77, "f_gap_adjust_size_avg": 77, "f_gap_adjust_size_min": 77, "f_tile": 186, "fabric": 110, "fact": [70, 129, 130, 133], "factori": [147, 183], "fail": [32, 147, 178, 189], "failur": 32, "fake_fifo": [5, 7, 108], "fake_loopback": 63, "fake_pip": [68, 88], "fake_switch": 49, "falcon": 162, "fall": [1, 4, 79, 82, 91, 121, 122], "fall09": 10, "fals": [5, 6, 7, 8, 15, 17, 18, 19, 26, 27, 32, 35, 40, 47, 49, 51, 60, 63, 64, 66, 68, 73, 75, 76, 77, 80, 88, 91, 92, 93, 95, 97, 98, 101, 104, 105, 108, 112, 114, 116, 117, 124, 169, 186, 190, 198], "famili": 169, "familiar": 191, "fancy_str": 190, "far": [63, 174], "fashion": 18, "fast": [11, 138, 139, 163], "fast_sof": [138, 139], "faster": 169, "fb2cgg3": 181, "fb2cghh": 162, "fb4cgg3": 162, "fbe_in": 118, "fbe_out": 118, "fc": 112, "fcs_error": 136, "fdo": [5, 169], "feat": [138, 139], "featur": [56, 78, 112, 162, 164, 170, 172, 174, 190, 191, 193, 202], "fec": [185, 193], "feed": 193, "fetch": 169, "few": [4, 5, 6, 32, 54, 62, 69, 70, 79, 109, 155, 169, 185, 191], "fewer": 74, "ffvc1760": 177, "ffve1760": 180, "fh400g": [162, 185], "fibonacci": 163, "field": [18, 62, 69, 112, 116, 128, 140, 141, 190], "fifo": [1, 2, 4, 5, 6, 9, 18, 19, 20, 22, 24, 29, 48, 49, 61, 64, 66, 67, 70, 71, 73, 79, 81, 82, 83, 84, 95, 96, 97, 102, 103, 104, 108, 110, 121, 122, 125, 129, 130, 132, 133, 135, 153, 162, 189, 193], "fifo_ae_offset": 67, "fifo_aempti": 81, "fifo_af_offset": 67, "fifo_aful": 81, "fifo_bram": 159, "fifo_bram_xilinx": 159, "fifo_ctrl": 61, "fifo_data": 147, "fifo_depth": [61, 67, 81, 97, 102, 108], "fifo_en1_input": 134, "fifo_en2_input": 134, "fifo_ful": 29, "fifo_item": [29, 79, 83], "fifo_model_input": 147, "fifo_n1": 159, "fifo_s": 70, "fifo_statu": 81, "fifo_typ": 147, "fifo_width": [81, 104, 108], "fifox": [18, 20, 22, 46, 51, 52, 66, 71, 97, 101, 104, 121, 147, 153, 159, 165, 168], "fifox_items_mult": 101, "fifox_multi": [6, 62, 159], "fifox_ram_typ": [5, 6], "fifth": 91, "fig": [32, 33], "figur": [40, 46, 47, 78, 91, 107, 113, 122], "file": [28, 32, 46, 125, 132, 147, 151, 155, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 189, 191, 194, 195, 197], "file_to_anotherlib": 169, "file_to_work": 169, "file_typ": 169, "filenam": [169, 190], "fill": [18, 19, 30, 33, 82, 113, 120, 132, 169, 189, 193], "filtr": 61, "final": [3, 56, 73, 78, 89, 91, 147, 155, 190], "find": [32, 131, 142, 145, 146, 147, 151, 169, 189, 190, 195, 198], "fine": [48, 169], "finish": [32, 147, 195], "finish_item": [147, 154, 155], "finish_on_complet": 147, "fire": 153, "firmwar": [0, 26, 69, 89, 115, 169, 189, 192, 196, 197, 198, 199, 201], "firmware_bas": 147, "first": [4, 5, 6, 18, 19, 20, 23, 25, 30, 31, 32, 33, 46, 48, 51, 59, 60, 61, 62, 67, 69, 70, 73, 77, 78, 79, 89, 91, 107, 112, 113, 114, 116, 117, 118, 120, 129, 130, 131, 133, 134, 138, 139, 144, 145, 147, 149, 150, 152, 153, 155, 163, 167, 169, 174, 177, 178, 179, 185, 189, 190, 191, 193, 195, 198, 201, 202], "first_b": [117, 118], "first_on": [163, 167], "first_one_detector": 167, "firstib": 23, "fist": [36, 134, 154], "fit": [6, 11, 19, 20, 61, 169], "five": [69, 78, 142, 148, 155, 185], "fix": [20, 107, 147, 149, 178, 185, 191], "fl_": 48, "fl_tool": 160, "flag": [5, 6, 23, 26, 33, 56, 57, 58, 59, 69, 75, 83, 94, 110, 111, 112, 114, 115, 116, 169, 179, 189, 193, 198, 202], "flash": [24, 173, 174, 175, 176, 178, 183, 197, 199], "flgb2104": [172, 181], "flip": [4, 79], "float": [112, 114, 169], "flop": [4, 79], "flow": [0, 25, 32, 66, 69, 151, 170, 171, 172, 189, 193], "flowtest": 135, "flu_": 48, "flu_tool": 161, "flush": [132, 147], "flvb2104": 169, "fly": 112, "fms_convert": 26, "fo": 120, "focus": [47, 89], "folder": [26, 28, 32, 33, 155, 159, 164, 167, 169, 183, 190, 195], "follow": [0, 11, 19, 26, 32, 35, 37, 40, 46, 47, 59, 60, 61, 62, 63, 69, 70, 78, 82, 89, 90, 91, 92, 107, 110, 112, 113, 122, 125, 126, 127, 128, 131, 137, 140, 141, 142, 145, 147, 148, 155, 169, 174, 178, 179, 183, 189, 190, 193, 195, 201], "folow": 134, "footprint": 44, "forbidden": [6, 12, 48, 163], "forc": 32, "forev": [134, 147, 153], "fork": [104, 147], "form": [3, 26, 60, 69, 70, 74, 78, 91, 110, 113, 115, 169, 191, 200], "format": [23, 26, 50, 61, 62, 67, 69, 73, 89, 92, 110, 112, 115, 122, 124, 147, 152, 173, 175, 176, 183, 189, 193, 195, 198, 201], "formatdefaultvalu": 26, "formatnon": 26, "formula": [69, 169], "forward": [0, 62, 69, 82, 112, 114, 151, 162, 192, 193, 198], "found": [1, 25, 42, 59, 78, 112, 147, 159, 162, 163, 164, 167, 174, 179, 190, 191, 195, 197], "four": [69, 78, 89, 112, 114, 131, 145, 146, 149, 183, 193, 194], "fourth": [89, 91], "fpga": [0, 2, 3, 4, 5, 15, 17, 18, 19, 24, 28, 32, 36, 40, 46, 49, 50, 51, 60, 61, 62, 67, 68, 72, 73, 76, 77, 81, 83, 84, 88, 91, 92, 97, 99, 101, 103, 104, 108, 112, 114, 116, 119, 122, 124, 157, 159, 162, 163, 164, 167, 169, 170, 171, 172, 173, 174, 177, 178, 179, 180, 181, 182, 183, 185, 186, 189, 192, 193, 196, 197, 198, 199, 201, 203], "fpga_common": [190, 191], "fpga_id": 189, "fpga_id_vld": 189, "fpga_id_width": 189, "fpga_root_directori": [170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 190, 195, 197, 201], "fraction": 124, "frame": [23, 41, 42, 43, 46, 48, 49, 50, 51, 56, 57, 59, 64, 66, 68, 70, 71, 75, 76, 78, 81, 82, 92, 112, 113, 114, 116, 122, 129, 130, 132, 133, 134, 136, 138, 139, 147, 152, 154, 162, 165, 186, 189, 193, 194, 201], "frame_align": 70, "frame_pack": 61, "frame_size_max": 191, "frame_size_min": 191, "frame_unpack": 62, "framelink": 48, "framelinkunalign": 48, "frames_over_tx_block": 70, "frames_over_tx_region": 70, "framework": [0, 28, 32, 52, 147, 162, 171, 173, 174, 179, 182, 183, 191, 194], "free": [4, 5, 6, 20, 35, 49, 79, 81, 82, 83, 108, 110, 114, 121, 122, 132], "freed": [20, 121], "freeli": 190, "freq": [26, 31, 73, 189], "frequenc": [1, 18, 19, 20, 32, 47, 69, 77, 110, 114, 122, 124, 164, 167, 189, 193], "frequent": [18, 195, 200], "friedl": [138, 139], "friendli": 11, "from": [0, 6, 10, 11, 12, 13, 15, 17, 18, 19, 20, 22, 24, 25, 26, 28, 30, 31, 32, 33, 36, 38, 39, 40, 41, 43, 45, 46, 47, 49, 51, 57, 59, 60, 61, 62, 63, 65, 66, 67, 69, 70, 73, 74, 76, 77, 78, 82, 88, 89, 91, 92, 95, 97, 101, 104, 105, 107, 109, 110, 112, 113, 114, 115, 117, 119, 121, 122, 124, 125, 127, 128, 131, 132, 133, 134, 138, 139, 140, 141, 142, 144, 145, 147, 148, 149, 150, 152, 153, 155, 162, 163, 164, 167, 169, 174, 185, 186, 190, 191, 192, 194, 195, 196, 197, 198, 199, 200, 201, 202], "from_dict": 26, "front": 77, "fsbl": 177, "fsc": 112, "fsgd2104": 170, "fsm": [26, 30, 32, 113], "fsm_format": 26, "fsvh2892": 171, "ft": 151, "full": [5, 6, 7, 20, 32, 61, 64, 67, 69, 70, 73, 82, 83, 122, 147, 159, 162, 169, 186, 190, 201], "fulli": [113, 122, 174], "func": [46, 60, 186], "function": [3, 5, 10, 23, 24, 26, 40, 46, 47, 67, 69, 77, 110, 113, 119, 120, 128, 131, 133, 134, 140, 141, 142, 145, 146, 149, 150, 153, 154, 163, 169, 191, 193, 198], "further": [51, 61, 82, 169, 189, 193, 197], "futur": [15, 56, 79, 149, 162, 201], "fw": [155, 194], "fwft_mode": [4, 79], "g": [11, 12, 19, 20, 28, 40, 46, 61, 69, 70, 73, 85, 113, 151, 155, 169, 174, 184, 189, 190], "g35p": 162, "gab": 134, "gain": [28, 113], "gap": [20, 62, 69, 70, 77, 78, 107, 112, 113, 114, 131, 134, 142, 145, 147, 167, 198], "gate": [85, 163, 168], "gather": 169, "gb": [0, 32, 77], "gbase": 110, "gbaser_decod": 110, "gbaser_encod": 110, "gbe": 200, "gbp": [46, 69, 110, 112, 114, 192, 194, 200, 201], "ge": 193, "ge_2024": 169, "gearbox": 110, "gen": [32, 64, 114, 156, 165, 166, 179, 192, 201], "gen3": [47, 170, 172, 177, 178, 180, 181, 198], "gen3x16": 116, "gen3x8": 116, "gen4": [47, 173, 175, 182, 198], "gen5": [174, 176, 179, 183, 198], "gen_burst": 32, "gen_loop_switch": [0, 49, 191, 201], "gen_lutram": 164, "gen_mvb_demux": 93, "gen_mvb_mux": 102, "gen_nor": 163, "gen_reg_arrai": 164, "gener": [1, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 15, 16, 17, 19, 20, 21, 23, 28, 34, 35, 36, 37, 38, 39, 40, 43, 47, 49, 51, 52, 54, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 79, 80, 81, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 101, 102, 103, 104, 105, 109, 113, 116, 117, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 131, 132, 133, 134, 135, 136, 137, 140, 141, 142, 144, 145, 146, 148, 149, 153, 154, 155, 157, 163, 164, 165, 167, 169, 170, 171, 172, 177, 178, 179, 184, 185, 186, 190, 192, 193, 194, 195, 197, 198, 199, 201, 202], "generali": 11, "generate_j": [173, 183], "generate_pof": [175, 176], "generated_": 151, "generated_config": 151, "generated_ipv4_range_max_numb": 151, "generated_ipv6_range_max_numb": 151, "generated_mac_range_max_numb": 151, "generated_memory_fil": 125, "generated_memory_file_typ": 125, "generated_profil": 151, "get": [11, 19, 28, 32, 62, 66, 69, 89, 98, 115, 119, 131, 134, 142, 145, 146, 147, 149, 150, 153, 169, 174, 189, 191, 192, 193, 200], "get_full_nam": [131, 142, 145, 146, 147, 155], "get_global_pool": 153, "get_next_item": 147, "get_rsp": [149, 155], "get_typ": [131, 142, 145, 146, 147], "get_type_nam": 147, "getit_indv_hdr_data": 62, "gigabit": [162, 199, 200], "git": [28, 169], "github": 28, "gitlab": [138, 139], "given": [14, 27, 28, 29, 69, 73, 78, 107, 147, 174], "gl": [165, 179, 192, 197], "glbl": 169, "global": [20, 23, 40, 46, 47, 110, 120, 169, 189, 193], "global_out_aful": 20, "global_out_en": 20, "gls_mod": 201, "go": [0, 15, 89, 91, 147, 191, 195], "goal": [69, 190], "goe": [91, 113, 169, 186, 190, 193], "goingt": 153, "gonna": 91, "good": [69, 147, 169, 189], "gp": 124, "grai": 1, "granular": 23, "graph": [19, 32, 33], "graph_tool": 26, "great": 78, "greater": [18, 49, 51, 62, 77, 104, 112, 124, 150, 169], "ground": 24, "group": [78, 92, 147, 190, 200], "gt": [110, 195], "gty": [110, 169], "gty_40g": 110, "guarante": 132, "guest": 119, "gui": [147, 170, 171, 172], "guid": [24, 32, 147, 159, 164, 175, 176, 178, 185, 193], "guidelin": 147, "h": [32, 33, 122, 134, 174, 178], "h0": 155, "h02": 155, "h04": 155, "h1": 155, "h1f": 155, "h2474b6ac": 155, "h3": 163, "h3_hash": [10, 163], "h3_pack": 10, "h3_type": 10, "h3c_": 10, "h3c_22x11": 10, "h3c_256x64": 10, "h3c_64x16": 10, "h3c_64x22": 10, "h4": 155, "h4c": 155, "h50": 155, "h6fbaaa52": 155, "h7a": 155, "h8": 155, "ha": [0, 1, 5, 6, 10, 11, 12, 19, 20, 22, 30, 32, 43, 44, 45, 46, 48, 51, 60, 61, 62, 64, 69, 70, 73, 77, 78, 82, 89, 90, 91, 95, 97, 107, 112, 113, 116, 121, 122, 128, 129, 130, 131, 133, 134, 136, 138, 139, 140, 141, 142, 144, 145, 146, 147, 149, 152, 153, 155, 163, 164, 169, 170, 171, 172, 174, 183, 189, 190, 191, 192, 193, 194, 195, 197], "had": [48, 91], "hak": 28, "half": [113, 124, 198], "halt": [69, 122], "hand": [78, 107, 121, 122, 190], "handl": [27, 32, 51, 56, 113, 131, 142, 144, 145, 154, 169, 183, 192, 194, 197, 198], "handout": 10, "handshak": [1, 7, 107, 163], "happen": [22, 27, 59, 62, 82, 104, 147], "hard": [30, 32, 112, 114, 119, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 193, 201], "hardwar": [28, 38, 40, 45, 46, 47, 169, 170, 171, 172, 190], "hash": [147, 163], "hash_width": 10, "have": [6, 10, 11, 15, 18, 19, 20, 26, 30, 32, 40, 46, 49, 57, 60, 62, 69, 70, 78, 82, 87, 89, 90, 91, 93, 107, 111, 112, 113, 114, 121, 122, 126, 131, 134, 142, 144, 145, 147, 149, 150, 152, 154, 155, 163, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 185, 189, 193, 195, 201], "hba_rd_chan": 38, "hba_rd_data": 38, "hbm": [162, 189], "hbm_addr_width": 189, "hbm_axi_araddr": 189, "hbm_axi_arburst": 189, "hbm_axi_arid": 189, "hbm_axi_arlen": 189, "hbm_axi_arprot": 189, "hbm_axi_arqo": 189, "hbm_axi_arreadi": 189, "hbm_axi_ars": 189, "hbm_axi_arus": 189, "hbm_axi_arvalid": 189, "hbm_axi_awaddr": 189, "hbm_axi_awburst": 189, "hbm_axi_awid": 189, "hbm_axi_awlen": 189, "hbm_axi_awprot": 189, "hbm_axi_awqo": 189, "hbm_axi_awreadi": 189, "hbm_axi_aws": 189, "hbm_axi_awus": 189, "hbm_axi_awvalid": 189, "hbm_axi_bid": 189, "hbm_axi_breadi": 189, "hbm_axi_bresp": 189, "hbm_axi_bvalid": 189, "hbm_axi_rdata": 189, "hbm_axi_rdata_par": 189, "hbm_axi_rid": 189, "hbm_axi_rlast": 189, "hbm_axi_rreadi": 189, "hbm_axi_rresp": 189, "hbm_axi_rvalid": 189, "hbm_axi_wdata": 189, "hbm_axi_wdata_par": 189, "hbm_axi_wlast": 189, "hbm_axi_wreadi": 189, "hbm_axi_wstrb": 189, "hbm_axi_wvalid": 189, "hbm_burst_width": 189, "hbm_clk": 189, "hbm_data_width": 189, "hbm_id_width": 189, "hbm_init_don": 189, "hbm_len_width": 189, "hbm_port": 189, "hbm_prot_width": 189, "hbm_qos_width": 189, "hbm_reset": 189, "hbm_resp_width": 189, "hbm_size_width": 189, "hbm_user_width": 189, "hc": 155, "hc4d1ce40": 155, "hda7a5407": 155, "hda7a5411": 155, "hda7a54cc": 155, "hdl": [147, 201], "hdp": [40, 46, 47], "hdp_update_chan": 36, "hdp_update_data": 36, "hdp_update_en": 36, "hdp_wr_chan": [38, 45], "hdp_wr_data": [38, 45], "hdp_wr_en": [38, 45], "hdr": [23, 46, 152, 189, 193], "hdr_buff": 169, "hdr_buff_addr": 43, "hdr_buff_chan": 43, "hdr_buff_data": 43, "hdr_buff_dst_rdi": 43, "hdr_buff_src_rdi": 43, "hdr_id": 189, "hdr_len": 189, "hdr_length": 62, "hdr_meta_width": [40, 43, 46, 47, 49, 61, 80], "hdr_vld": 152, "hdr_width": [64, 71, 134, 147], "hdrm_data_pcie_hdr": 34, "hdrm_data_pcie_hdr_dst_rdi": 34, "hdrm_data_pcie_hdr_s": 34, "hdrm_data_pcie_hdr_src_rdi": 34, "hdrm_dma_hdr_data": 34, "hdrm_dma_hdr_dst_rdi": 34, "hdrm_dma_hdr_src_rdi": 34, "hdrm_dma_pcie_hdr": 34, "hdrm_dma_pcie_hdr_dst_rdi": 34, "hdrm_dma_pcie_hdr_s": 34, "hdrm_dma_pcie_hdr_src_rdi": 34, "hdrm_pkt_drop": 34, "he": [11, 18, 150, 155, 169], "header": [20, 23, 35, 38, 39, 40, 41, 42, 43, 45, 46, 47, 48, 49, 61, 62, 64, 71, 76, 80, 110, 115, 117, 122, 133, 134, 147, 152, 155, 167, 189, 193, 204], "header_length": 62, "header_width": 155, "heatsink": 177, "heavili": 20, "heb7ab8cc": 155, "help": [20, 32, 33, 62, 147, 185], "helper": 190, "henc": [60, 69, 89, 169], "here": [1, 6, 7, 11, 18, 19, 20, 22, 60, 61, 69, 73, 78, 90, 112, 113, 138, 139, 155, 157, 159, 163, 164, 167, 185, 190, 191, 193, 195], "hexa": 33, "hexadecim": [78, 89], "hf0": 155, "hf404f404f404f404": 155, "hfe": [155, 169], "hfe_empti": 169, "hfe_ful": 169, "hfe_pars": 169, "hfe_pip": 169, "hfe_top": 169, "hft": [138, 139], "hhp": [40, 46], "hhp_update_chan": 36, "hhp_update_data": 36, "hhp_update_en": 36, "hhp_wr_chan": [38, 45], "hhp_wr_data": [38, 45], "hhp_wr_en": [38, 45], "hi": [128, 129, 130, 138, 139, 140, 152, 191], "hi_ber": 110, "hide": 169, "hierarch": 190, "hierarchi": [26, 125, 190], "high": [18, 20, 32, 50, 75, 78, 87, 92, 110, 112, 114, 124, 131, 132, 133, 142, 144, 145, 150, 154, 162, 163, 192, 194, 199, 200], "higher": [18, 19, 50, 60, 89, 91, 103, 113, 124, 128, 140, 141, 147, 163, 169, 190, 194], "highest": [17, 50, 78, 91, 107, 124, 147, 169, 190], "highli": 206, "highspe": 169, "himself": 82, "hint": 116, "hist": [26, 31], "hist_box_cnt": 26, "hist_box_width": 26, "hist_en": 26, "histogram": [0, 25, 26, 31, 32, 157], "histogram_box": [25, 32], "histogramm": 26, "histogrammer_i": 27, "histori": 26, "hit": [23, 189, 193], "hitmac": [23, 189, 193], "hitmacvld": [23, 189, 193], "hl": 147, "hl_item": 147, "hl_sequenc": [134, 147], "hl_tr": 154, "hl_transact": 134, "hold": [78, 112, 114, 147, 183], "hole": [149, 201], "hopefulli": 90, "host": [24, 28, 35, 40, 46, 85, 119, 122, 162, 169, 173, 174, 175, 176, 183, 189, 194, 198, 199], "hot": [61, 163], "how": [0, 4, 5, 6, 11, 19, 21, 23, 26, 60, 69, 78, 81, 89, 91, 107, 108, 131, 134, 142, 145, 146, 147, 162, 169, 192, 201], "howev": [5, 11, 19, 32, 60, 62, 69, 78, 89, 90, 103, 113, 141, 147, 162, 190, 191, 193, 194, 201], "hp": 183, "hpm": [40, 46], "hpm_rd_chan": 38, "hpm_rd_data": 38, "hsi": 179, "htile_pcie_fix": 178, "html": [147, 177, 179], "http": [10, 13, 28, 138, 139, 177], "huge": 7, "hw": [35, 36, 191, 201], "hz": [69, 73], "i": [0, 1, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 54, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 101, 102, 103, 104, 105, 107, 108, 109, 110, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 157, 159, 162, 163, 164, 167, 169, 172, 173, 174, 175, 177, 178, 179, 181, 183, 185, 186, 189, 191, 192, 193, 195, 196, 197, 198, 199, 200, 201, 202, 204], "i0": 11, "i0_deccr": 11, "i0_incr": 11, "i1": 11, "i1_deccr": 11, "i1_incr": 11, "i2": 11, "i2_deccr": 11, "i2_incr": 11, "i2c": [0, 191, 193], "i2c0": [0, 191], "i2c1": [0, 191], "i_array_t": [26, 91], "i_str": 147, "ia": 162, "id": [15, 22, 23, 24, 46, 56, 60, 61, 62, 73, 87, 111, 112, 120, 121, 122, 169, 185, 186, 187, 189, 193, 198], "id32": 167, "idea": [19, 62, 147, 169, 189], "ideal": [20, 69, 191], "ident": 197, "identif": [115, 167, 189], "identifi": [89, 110, 115, 147, 191], "idl": [20, 26, 69, 77, 107, 110, 114, 132, 133, 152, 167], "idle_count_max": 132, "idle_count_min": 132, "ie": 191, "ieee": 110, "ier": 24, "iff": 147, "ifg": 112, "ignor": [4, 11, 17, 69, 73, 78, 79, 89, 101, 112, 113, 114], "ii": 178, "illegal_bin": 147, "illegalnam": 147, "illustr": [62, 69, 91, 193], "imag": [147, 174, 178, 183], "immedi": [1, 60, 62, 87, 89, 113, 114, 169, 192], "immidi": [82, 121], "impact": [69, 169], "impement": 147, "implement": [0, 3, 4, 5, 9, 11, 13, 15, 17, 19, 28, 36, 38, 54, 62, 65, 70, 78, 79, 81, 84, 85, 88, 104, 105, 108, 109, 110, 112, 114, 122, 132, 134, 147, 149, 159, 162, 163, 164, 167, 178, 189, 190, 191, 192, 193, 197, 198, 201, 202], "implemet": 105, "impli": 69, "implicit": 5, "import": [26, 60, 70, 131, 142, 145, 147, 169, 191], "important_boolean": 190, "imposs": 147, "improv": [40, 47, 101, 147, 149, 162], "in_a": 134, "in_addr": 88, "in_addr_len": 120, "in_address": 120, "in_address_typ": 120, "in_ardi": 88, "in_attribut": 120, "in_axi_tus": 120, "in_b": [88, 134], "in_bus_num": 120, "in_byte_cnt": 120, "in_comp_st": 120, "in_data": 147, "in_drd": 88, "in_drdi": 88, "in_dw_cnt": 120, "in_dw_count": 117, "in_dwr": 88, "in_fb": 120, "in_first_b": 117, "in_head": 120, "in_intel_meta": 120, "in_last_b": 117, "in_lb": 120, "in_lower_addr": 120, "in_meta_func_id": 120, "in_mwr": 88, "in_pipe_en": 64, "in_rd": 88, "in_req_id": 120, "in_req_typ": 120, "in_stream": 56, "in_tag": 120, "in_tc": 120, "in_vfid": 120, "in_wr": 88, "inact": 89, "inbandfc": 112, "inc": [147, 169, 190], "inc_ch": 9, "inc_fifo_s": 9, "inc_rdi": 9, "inc_val": 9, "inc_vld": 9, "inc_width": 9, "includ": [4, 25, 26, 32, 33, 56, 61, 70, 110, 112, 114, 119, 147, 159, 162, 169, 170, 171, 172, 173, 174, 175, 176, 177, 179, 180, 181, 182, 183, 189, 191, 192, 193, 194, 195, 196, 197, 198, 201, 204], "incom": [18, 36, 37, 41, 42, 57, 58, 61, 67, 69, 73, 78, 82, 89, 91, 112, 117, 118, 122, 147, 189, 193], "incoming_fram": 112, "incompat": 190, "incomplet": 78, "inconsistend": 154, "incr": [50, 92], "incr_val_reg": 124, "increas": [11, 18, 35, 40, 66, 69, 70, 74, 77, 90, 112, 113, 128, 140, 141, 163, 191], "increment": [9, 11, 26, 27, 32, 43, 50, 67, 73, 89, 92, 112, 124, 149, 193, 201], "inculd": 169, "indent": 147, "independ": [0, 11, 17, 18, 20, 62, 65, 69, 92, 99, 105, 121, 122, 138, 139, 147, 159, 162, 169, 189, 190, 193, 200], "independetli": 105, "index": [6, 11, 23, 26, 30, 32, 36, 61, 89, 91, 107, 113, 115, 163, 189, 193], "indic": [4, 32, 59, 62, 69, 78, 87, 89, 91, 107, 116, 123, 147, 185, 191], "indirect": [115, 166], "indirectli": 87, "individu": [0, 1, 62, 64, 67, 73, 89, 112, 147, 169, 185, 189, 191, 192, 193, 197, 198], "ineffici": 70, "inf": [15, 51, 62, 67], "inf_channel": 36, "inf_dst_rdi": 36, "inf_meta": 36, "inf_src_rdi": 36, "infinit": 20, "influenc": [89, 99], "info": [8, 18, 28, 80, 112, 147, 174, 190, 193, 195], "inform": [11, 18, 24, 28, 36, 42, 45, 47, 49, 52, 56, 69, 70, 76, 79, 80, 81, 82, 85, 112, 116, 121, 122, 132, 142, 145, 146, 147, 148, 153, 155, 167, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 190, 191, 193, 195, 198, 201, 202], "infram": [131, 136, 142, 145], "infrastructur": 48, "infrom": 11, "ingor": 105, "inherit": [132, 134, 155], "init": 126, "init_ack": 126, "init_addr_base_downto": 91, "init_addr_mask_downto": 91, "init_done_n": 198, "init_port_mapping_downto": 91, "init_sequ": [131, 142, 145, 146, 147], "init_sequence_librari": [131, 142, 145, 146, 147], "initi": [26, 38, 40, 46, 126, 147, 190, 197], "inner": [135, 147], "inout": 186, "input": [3, 5, 6, 7, 8, 9, 10, 11, 12, 15, 17, 18, 20, 21, 22, 26, 27, 34, 36, 38, 39, 40, 41, 43, 44, 45, 46, 47, 48, 49, 51, 52, 56, 57, 58, 59, 60, 61, 63, 64, 65, 66, 67, 68, 69, 70, 74, 75, 76, 77, 80, 85, 87, 88, 91, 92, 93, 94, 97, 98, 99, 101, 102, 103, 105, 109, 111, 112, 113, 114, 118, 120, 122, 123, 124, 125, 132, 134, 153, 159, 163, 167, 169, 186, 189, 191, 192, 193], "input_1": 3, "input_2": 3, "input_data": 98, "input_data_width": 3, "input_dst_rdi": 98, "input_eq_output": 80, "input_fifo_s": 64, "input_item": 147, "input_mfb": 147, "input_mvb": 147, "input_reg": [8, 93], "input_regs_en": 3, "input_src_rdi": 98, "input_tim": 147, "input_vld": 27, "input_width": [10, 27], "inrement": 11, "insensit": 151, "insert": [10, 35, 49, 51, 52, 62, 63, 66, 76, 77, 110, 112, 114, 122, 155, 169, 177, 189, 191, 193], "insert_mod": 66, "insertor": [39, 40, 61, 110, 165], "insid": [18, 22, 27, 30, 32, 33, 62, 78, 82, 107, 112, 113, 114, 134, 147, 167, 169, 174, 191, 198, 201], "inspir": [13, 69, 147, 189], "instal": [0, 25, 26, 28, 32, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 191, 195], "instanc": [6, 28, 32, 132, 147, 150, 153, 155, 169, 191, 193, 198], "instant": 48, "instanti": [0, 28, 104, 132, 147, 169, 189, 191, 192, 193, 196, 201], "instantiati": 44, "instat": 95, "instead": [5, 6, 51, 52, 60, 64, 70, 73, 78, 91, 101, 131, 142, 145, 146, 147, 159, 164, 169, 189, 195], "instrfac": 26, "instruct": [18, 35, 49, 77, 169, 190, 192, 193, 195], "int": [26, 134, 147, 153, 154], "inta": 124, "intefac": [60, 71], "integ": [8, 11, 15, 16, 17, 25, 26, 27, 29, 32, 35, 36, 37, 39, 60, 64, 65, 66, 68, 70, 71, 72, 74, 76, 77, 79, 88, 89, 91, 98, 124, 147, 150, 169, 189], "integer_vector": 186, "integr": [116, 169, 198], "intel": [4, 5, 24, 28, 32, 47, 61, 68, 81, 85, 88, 104, 108, 112, 114, 116, 119, 120, 122, 125, 126, 135, 157, 159, 162, 164, 167, 169, 173, 174, 178, 179, 182, 183, 185, 186, 190, 193, 194, 195, 196, 197, 198], "intel_jtag_op_control": [0, 191], "intel_jtag_op_ctrl": [0, 191], "intel_mac_seg_if": 136, "intel_sdm_control": [0, 191], "intend": [18, 110], "intensli": 60, "inter": [20, 114, 134, 147, 167], "interact": [26, 69, 89], "interconnect": [63, 189, 199], "interest": [10, 128, 140, 141], "interfac": [0, 4, 5, 9, 11, 18, 19, 20, 22, 24, 25, 26, 27, 30, 32, 34, 35, 36, 38, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 52, 56, 57, 58, 59, 60, 61, 63, 64, 65, 68, 69, 70, 71, 72, 73, 74, 75, 79, 80, 81, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 95, 98, 101, 102, 104, 105, 109, 112, 113, 114, 116, 119, 120, 121, 122, 124, 125, 126, 127, 128, 131, 132, 134, 135, 136, 137, 140, 141, 142, 144, 145, 146, 148, 149, 154, 155, 162, 167, 170, 171, 172, 186, 191, 195, 196, 198, 199, 200, 201, 204], "interface_nam": [131, 136, 142, 145, 146, 147, 150], "interfam": 134, "interleav": 91, "intern": [6, 11, 18, 20, 28, 35, 44, 45, 46, 47, 49, 61, 63, 69, 73, 78, 82, 94, 107, 113, 125, 131, 137, 142, 145, 147, 153, 169, 189, 191, 192, 201], "interpret": [105, 169], "interrupt": [24, 32, 113, 167], "interrupt_manag": 167, "interupt": 189, "interv": [19, 69], "interval_count": 69, "interval_length": 69, "intro": 69, "introduc": [132, 147], "invalid": [6, 23, 61, 62, 69, 70, 89, 96, 107, 117, 149], "invert": [76, 110], "ip": [0, 24, 28, 30, 32, 33, 47, 110, 112, 114, 119, 121, 122, 162, 167, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 186, 190, 191, 193, 194, 195, 196, 197, 201, 204], "ipg": 114, "ipg_gener": 135, "ipg_generate_en": 114, "ipv4": [76, 151], "ipv4_min_packet_size_to_fragment_max": 151, "ipv4_min_packet_size_to_fragment_min": 151, "ipv4_prefix_max": 151, "ipv4_prefix_min": 151, "ipv6": 151, "ipv6_min_packet_size_to_fragment_max": 151, "ipv6_min_packet_size_to_fragment_min": 151, "ipv6_prefix_max": 151, "ipv6_prefix_min": 151, "irq": 24, "is_reset": 154, "iset": 11, "isn": 112, "isnt": 147, "isr": 24, "issu": [11, 28, 40, 43, 46, 73, 89, 147, 174, 190], "isunknown": 147, "it_num": 147, "it_str": 147, "item": [4, 5, 6, 7, 11, 13, 14, 15, 16, 17, 18, 19, 23, 28, 49, 50, 51, 52, 54, 56, 57, 61, 62, 63, 64, 65, 66, 67, 69, 70, 71, 72, 73, 75, 76, 77, 78, 79, 80, 81, 89, 91, 92, 93, 94, 95, 98, 99, 101, 102, 103, 104, 105, 107, 108, 109, 112, 114, 116, 119, 134, 135, 146, 147, 148, 149, 168, 189, 191, 193], "item_aux_en": 75, "item_don": 147, "item_s": [142, 145], "item_width": [18, 37, 49, 50, 54, 57, 58, 59, 60, 63, 65, 68, 70, 72, 74, 75, 78, 81, 92, 94, 95, 96, 104, 105, 107, 108, 131, 140, 141, 142, 145, 146, 147, 148, 150, 155, 186], "items_port": 147, "items_s": 147, "items_width": 146, "itoa": 147, "its": [0, 12, 18, 20, 22, 24, 27, 28, 32, 40, 41, 45, 46, 47, 52, 60, 61, 62, 69, 73, 77, 78, 82, 89, 90, 91, 113, 121, 122, 125, 128, 134, 140, 141, 142, 146, 147, 150, 155, 162, 169, 183, 185, 189, 190, 191, 193, 194, 195, 197, 198, 201], "itself": [11, 20, 47, 121, 169, 178, 191, 193], "iwav": 162, "jakub": 1, "jan": [18, 20, 122], "jenkin": 147, "jic": [173, 183], "join": [11, 70, 147], "join_ani": 147, "json": [32, 151], "jtag": [157, 170, 171, 172, 173, 175, 176, 183, 197], "jtag_op_cli": 28, "jtag_op_mgmt": 28, "jtagconfig": 28, "jump": 147, "just": [0, 15, 32, 60, 69, 85, 91, 126, 132, 147, 149, 150, 153, 189, 190, 193, 195], "keep": [7, 11, 12, 30, 69, 91, 196], "kei": 193, "kept": [73, 85, 121, 122], "keyword": 169, "khz": [31, 32], "kind": [112, 113], "kintex": 47, "kit": [162, 172, 175, 176, 195, 199], "know": [11, 60, 82, 147, 191], "knowledg": [163, 164], "known": 149, "komponenti": 5, "ku15p": 162, "kubalek": [18, 20, 122], "l": [0, 169, 183], "l2": [194, 201], "lambda": 26, "lane": [61, 110, 132, 186, 198], "lane_align": 110, "lane_rx_polar": 186, "lane_tx_polar": 186, "languag": [147, 169], "lappend": [147, 169, 190], "larg": [19, 20, 28, 61, 65, 69, 113, 147, 169, 191], "large_vector": 190, "larger": [3, 6, 10, 26, 30, 32, 61, 70, 194], "largest": [41, 44, 46], "last": [6, 20, 23, 25, 31, 48, 59, 60, 62, 69, 78, 82, 87, 89, 91, 113, 116, 117, 118, 120, 121, 128, 129, 130, 132, 133, 138, 139, 140, 141, 147, 150, 152, 153, 154, 155, 159, 163, 169, 185, 190, 193], "last_b": [117, 118], "last_on": 163, "last_vld_impl": 62, "lastib": 23, "lastli": 62, "lat_mea": 46, "latch": 163, "latch_out": 12, "late": 169, "latenc": [0, 3, 6, 8, 9, 10, 11, 13, 18, 25, 26, 31, 32, 40, 46, 47, 60, 61, 70, 85, 89, 104, 114, 125, 138, 139, 157, 162, 163, 164, 186, 192, 194, 196, 198], "latency_end_meta": 29, "latency_fifo_depth": 104, "latency_fifo_en": 104, "latency_fifo_ram_typ": 104, "latency_met": [25, 29], "latency_meter_base_addr": 169, "latency_meter_i": 29, "latency_start_meta": 29, "latency_ticks_width": 25, "latency_vld": 29, "later": [11, 19, 42, 89, 104, 127], "latex": 32, "latter": 169, "launch": [169, 190], "layer": [110, 112, 114, 122, 151, 194, 200], "layer_max_numb": 151, "layer_typ": 151, "layout": 60, "lazi": 169, "lbe_in": 118, "lbe_out": 118, "lbu": [112, 114, 135, 193, 203], "lead": [6, 20, 66, 70, 77], "learn": [147, 189, 193, 195], "least": [5, 20, 32, 59, 85, 90, 93, 111, 169], "leav": [69, 91, 104, 169], "left": [4, 5, 6, 8, 24, 47, 69, 78, 81, 89, 107, 108, 113, 163, 193], "len": [26, 189], "len_width": 54, "lenght": [23, 36], "length": [12, 18, 19, 20, 23, 32, 34, 38, 40, 45, 47, 50, 54, 56, 61, 62, 69, 70, 76, 77, 78, 80, 92, 107, 112, 113, 114, 115, 120, 129, 130, 134, 163, 186, 189, 193, 201], "length_width": [50, 62, 76], "leonardo": 163, "less": [4, 5, 28, 56, 69, 89, 91, 112, 114, 190], "lesser": [64, 163], "let": [11, 20, 69, 70, 89, 91, 184], "letter": 78, "level": [0, 28, 46, 47, 60, 125, 126, 127, 128, 131, 132, 133, 135, 136, 137, 140, 141, 143, 144, 145, 146, 148, 154, 169, 186, 187, 189, 190, 191, 193, 196, 198, 199], "lewer": 154, "lfsr": 163, "lfsr_simple_random_gen": [32, 163], "li": 147, "lib": 169, "liberout": [138, 139, 191, 195], "libfdt": [191, 195], "librari": [135, 169, 191, 194], "licens": [112, 114, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 194, 195], "life": 28, "ligc_vector_array_mfb": 140, "ligic": 140, "lii": 135, "like": [10, 11, 50, 61, 78, 89, 91, 113, 115, 122, 126, 131, 141, 142, 145, 146, 147, 149, 155, 169, 186, 190, 193, 200, 201], "limit": [5, 7, 32, 51, 78, 89, 92, 103, 107, 149, 165, 186, 194], "line": [5, 147, 191, 194, 195, 200], "linear": [20, 31], "link": [48, 110, 112, 114, 138, 139, 186, 189, 190, 191, 193, 195, 198, 201], "link_up": 112, "linux": [179, 194, 195], "list": [1, 26, 28, 33, 40, 46, 61, 128, 140, 141, 147, 151, 155, 162, 174, 183, 185, 190, 193, 194, 198, 201], "listen": 31, "lite": [186, 203], "littl": [62, 69], "ll_mode": [114, 186], "ll_transact": 147, "load": [19, 22, 26, 28, 30, 32, 60, 69, 122, 147, 163, 169, 173, 174, 175, 176, 178, 179, 183, 190, 191, 199], "load_data": 26, "loader": 177, "local": [28, 150, 189, 201], "locat": [2, 28, 40, 46, 62, 125, 147, 151, 155, 156, 157, 159, 160, 161, 164, 165, 166, 168, 169, 185, 190, 193, 203, 204], "lock": [85, 110, 133], "log": [25, 26, 34, 147], "log2": [4, 5, 8, 9, 13, 14, 15, 16, 17, 18, 27, 29, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 54, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 83, 90, 92, 93, 101, 102, 103, 108, 109, 112, 114, 116, 119, 186, 189, 198], "logarithm": [78, 124], "logger": [32, 157], "logger_0": 26, "logger_1": 26, "logger_stat": 26, "loggerstat": 26, "logic": [2, 3, 6, 11, 20, 28, 30, 32, 38, 40, 43, 46, 47, 48, 63, 66, 69, 70, 77, 82, 84, 91, 94, 96, 98, 105, 110, 114, 121, 124, 126, 127, 131, 132, 134, 135, 136, 137, 138, 139, 142, 145, 147, 148, 149, 150, 153, 154, 159, 164, 167, 186, 189, 190, 192, 198], "logic_vector": [140, 146], "logic_vector_arrai": [142, 145], "logic_vector_array_axi": [127, 135], "logic_vector_array_axi_env": 142, "logic_vector_array_mfb": [135, 141, 146], "logic_vector_array_mfb_env": 145, "logic_vector_mvb": 135, "logic_vector_mvb_env": 146, "long": [18, 21, 22, 34, 78, 82, 89, 167], "look": [11, 69, 91, 113, 124, 155, 163, 185, 193, 201], "lookup": [155, 162, 168], "loop": [11, 69, 105, 147, 165, 179, 192, 201], "loopback": [49, 110, 162, 165, 179, 192, 193, 195, 201], "loopback_base_addr": 169, "lost": 98, "lot": [19, 105, 147, 154], "low": [11, 18, 50, 69, 77, 92, 112, 114, 124, 125, 126, 127, 132, 135, 136, 137, 138, 139, 143, 148, 154, 162, 186, 192, 194, 198], "lower": [1, 5, 6, 15, 18, 19, 22, 40, 46, 50, 57, 60, 64, 70, 77, 89, 91, 96, 103, 112, 113, 120, 123, 124, 128, 140, 141, 147, 163, 193], "lowest": [11, 24, 47, 48, 49, 50, 78, 90, 91, 107, 169, 190], "lsb": [78, 87, 98, 107, 113], "lsearch": 169, "luckili": 11, "lut": [4, 5, 13, 45, 79, 81, 84, 104, 108, 159, 164], "lut_arch": 109, "lut_depth": 109, "lut_width": 109, "lutmem": 159, "lutram": [4, 109], "lvt_mem": [13, 164], "m": [0, 6, 19, 32, 33, 98, 154, 163], "m1_": 134, "m_": 147, "m_agent": 147, "m_byte_arrai": 147, "m_byte_array_ag": 147, "m_byte_array_cfg": 147, "m_cfg": [131, 142, 145, 146], "m_compar": 147, "m_config": [131, 142, 145, 146, 147], "m_config_sequenc": 147, "m_cov": 147, "m_data": 155, "m_driver": 147, "m_env": [131, 142, 145, 146, 147], "m_eth": [131, 145, 146], "m_fifo_input": 134, "m_meta": 155, "m_mfb_agent": 147, "m_mfb_cfg": 147, "m_mfb_sequenc": 147, "m_model": 147, "m_model_a": 134, "m_model_b1": 134, "m_model_b2": 134, "m_monitor": 147, "m_mvb_sequenc": 147, "m_regmodel": 147, "m_reset": [131, 142, 145, 146], "m_root": 147, "m_sequenc": 147, "m_valu": 134, "mac": [23, 50, 110, 135, 151, 169, 186, 189, 194, 197, 201, 203], "mac_check": 112, "mac_check_en": 112, "mac_count": 112, "mac_loopback": 193, "mac_prefix_max": 151, "mac_prefix_min": 151, "machin": [28, 133, 183], "macro": [147, 155, 169], "made": [7, 19, 31, 32, 113, 147, 149, 154, 167, 185, 193], "mai": [4, 5, 6, 20, 22, 48, 60, 69, 70, 78, 89, 98, 107, 112, 114, 119, 121, 122, 134, 147, 162, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 189, 190, 194, 195, 201], "mailbox": [24, 147], "main": [11, 18, 22, 23, 48, 67, 73, 110, 112, 114, 122, 124, 125, 128, 140, 141, 152, 169, 190, 191, 193, 194, 198], "mainli": [20, 169, 198, 201], "maintain": [78, 107, 167], "major": [78, 89, 113, 128, 134, 140, 141, 154, 190, 194], "make": [0, 20, 26, 28, 33, 62, 69, 78, 89, 91, 110, 112, 121, 132, 147, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 190, 191, 193], "make_param": 169, "makefil": [170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 185, 192, 198], "malfunct": 195, "manag": [24, 27, 34, 40, 43, 46, 122, 123, 170, 171, 172, 174, 183, 193, 204], "mandatori": [62, 169, 191], "mandatory_ipv4_address_rang": 151, "mandatory_ipv6_address_rang": 151, "mandatory_mac_address_rang": 151, "mani": [19, 23, 26, 81, 89, 91, 108, 122, 132, 147, 163, 190, 196], "manipul": [40, 46], "manner": 113, "manual": [28, 30, 32, 33, 69, 147, 170, 171, 172, 174, 178, 183, 201], "manuali": 32, "manufactur": [194, 195], "map": [0, 14, 25, 26, 27, 29, 32, 85, 88, 89, 110, 115, 121, 122, 125, 134, 169, 189, 192, 193, 201], "mark": [6, 48, 82, 94, 98, 159, 183, 202], "markdown": 32, "marker": 110, "mash": 147, "mask": [17, 19, 23, 38, 40, 46, 60, 61, 65, 78, 82, 86, 94, 107, 112, 189, 193], "masker": [51, 165], "masking_en": 65, "master": [45, 61, 84, 86, 89, 92, 110, 112, 114, 119, 124, 125, 147, 149, 189, 197], "master_driv": 149, "master_sequ": 149, "match": [110, 112, 147, 191], "math_pack": 147, "math_pkg": 147, "mathemat": 147, "matter": [11, 193], "max": [0, 5, 17, 26, 29, 31, 32, 34, 37, 39, 40, 41, 42, 43, 46, 47, 49, 50, 51, 52, 54, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 79, 80, 81, 83, 92, 93, 102, 103, 109, 112, 114, 116, 119, 124, 128, 131, 140, 141, 142, 145, 146, 147, 154, 155, 186, 189, 198], "max_concurrent_ev": 19, "max_en": 26, "max_flow_inter_packet_gap": 151, "max_interval_cycl": 19, "max_paralel_ev": 29, "max_paralel_read": 25, "max_transaction_count": 150, "max_word": 62, "maxim": [26, 31, 32, 61, 112], "maximum": [3, 6, 11, 18, 19, 20, 21, 25, 26, 28, 36, 38, 40, 45, 46, 47, 49, 50, 51, 52, 56, 57, 62, 65, 69, 76, 77, 79, 80, 83, 103, 107, 112, 114, 119, 124, 128, 131, 134, 140, 141, 142, 145, 146, 151, 154, 186, 189, 194, 198, 201, 202], "maxtu": [23, 189, 193], "mb1_in": 134, "mb2_in": 134, "mblock_siz": 62, "mbp": 69, "mcio": 176, "md": [28, 32, 194, 195], "mdio": 193, "mean": [15, 18, 19, 23, 34, 48, 60, 62, 69, 70, 78, 87, 89, 90, 91, 104, 107, 124, 147, 148, 149, 155, 163, 169, 189, 190, 193, 198], "meant": [20, 82, 89], "measur": [0, 19, 25, 29, 31, 32, 46, 147, 186, 196, 201], "mechan": [1, 18, 48, 51, 69, 96, 107, 115, 147, 154, 169, 190, 191], "media": [138, 139], "mediat": 199, "medium": 152, "medusa": [162, 204], "meet": [12, 69, 113], "mem": [0, 26, 189, 196], "mem_addr_width": [25, 189], "mem_address": 25, "mem_async": 25, "mem_avmm_address": [25, 189], "mem_avmm_burstcount": [25, 189], "mem_avmm_read": [25, 189], "mem_avmm_readdata": [25, 189], "mem_avmm_readdatavalid": [25, 189], "mem_avmm_readi": [25, 189], "mem_avmm_writ": [25, 189], "mem_avmm_writedata": [25, 189], "mem_burst_count": 25, "mem_burst_count_width": 25, "mem_burst_width": [25, 189], "mem_clear": 14, "mem_clk": [25, 189], "mem_data_width": [25, 189], "mem_def_refr_period": 189, "mem_freq_khz": 25, "mem_logg": [0, 25, 26, 31, 32, 191], "mem_logger_0": [0, 191], "mem_logger_1": [0, 191], "mem_logger_2": [0, 191], "mem_logger_3": [0, 191], "mem_logger_i": 25, "mem_mi_addr": 25, "mem_mi_ardi": 25, "mem_mi_b": 25, "mem_mi_drd": 25, "mem_mi_drdi": 25, "mem_mi_dwr": 25, "mem_mi_rd": 25, "mem_mi_wr": 25, "mem_port": 189, "mem_read": 25, "mem_read_data": 25, "mem_read_data_valid": 25, "mem_readi": 25, "mem_refr_ack": 189, "mem_refr_period": 189, "mem_refr_period_width": 189, "mem_refr_req": 189, "mem_rst": [25, 189], "mem_test": [0, 25, 32, 191], "mem_tester_0": [0, 191], "mem_tester_1": [0, 191], "mem_tester_2": [0, 191], "mem_tester_3": [0, 191], "mem_tester_mi": 32, "mem_tester_report": [32, 33], "mem_typ": 13, "mem_writ": 25, "mem_write_data": 25, "memori": [2, 4, 5, 11, 15, 16, 22, 23, 24, 25, 28, 30, 33, 35, 40, 49, 69, 79, 81, 84, 85, 89, 108, 112, 121, 125, 129, 130, 157, 159, 162, 163, 173, 175, 176, 183, 189, 193, 194, 197, 198, 199], "memory_filepath": 125, "memx": 45, "menawhil": 60, "mention": [28, 69, 78, 91, 169, 193], "mercuri": 183, "merg": [0, 56, 64, 65, 70, 73, 98, 105, 129, 130, 134, 147, 168, 193], "merge_n_to_m": 168, "merger": [0, 122, 165, 193], "merger_input": 65, "messag": [32, 134, 147], "messi": 91, "met": 69, "meta": [61, 71, 76, 84, 85, 91, 107, 120, 134, 147, 148, 149, 189], "meta_align": 57, "meta_behav": [131, 145, 146], "meta_eof": [131, 145], "meta_eq_output": 80, "meta_func_id": 120, "meta_item": 147, "meta_mod": 70, "meta_out_mod": 62, "meta_sof": [131, 145, 146], "meta_width": [54, 57, 58, 59, 60, 63, 65, 68, 70, 72, 74, 75, 81, 84, 85, 88, 89, 91, 96, 103, 109, 131, 134, 145, 146, 147, 148, 149], "metadata": [8, 15, 17, 18, 22, 35, 36, 46, 47, 49, 51, 52, 54, 56, 57, 61, 62, 63, 64, 65, 67, 69, 70, 72, 73, 76, 77, 79, 80, 81, 84, 85, 89, 103, 112, 131, 145, 149, 155, 165, 189, 193, 202], "metadata_insertor": 66, "metadata_s": 36, "metadata_width": [8, 15, 17, 18, 79], "meter": [49, 155, 157, 201], "method": [92, 134, 138, 139, 147, 152, 183, 195], "methodologi": 206, "metric": [40, 47, 147], "mfb": [0, 19, 34, 36, 37, 39, 40, 41, 42, 43, 44, 46, 47, 49, 56, 61, 62, 66, 69, 73, 76, 77, 80, 103, 107, 112, 114, 116, 119, 121, 122, 128, 131, 135, 140, 141, 145, 147, 162, 168, 186, 189, 192, 193, 198, 203], "mfb_agent": 147, "mfb_align": 103, "mfb_asfifox": 79, "mfb_auxiliary_sign": [19, 75], "mfb_block_reconfigur": 70, "mfb_block_siz": [43, 44, 51, 52, 56, 61, 62, 64, 65, 66, 67, 69, 71, 73, 76, 77, 79, 80, 83, 103, 116, 119, 189], "mfb_cfg": 147, "mfb_crossbarx_output_buff": 80, "mfb_crossbarx_stream2": 56, "mfb_cutter_simpl": 57, "mfb_data": [131, 145], "mfb_dropper": 58, "mfb_dst_rdy": 36, "mfb_enabl": 59, "mfb_eof": 36, "mfb_fifo_depth": [51, 52], "mfb_fifox": [51, 67, 81], "mfb_frame_extend": 52, "mfb_frame_mask": 60, "mfb_frame_trimm": 54, "mfb_gen2dma": [0, 191], "mfb_gen2eth": [0, 191], "mfb_gener": [0, 169, 191], "mfb_generator0": 169, "mfb_generator_mi32": [49, 50], "mfb_if": [131, 145, 146, 147], "mfb_item_reconfigur": 70, "mfb_item_width": [43, 44, 51, 52, 56, 61, 62, 64, 65, 66, 67, 69, 71, 73, 76, 77, 79, 80, 83, 103, 116, 119, 189], "mfb_loopback": [63, 169], "mfb_loopback0": 169, "mfb_merger": [61, 64], "mfb_merger_simpl": 65, "mfb_merger_simple_gen": 65, "mfb_meta_width": [51, 62, 64, 65, 66, 67, 69, 73, 76, 77, 80, 83], "mfb_meta_with_sof": 80, "mfb_metadata": [131, 145], "mfb_mvb_append": 51, "mfb_packet_delay": 67, "mfb_pd_asfifo": 114, "mfb_pd_asfifo_simpl": 83, "mfb_pipe": [60, 68], "mfb_properti": 147, "mfb_reg_siz": [64, 71, 79, 189], "mfb_region": [36, 43, 44, 51, 52, 56, 61, 62, 64, 65, 66, 67, 69, 71, 73, 76, 77, 79, 80, 83, 103, 116, 119, 189], "mfb_region_reconfigur": 70, "mfb_region_s": [43, 44, 51, 52, 56, 61, 62, 65, 66, 67, 69, 73, 76, 77, 80, 83, 103, 116, 119], "mfb_region_width": [116, 119], "mfb_rx_speed": [131, 145], "mfb_sequenc": 147, "mfb_splitter": 71, "mfb_splitter_gen": 71, "mfb_splitter_properti": 147, "mfb_splitter_simpl": 72, "mfb_splitter_simple_gen": 72, "mfb_src_rdy": 36, "mfb_timestamp_limit": 73, "mfb_to_lbus_reconf": 113, "mfb_tool": [165, 201], "mfb_transform": 74, "mfb_word_width": 69, "mfifo": 112, "mfifo_ovf": 112, "mgmt": [110, 193], "mhz": [19, 47, 69, 110, 194, 198], "mi": [19, 24, 25, 28, 38, 40, 45, 46, 47, 49, 50, 63, 69, 73, 85, 86, 92, 110, 112, 114, 124, 135, 147, 162, 167, 186, 189, 191, 192, 193, 198, 199, 201, 204], "mi0": 191, "mi2avmm": [24, 166], "mi2axi4": 166, "mi32": [49, 63, 89, 90, 110, 112, 114, 119, 124, 198], "mi64": 90, "mi_adc_port_ethmod": 193, "mi_adc_port_ethpmd": 193, "mi_adc_port_netmod": 193, "mi_addr": [25, 26, 32, 38, 40, 45, 46, 47, 49, 50, 63, 69, 73, 85, 86, 92, 110, 112, 114, 119, 124, 186, 189, 198], "mi_addr_mask": 19, "mi_addr_phi": 186, "mi_addr_pmd": 186, "mi_addr_space_pkg": 197, "mi_addr_width": [25, 26, 32, 69, 73, 92, 119, 186, 189], "mi_addr_width_phi": 186, "mi_ardi": [25, 26, 32, 38, 40, 45, 46, 47, 49, 50, 63, 69, 73, 85, 86, 92, 110, 112, 114, 119, 124, 186, 189, 198], "mi_ardy_phi": 186, "mi_ardy_pmd": 186, "mi_async": [32, 84], "mi_b": [25, 26, 32, 38, 40, 45, 46, 47, 49, 50, 69, 73, 85, 86, 92, 110, 112, 114, 119, 124, 186, 189, 198], "mi_be_phi": 186, "mi_be_pmd": 186, "mi_bu": 149, "mi_bus0": [0, 191, 201], "mi_clk": [32, 49, 63, 110, 112, 114, 124, 169, 186, 189, 198], "mi_clk_phi": 186, "mi_clk_pmd": 186, "mi_cpt_en_addr": 19, "mi_cpt_rd_addr": 19, "mi_data_reg": 124, "mi_data_width": [25, 26, 30, 32, 69, 73, 86, 92, 119, 186, 189], "mi_data_width_phi": 186, "mi_dbg": 198, "mi_dbg_addr": 198, "mi_dbg_ardi": 198, "mi_dbg_b": 198, "mi_dbg_drd": 198, "mi_dbg_drdi": 198, "mi_dbg_dwr": 198, "mi_dbg_rd": 198, "mi_dbg_wr": 198, "mi_debug": 179, "mi_drd": [25, 26, 32, 38, 40, 45, 46, 47, 49, 50, 63, 69, 73, 85, 86, 92, 110, 112, 114, 119, 124, 186, 189, 198], "mi_drd_phi": 186, "mi_drd_pmd": 186, "mi_drdi": [25, 26, 32, 38, 40, 45, 46, 47, 49, 50, 63, 69, 73, 85, 86, 92, 110, 112, 114, 119, 124, 186, 189, 198], "mi_drdy_phi": 186, "mi_drdy_pmd": 186, "mi_dwr": [25, 26, 32, 38, 40, 45, 46, 47, 49, 50, 63, 69, 73, 85, 86, 92, 110, 112, 114, 119, 124, 186, 189, 198], "mi_dwr_phi": 186, "mi_dwr_pmd": 186, "mi_events_addr": 19, "mi_funct": 119, "mi_indirect_access": 87, "mi_interval_addr": 19, "mi_m_addr": 84, "mi_m_ardi": 84, "mi_m_b": 84, "mi_m_drd": 84, "mi_m_drdi": 84, "mi_m_dwr": 84, "mi_m_mwr": 84, "mi_m_rd": 84, "mi_m_wr": 84, "mi_mwr": 85, "mi_pip": [88, 119], "mi_pipe_en": 49, "mi_rd": [25, 26, 32, 38, 40, 45, 46, 47, 49, 50, 63, 69, 73, 85, 86, 92, 110, 112, 114, 119, 124, 186, 189, 198], "mi_rd_phi": 186, "mi_rd_pmd": 186, "mi_read": 149, "mi_reset": [49, 63, 110, 112, 114, 124, 186, 189, 198], "mi_reset_phi": 186, "mi_reset_pmd": 186, "mi_reset_reg": 73, "mi_rst": 32, "mi_s_addr": 84, "mi_s_ardi": 84, "mi_s_b": 84, "mi_s_drd": 84, "mi_s_drdi": 84, "mi_s_dwr": 84, "mi_s_mwr": 84, "mi_s_rd": 84, "mi_s_wr": 84, "mi_sel_queue_reg": 73, "mi_splitter_plus_gen": [32, 89, 91], "mi_test_spac": [0, 191, 201], "mi_tool": 166, "mi_top_speed_reg": 73, "mi_width": [19, 38, 40, 45, 46, 47], "mi_wr": [25, 26, 32, 38, 40, 45, 46, 47, 49, 50, 63, 69, 73, 85, 86, 92, 110, 112, 114, 119, 124, 186, 189, 198], "mi_wr_phi": 186, "mi_wr_pmd": 186, "mi_writ": 149, "micro": 183, "mid_do": 147, "middl": [59, 78, 123, 124, 131, 133, 142, 145, 147, 154], "might": [11, 18, 22, 69, 70, 82, 89, 90, 91, 98, 147], "mii": [110, 112, 114, 135], "milisecond": 19, "min": [0, 26, 31, 32, 50, 54, 57, 77, 92, 112, 128, 131, 140, 141, 142, 145, 146], "min_en": 26, "min_packet_size_to_frag": 151, "min_transaction_count": 150, "minim": [26, 31, 32, 61, 112, 114, 162, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 189, 190, 191, 195, 196], "minimalist": [54, 190], "minimum": [4, 20, 25, 28, 46, 47, 50, 62, 64, 69, 71, 79, 92, 103, 112, 114, 128, 140, 141, 151, 154, 169, 186, 189, 193], "minimumspe": 69, "minor": 191, "mintu": [23, 112, 189, 193], "mintu_check": 112, "minu": 22, "minut": [179, 183], "misc": [88, 186, 189, 198], "misc_app2top": 189, "misc_app2top_width": 189, "misc_net2top": 186, "misc_net2top_width": 186, "misc_pcie2top": 198, "misc_pcie2top_width": 198, "misc_top2app": 189, "misc_top2app_width": 189, "misc_top2net": 186, "misc_top2net_width": 186, "misc_top2pci": 198, "misc_top2pcie_width": 198, "miscellan": 2, "miss": 190, "mk": 169, "mlab": 4, "mm": [0, 24, 85, 162, 189, 196], "mod": [0, 147, 163, 186, 189], "mod_width": 56, "mode": [0, 1, 3, 4, 5, 6, 7, 8, 9, 10, 12, 13, 14, 15, 16, 17, 19, 21, 25, 26, 27, 29, 32, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 49, 50, 51, 52, 54, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 101, 102, 103, 104, 105, 108, 109, 110, 112, 113, 114, 116, 117, 118, 119, 120, 121, 123, 124, 138, 139, 147, 149, 164, 177, 179, 183, 186, 189, 190, 192, 193, 198, 201], "model": [60, 125, 134, 153, 169, 206], "model_a": 134, "model_b": 134, "model_data": 147, "model_entityb": 134, "model_in": 147, "model_input_fifo": 147, "model_item": [134, 147], "model_tr_timeout_set": 134, "model_typ": 134, "modelsim": [91, 147, 169], "modif": [56, 113, 191], "modifi": [11, 27, 56, 69, 70, 90, 132, 134, 147, 155, 163, 169, 195, 201], "modport": 150, "modprob": 179, "modul": [0, 2, 23, 25, 28, 38, 40, 47, 48, 49, 56, 61, 63, 92, 112, 114, 119, 122, 124, 156, 167, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 191, 195, 196, 197, 199, 200, 202], "modular": [112, 114, 190], "modulo": 163, "modulo_lookup": 163, "moment": [18, 69, 112, 114, 131, 145], "mon": 147, "monitor": [19, 61, 110, 135, 194], "more": [0, 1, 5, 7, 11, 17, 18, 20, 26, 29, 32, 42, 48, 59, 60, 61, 62, 69, 70, 77, 78, 79, 81, 85, 87, 89, 91, 98, 99, 101, 110, 112, 115, 122, 124, 125, 132, 138, 139, 142, 145, 146, 147, 148, 149, 154, 155, 169, 170, 171, 172, 174, 183, 189, 190, 193, 194, 195, 198, 199, 200, 201], "moreov": [110, 126], "most": [5, 7, 20, 33, 60, 61, 69, 70, 78, 90, 91, 98, 107, 112, 147, 155, 169, 190, 198], "mostli": [78, 169, 190], "move": [51, 113, 167], "mp_bram": [15, 164], "msb": [0, 69, 78, 91, 107, 113], "msel": 183, "msg": [120, 147], "msg_byte_arrai": 147, "msg_mvb": 147, "msg_port": 147, "msgd": 120, "msix": 197, "mtc": [197, 198, 204], "mtu": [49, 51, 112, 189, 191], "mtu_check": 112, "mty": 137, "much": [21, 48, 64, 91, 122, 134, 193], "mul48": 163, "mult_region": 46, "multi": [1, 11, 18, 20, 22, 26, 45, 46, 52, 66, 78, 82, 93, 101, 102, 105, 107, 110, 115, 121, 132, 162, 163, 164, 193], "multi_fifo": 159, "multi_fifox": 105, "multibus": 162, "multicast": [23, 112, 189, 193], "multip": 82, "multipl": [5, 6, 9, 11, 13, 17, 18, 20, 22, 24, 26, 29, 32, 41, 43, 45, 46, 47, 51, 56, 60, 61, 62, 65, 69, 70, 74, 78, 85, 89, 90, 91, 101, 103, 107, 121, 122, 123, 124, 134, 147, 154, 159, 162, 163, 164, 165, 168, 169, 179, 189, 190, 192, 193, 198, 199, 200, 201], "multiplex": [2, 18, 51, 102, 105, 162, 163], "multiplexor": 124, "multipli": [78, 91, 101, 123, 124, 150, 163], "multiport": 13, "multir": 193, "multiv": 147, "must": [4, 5, 6, 11, 13, 15, 17, 18, 20, 24, 27, 32, 48, 49, 50, 51, 52, 56, 62, 66, 67, 69, 73, 76, 77, 78, 79, 82, 83, 84, 85, 86, 87, 89, 90, 91, 92, 97, 98, 99, 101, 102, 103, 104, 105, 109, 112, 113, 114, 115, 119, 121, 122, 124, 128, 134, 138, 139, 140, 141, 147, 149, 150, 151, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 186, 189, 191, 193, 194, 195, 197, 198, 202], "mux": [49, 61, 88, 105, 163, 168], "mux_a": 49, "mux_b": 49, "mux_c": 49, "mux_d": 49, "mux_dsp": 163, "mux_lat": 8, "mux_width": 102, "mvb": [0, 5, 20, 34, 36, 49, 52, 56, 61, 62, 64, 66, 71, 76, 80, 98, 103, 112, 121, 122, 135, 146, 147, 162, 165, 186, 189, 192, 193, 198], "mvb2mfb": 168, "mvb_agent": 147, "mvb_aggregate_last_vld": 62, "mvb_channel": 189, "mvb_channel_rout": [0, 191], "mvb_channel_router_mi": 92, "mvb_data": 189, "mvb_discard": [94, 147, 189], "mvb_discard_": 147, "mvb_fifo": 61, "mvb_fifo_depth": 52, "mvb_fifo_s": 66, "mvb_fifox": 108, "mvb_fifox_multi": 66, "mvb_gate": 95, "mvb_hdr_meta": 189, "mvb_if": 147, "mvb_item": [51, 62, 64, 66, 71, 80, 93, 99, 101, 102, 103, 104, 109], "mvb_item_collision_resolv": 96, "mvb_item_s": 51, "mvb_item_width": [62, 66, 71, 99, 101, 103, 147], "mvb_len": 189, "mvb_lookup_t": 109, "mvb_merg": 93, "mvb_merge_item": 97, "mvb_merge_stream": 99, "mvb_merge_streams_ord": 101, "mvb_meta_width": 71, "mvb_oper": 104, "mvb_output_fifo_s": 71, "mvb_properti": 147, "mvb_rx_speed": 146, "mvb_sequenc": 147, "mvb_shakedown": 105, "mvb_tool": 168, "mvb_valid_item": 147, "mvm": 147, "mwr": 89, "my": 150, "my_bitstream": [173, 175, 176, 183], "my_comp": 191, "my_param": 190, "my_param_1": 190, "my_param_2": 190, "myfil": 169, "n": [0, 6, 11, 15, 16, 17, 19, 26, 32, 40, 46, 60, 67, 72, 73, 92, 98, 110, 128, 134, 140, 141, 147, 153, 154, 163, 169, 193], "n6010": [162, 191, 195], "n_loop_op": [121, 163], "n_loop_op_pro": 163, "n_one": 163, "n_to_m_handshak": 163, "nad": 150, "name": [10, 11, 18, 23, 26, 28, 40, 46, 47, 48, 51, 60, 61, 62, 67, 72, 73, 76, 77, 78, 89, 92, 107, 112, 113, 114, 115, 124, 125, 131, 134, 136, 138, 139, 142, 145, 146, 147, 148, 149, 150, 152, 153, 154, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 185, 186, 189, 190, 191, 193, 195, 202], "namespac": 147, "nanosecond": [112, 123, 124, 189, 202], "natur": [3, 4, 5, 6, 7, 9, 10, 13, 15, 17, 19, 21, 34, 36, 38, 40, 41, 42, 43, 44, 45, 46, 47, 49, 50, 51, 52, 54, 56, 57, 58, 59, 61, 62, 63, 64, 65, 66, 67, 69, 70, 72, 73, 75, 76, 77, 78, 79, 80, 81, 83, 84, 85, 86, 87, 92, 93, 94, 95, 96, 97, 99, 101, 102, 103, 104, 105, 107, 108, 109, 112, 114, 116, 119, 120, 138, 139, 152, 186, 189, 198], "nb_main": 169, "nb_preference_filt": 169, "ndk": [2, 28, 47, 78, 138, 139, 162, 185, 189, 192, 193, 196, 197, 198], "ndk_minim": [191, 195], "ndp": 189, "nearest": [6, 62], "neccessari": 169, "necesari": 62, "necess": 169, "necessari": [3, 61, 69, 89, 107, 112, 114, 134, 147, 155, 169, 177, 183, 186, 189, 190, 191, 194, 198], "need": [6, 11, 19, 20, 21, 23, 25, 26, 28, 32, 33, 39, 40, 45, 46, 52, 60, 69, 70, 78, 91, 104, 105, 113, 114, 115, 122, 132, 147, 163, 167, 169, 174, 185, 191], "neg": [77, 110], "negat": 85, "neither": [18, 70, 89, 169], "nessesari": 11, "nest": 169, "net": 147, "net_mod": 186, "net_mod_logic_env": 147, "netcop": [0, 33, 191], "network": [0, 23, 76, 92, 112, 147, 162, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 189, 195, 199, 200, 201, 202], "network_mod": [185, 186], "network_ord": 76, "network_port_id": 112, "never": [18, 20, 60, 69, 89, 154], "new": [18, 22, 27, 33, 52, 54, 60, 62, 69, 82, 89, 112, 121, 122, 124, 128, 131, 134, 140, 141, 142, 145, 146, 147, 151, 153, 155, 173, 175, 176, 183, 189, 190, 195, 199, 201, 206], "new_data": 13, "new_rx_tran": 18, "newli": [18, 19, 89, 169], "newlin": 147, "next": [11, 19, 20, 32, 50, 59, 60, 61, 62, 64, 67, 69, 77, 78, 82, 89, 91, 99, 101, 105, 107, 113, 115, 132, 138, 139, 147, 154, 169, 174, 178, 183, 191], "next_act": 150, "nfb": [0, 25, 26, 28, 32, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 189, 193, 194, 195, 197, 201, 202], "nfb0": [32, 191], "nfw": [183, 195], "nic": [193, 203], "ninit_don": 198, "no_oper": 149, "node": [26, 191, 195], "non": [11, 18, 118, 121, 147, 169, 185, 197], "non_parametrized_class": 147, "none": [5, 19, 46, 68, 69, 81, 102, 169], "nor": [18, 70, 89, 163, 169], "normal": [15, 128, 140, 141, 183, 193], "notat": 193, "note": [5, 11, 44, 46, 49, 50, 60, 61, 73, 78, 89, 91, 107, 131, 142, 145, 177, 178], "notic": [60, 69, 89, 113, 190], "notifi": 112, "now": [11, 19, 31, 33, 56, 89, 91, 121, 147, 155, 185, 193], "np": 45, "np_lutram": [11, 16, 163, 164], "np_lutram_pro": [163, 164], "npp": 49, "npp_hdr_size": 49, "npz": 26, "null": [15, 125, 129, 130, 131, 134, 142, 145, 146, 147], "nullifi": 96, "num": 147, "num_of_pkt": 77, "numa": 195, "number": [0, 3, 4, 5, 6, 9, 11, 15, 17, 18, 19, 20, 23, 25, 26, 27, 28, 29, 31, 32, 33, 34, 35, 36, 37, 38, 40, 41, 44, 45, 46, 47, 49, 50, 51, 52, 56, 57, 61, 62, 63, 64, 65, 67, 69, 70, 71, 72, 73, 74, 76, 77, 78, 79, 80, 81, 83, 85, 87, 89, 91, 92, 94, 97, 98, 99, 101, 102, 103, 104, 105, 107, 108, 112, 113, 114, 115, 117, 119, 120, 121, 123, 124, 128, 129, 130, 132, 134, 136, 140, 141, 147, 151, 152, 154, 155, 163, 164, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 185, 186, 189, 190, 191, 192, 193, 195, 198, 200, 201, 202], "number_of_channel": 193, "number_of_item": [35, 36], "number_of_max_item": 35, "numer": [72, 147], "numeric_std": 147, "numericstdnowarn": 147, "o": [32, 195], "object": [128, 131, 138, 139, 140, 141, 142, 145, 146, 152, 153, 154, 169], "obligatori": [60, 186], "observ": [131, 136, 142, 144, 145, 147], "obsolet": [98, 112, 114, 159, 164], "obtain": [0, 24, 78, 115, 162, 190, 201], "obuf_input_eq_output": 77, "obuf_meta_eq_output": 77, "occupi": [28, 91, 107], "occur": [11, 19, 20, 26, 27, 29, 31, 32, 43, 50, 51, 60, 64, 70, 78, 99, 107, 112, 113, 121, 122, 133, 134, 147, 167, 169, 185], "occurr": [26, 89, 133], "octect": 114, "octet": [112, 114, 132], "ocurr": 154, "od": 18, "ofc": 72, "off": [62, 92, 110, 114, 125, 149, 151, 173, 175, 176, 177, 183, 193], "offer": 147, "offici": 162, "offset": [20, 24, 35, 46, 49, 51, 62, 67, 69, 73, 76, 85, 110, 112, 114, 115, 193, 201], "offset_processor": 62, "offset_width": [62, 76], "ofm": [0, 28, 32, 112, 114, 115, 156, 157, 160, 161, 165, 166, 168, 190, 191, 203, 204], "ofm_path": [28, 147, 169], "ofs_pmci": [0, 191], "often": [5, 6, 69, 78, 89, 107, 113, 147, 169, 190, 196], "og": 20, "ok": [67, 112, 174], "old": [9, 62, 64, 124, 164, 167], "older": [19, 60], "omit": [12, 69], "onc": [11, 18, 19, 20, 45, 60, 61, 70, 82, 89, 133, 152, 174, 178, 193, 199], "one": [0, 1, 3, 5, 8, 10, 11, 12, 15, 17, 18, 19, 20, 21, 22, 28, 30, 32, 39, 40, 45, 46, 48, 51, 58, 60, 61, 62, 64, 65, 66, 69, 70, 71, 72, 73, 74, 78, 82, 84, 85, 87, 89, 91, 93, 96, 97, 99, 101, 102, 104, 105, 107, 111, 112, 113, 114, 119, 121, 122, 124, 126, 127, 128, 129, 130, 131, 132, 133, 134, 136, 138, 139, 140, 141, 142, 144, 145, 146, 147, 149, 150, 153, 154, 155, 159, 163, 164, 165, 167, 168, 169, 189, 190, 192, 193, 198, 199, 200], "one_clk_writ": 15, "ones": [28, 48, 91, 101, 113, 118, 147, 154, 155, 163, 190], "onli": [0, 1, 3, 4, 5, 6, 7, 11, 15, 17, 18, 19, 20, 21, 22, 23, 26, 28, 32, 39, 49, 50, 51, 52, 59, 60, 61, 62, 64, 67, 69, 70, 72, 73, 77, 78, 81, 82, 84, 85, 88, 89, 90, 91, 92, 96, 104, 107, 108, 109, 110, 112, 113, 114, 115, 116, 119, 120, 121, 122, 124, 127, 128, 129, 130, 133, 134, 136, 138, 139, 140, 141, 142, 144, 147, 148, 149, 150, 152, 155, 159, 162, 163, 164, 169, 173, 175, 176, 178, 181, 183, 186, 189, 190, 191, 192, 193, 194, 195, 196, 198, 206], "onto": [51, 178], "op": [62, 104, 135], "op_": 11, "op_data_in": 11, "op_data_out": 11, "op_in_": 11, "op_in_data": 11, "op_in_meta": 11, "op_in_op": 11, "op_in_sel": 11, "op_in_src": 11, "op_item_sel": 11, "op_meta": 11, "op_oper": 11, "op_out_data": 11, "open": [24, 28, 32, 162, 170, 171, 172, 192, 195], "open_loop": 1, "oper": [0, 61, 62, 70, 91, 110, 112, 114, 121, 147, 162, 163, 168, 169, 191, 195], "operatio": 11, "operators_pr": 11, "opposit": [85, 113], "opt": 88, "opt_mod": 92, "optic": [110, 200], "optim": [11, 61, 64, 88, 92, 159, 162, 163, 164, 185, 186, 192, 199], "option": [3, 4, 5, 12, 20, 21, 28, 32, 46, 47, 50, 60, 62, 66, 67, 73, 76, 79, 81, 84, 85, 89, 94, 95, 104, 108, 110, 112, 114, 117, 123, 134, 138, 139, 151, 169, 174, 175, 176, 179, 186, 189, 191, 192, 198], "ordder": [138, 139], "order": [5, 6, 9, 11, 18, 20, 22, 23, 24, 32, 40, 43, 46, 48, 69, 70, 73, 76, 89, 97, 99, 105, 110, 113, 120, 121, 134, 146, 147, 151, 167, 168, 169, 177, 185, 190], "ordinari": 113, "ored": 154, "org": [13, 138, 139, 191, 195], "organ": [26, 78, 190], "orient": [5, 131, 142, 145, 189, 197], "origin": [20, 22, 51, 54, 57, 60, 61, 66, 70, 90, 167], "oroc": 112, "oroch": 112, "orocl": 112, "othe": 70, "other": [3, 6, 7, 9, 11, 17, 18, 19, 20, 22, 26, 32, 41, 46, 48, 50, 57, 59, 60, 61, 64, 65, 66, 68, 69, 70, 71, 73, 78, 80, 86, 89, 90, 91, 107, 110, 112, 114, 120, 121, 123, 125, 128, 131, 132, 134, 138, 139, 140, 142, 145, 146, 152, 154, 155, 162, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 185, 186, 190, 191, 193, 195, 198, 201], "otherwis": [4, 7, 20, 63, 69, 82, 98, 112, 114, 131, 142, 145, 146, 147, 162, 169, 183, 191], "our": [11, 19, 78, 89, 91, 147, 162, 192, 193, 195, 200], "out": [3, 4, 5, 6, 7, 8, 9, 10, 12, 13, 14, 15, 16, 17, 18, 21, 22, 25, 26, 27, 29, 32, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 54, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 79, 80, 81, 83, 84, 85, 86, 87, 88, 89, 91, 92, 93, 94, 95, 96, 97, 98, 99, 101, 102, 103, 104, 105, 108, 109, 110, 112, 113, 114, 116, 117, 118, 119, 120, 123, 124, 147, 155, 167, 186, 189, 198], "out_addr": 88, "out_addr_len": 120, "out_address": 120, "out_address_typ": 120, "out_ardi": 88, "out_attribut": 120, "out_b": 88, "out_bar_apertur": 120, "out_bar_id": 120, "out_byte_cnt": 120, "out_byte_count": 117, "out_comp_st": 120, "out_complet": 120, "out_drd": 88, "out_drdi": 88, "out_dw_cnt": 120, "out_dwr": 88, "out_fb": 120, "out_first_ib": 117, "out_head": 120, "out_last_ib": 117, "out_lb": 120, "out_low_addr": 120, "out_mwr": 88, "out_pipe_en": [64, 71], "out_rd": 88, "out_reg": 10, "out_req_id": 120, "out_req_typ": 120, "out_tag": 120, "out_target_func": 120, "out_tc": 120, "out_wr": 88, "outgo": [61, 113, 189], "outgoing_fram": 114, "outpu": 154, "output": [0, 1, 3, 4, 5, 6, 7, 8, 10, 11, 12, 15, 17, 18, 19, 20, 21, 22, 26, 32, 33, 34, 35, 36, 39, 40, 41, 42, 43, 44, 46, 47, 48, 51, 57, 58, 59, 60, 61, 62, 63, 64, 65, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 79, 82, 85, 87, 88, 89, 91, 92, 93, 94, 96, 97, 98, 102, 103, 104, 105, 109, 110, 111, 112, 113, 114, 116, 117, 118, 120, 121, 123, 124, 125, 134, 147, 153, 159, 163, 165, 167, 186, 189, 192, 193, 195, 198, 201], "output_cov": 147, "output_data": 98, "output_dst_rdi": 98, "output_fifo_s": 71, "output_interfac": 87, "output_mfb_": 147, "output_reg": [4, 8, 15, 17, 59, 79, 93, 94, 97, 98, 109, 117], "output_spe": 69, "output_src_rdi": 98, "output_width": 10, "outsid": [20, 59, 167, 186], "outuput": [21, 43], "over": [11, 19, 20, 23, 32, 50, 62, 69, 88, 112, 113, 157, 163, 189, 193, 195, 197], "over10gb": 114, "overal": [11, 40, 46, 78, 194], "overflow": [18, 20, 26, 27, 31, 112, 121, 122, 186], "overlap": [18, 32], "overrid": [18, 77, 151, 169], "overridden": 150, "overriden": 169, "overview": [0, 158, 169, 190], "overwrit": [11, 62, 69, 89, 151], "overwritten": [77, 82, 151, 169, 190], "own": [18, 20, 40, 45, 46, 49, 61, 62, 73, 90, 98, 113, 121, 122, 128, 129, 130, 134, 138, 139, 140, 141, 147, 152, 162, 183, 189, 190, 193, 194, 198, 201], "p": [32, 33, 69, 78, 122, 173, 175, 176, 182, 186, 198, 201], "p1": 48, "p2": 48, "p2mp": 110, "p_sequenc": 147, "p_tile": 198, "pack": 147, "packag": [0, 25, 26, 28, 32, 110, 112, 115, 125, 126, 127, 128, 131, 135, 137, 140, 141, 142, 144, 145, 148, 149, 154, 162, 169, 174, 179, 184, 189, 191, 193, 195, 197], "package_nam": 169, "packer": 165, "packet": [0, 34, 36, 37, 38, 39, 40, 41, 45, 46, 47, 48, 49, 50, 51, 56, 57, 58, 59, 60, 61, 62, 69, 71, 72, 73, 76, 77, 80, 111, 112, 114, 116, 128, 131, 134, 140, 141, 142, 144, 145, 147, 151, 153, 155, 162, 165, 167, 186, 192, 199, 200, 201, 202, 203], "packet_head": 134, "packet_max_s": 151, "packet_min_s": 151, "packet_plann": 167, "packet_port_env": 147, "packet_s": 134, "packet_size_max_step": 151, "packet_size_min_step": 151, "packet_size_prob": 151, "packet_splitt": 147, "packets_max_numb": 151, "packets_min_numb": 151, "packets_rev_max_numb": 151, "packets_rev_min_numb": 151, "packetsh": [40, 46], "packetsl": [40, 46], "pacsign": 182, "pactek": 111, "pad": [28, 189], "page": [48, 78, 107, 147, 158, 190], "pai": 69, "pair": [115, 169, 193], "pakcet": 20, "paket": 147, "pandoc": 32, "pane": 28, "paper": 10, "paragraph": 69, "paral": 25, "paralel": [0, 29], "parallel": [11, 18, 20, 29, 62, 90, 121, 163, 167], "param": [147, 169, 191], "param_cfg": [131, 145, 146, 147], "paramet": [4, 15, 17, 21, 26, 32, 33, 36, 37, 39, 41, 44, 48, 60, 61, 65, 68, 69, 70, 79, 81, 83, 84, 87, 89, 92, 99, 103, 113, 114, 116, 119, 125, 126, 127, 128, 131, 134, 135, 136, 138, 139, 140, 141, 142, 145, 146, 147, 148, 149, 150, 152, 153, 155, 169, 179, 185, 186, 189, 191, 192, 193, 198, 201], "parameter": [70, 126], "parametr": [4, 192], "parametrized_class": 147, "paramt": 113, "paremet": 113, "parent": [115, 131, 134, 142, 145, 146, 147, 169, 190, 191], "pars": [42, 147, 169, 204], "parsepcieconf": 190, "part": [11, 15, 22, 36, 40, 46, 48, 49, 50, 52, 60, 78, 80, 89, 90, 91, 112, 113, 114, 121, 122, 124, 153, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 189, 190, 192, 193, 195, 197, 198, 201], "partial": [60, 61, 70, 134], "particip": 107, "particular": [69, 115, 128, 140, 141], "partner": [162, 192, 193], "pasid": 23, "pasidvld": 23, "pasiv": 154, "pass": [18, 19, 20, 22, 61, 73, 110, 112, 121, 147, 151, 167, 169, 191, 193, 201], "passiv": [128, 138, 139, 140, 141, 147, 152], "path": [0, 22, 28, 33, 48, 66, 68, 89, 110, 122, 125, 147, 153, 167, 169, 179, 190, 194, 201], "path_to_entity_1": 190, "path_to_entity_2": 190, "pattern": [69, 132, 147, 154], "paus": [67, 73, 78, 107, 189], "pause_queu": 73, "pause_request": 67, "payload": [64, 71, 117, 119, 189, 198, 201], "pc": [112, 114, 119, 173, 175, 176, 183, 186, 193, 197, 198, 199, 201], "pcap": 151, "pci": [23, 43, 46, 47, 89, 115, 116, 118, 191, 195, 198], "pci0": 191, "pci_ext_cap": [191, 198, 204], "pcie": [23, 28, 34, 36, 40, 41, 42, 44, 46, 78, 115, 119, 121, 162, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 189, 190, 191, 192, 195, 197, 199], "pcie_10b_tag_req_en": 198, "pcie_byte_count": 117, "pcie_byte_en_decod": 118, "pcie_cc_hdr_gen": 120, "pcie_cc_meta_width": [119, 198], "pcie_cc_mfb2axi": 116, "pcie_clk": 198, "pcie_con": 198, "pcie_conf": [195, 198], "pcie_cq_": 46, "pcie_cq_axi2mfb": 116, "pcie_cq_hdr_depars": 120, "pcie_cq_meta_width": [42, 46, 47, 119, 198], "pcie_cq_mfb_block_s": [46, 47], "pcie_cq_mfb_data": [46, 47], "pcie_cq_mfb_dst_rdi": [46, 47], "pcie_cq_mfb_eof": [46, 47], "pcie_cq_mfb_eof_po": [46, 47], "pcie_cq_mfb_item_width": [46, 47], "pcie_cq_mfb_meta": [46, 47], "pcie_cq_mfb_region": [46, 47], "pcie_cq_mfb_region_s": [46, 47], "pcie_cq_mfb_sof": [46, 47], "pcie_cq_mfb_sof_po": [46, 47], "pcie_cq_mfb_src_rdi": [46, 47], "pcie_crdt_log": 188, "pcie_endpoint": [189, 190, 198], "pcie_endpoint_mod": [190, 198], "pcie_endpoint_typ": 198, "pcie_ep": 198, "pcie_ext_tag_en": 198, "pcie_gen": 190, "pcie_lan": [190, 198], "pcie_link_up": [189, 198], "pcie_meta_pack": 42, "pcie_mfb_block_s": [41, 42, 43], "pcie_mfb_data": [41, 42, 44], "pcie_mfb_dst_rdi": [41, 42], "pcie_mfb_eof": [41, 42], "pcie_mfb_eof_po": [41, 42], "pcie_mfb_item_width": [41, 42, 43], "pcie_mfb_meta": [41, 42, 44], "pcie_mfb_region": [41, 42, 43], "pcie_mfb_region_s": [41, 42, 43], "pcie_mfb_sof": [41, 42, 44], "pcie_mfb_sof_po": [41, 42], "pcie_mfb_src_rdi": [41, 42, 44], "pcie_mod_arch": 198, "pcie_mp": 198, "pcie_mrr": 198, "pcie_rc_hdr_depars": 120, "pcie_rc_meta_width": 198, "pcie_rcb_siz": 198, "pcie_rq_hdr_gen": 120, "pcie_rq_meta_width": [34, 40, 47, 198], "pcie_rq_mfb_block_s": 47, "pcie_rq_mfb_data": 47, "pcie_rq_mfb_dst_rdi": 47, "pcie_rq_mfb_eof": 47, "pcie_rq_mfb_eof_po": 47, "pcie_rq_mfb_item_width": 47, "pcie_rq_mfb_meta": 47, "pcie_rq_mfb_region": 47, "pcie_rq_mfb_region_s": 47, "pcie_rq_mfb_sof": 47, "pcie_rq_mfb_sof_po": 47, "pcie_rq_mfb_src_rdi": 47, "pcie_rx_n": 198, "pcie_rx_p": 198, "pcie_sysclk_n": 198, "pcie_sysclk_p": 198, "pcie_sysrst_n": 198, "pcie_tx_n": 198, "pcie_tx_p": 198, "pcie_up_mfb_block_s": 40, "pcie_up_mfb_data": 40, "pcie_up_mfb_dst_rdi": 40, "pcie_up_mfb_eof": 40, "pcie_up_mfb_eof_po": 40, "pcie_up_mfb_item_width": 40, "pcie_up_mfb_meta": 40, "pcie_up_mfb_region": 40, "pcie_up_mfb_region_s": 40, "pcie_up_mfb_sof": 40, "pcie_up_mfb_sof_po": 40, "pcie_up_mfb_src_rdi": 40, "pcie_user_clk": 198, "pcie_user_reset": 198, "pciex": 35, "pcs_rx_fifo_deprec": 110, "pcs_tx_fifo_deprec": 110, "pcspma": 191, "pcspma0": 191, "pcspma1": 191, "pcsreg": [0, 191], "pd": [26, 82, 114, 165, 178], "pdf": [10, 33], "per": [1, 17, 18, 60, 61, 62, 69, 73, 76, 78, 92, 105, 124, 133, 134, 151, 152, 154, 162, 186, 189, 193, 198, 202], "perceiv": 69, "percentag": [133, 142, 145], "percentig": 131, "perf_cntr_en": [40, 47], "perform": [0, 6, 11, 18, 20, 24, 26, 32, 36, 38, 40, 47, 70, 74, 107, 110, 112, 113, 114, 115, 124, 147, 163, 167, 169, 183, 201], "perhap": 91, "period": [21, 32, 46, 60, 65, 73, 77, 110, 163, 167, 169, 189], "peripher": 24, "perman": [189, 193], "permiss": [40, 46], "permit": 116, "permut": 6, "pg213": 116, "ph": 116, "phandl": 191, "phase": [69, 131, 134, 142, 145, 146, 147, 153], "phase_sav": 169, "phoni": 169, "phy": [189, 193, 203], "phy_40g": 110, "physic": [110, 152, 192, 193, 200], "pick": [128, 140, 141], "pictur": [69, 89, 107, 113, 147, 193], "piec": 147, "pin": [110, 193], "pip": 184, "pip3": 179, "pipe": [45, 49, 63, 64, 71, 91, 98, 104, 119, 163, 165, 166, 167], "pipe_dsp": 163, "pipe_out": 91, "pipe_outreg": 91, "pipe_tree_add": 163, "pipe_typ": [60, 68, 88, 91], "piped_port": 63, "pipelin": [10, 18, 20, 61, 62, 68, 70, 85, 91, 110, 132, 147, 153, 167, 189], "pkg": [28, 147, 155, 190], "pkt": 36, "pkt_cnt_width": 50, "pkt_cntr_chan": 36, "pkt_cntr_disc_inc": 36, "pkt_cntr_pkt_size": 36, "pkt_cntr_sent_inc": 36, "pkt_disc_byt": 41, "pkt_disc_chan": 41, "pkt_disc_inc": 41, "pkt_discard_byt": [38, 45], "pkt_discard_chan": [38, 45], "pkt_discard_inc": [38, 45], "pkt_drop": 46, "pkt_id_width": 56, "pkt_mtu": [36, 49, 52, 56, 62, 76, 77, 134], "pkt_mtu_byt": [112, 114], "pkt_mtu_in": 51, "pkt_sent_byt": [38, 43, 45], "pkt_sent_chan": [38, 43, 45, 80], "pkt_sent_dst_rdi": 80, "pkt_sent_inc": [38, 43, 45], "pkt_sent_len": 80, "pkt_sent_src_rdi": 80, "pkt_size": 36, "pkt_size_max": [38, 40, 41, 43, 45, 46, 80], "pl": 177, "place": [6, 20, 32, 70, 107, 110, 112, 113, 147, 152, 167, 190], "placehold": 190, "placement": 78, "plan": [18, 20], "plane": 49, "planned_pkt": 20, "planner": [18, 50, 77, 167], "platform": [169, 190, 191, 193, 195, 199, 200, 201], "player": 49, "player_fifo_depth": 49, "pleas": [89, 125, 127, 147, 148, 195, 201], "plot": [19, 26], "plot_count": 26, "plot_valu": 26, "plot_value_2d": 26, "plu": [22, 59, 166], "plug": 195, "pma": [112, 114, 135, 186, 193, 197, 201], "pma_xlaui_gti": 110, "pmci": [0, 191], "pmd": [110, 186, 191, 193, 197], "pmd0": 191, "pmd1": 191, "pmdctrl0": [0, 191], "pmdctrl1": [0, 191], "po": [51, 62, 76], "pof": [175, 176], "point": [0, 18, 46, 62, 78, 82, 121, 153, 162, 190, 197], "pointer": [20, 35, 36, 38, 40, 42, 43, 45, 46, 47, 61, 69, 80, 147], "pointer_update_chan": 35, "pointer_update_data": 35, "pointer_update_en": 35, "pointer_width": [35, 36, 38, 40, 42, 44], "polar": [110, 186], "polynom": 133, "polynomi": 110, "pool": 153, "pop": 19, "pop_front": [147, 153], "popul": [169, 191], "popular": 162, "port": [3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 16, 19, 21, 23, 28, 30, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 49, 50, 51, 52, 54, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 79, 80, 81, 83, 84, 85, 86, 87, 88, 89, 92, 93, 94, 95, 96, 97, 98, 99, 101, 102, 103, 104, 105, 108, 109, 110, 113, 116, 117, 118, 119, 120, 122, 123, 124, 128, 129, 130, 133, 134, 135, 140, 141, 147, 149, 150, 159, 163, 164, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 186, 190, 193, 198, 199, 201], "port_en": 186, "port_id": 193, "port_list": 201, "port_map": 91, "portion": 0, "posedg": 147, "posit": [11, 12, 48, 57, 58, 78, 82, 89, 90, 91, 93, 101, 110, 112, 114, 116, 152, 169, 183, 185, 189], "possibl": [11, 17, 18, 19, 20, 26, 47, 48, 60, 61, 63, 69, 74, 77, 85, 87, 89, 91, 103, 112, 114, 121, 131, 134, 142, 145, 146, 147, 151, 155, 159, 169, 179, 183, 185, 189, 190, 191, 194, 201], "possibli": [78, 191], "possit": [59, 68, 79, 81, 82], "post": [147, 193], "post_do": 147, "post_trigg": 153, "potenti": [69, 169], "power": [4, 6, 27, 32, 51, 52, 56, 57, 58, 60, 61, 62, 65, 67, 70, 73, 76, 77, 79, 83, 84, 90, 92, 99, 101, 103, 112, 114, 173, 175, 176, 183], "pp": [69, 77, 124, 202], "pps_n": 124, "pps_reg": 124, "pps_sel": 124, "pps_sel_width": 124, "pps_src": 124, "practic": [69, 78, 147, 169], "pre": [22, 24, 195], "pre_do": 147, "pre_trigg": 153, "preambl": [112, 132], "prebuilt": 28, "preced": [113, 115], "precharg": [32, 189], "precis": [25, 27, 32, 48, 67, 73, 124], "precomput": 10, "predefin": [91, 126, 128, 134, 140, 141, 169], "prefer": [69, 169], "preffer": 64, "prefix": [23, 48, 147, 151, 169], "prefixsum": 62, "prepar": [129, 130, 133, 149, 169, 189], "prepend": 62, "preprocess": 18, "prerequisit": [169, 179], "presenc": [22, 70, 107, 116, 189], "present": [11, 20, 28, 60, 66, 70, 81, 104, 108, 115, 169, 174, 177, 186, 189, 191], "preserv": 169, "pretti": 91, "prevent": [70, 121, 122], "previou": [11, 20, 32, 40, 46, 60, 61, 62, 70, 89, 91, 113, 121, 122, 142, 147, 150, 155, 189, 193], "previous": [20, 61, 69, 70, 89, 104, 113, 121, 122, 190], "primari": [47, 115, 154], "primarili": [92, 194], "prime": [28, 173, 174, 175, 176, 178, 179, 182, 183, 194, 195], "primit": [7, 159, 164], "princeton": 10, "principl": [89, 98], "print": [26, 32, 33, 128, 134, 138, 139, 140, 141, 147, 152, 155], "prioriti": [11, 27, 190], "prioritis": 15, "privileg": 28, "pro": [162, 173, 174, 175, 176, 179, 182, 183, 191, 194, 195], "probability_set": [131, 142, 145], "probabl": [27, 131, 142, 145], "probe": [32, 60, 135, 194], "probe_event_component_": 153, "probe_if": 153, "probe_inf": 153, "probe_statu": 153, "problem": [11, 51, 70, 134, 147, 163, 185, 193], "proc": 169, "proce": 174, "procedur": [169, 173, 175, 176, 183, 190], "process": [0, 11, 18, 20, 22, 23, 27, 34, 42, 51, 60, 61, 62, 69, 78, 82, 90, 107, 113, 114, 116, 119, 122, 125, 131, 134, 141, 142, 145, 146, 147, 154, 162, 167, 169, 189, 190, 197], "processing_ord": 169, "processor": 62, "prodesign": 178, "produc": [90, 134], "product": [78, 169, 177, 179], "profession": [162, 192], "profile_filepath": 151, "profile_gener": 151, "profile_generator_config_filepath": 151, "profile_swap": 185, "program": [169, 174], "programm": [173, 183], "progress": 18, "proj_onli": [169, 184], "project": [89, 169, 184, 190, 191, 195], "promiscu": 112, "prop_rdi": 147, "propabl": 39, "propag": [11, 17, 18, 19, 20, 22, 47, 62, 66, 76, 77, 82, 90, 121, 122, 169, 190], "proper": [113, 189, 193, 195], "properli": [112, 124, 147, 170, 171, 172, 191, 192], "properti": [0, 10, 85, 125, 126, 128, 137, 140, 141, 191, 196], "proport": 69, "proprietari": 152, "prot": 189, "protect": 147, "protocol": [48, 61, 84, 125, 127, 147, 148, 149, 154, 157, 162, 193, 197, 200], "prototyp": 179, "provid": [0, 11, 12, 15, 18, 20, 28, 38, 40, 45, 46, 47, 63, 69, 77, 78, 79, 84, 105, 107, 110, 116, 117, 119, 134, 147, 151, 158, 162, 169, 189, 190, 193, 195, 197, 198, 199], "prowid": 147, "prperti": 169, "prt": 147, "pscn": 69, "pseudo": [11, 32, 163, 185], "ptc": [198, 204], "ptc_disabl": 198, "ptr": 82, "ptr_out_reg": 35, "publicli": 201, "pull": [131, 144, 145, 147], "puls": [124, 138, 139, 163, 167, 202], "pulse_out": 21, "pulse_short": [21, 167], "pure": [12, 123, 134], "purpos": [20, 32, 37, 59, 61, 78, 113, 115, 116, 120, 121, 122, 125, 132, 134, 147, 153, 154, 155, 163, 169, 183, 190, 193, 198, 201], "push_back": [134, 147, 153], "put": [11, 40, 46, 63, 74, 82, 104, 147], "py": [0, 25, 26, 28, 32, 69, 151, 179, 185, 201], "pytest": 179, "python": [0, 25, 26, 32, 33, 69, 174, 179, 184, 185, 201], "python3": [0, 25, 26, 32, 33, 201], "p\u0159idat": 5, "qo": 189, "qsf": [185, 193], "qsfp": [110, 173, 174, 176, 177, 178, 179, 182, 183, 186, 190, 191, 193, 197, 199, 200, 201], "qsfp28": [170, 171, 172, 180, 181, 200], "qsfp56": 175, "qsfp_i2c_dir": 186, "qsfp_i2c_port": 186, "qsfp_i2c_scl": 186, "qsfp_i2c_scl_i": 186, "qsfp_i2c_scl_o": 186, "qsfp_i2c_sda": 186, "qsfp_i2c_sda_i": 186, "qsfp_i2c_sda_o": 186, "qsfp_i2c_trist": 186, "qsfp_int_n": 186, "qsfp_lpmode": 186, "qsfp_modprs_n": 186, "qsfp_modsel_n": 186, "qsfp_port": 186, "qsfp_reset_n": 186, "qspf": 178, "qspi": [24, 199], "quad": 24, "quadrat": 11, "quadrupl": 189, "qualiti": 67, "quantum": 19, "quartu": [28, 123, 169, 173, 174, 175, 176, 178, 179, 182, 183, 191, 194, 195], "quartust": 169, "quasi": 44, "quest": [129, 130], "questa": 169, "question": 190, "queue": [6, 73, 153, 195, 200], "quick_reset_en": 11, "quicki": 142, "quickli": [131, 145, 146, 199, 201], "quiet": 169, "quit": 147, "r": [0, 30, 31, 32, 33, 40, 46, 69, 110, 112, 114, 122, 174, 176, 179, 183, 184, 189, 195, 197, 198], "r_tile": 198, "race": 147, "rais": [70, 190], "raise_object": 147, "ram": [2, 4, 15, 17, 27, 35, 36, 38, 40, 44, 45, 47, 84, 104], "ram_typ": [4, 5, 6, 79, 81, 84, 108], "ran": 154, "rand": [32, 33, 125, 126, 136, 137, 147], "rand_gen_addr_width": 32, "rand_gen_data_width": 32, "rand_length": 134, "rand_length_rand": 134, "rand_rdi": [134, 137], "rand_rdy_rand": 134, "random": [5, 32, 46, 91, 99, 125, 128, 131, 132, 133, 135, 138, 139, 140, 141, 142, 145, 146, 147, 149, 150, 152, 154, 155, 163], "random_addr_se": 32, "random_data_se": 32, "randomiz": [128, 140, 141], "randomli": [46, 128, 131, 140, 141, 145, 146, 147, 149, 154], "rang": [19, 23, 26, 27, 32, 33, 61, 73, 91, 107, 124, 138, 139, 150, 151, 162, 185, 186, 189, 193, 197, 201, 202], "rapid": 162, "rate": [110, 162, 165, 192, 194, 201], "rate_limit": 69, "rather": [89, 194], "ratio": 1, "raw": [32, 33], "rbf": 174, "rc": [120, 198], "rc_mfb_block_siz": 198, "rc_mfb_item_width": 198, "rc_mfb_region": 198, "rc_mfb_region_s": 198, "rcb": 198, "rd": [5, 6, 32, 77, 89, 149, 153], "rd_addr": [13, 15, 17, 44], "rd_aempti": [4, 79], "rd_ch": 9, "rd_chan": 44, "rd_clk": [4, 17], "rd_data": [4, 13, 15, 17, 44], "rd_data_vld": [15, 17, 44], "rd_empti": 4, "rd_en": [4, 15, 17, 44, 79, 153], "rd_latenc": [13, 18], "rd_meta_in": [15, 17], "rd_meta_out": [15, 17], "rd_pipe_en": 17, "rd_ptr": 80, "rd_rst": [4, 17], "rd_statu": 4, "rd_val": 9, "rd_vld": 9, "rdw_behav": 13, "rdy": [134, 137, 138, 139, 144, 147], "re": [61, 69, 91, 121, 193], "reach": [18, 19, 20, 41, 47, 61, 67, 69, 121, 201], "react": 32, "read": [0, 4, 5, 9, 11, 13, 15, 17, 18, 19, 20, 23, 24, 25, 26, 27, 30, 31, 32, 33, 36, 38, 40, 43, 44, 45, 46, 60, 61, 67, 69, 78, 80, 82, 84, 85, 87, 89, 90, 92, 96, 104, 105, 109, 110, 112, 113, 114, 115, 119, 120, 121, 122, 124, 125, 131, 132, 142, 145, 147, 149, 154, 155, 159, 163, 164, 186, 191, 193, 194, 195, 197, 198, 201], "read_addr": 27, "read_box": 27, "read_box_vld": 27, "read_port": [6, 13, 15, 16], "read_prior": 27, "read_req": 27, "readabl": [147, 193], "readdata": 125, "readdatavalid": [85, 125], "readi": [4, 18, 30, 32, 48, 65, 68, 78, 79, 84, 85, 89, 92, 94, 97, 98, 99, 101, 105, 107, 112, 114, 116, 119, 124, 125, 136, 137, 150, 163, 167, 189, 192, 196], "readm": [28, 179, 194, 195], "reagion": 51, "real": [113, 115, 124, 169], "reali": 154, "realist": [32, 60], "realiti": 193, "realiz": [70, 89, 91], "realli": 89, "realtime_reg": 124, "rearrang": 56, "reason": [11, 18, 19, 20, 48, 70, 112, 114, 121, 125, 147, 154], "reboot": [183, 199], "rebuild": 169, "recalcul": [69, 96], "receiv": [0, 19, 20, 25, 30, 31, 32, 35, 40, 41, 46, 62, 72, 78, 80, 89, 92, 93, 97, 102, 104, 107, 110, 112, 113, 116, 122, 124, 127, 137, 147, 149, 159, 162, 167, 169, 186, 194, 198, 199, 201, 203], "recent": 11, "recept": [199, 202], "reciev": [11, 87, 89], "recip": 190, "recipi": 89, "reciv": 148, "recogn": 78, "recomend": [19, 169], "recommend": [3, 5, 89, 132, 147, 169, 186, 189, 190, 193, 195, 201], "reconfigur": [51, 165, 166, 185, 203], "record": 193, "record_max_numb": 151, "record_min_numb": 151, "recording_detail": 147, "recov": 110, "recoveri": 183, "recreat": 61, "recurs": 169, "recv_bts_cnt_width": [38, 45], "recv_pkt_cnt_width": [38, 45], "redefin": 147, "redirect": 73, "redistribut": 61, "reduc": [20, 26, 32, 70, 90, 190, 191], "reduct": [20, 70], "ref": [110, 149], "ref_nam": 191, "refclk_in": 110, "refclk_n": 110, "refclk_out": 110, "refclk_p": 110, "refer": [7, 10, 85, 110, 132, 147, 169, 170, 171, 172, 178, 183, 189, 190, 191, 193, 195, 196, 200, 201], "referenc": 191, "reffer": 19, "reflect": 151, "reflexc": 162, "refr_ack": 32, "refr_period": 32, "refr_period_width": 32, "refr_req": 32, "refr_req_before_test": 32, "refresh": [32, 189], "reg": [26, 60, 68, 88, 121, 169, 191], "reg0": [20, 22], "reg4": 20, "reg_bitmap": 123, "reg_fifo": 7, "reg_out_en": 39, "regard": [18, 190], "regardless": 89, "regarr0": [0, 191], "regarr1": [0, 191], "regino": 70, "region": [34, 37, 39, 43, 44, 46, 49, 50, 51, 52, 54, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 81, 112, 114, 116, 119, 127, 131, 142, 145, 146, 147, 148, 167, 186, 189], "region_aux_en": 75, "region_numb": 62, "region_s": [37, 49, 50, 54, 57, 58, 59, 60, 63, 65, 68, 70, 72, 74, 75, 78, 81, 131, 145, 146, 147, 148, 186], "regions_s": [131, 145, 148], "regist": [3, 4, 6, 8, 10, 11, 13, 15, 17, 18, 19, 20, 22, 24, 26, 28, 30, 31, 32, 33, 35, 38, 45, 47, 49, 50, 60, 61, 64, 69, 71, 73, 79, 87, 88, 89, 90, 91, 92, 93, 94, 97, 98, 104, 109, 110, 113, 115, 117, 121, 123, 124, 147, 159, 163, 164, 167, 186, 191, 193, 195, 197, 198, 199], "registr": 147, "regular": [61, 173, 183, 190], "reimplement": [134, 147], "rel": [169, 191], "relat": [32, 78, 80, 147], "relax": [23, 120], "releas": [28, 121, 159, 162, 198], "relev": [19, 190], "reli": 169, "reliabl": 110, "remad": 169, "remain": [78, 79, 83, 113, 132, 150, 159, 170, 172], "remap": 122, "rememb": [69, 90], "remot": [24, 28], "remov": [6, 9, 12, 20, 28, 49, 51, 70, 82, 112, 159, 163, 164, 167, 179, 191, 193, 194], "reorder": [104, 110], "repeat": [22, 30, 46, 69, 121, 147, 154, 201], "repeater_ctrl": 186, "repetit": [131, 142, 145, 146], "replac": [5, 91, 108, 118, 162, 192], "repli": [149, 191, 195], "replic": 15, "report": [5, 46, 201], "report_gen": 32, "report_phas": 147, "repositori": [0, 2, 28, 112, 114, 151, 156, 157, 160, 161, 165, 166, 168, 169, 190, 191, 194, 203, 204], "repres": [5, 67, 69, 124, 136, 147, 185, 193], "represent": [5, 60, 191], "reprez": 134, "republ": 194, "req": [31, 147, 150, 154, 155], "reqest": 87, "requ": 89, "request": [0, 4, 6, 11, 15, 23, 24, 25, 26, 27, 30, 31, 32, 35, 36, 40, 41, 45, 46, 47, 60, 69, 73, 79, 84, 85, 87, 89, 90, 92, 105, 112, 114, 115, 116, 119, 120, 121, 122, 124, 125, 129, 130, 149, 155, 159, 167, 189, 192, 193, 195, 197, 198, 199, 201], "request_item_type_": 125, "request_typ": 125, "requier": 190, "requir": [0, 1, 5, 6, 11, 19, 30, 46, 60, 64, 69, 70, 77, 90, 91, 97, 103, 104, 112, 113, 114, 121, 122, 127, 131, 134, 142, 145, 146, 147, 148, 154, 163, 164, 169, 173, 175, 176, 179, 183, 184, 186, 187, 190, 193, 194, 195, 198, 200, 201], "reserv": [40, 46, 50, 110, 112, 114, 115, 169, 197], "reset": [1, 3, 4, 5, 6, 8, 9, 10, 12, 13, 15, 17, 18, 19, 21, 26, 27, 31, 32, 33, 35, 36, 38, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 51, 52, 54, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 80, 81, 82, 84, 85, 86, 87, 88, 91, 92, 93, 94, 95, 96, 97, 98, 99, 101, 102, 103, 104, 105, 108, 109, 110, 112, 113, 114, 117, 119, 123, 124, 131, 134, 135, 138, 139, 142, 145, 146, 149, 153, 167, 186, 189, 198, 202], "reset_": 84, "reset_ag": 154, "reset_arb": 18, "reset_eth": [186, 189], "reset_fsm_base_addr": 169, "reset_if": 147, "reset_in": 80, "reset_log": 84, "reset_m": 84, "reset_meta": 80, "reset_out": 80, "reset_sync": [131, 142, 145, 146, 154], "reset_tim": 154, "reset_tree_gen": 167, "reset_us": [186, 189], "reset_user_x2": 189, "reset_user_x3": 189, "reset_user_x4": 189, "reset_v": 11, "reset_width": [186, 189], "resiz": [32, 70, 122], "resize_buff": 112, "resize_on_tx": 114, "resolut": [44, 70, 113], "resolv": 168, "resourc": [11, 20, 26, 32, 51, 61, 62, 64, 70, 91, 101, 103, 104, 105, 112, 159, 163, 164, 191], "resp": 189, "respect": [5, 11, 24, 42, 60, 70, 89, 91, 107, 113, 162, 169, 190], "respond": [89, 119, 147, 149], "respones": 89, "respons": [18, 35, 85, 89, 104, 119, 121, 122, 126, 127, 135, 136, 137, 148, 149, 150, 155, 198], "rest": [32, 52, 60, 62, 169, 193], "restart": 69, "restor": 69, "restrict": [15, 18, 38, 40, 47, 54, 57, 68, 69, 78, 81, 147, 169], "result": [3, 10, 11, 13, 15, 18, 19, 28, 32, 61, 69, 76, 78, 110, 121, 134, 147, 167, 179, 193], "resum": [67, 73], "ret": [147, 154], "retriev": 132, "return": [26, 69, 73, 89, 113, 134, 147, 153, 154, 169], "rev": 115, "revers": [50, 113, 151, 163, 193], "revis": [191, 195], "rework": 76, "rfc": 112, "rhel": 195, "righ": 35, "right": [8, 24, 60, 69, 73, 78, 89, 107, 112, 113, 129, 130, 133, 138, 139, 155, 163, 185, 193], "ring": [35, 36], "rise": [1, 30, 32, 150, 153, 163], "risk": 98, "ro": [69, 115, 124], "robin": [0, 50, 92, 167], "rocki": 195, "role": 189, "roll": 147, "rom": [119, 163, 199], "root": [147, 169, 198], "rotat": [8, 18, 61], "rough": 19, "round": [0, 6, 19, 50, 62, 70, 90, 92, 167], "rout": [0, 18, 23, 61, 91, 92, 110, 198], "router": [0, 168], "row": [18, 69], "row_item": 18, "rpm": [0, 28, 195], "rq": [34, 120, 198], "rq_mfb_block_siz": 198, "rq_mfb_item_width": 198, "rq_mfb_region": 198, "rq_mfb_region_s": 198, "rr": [50, 92], "rr_arbit": 167, "rsp": 155, "rsp_item_width": 104, "rsp_out_reg": 35, "rst": [7, 14, 21, 25, 26, 27, 29, 32, 33, 34, 37, 39, 50, 61, 65, 72, 81, 113, 147], "rst_ch": 9, "rst_done": [14, 25, 26, 27], "rst_vld": 9, "rsu": 24, "rsvd": 92, "rtl": 194, "rule": [60, 78, 92, 107, 113, 125, 127, 147, 148, 153], "run": [0, 11, 18, 19, 28, 32, 33, 41, 69, 79, 80, 83, 110, 127, 128, 131, 132, 140, 141, 142, 145, 146, 148, 149, 151, 154, 155, 169, 178, 179, 183, 184, 186, 190, 191, 192, 198, 200, 201], "run_phas": [134, 147, 153], "run_test": 147, "rw": [40, 46, 69, 112, 114, 115, 124], "rx": [0, 23, 34, 38, 46, 47, 49, 51, 52, 56, 60, 61, 62, 63, 64, 65, 66, 67, 69, 70, 71, 72, 73, 74, 76, 77, 79, 81, 83, 90, 92, 93, 94, 95, 98, 99, 101, 102, 104, 105, 114, 126, 127, 132, 135, 136, 137, 147, 148, 150, 162, 169, 186, 189, 193, 194, 195, 200, 201, 203], "rx0": 97, "rx0_data": 97, "rx0_dst_rdy": 97, "rx0_fifo_en": 97, "rx0_item": 97, "rx0_item_width": 97, "rx0_mfb_data": 64, "rx0_mfb_dst_rdy": 64, "rx0_mfb_eof": 64, "rx0_mfb_eof_po": 64, "rx0_mfb_meta": 64, "rx0_mfb_sof": 64, "rx0_mfb_sof_po": 64, "rx0_mfb_src_rdy": 64, "rx0_mvb_dst_rdy": 64, "rx0_mvb_hdr": 64, "rx0_mvb_payload": 64, "rx0_mvb_src_rdy": 64, "rx0_mvb_vld": 64, "rx0_payload_en": 64, "rx0_src_rdy": 97, "rx0_vld": 97, "rx1": 97, "rx1_data": 97, "rx1_dst_rdy": 97, "rx1_item": 97, "rx1_item_width": 97, "rx1_mfb_data": 64, "rx1_mfb_dst_rdy": 64, "rx1_mfb_eof": 64, "rx1_mfb_eof_po": 64, "rx1_mfb_meta": 64, "rx1_mfb_sof": 64, "rx1_mfb_sof_po": 64, "rx1_mfb_src_rdy": 64, "rx1_mvb_dst_rdy": 64, "rx1_mvb_hdr": 64, "rx1_mvb_payload": 64, "rx1_mvb_src_rdy": 64, "rx1_mvb_vld": 64, "rx1_payload_en": 64, "rx1_src_rdy": 97, "rx1_vld": 97, "rx_addr": [87, 91], "rx_aful": [79, 83], "rx_agent": 147, "rx_ardi": [87, 91], "rx_be": 91, "rx_block_siz": [34, 39, 70, 112, 114], "rx_chan_rout": [0, 191], "rx_channel": [47, 61, 92], "rx_chsum_en": 76, "rx_clk": [77, 79, 83, 112, 114, 134, 169], "rx_clk2": 77, "rx_clk_x2": 114, "rx_compare_data": 147, "rx_compare_meta": 147, "rx_cut": 57, "rx_data": [7, 8, 48, 54, 57, 58, 59, 60, 62, 68, 70, 74, 75, 79, 81, 83, 92, 93, 94, 95, 96, 99, 101, 102, 104, 105, 108], "rx_data_consum": 104, "rx_data_in": 63, "rx_data_out": 63, "rx_discard": [83, 94, 147], "rx_discard_": 147, "rx_dma_calypt": 40, "rx_dma_calypte_addr_manag": 35, "rx_dma_calypte_hdr_insertor": 34, "rx_dma_calypte_hdr_manag": 36, "rx_dma_calypte_input_buff": 37, "rx_dma_calypte_sw_manag": 38, "rx_dma_calypte_trans_buff": 39, "rx_dma_channel": 49, "rx_drd": [87, 91], "rx_drdy": [87, 91], "rx_drop": 58, "rx_dst_rdy": [7, 8, 48, 54, 57, 58, 60, 62, 68, 70, 74, 75, 79, 81, 83, 92, 93, 94, 95, 96, 99, 101, 102, 104, 105, 108], "rx_dst_rdy_in": 63, "rx_dst_rdy_out": 63, "rx_dwr": [87, 91], "rx_env": 147, "rx_eof": [54, 57, 58, 59, 60, 68, 70, 75, 79, 81, 83, 113], "rx_eof_in": 63, "rx_eof_out": 63, "rx_eof_po": [54, 57, 58, 59, 60, 68, 70, 75, 79, 81, 83], "rx_eof_pos_in": 63, "rx_eof_pos_out": 63, "rx_eop": [48, 74], "rx_eop_po": [48, 74], "rx_fifo_depth": 95, "rx_fifo_en": 95, "rx_gen_en": 47, "rx_hdr_addr": 80, "rx_hdr_chan": 80, "rx_hdr_dst_rdy": 80, "rx_hdr_ins_en": 49, "rx_hdr_len": 80, "rx_hdr_meta": 80, "rx_hdr_mfb_meta": 80, "rx_hdr_src_rdy": 80, "rx_hdr_vld": 80, "rx_include_crc": 114, "rx_include_ipg": 114, "rx_input": 147, "rx_input_data": 147, "rx_input_data_": 147, "rx_item": 105, "rx_item_width": [34, 39, 70, 112, 114], "rx_length": [62, 76], "rx_link_up": 186, "rx_mac_lit": [112, 169, 191, 193], "rx_mac_lite_region": 147, "rx_meta": [54, 57, 58, 59, 60, 62, 68, 70, 74, 75, 79, 81, 83, 96], "rx_meta_in": 63, "rx_meta_out": 63, "rx_metadata": 8, "rx_mfb": 147, "rx_mfb0_data": 65, "rx_mfb0_dst_rdy": 65, "rx_mfb0_eof": 65, "rx_mfb0_eof_po": 65, "rx_mfb0_meta": 65, "rx_mfb0_sof": 65, "rx_mfb0_sof_po": 65, "rx_mfb0_src_rdy": 65, "rx_mfb1_data": 65, "rx_mfb1_dst_rdy": 65, "rx_mfb1_eof": 65, "rx_mfb1_eof_po": 65, "rx_mfb1_meta": 65, "rx_mfb1_sof": 65, "rx_mfb1_sof_po": 65, "rx_mfb1_src_rdy": 65, "rx_mfb_": [113, 193], "rx_mfb_conf_eq_tx": 51, "rx_mfb_data": [34, 37, 39, 51, 52, 56, 61, 62, 65, 66, 67, 69, 71, 72, 73, 76, 77, 112, 113, 114, 186], "rx_mfb_discard": 77, "rx_mfb_dst_rdy": [34, 37, 39, 51, 52, 56, 61, 62, 65, 66, 67, 69, 71, 72, 73, 76, 77, 113, 114, 186], "rx_mfb_eof": [34, 37, 39, 51, 52, 56, 61, 62, 65, 66, 67, 69, 71, 72, 73, 76, 77, 112, 113, 114, 186], "rx_mfb_eof_po": [37, 39, 51, 52, 56, 61, 62, 65, 66, 67, 69, 71, 72, 73, 76, 77, 112, 113, 114, 186], "rx_mfb_error": 112, "rx_mfb_hdr": [186, 193], "rx_mfb_meta": [51, 65, 66, 67, 69, 72, 73, 76, 77], "rx_mfb_queue": 73, "rx_mfb_sel": 72, "rx_mfb_sof": [34, 37, 39, 51, 52, 56, 61, 62, 65, 66, 67, 69, 71, 72, 73, 76, 77, 112, 113, 114, 186], "rx_mfb_sof_po": [37, 51, 52, 56, 61, 62, 65, 66, 67, 69, 71, 72, 73, 76, 77, 112, 113, 114, 186], "rx_mfb_src_rdy": [34, 37, 39, 51, 52, 56, 61, 62, 65, 66, 67, 69, 71, 72, 73, 76, 77, 112, 113, 114, 186], "rx_mfb_t": 67, "rx_mfb_timestamp": 73, "rx_mvb": 147, "rx_mvb_channel": 61, "rx_mvb_data": [51, 62, 66, 71, 103], "rx_mvb_discard": 56, "rx_mvb_dst_rdy": [51, 52, 56, 61, 62, 66, 71, 103, 109], "rx_mvb_ext_en": 52, "rx_mvb_ext_onli": 52, "rx_mvb_ext_siz": 52, "rx_mvb_frame_length": 52, "rx_mvb_hdr": 71, "rx_mvb_len": 61, "rx_mvb_lut_addr": 109, "rx_mvb_meta": [71, 103], "rx_mvb_metadata": 109, "rx_mvb_mod_eof_en": 56, "rx_mvb_mod_eof_s": 56, "rx_mvb_mod_eof_typ": 56, "rx_mvb_mod_sof_en": 56, "rx_mvb_mod_sof_s": 56, "rx_mvb_mod_sof_typ": 56, "rx_mvb_payload": 71, "rx_mvb_src_rdy": [51, 52, 56, 61, 62, 66, 71, 103, 109], "rx_mvb_switch": 71, "rx_mvb_usermeta": [52, 56], "rx_mvb_vld": [51, 52, 56, 61, 62, 66, 71, 103, 109], "rx_mwr": 91, "rx_new_sof": 62, "rx_offset": [62, 76], "rx_old_sof": 62, "rx_op_dst_rdi": 104, "rx_op_en": 104, "rx_op_pipe_en": 104, "rx_op_respons": 104, "rx_op_src_rdi": 104, "rx_op_vld": 104, "rx_out_data": 147, "rx_out_hdr": 147, "rx_output": 147, "rx_path_40g": 110, "rx_ptr_width": 47, "rx_rd": [87, 91], "rx_region": [70, 74, 112, 114], "rx_region_s": [34, 39, 70, 112, 114], "rx_reset": [77, 79, 83, 112, 114, 134], "rx_sel": [8, 93, 101], "rx_sel_data": 102, "rx_sel_dst_rdi": [101, 102], "rx_sel_if": 101, "rx_sel_src_rdi": [101, 102], "rx_sel_vld": [101, 102], "rx_shakedown_en": 99, "rx_sof": [54, 57, 58, 59, 60, 68, 70, 75, 79, 81, 83, 113], "rx_sof_in": 63, "rx_sof_mask": 62, "rx_sof_out": 63, "rx_sof_po": [54, 57, 58, 59, 60, 68, 70, 75, 79, 81, 83, 113], "rx_sof_pos_in": 63, "rx_sof_pos_out": 63, "rx_sop": [48, 74], "rx_sop_po": [48, 74], "rx_src_rdy": [7, 8, 48, 54, 57, 58, 59, 60, 62, 68, 70, 74, 75, 79, 81, 83, 92, 93, 94, 95, 96, 99, 101, 102, 104, 105, 108], "rx_src_rdy_in": 63, "rx_src_rdy_out": 63, "rx_statu": [79, 83], "rx_stream": [99, 101], "rx_trim_en": 54, "rx_trim_len": 54, "rx_uinstr_src_rdi": 18, "rx_valid": 96, "rx_vld": [92, 93, 94, 95, 99, 101, 102, 104, 105, 108], "rx_word": 62, "rx_wr": [87, 91], "rxmac": [0, 191], "rxmac0": [0, 191], "rxmac1": [0, 191], "rxn": 110, "rxp": 110, "rxpolar": 110, "s10memori": [159, 164], "s_ch": 185, "s_p": 185, "safe": [6, 18, 84], "safe_read_mod": 6, "sai": [11, 18, 70, 89, 138, 139, 152, 159], "said": 91, "sake": [70, 78, 147], "same": [1, 11, 12, 13, 15, 18, 20, 22, 27, 28, 29, 31, 32, 33, 48, 49, 51, 60, 63, 65, 69, 70, 73, 77, 78, 80, 82, 85, 89, 90, 91, 96, 97, 101, 104, 107, 112, 113, 114, 115, 120, 128, 133, 134, 138, 139, 140, 141, 147, 148, 149, 150, 155, 159, 163, 164, 167, 169, 170, 174, 186, 189, 190, 193, 194, 195], "same_clk": [49, 63], "sampl": [40, 46, 112, 114, 125, 127, 147, 150, 153], "sand": 150, "save": [20, 26, 30, 33, 51, 103, 104, 138, 139, 147, 150, 163], "sc": [147, 191, 195], "sc_output_": 147, "scalabl": [18, 162, 199], "scale": [32, 105], "scan": 28, "scenario": [134, 147], "schemat": [175, 176], "scheme": [40, 46, 47, 169], "scienc": 194, "scope": [23, 169], "scoped_to_ref": 169, "scoreboard": [5, 134], "scoreboard_channel_head": 134, "scrambl": [110, 133, 152], "scrambler": [110, 133], "scrambler_gen": 110, "script": [25, 28, 32, 33, 69, 169, 173, 175, 176, 178, 183, 185, 190, 191, 195, 201], "sd": 177, "sd1": 177, "sdc": 185, "sdk": 174, "sdm": [156, 183, 197], "sdp": [40, 46, 47], "sdp_bmem": 164, "sdp_bmem_v7": 164, "sdp_bram": [17, 164], "sdp_bram_b": 17, "sdp_bram_behav": 164, "sdp_bram_xilinx": 164, "sdp_memx": [163, 164], "sdp_rd_chan": 38, "sdp_rd_data": 38, "sdp_uram_xilinx": 164, "se": [32, 37], "search": [62, 110], "second": [19, 23, 36, 40, 46, 60, 61, 69, 70, 78, 89, 91, 107, 113, 123, 124, 131, 133, 134, 144, 145, 147, 150, 153, 155, 159, 162, 169, 179, 189, 190, 191, 193, 202], "secondari": 70, "section": [18, 24, 69, 76, 85, 107, 110, 147, 155, 189, 190, 193], "section_length": 69, "sectionlength": 69, "secur": [15, 24, 183], "see": [0, 5, 6, 11, 17, 18, 19, 23, 24, 26, 49, 67, 69, 70, 73, 77, 79, 81, 84, 85, 91, 92, 103, 107, 110, 112, 113, 114, 116, 122, 124, 128, 140, 141, 142, 145, 146, 147, 148, 151, 155, 162, 169, 170, 171, 172, 173, 174, 175, 176, 177, 179, 180, 181, 182, 183, 189, 190, 191, 192, 193, 196, 201], "seed": [32, 46], "seem": [11, 185], "seen": [18, 26, 169, 195], "seg": 135, "segment": [35, 112, 114, 136, 193], "sel": [8, 31, 101], "sel_shakedown_en": 101, "select": [4, 5, 10, 15, 17, 18, 26, 30, 32, 33, 36, 49, 54, 56, 61, 71, 72, 73, 76, 79, 81, 84, 92, 93, 94, 101, 102, 108, 112, 114, 116, 119, 124, 128, 140, 141, 147, 149, 159, 169, 179, 186, 189, 190, 191, 193, 195, 199, 200, 201], "selected_queu": 73, "self": [110, 179], "send": [0, 8, 11, 15, 18, 22, 24, 32, 34, 46, 47, 50, 52, 59, 61, 62, 78, 80, 82, 87, 92, 104, 107, 111, 116, 119, 122, 125, 126, 127, 129, 130, 131, 132, 133, 134, 137, 142, 144, 145, 146, 147, 148, 149, 152, 154, 155, 162, 189, 190, 197, 201], "send_empty_fram": 134, "send_fram": 134, "send_transact": 154, "sensor": 24, "sent": [20, 30, 34, 35, 38, 45, 47, 50, 59, 60, 61, 73, 74, 80, 87, 89, 91, 104, 112, 113, 114, 128, 132, 137, 140, 141, 142, 147, 149, 186, 189, 192, 193, 198], "separ": [11, 26, 32, 34, 46, 58, 61, 78, 80, 92, 107, 112, 114, 121, 122, 134, 147, 169, 193, 194, 200, 204], "seq": [33, 128, 140, 141, 147], "seq_byte_arrai": 147, "seq_cfg": [131, 142, 145, 146, 147], "seq_item_export": 147, "seq_item_port": 147, "seq_mvb": 147, "seq_rx_packet": 147, "seq_tx_rdi": 147, "seqeuenc": 154, "sequenc": [19, 24, 32, 46, 110, 127, 134, 135, 148, 149, 154, 155], "sequence_": 147, "sequence_burst_rx": 146, "sequence_byte_arrai": 147, "sequence_full_speed_rx": [131, 142, 145, 146], "sequence_item": [125, 126, 128, 131, 134, 135, 136, 137, 140, 141, 142, 145, 146, 147, 150], "sequence_item_request": 149, "sequence_item_respons": [149, 155], "sequence_lib": [128, 140, 141, 147], "sequence_lib_rx": [131, 142, 145, 146], "sequence_librari": 147, "sequence_library_rx": 137, "sequence_library_rx_fullspe": 137, "sequence_mast": 149, "sequence_master_burst": 149, "sequence_master_max": 149, "sequence_meta": 155, "sequence_mfb_data": 155, "sequence_mi": 155, "sequence_mi_sim": 155, "sequence_mvb": 147, "sequence_mvb_data": 155, "sequence_packet_const": 147, "sequence_packet_incr": 147, "sequence_packet_larg": 147, "sequence_packet_mid": 147, "sequence_packet_rand_spac": 147, "sequence_packet_smal": 147, "sequence_rand": 154, "sequence_rand_rx": 146, "sequence_rx": [126, 135, 137, 147], "sequence_rx_bas": 135, "sequence_rx_fullspe": 137, "sequence_rx_initi": 126, "sequence_rx_rdi": 147, "sequence_rx_stop": 137, "sequence_simpl": [128, 134, 140, 141, 147, 154], "sequence_simple_const": [128, 140, 141], "sequence_simple_dec": [128, 140, 141], "sequence_simple_gauss": [128, 140, 141], "sequence_simple_inc": [128, 140, 141], "sequence_simple_rx": [131, 142, 145], "sequence_simple_rx_bas": [131, 142, 145, 146], "sequence_slav": 149, "sequence_slave_incr_addr": 149, "sequence_slave_librari": 149, "sequence_slave_same_addr": 149, "sequence_slave_sim": 149, "sequence_slave_slave_burst": 149, "sequence_stop_rx": [131, 142, 145, 146], "sequence_tb": 155, "sequence_tx": [135, 144], "sequence_tx_ack": 126, "sequence_tx_bas": 135, "sequence_tx_burst": 144, "sequence_tx_rdi": 147, "sequence_tx_stop": 144, "sequenti": [27, 32, 147], "sequentiali": 163, "seri": [32, 46, 118, 162, 163], "serial": [20, 24, 62, 110, 183, 186, 193, 195, 198, 200], "seriou": 147, "serv": [45, 46, 60, 78, 110, 119, 129, 130, 133, 147, 162, 163, 169], "server": [28, 179], "set": [3, 4, 5, 6, 7, 8, 9, 11, 12, 13, 15, 18, 19, 20, 21, 22, 23, 24, 26, 27, 28, 30, 32, 33, 34, 36, 37, 39, 40, 45, 46, 47, 48, 49, 50, 52, 58, 60, 61, 63, 64, 65, 66, 69, 73, 76, 77, 78, 79, 82, 83, 87, 88, 89, 92, 103, 104, 105, 107, 111, 112, 113, 114, 115, 116, 121, 122, 124, 125, 127, 128, 129, 130, 131, 132, 133, 134, 140, 141, 142, 145, 146, 147, 148, 149, 150, 154, 155, 159, 162, 163, 164, 169, 177, 183, 185, 186, 189, 190, 193, 194, 195, 198, 201], "set_inst_overrid": [131, 142, 145, 146, 147], "set_max_delai": 1, "set_properti": 169, "set_rd": 149, "set_report_id_action_ti": 147, "setup": [0, 18, 19, 25, 32, 77, 154, 169, 185], "setup_flag": 169, "sever": [69, 103, 112, 114, 125, 153, 169, 179, 189, 192, 199, 201], "sfc": 114, "sfch": 114, "sfcl": 114, "sfd": 112, "sh": [173, 175, 176, 178, 183], "sh_fifo": 159, "sh_fsm": 113, "sh_reg": 147, "sh_reg_bas": 147, "shadow": [113, 169], "shake": 105, "shake_port": 105, "shakedown": [6, 99, 101, 104, 168], "shallow": [4, 13, 79, 164], "shape": [69, 90], "share": [44, 48, 70, 75, 163, 169, 183, 190], "shell": 190, "shift": [5, 8, 20, 24, 51, 54, 57, 61, 81, 82, 88, 90, 104, 108, 113, 124, 159, 163], "shift_left": 8, "shifter": [44, 61, 163], "short": [32, 113, 114], "shorten": [21, 54, 167], "shorter": 15, "shoud": 32, "should": [5, 18, 20, 21, 24, 25, 26, 27, 31, 32, 33, 36, 48, 61, 65, 69, 77, 82, 89, 91, 101, 112, 124, 134, 147, 149, 169, 178, 183, 190, 191, 192, 193, 206], "show": [0, 11, 32, 60, 78, 89, 91, 107, 113, 125, 126, 127, 128, 131, 134, 137, 140, 141, 142, 145, 146, 147, 148, 155, 169, 179, 190, 193, 199, 201], "shown": [78, 89, 91, 107, 113, 122, 147, 185, 189, 190, 192, 193, 198], "shp_rd_chan": 38, "shp_rd_data": 38, "shreg": [60, 68, 88, 91, 147], "shrink": 77, "side": [4, 8, 22, 46, 47, 49, 60, 69, 74, 82, 84, 85, 89, 91, 110, 112, 113, 114, 122, 126, 147, 150, 193], "sideband": 116, "signal": [1, 6, 7, 8, 12, 15, 17, 18, 20, 21, 24, 26, 29, 32, 34, 36, 38, 40, 41, 42, 45, 46, 47, 50, 60, 61, 68, 69, 70, 72, 73, 76, 78, 79, 80, 81, 82, 83, 85, 89, 90, 91, 92, 93, 95, 96, 99, 102, 110, 112, 113, 114, 116, 117, 118, 119, 120, 124, 131, 132, 134, 136, 137, 138, 139, 142, 144, 145, 146, 147, 148, 149, 150, 152, 153, 154, 155, 159, 163, 164, 165, 167, 185, 186, 189, 193, 194, 198], "signaltap": 28, "signifi": [45, 78, 107], "signific": [69, 91], "significantli": [1, 30, 169], "silicom": 162, "sim": 169, "sim_flag": 147, "sim_lib": 169, "sim_modul": 169, "similar": [44, 89, 110, 113, 155, 159, 190], "similarli": [46, 169, 201], "simluat": 155, "simpl": [0, 6, 11, 14, 40, 42, 44, 46, 47, 51, 63, 64, 67, 69, 70, 78, 89, 91, 92, 95, 105, 107, 109, 119, 127, 128, 134, 135, 140, 141, 147, 148, 150, 163, 165, 167, 169, 190, 193, 194, 196, 201], "simple_simpl": 147, "simpler": [1, 11], "simplest": 113, "simpli": [12, 73, 147, 162, 169, 183, 201], "simplic": [78, 113, 147], "simplifi": [60, 61, 69, 78, 107, 110, 113, 128, 131, 140, 141, 142, 145, 146, 147, 162, 169, 179, 198, 199], "simul": [20, 32, 48, 69, 77, 142, 147, 150, 154, 169, 201, 206], "simult": 32, "simultan": [0, 6, 11, 32, 56, 69, 78], "sinc": [11, 13, 18, 19, 20, 44, 70, 73, 82, 93, 121, 159, 164, 169], "singl": [0, 1, 6, 8, 19, 20, 22, 26, 41, 46, 62, 65, 69, 70, 72, 73, 78, 82, 91, 105, 107, 113, 116, 119, 138, 139, 141, 147, 162, 164, 169, 179, 186, 189, 198], "situat": [60, 70, 78, 90, 98, 113, 121, 147, 169], "six": [78, 149], "size": [5, 7, 8, 9, 18, 19, 20, 23, 35, 36, 38, 40, 45, 46, 47, 49, 51, 52, 56, 57, 61, 62, 63, 64, 66, 67, 68, 69, 70, 71, 73, 74, 76, 77, 80, 81, 112, 114, 115, 117, 119, 128, 131, 134, 140, 141, 142, 145, 147, 149, 151, 153, 154, 155, 167, 169, 186, 189, 191, 198, 201], "size_max": 147, "skid": 39, "skip": [60, 169], "slack": 189, "slave": [84, 86, 89, 92, 110, 112, 114, 119, 124, 125, 147, 149, 189], "slice": [26, 30, 159, 163], "slight": [66, 113], "slightli": [11, 18, 22, 147, 196], "slot": [183, 195, 198, 199], "slow": [69, 167], "slower": [193, 194, 195], "slowest": 154, "slr_cross": 167, "slv_array_t": [13, 15, 16, 26, 32, 56, 61, 62, 65, 71, 72, 80, 87, 91, 96, 99, 101, 109, 186, 189, 198], "small": [20, 61, 62, 78, 113, 134, 147, 164, 167, 191], "smaller": [3, 13, 32, 41, 70, 154], "smallest": [69, 77, 78], "smarter": 124, "smooth": 95, "snippet": 190, "snoop": 120, "snyc_termin": 154, "so": [0, 6, 11, 12, 18, 20, 24, 51, 60, 61, 62, 69, 72, 73, 78, 82, 87, 89, 90, 91, 113, 125, 147, 152, 155, 167, 169, 174, 183, 185, 190, 191, 193, 201], "soc": 177, "soch": 114, "socket": 183, "socl": 114, "sof": [42, 51, 56, 57, 58, 59, 60, 61, 62, 65, 66, 67, 70, 72, 73, 76, 78, 80, 82, 103, 112, 113, 114, 116, 129, 130, 131, 138, 139, 145, 147, 148, 154, 173, 175, 176, 183, 186, 189, 195], "sof_creat": 62, "sof_origin": 60, "sof_po": [34, 39, 61, 113, 148], "sof_pos_width": 78, "sof_unmask": 60, "softwar": [24, 28, 32, 40, 43, 46, 47, 69, 89, 110, 112, 114, 115, 124, 169, 177, 189, 191, 193, 194, 197], "sole": 113, "solut": [69, 74, 147], "solv": [11, 18, 22, 69, 98, 163], "som": 177, "some": [6, 10, 11, 12, 20, 21, 22, 32, 40, 45, 46, 50, 60, 69, 70, 78, 89, 91, 104, 107, 110, 112, 122, 128, 140, 141, 147, 153, 154, 162, 163, 167, 169, 190, 193, 195, 198, 199, 201], "some_boolean": 190, "some_integ": 190, "somehow": 193, "someth": [11, 134, 151], "sometim": [19, 20, 113, 147, 169, 190, 193], "somewhat": 91, "somewher": [18, 20, 131, 142, 145], "soon": [35, 112, 114], "sooner": 169, "sop": [48, 66, 137], "sop_po": 48, "sop_pos_width": 48, "sorag": 22, "sort": 61, "sorter": 18, "sourc": [0, 18, 23, 28, 48, 50, 67, 68, 73, 77, 92, 94, 97, 99, 101, 112, 114, 116, 124, 147, 150, 162, 163, 167, 169, 189, 190, 191, 192, 193, 195, 202, 204], "sp": 185, "sp_bmem": 164, "sp_bram": 164, "sp_bram_xilinx": 164, "sp_uram_xilinx": 164, "space": [0, 3, 4, 18, 19, 20, 22, 23, 30, 31, 32, 36, 38, 40, 42, 46, 49, 50, 61, 63, 73, 82, 89, 91, 92, 112, 114, 119, 121, 122, 124, 131, 134, 142, 145, 146, 147, 152, 167, 169, 183, 186, 189, 191, 193, 196, 198, 199, 201], "space_size_set": [131, 142, 145, 146], "spacer": 114, "span": [24, 78], "spars": 101, "special": [20, 46, 62, 167, 169, 186, 189, 193], "specif": [0, 1, 11, 21, 22, 26, 29, 32, 35, 40, 41, 45, 46, 62, 69, 73, 110, 112, 113, 115, 116, 122, 132, 151, 155, 159, 160, 161, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 189, 191, 193, 194, 195, 196, 197, 198], "specifi": [3, 11, 19, 20, 23, 25, 27, 35, 39, 56, 57, 58, 60, 62, 76, 78, 82, 91, 107, 112, 129, 130, 134, 147, 152, 154, 169, 190, 191, 206], "speed": [46, 49, 60, 69, 73, 99, 110, 111, 112, 114, 122, 132, 147, 155, 162, 163, 185, 186, 191, 193, 194, 195, 199, 200, 201], "spent": 6, "spike": 18, "spkt_lng": 61, "spkt_size_min": 61, "split": [0, 37, 70, 71, 72, 73, 134, 169, 189, 193], "splitter": [147, 165, 166, 193, 199], "splitter_output": [71, 72], "sr": [69, 163], "sr_sync_latch": [12, 163], "src": [50, 92, 105, 147, 178, 185, 190], "src_buf": [18, 167], "src_buf_col": 18, "src_buf_rd_addr": 18, "src_buf_rd_data": 18, "src_buf_row": 18, "src_channel": 92, "src_rdy": [4, 48, 78, 105, 107, 147, 148, 150, 163, 198], "ss": 28, "st": 198, "st_sp_dbg_chan": [41, 46, 47], "st_sp_dbg_meta": [41, 46, 47], "st_sp_dbg_signal_w": [46, 47], "stabl": 110, "stage": [7, 10, 20, 61, 62, 72, 82, 88, 169, 177, 190], "stai": [26, 50, 60, 65, 78, 82, 89, 91, 92, 162, 190], "stamp": [112, 199], "stand": 107, "standalon": 61, "standard": [23, 46, 60, 90, 91, 104, 110, 112, 114, 132, 147, 163, 169, 198, 200], "standardli": 193, "standart": 114, "starget": 169, "starget_": 169, "start": [0, 18, 19, 20, 28, 29, 35, 36, 45, 46, 48, 49, 50, 51, 56, 59, 60, 61, 62, 65, 69, 70, 78, 82, 91, 103, 107, 112, 113, 114, 116, 122, 124, 129, 130, 132, 133, 134, 138, 139, 147, 149, 151, 152, 155, 162, 169, 171, 173, 179, 182, 183, 189, 190, 198, 201], "start_channel": 185, "start_ev": 29, "start_event_meta": 29, "start_item": [147, 154, 155], "start_meta_width": 29, "start_profil": 185, "start_req_ack": [38, 41, 45], "start_req_chan": [38, 41, 45], "start_req_channel": [35, 36], "start_req_don": 36, "start_req_vld": [35, 36, 38, 41, 45], "start_time_max": 151, "start_time_min": 151, "startup": 110, "starvat": 18, "stat": [26, 59, 112, 114], "stat_discard": 59, "stat_pkt_lng": 36, "state": [0, 12, 26, 32, 33, 41, 69, 73, 84, 89, 91, 119, 124, 131, 133, 142, 145, 146, 147, 152, 154, 159, 162, 163, 189], "state_packet_data": [131, 142, 145], "state_packet_new": [131, 142, 145], "state_packet_non": [131, 142, 145], "state_packet_space_new": [131, 142, 145], "state_pakcet_spac": [131, 142, 145], "statement": 190, "static": [0, 189, 192], "statist": [0, 9, 11, 19, 25, 26, 32, 46, 47, 59, 89, 110, 112, 114, 147, 163, 167, 186], "stats_0": 26, "stats_1": 26, "statu": [5, 24, 26, 32, 38, 45, 49, 60, 61, 69, 81, 83, 87, 108, 110, 112, 114, 119, 120, 147, 159, 174, 186, 187, 189, 191, 197, 198, 199], "status_data": 136, "std": [147, 155], "std_arith": 147, "std_logic": [3, 4, 5, 6, 7, 8, 9, 10, 12, 13, 14, 15, 16, 17, 19, 21, 25, 26, 27, 29, 32, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 54, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 79, 80, 81, 83, 84, 85, 86, 87, 88, 89, 91, 92, 93, 94, 95, 96, 97, 98, 99, 101, 102, 103, 104, 105, 108, 109, 110, 112, 113, 114, 116, 117, 119, 120, 123, 124, 186, 189, 198], "std_logic_arith": 147, "std_logic_vector": [3, 4, 5, 6, 7, 8, 9, 10, 12, 13, 14, 15, 16, 17, 19, 21, 25, 26, 27, 29, 32, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 54, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 79, 80, 81, 83, 84, 85, 86, 87, 88, 89, 91, 92, 93, 94, 95, 96, 97, 98, 99, 101, 102, 103, 104, 105, 108, 109, 110, 112, 113, 114, 116, 117, 118, 119, 120, 123, 124, 186, 189, 191, 198], "stdarithnowarn": 147, "steadi": 69, "steer": 116, "stem": 169, "step": [20, 30, 36, 48, 51, 132, 147, 155, 169, 174, 185, 195, 201], "stick": 147, "still": [20, 59, 107, 122, 162, 183, 192], "stop": [20, 36, 40, 43, 45, 46, 50, 69, 82, 95, 113, 147, 189, 190], "stop_en": 95, "stop_forc": 38, "stop_force_chan": 38, "stop_req_ack": [38, 41, 45], "stop_req_chan": [38, 41, 45], "stop_req_channel": 36, "stop_req_don": 36, "stop_req_vld": [36, 38, 41, 45], "storag": [121, 122], "store": [4, 5, 6, 10, 11, 13, 18, 19, 20, 22, 27, 35, 44, 46, 60, 61, 67, 69, 79, 82, 89, 96, 112, 114, 115, 121, 122, 129, 130, 136, 147, 153, 163, 167, 169, 174, 183, 191, 201], "stp": 28, "straddl": 116, "straight": [5, 69, 70, 108], "straightforward": 69, "strang": 147, "stratix": [24, 32, 112, 114, 159, 162, 164, 167, 193, 194, 195, 196, 198], "stratix10": [3, 4, 5, 9, 15, 17, 35, 36, 38, 45, 49, 50, 51, 52, 56, 60, 62, 67, 71, 72, 73, 76, 77, 80, 81, 91, 97, 104, 108, 112, 114, 119, 120, 169, 186, 198], "stream": [0, 18, 20, 36, 47, 49, 56, 59, 62, 64, 65, 66, 69, 73, 76, 97, 110, 112, 114, 134, 147, 162, 165, 168, 174, 177, 186, 189, 192, 193, 198, 201], "stream2": 165, "stream_out_aful": 20, "stream_out_en": 20, "strech": 62, "stress": 46, "string": [3, 4, 5, 6, 9, 10, 13, 15, 16, 17, 19, 25, 29, 32, 34, 35, 36, 38, 40, 41, 42, 43, 44, 45, 46, 47, 49, 50, 51, 52, 54, 56, 60, 61, 62, 63, 64, 66, 67, 68, 69, 70, 71, 72, 73, 76, 77, 79, 80, 81, 83, 84, 85, 86, 88, 91, 92, 93, 95, 96, 97, 99, 101, 102, 103, 104, 105, 108, 109, 112, 114, 116, 119, 120, 124, 131, 134, 136, 142, 145, 146, 147, 153, 169, 186, 189, 191, 198], "strobe": [40, 46, 112, 114], "strongli": [147, 169, 189, 193], "struct": 147, "structur": [147, 155, 159, 163, 164, 169, 190, 191], "stuck": [119, 147, 155, 189, 193], "su": 28, "subclass": [128, 140, 141, 147], "subcompon": [49, 114, 147, 169, 190, 191, 193, 197], "subcor": 0, "subdirectori": 169, "subenviron": 147, "sublay": 193, "submit": 26, "submodul": [112, 114, 191, 204], "subnod": [169, 191], "subpart": 28, "subprocess": 169, "subprogram": 174, "subsect": [155, 193], "subsequ": 193, "subset": [18, 73, 162], "substitut": 188, "subtract": 62, "success": [0, 32, 115, 147, 183, 189], "successful": 39, "successfulli": [18, 112, 114, 147, 178, 195], "successor": 48, "suddenli": 69, "sudo": [28, 32, 179, 195], "suffici": [28, 78, 113], "suffix": [48, 60, 147, 169], "suggest": 190, "suit": [185, 193], "suitabl": [4, 13, 147, 159, 164], "sum": [19, 20, 26, 31, 62, 97], "sum_en": 26, "sum_extra_width": 26, "sum_on": 163, "sumbit": 26, "summar": 61, "super": [61, 131, 134, 142, 145, 146, 147, 153, 167], "superpacket": 62, "suppli": 169, "support": [4, 6, 10, 11, 13, 15, 17, 23, 24, 28, 33, 36, 43, 48, 49, 50, 51, 56, 67, 69, 70, 73, 78, 85, 90, 92, 112, 113, 114, 116, 120, 122, 124, 159, 164, 165, 168, 169, 186, 190, 192, 193, 195, 196, 199, 200, 201], "supported_platform_tag": 169, "supported_tag": 169, "suppos": 64, "suppress": 147, "sure": [28, 69, 89, 132, 174, 183], "surpass": 69, "surpris": 89, "surround": 147, "sv": [33, 135, 147, 155], "sw": [0, 19, 33, 35, 38, 40, 45, 47, 69, 109, 112, 114, 185, 191, 201], "sw1": 177, "sw2": 183, "sw4": 183, "sw5": 183, "sw_addr": 109, "sw_addr_width": [38, 40], "sw_be": 109, "sw_din": 109, "sw_dout": 109, "sw_dout_vld": 109, "sw_read": 109, "sw_rst": 26, "sw_slice": 109, "sw_timeout_w": 99, "sw_timeout_width": 64, "sw_width": 109, "sw_write": 109, "swap": [76, 185], "switch": [18, 20, 28, 64, 65, 69, 71, 99, 112, 125, 147, 151, 165, 177, 179, 183, 192, 195, 201], "switchabl": 179, "swrite": [134, 147], "sychron": 135, "sync_": 154, "sync_cb": 154, "sync_connect": [131, 142, 145, 146, 154], "sync_regist": 154, "sync_reset": 154, "sync_termin": 154, "synchrnou": 12, "synchron": [1, 6, 17, 25, 48, 61, 92, 93, 94, 97, 99, 101, 102, 103, 110, 112, 114, 119, 131, 142, 145, 146, 154, 163, 167, 189, 202], "synchroni": 124, "syncrhon": 154, "syntax": 191, "synth": 169, "synth_onli": 169, "synthes": 169, "synthesi": [163, 190, 195], "synthesis": 169, "synthfil": 169, "sysmon": 197, "system": [24, 41, 115, 174, 193, 195, 202], "systemverilog": [169, 206], "sythesi": 169, "t": [11, 18, 21, 26, 32, 33, 69, 78, 89, 90, 91, 110, 112, 124, 125, 131, 134, 136, 142, 145, 146, 147, 151, 152, 162, 169, 174], "tabl": [32, 40, 46, 78, 91, 92, 107, 125, 126, 127, 128, 131, 137, 140, 141, 142, 145, 146, 147, 148, 155, 162, 163, 168, 174, 189, 190, 193], "tabul": 147, "tag": [23, 116, 120, 122, 134, 147, 169, 198, 204], "tag_8": 120, "tag_9": 120, "tak": 154, "take": [5, 18, 20, 60, 66, 69, 77, 89, 90, 91, 107, 110, 113, 122, 129, 130, 132, 133, 147, 179, 183, 189, 190, 191, 193, 200], "taken": [0, 20, 82, 101, 113], "talk": 91, "tap": [60, 147], "targ": 169, "target": [1, 3, 5, 11, 18, 19, 20, 28, 32, 47, 52, 56, 66, 69, 70, 80, 83, 85, 86, 89, 90, 91, 114, 120, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 193, 194, 195, 198, 199], "target_default": 169, "target_func": 120, "target_myproc": 169, "target_tag": 169, "task": [30, 110, 112, 114, 128, 129, 130, 134, 140, 141, 147, 149, 152, 153, 154, 155, 193, 198], "tb": 32, "tbd": [40, 186], "tcam": [23, 186, 189, 193], "tchannel": 134, "tcl": [28, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 191, 195, 197], "tclsh": 169, "tcp": 76, "tdata": [127, 142], "tdiscard": 134, "tdut": 147, "tehn": 15, "tehr": 133, "tell": [101, 125, 147], "temp": 197, "temperatur": [24, 167], "temporari": [51, 61], "ten": 162, "teras": 162, "term": [107, 191, 195, 200], "termin": [133, 152], "terminologi": 169, "test": [0, 5, 32, 33, 46, 47, 60, 61, 105, 111, 116, 131, 134, 142, 145, 146, 153, 155, 157, 170, 171, 172, 186, 187, 189, 195, 196, 197], "test_mem_test": 32, "test_pci": 179, "testbench": [153, 155, 169], "tester": [157, 196], "texliv": 32, "text": [48, 147], "tfc": 114, "tfch": 114, "tfcl": 114, "th": 104, "than": [0, 1, 3, 4, 18, 26, 30, 32, 49, 51, 56, 60, 61, 62, 69, 70, 78, 91, 104, 112, 114, 132, 147, 150, 163, 169, 190, 193, 194, 198, 199], "thank": [112, 114], "thei": [18, 20, 22, 37, 51, 59, 60, 62, 73, 77, 78, 89, 91, 96, 97, 98, 104, 121, 131, 132, 134, 142, 145, 146, 147, 150, 163, 167, 189, 190, 191, 193, 201], "them": [0, 11, 19, 22, 26, 34, 37, 60, 61, 62, 66, 69, 70, 77, 82, 89, 91, 107, 110, 111, 113, 119, 121, 122, 129, 130, 131, 132, 141, 142, 144, 145, 146, 147, 149, 152, 155, 162, 185, 189, 190, 191, 193, 195, 196, 198], "themselv": [11, 179], "theoret": 89, "theori": 91, "ther": 133, "therefor": [19, 30, 32, 48, 54, 62, 69, 77, 78, 89, 91, 107, 124, 147, 192, 193, 200], "thesi": [1, 18, 20, 61, 122], "thi": [0, 1, 2, 3, 5, 6, 7, 10, 11, 12, 13, 15, 18, 19, 20, 21, 22, 24, 26, 28, 30, 32, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 57, 58, 59, 60, 61, 62, 63, 64, 65, 67, 69, 70, 72, 73, 74, 76, 77, 78, 79, 80, 81, 82, 85, 86, 87, 89, 90, 91, 92, 93, 95, 96, 97, 98, 101, 104, 105, 107, 109, 112, 113, 114, 116, 117, 118, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 185, 186, 188, 189, 190, 191, 192, 193, 194, 195, 197, 198, 200, 201, 202, 203, 204, 206], "thing": [60, 62, 73, 78, 82, 147, 154, 190, 201], "think": 21, "third": [23, 36, 40, 46, 78, 89, 91, 147, 155, 189, 190, 193], "this_item": 147, "this_typ": 147, "those": [10, 11, 78, 89, 112, 113, 114, 128, 129, 130, 140, 147, 150, 169, 190], "though": [22, 78, 91, 189, 193], "three": [28, 64, 69, 78, 80, 89, 92, 107, 125, 127, 131, 134, 138, 139, 142, 145, 146, 147, 149, 152, 153, 154, 155, 190, 193, 196], "threshh": 147, "threshl": 147, "throgh": 21, "through": [4, 19, 20, 40, 46, 60, 69, 73, 77, 78, 79, 80, 82, 87, 89, 92, 109, 112, 114, 115, 124, 125, 126, 127, 128, 136, 137, 140, 141, 146, 147, 148, 167, 169, 189, 191, 192, 193, 194, 199, 201], "throughout": [189, 190, 197], "throughput": [0, 1, 18, 20, 22, 40, 46, 47, 64, 69, 70, 77, 78, 96, 101, 122, 131, 142, 145, 146, 162, 186, 192, 193, 194, 196, 199, 201], "throught": [138, 139], "throw": [15, 17], "thrp_mea": 46, "thu": [13, 18, 19, 20, 24, 70, 78, 85, 190, 198], "ti": [112, 190], "tic": 49, "tick": [18, 25, 29, 31, 32, 69, 155], "tile": [78, 112, 114, 122, 173, 174, 175, 176, 178, 179, 182, 183, 186, 189, 193, 194, 198, 201], "tile_multir": 193, "till": [41, 89, 147], "time": [0, 4, 6, 11, 12, 15, 17, 19, 20, 22, 26, 27, 29, 32, 35, 46, 60, 64, 67, 70, 73, 79, 82, 90, 92, 99, 103, 112, 113, 121, 124, 125, 134, 147, 151, 153, 154, 169, 185, 186, 190, 191, 193, 199], "time_array_add": 134, "time_conv": 26, "time_form": 26, "time_reset": 67, "timeout": [18, 61, 64, 99, 134], "timeout_clk_no": 61, "timestamp": [23, 67, 112, 123, 124, 125, 156, 165, 186, 189, 193, 197], "timestamp_en": 112, "timestamp_format": 73, "timestamp_width": 73, "timestampvld": [23, 189, 193], "timestap": 189, "titl": 26, "tkeep": 127, "tkeep_width": 127, "tlast": 127, "tlm": 147, "tlp": [23, 117], "tmeta": 134, "tmodel": 147, "tmp": [11, 26], "tmp_data": 147, "tmp_meta": 147, "to_str": 26, "to_unsign": 19, "todo": [5, 112, 147, 163, 167], "togeth": [18, 19, 22, 23, 70, 74, 82, 89, 91, 107, 115, 122, 131, 141, 144, 145, 147, 150, 154, 163, 169, 200], "too": [18, 77, 147, 190], "took": 152, "tool": [0, 112, 114, 124, 147, 155, 162, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 189, 190, 191, 193, 194, 195, 197, 201, 202], "top": [0, 28, 47, 73, 135, 138, 139, 145, 169, 186, 189, 190, 191, 193, 196, 197, 198, 199], "top_level": [5, 147], "top_level_": 169, "top_level_archgrp": 169, "top_level_path": 169, "total": [0, 9, 11, 20, 28, 32, 38, 40, 41, 44, 45, 47, 56, 78, 92, 101, 112, 114, 198, 201], "total_error": 147, "tpacket_s": 134, "tph": 116, "tr": 147, "tr_dut": [134, 147], "tr_in_a": 134, "tr_in_b": 134, "tr_model": [134, 147], "tr_out": [134, 147], "tr_paket": 147, "tr_port": 147, "track": 18, "traffic": [19, 28, 67, 69, 128, 138, 139, 140, 141, 147, 152], "traget": [9, 38, 45], "tran": [18, 121, 167], "tranasciev": 152, "trans_a_col": 18, "trans_a_item": 18, "trans_b_col": 18, "trans_b_item": 18, "trans_comp_": 18, "trans_comp_dst_rdi": 18, "trans_comp_meta": 18, "trans_comp_src_rdi": 18, "trans_dst_rdi": 18, "trans_fifo_item": 18, "trans_fifo_s": [77, 114], "trans_len": 18, "trans_meta": 18, "trans_mtu": 18, "trans_sort": 167, "trans_src_rdi": 18, "trans_stream": 18, "trans_vld": 18, "transact": [18, 23, 30, 32, 34, 40, 42, 46, 47, 77, 78, 87, 89, 91, 93, 102, 103, 104, 105, 107, 109, 113, 114, 116, 117, 118, 120, 121, 128, 129, 130, 131, 132, 133, 134, 137, 138, 139, 140, 141, 142, 144, 145, 146, 147, 149, 152, 155, 167, 193, 197, 198, 199, 204], "transaction_count": 150, "transal": 119, "transceiv": [110, 169, 190, 191, 193], "transfer": [1, 11, 18, 46, 48, 69, 77, 78, 85, 89, 107, 109, 150, 162, 163, 167, 189, 192, 193, 194, 195, 197, 198, 199], "transform": [48, 70, 122, 132, 198], "transit": [69, 79, 84, 113, 135], "translat": [23, 28, 169, 191, 197], "transmiss": [48, 50, 67, 78, 93, 95, 99, 103, 107, 113, 114, 147, 199, 200, 201], "transmit": [1, 23, 46, 67, 69, 72, 73, 78, 93, 101, 102, 104, 105, 107, 110, 112, 113, 114, 132, 152, 186, 198, 199, 200, 201, 202, 203], "transmitt": [78, 107], "transport": 36, "transs": 18, "trasfer": 116, "trasform": 165, "trbuf_reg_en": [40, 47], "treadi": [127, 142], "treat": 48, "treatment": 185, "tree": [26, 28, 40, 46, 138, 139, 163, 198], "trfc": 112, "trfch": 112, "trfcl": 112, "tri": [65, 163, 169, 193], "tricki": 69, "trigger": [4, 5, 6, 21, 61, 77, 79, 81, 83, 108, 153, 169], "trim": [54, 56], "trimmer": 165, "tripl": 189, "true": [3, 4, 6, 7, 8, 10, 13, 15, 17, 18, 25, 26, 27, 28, 39, 47, 49, 50, 51, 59, 60, 63, 64, 65, 68, 71, 73, 75, 77, 79, 80, 84, 91, 92, 94, 98, 99, 101, 104, 105, 109, 112, 114, 119, 124, 147, 169, 186, 190], "truli": 136, "truncat": 56, "trx": 147, "try": [11, 32, 61, 91, 193, 194], "try_get": [131, 142, 145, 147], "try_next_item": 147, "ts_demo_en": 186, "ts_dv": 124, "ts_format": 67, "ts_mult_smart_dsp": 124, "ts_mult_use_dsp": 124, "ts_n": [123, 124], "ts_tsu": 123, "ts_width": 67, "tsel": [15, 51], "tsu": [0, 23, 67, 73, 112, 162, 186, 189, 190, 191, 193, 199, 202], "tsu_clk": [186, 189, 202], "tsu_format_to_n": [123, 124], "tsu_gen": [124, 202], "tsu_reset": [189, 202], "tsu_rst": 186, "tsu_ts_dv": [112, 186], "tsu_ts_n": [112, 186, 189, 202], "tsu_ts_vld": [189, 202], "ttarget": 169, "ttarget_": 169, "ttarget_myproc": 169, "ttext": 147, "ttx": 147, "tune": [162, 169], "tunnig": 169, "turn": [5, 70, 110, 114, 193], "tuser": [120, 127, 142], "tuser_width": [127, 142], "tutori": 206, "tvalid": 127, "twice": [60, 70, 193], "two": [4, 5, 6, 15, 18, 22, 37, 40, 46, 48, 51, 52, 56, 57, 58, 60, 62, 64, 65, 66, 69, 70, 71, 72, 73, 74, 75, 78, 79, 82, 83, 84, 88, 89, 91, 92, 97, 99, 101, 103, 107, 112, 113, 116, 121, 124, 125, 127, 128, 131, 132, 133, 134, 136, 138, 139, 140, 141, 142, 144, 145, 146, 147, 148, 149, 152, 154, 155, 159, 162, 164, 167, 169, 173, 183, 185, 189, 190, 192, 193, 198], "tx": [0, 8, 23, 34, 45, 47, 49, 51, 52, 56, 59, 60, 61, 62, 63, 64, 65, 66, 67, 69, 70, 71, 72, 73, 74, 76, 77, 79, 81, 83, 90, 92, 93, 94, 95, 97, 99, 101, 102, 104, 105, 112, 126, 127, 131, 132, 136, 137, 138, 139, 142, 145, 146, 147, 148, 150, 162, 169, 186, 189, 193, 195, 200, 201, 203], "tx0_mfb_data": [71, 72], "tx0_mfb_dst_rdy": [71, 72], "tx0_mfb_eof": [71, 72], "tx0_mfb_eof_po": [71, 72], "tx0_mfb_meta": 72, "tx0_mfb_sof": [71, 72], "tx0_mfb_sof_po": [71, 72], "tx0_mfb_src_rdy": [71, 72], "tx0_mvb_dst_rdy": 71, "tx0_mvb_hdr": 71, "tx0_mvb_meta": 71, "tx0_mvb_payload": 71, "tx0_mvb_src_rdy": 71, "tx0_mvb_vld": 71, "tx1_mfb_data": [71, 72], "tx1_mfb_dst_rdy": [71, 72], "tx1_mfb_eof": [71, 72], "tx1_mfb_eof_po": [71, 72], "tx1_mfb_meta": 72, "tx1_mfb_sof": [71, 72], "tx1_mfb_sof_po": [71, 72], "tx1_mfb_src_rdy": [71, 72], "tx1_mvb_dst_rdy": 71, "tx1_mvb_hdr": 71, "tx1_mvb_meta": 71, "tx1_mvb_payload": 71, "tx1_mvb_src_rdy": 71, "tx1_mvb_vld": 71, "tx_": 193, "tx_addr": [87, 91], "tx_aempti": 79, "tx_ardi": [87, 91], "tx_be": 91, "tx_block_siz": [34, 70, 112, 114], "tx_block_vld": [61, 75], "tx_channel": [47, 92], "tx_channel_b": 61, "tx_chsum_bypass": 76, "tx_clk": [77, 79, 83, 112, 114, 169], "tx_compar": 147, "tx_compare_": 147, "tx_data": [7, 8, 48, 54, 57, 58, 59, 60, 62, 68, 70, 74, 75, 79, 81, 83, 92, 93, 94, 95, 96, 97, 99, 101, 102, 104, 105, 108], "tx_data0": 97, "tx_data1": 97, "tx_data_in": 63, "tx_data_out": 63, "tx_dbg_core_base_addr": 169, "tx_dma_calypt": 46, "tx_dma_chan_start_stop_ctrl": 41, "tx_dma_channel": [49, 186], "tx_dma_metadata_extractor": 42, "tx_dma_pcie_trans_buff": 44, "tx_dma_pkt_dispatch": 43, "tx_dma_sw_manag": [41, 45], "tx_drd": [87, 91], "tx_drdy": [87, 91], "tx_dst_rdy": [7, 8, 48, 54, 57, 58, 60, 62, 68, 70, 74, 75, 79, 81, 83, 92, 93, 94, 95, 96, 97, 99, 101, 102, 104, 108, 113], "tx_dst_rdy_in": 63, "tx_dst_rdy_out": 63, "tx_dwr": [87, 91], "tx_enabl": 59, "tx_env": 147, "tx_env_": 147, "tx_env_bas": 147, "tx_eof": [54, 57, 58, 59, 68, 70, 75, 79, 81, 83, 113], "tx_eof_in": 63, "tx_eof_mask": 60, "tx_eof_one_hot": 61, "tx_eof_origin": 60, "tx_eof_out": 63, "tx_eof_po": [54, 57, 58, 59, 60, 68, 70, 75, 79, 81, 83, 113], "tx_eof_pos_in": 63, "tx_eof_pos_out": 63, "tx_eof_unmask": 60, "tx_eop": [48, 74], "tx_eop_po": [48, 74], "tx_gen_en": 47, "tx_input": 147, "tx_input_data": 147, "tx_input_meta": 147, "tx_item": 105, "tx_item_vld": 75, "tx_item_width": [34, 70, 97, 112, 114], "tx_length": 62, "tx_link_up": 186, "tx_mac_lit": [114, 191, 193], "tx_mask": 60, "tx_meta": [54, 57, 58, 59, 60, 62, 68, 70, 74, 75, 79, 81, 83, 96], "tx_meta_in": 63, "tx_meta_out": 63, "tx_metadata": 8, "tx_mfb": 147, "tx_mfb_": 193, "tx_mfb_data": [34, 37, 39, 50, 51, 52, 56, 61, 62, 64, 65, 66, 67, 69, 71, 72, 73, 77, 80, 103, 112, 113, 114, 186], "tx_mfb_dst_rdy": [34, 37, 39, 50, 51, 52, 56, 61, 62, 64, 65, 66, 67, 69, 71, 72, 73, 77, 80, 103, 112, 113, 114, 186], "tx_mfb_eof": [34, 37, 39, 50, 51, 52, 56, 61, 62, 64, 65, 66, 67, 69, 71, 72, 73, 77, 80, 103, 112, 113, 114, 186], "tx_mfb_eof_po": [34, 37, 39, 50, 51, 52, 56, 61, 62, 64, 65, 66, 67, 69, 71, 72, 73, 77, 80, 103, 112, 113, 114, 186], "tx_mfb_meta": [34, 50, 51, 62, 64, 65, 66, 67, 69, 72, 73, 77, 80, 103], "tx_mfb_meta_new": 66, "tx_mfb_sof": [34, 37, 39, 50, 51, 52, 56, 61, 62, 64, 65, 66, 67, 69, 71, 72, 73, 77, 80, 103, 112, 113, 114, 186], "tx_mfb_sof_po": [34, 37, 39, 50, 51, 52, 56, 61, 62, 64, 65, 66, 67, 69, 71, 72, 73, 77, 80, 103, 112, 113, 114, 186], "tx_mfb_src_rdy": [34, 37, 39, 50, 51, 52, 56, 61, 62, 64, 65, 66, 67, 69, 71, 72, 73, 77, 80, 103, 112, 113, 114, 186], "tx_mfb_usermeta": 52, "tx_mvb_": 193, "tx_mvb_channel": [61, 80], "tx_mvb_data": [62, 71, 76, 112, 186], "tx_mvb_discard": 61, "tx_mvb_dst_rdy": [52, 56, 61, 62, 64, 71, 76, 80, 109, 112, 186], "tx_mvb_hdr": 64, "tx_mvb_hdr_meta": [61, 80], "tx_mvb_len": [61, 80], "tx_mvb_lut_addr": 109, "tx_mvb_lut_data": 109, "tx_mvb_meta": 76, "tx_mvb_metadata": 109, "tx_mvb_payload": [64, 71], "tx_mvb_src_rdy": [52, 56, 61, 62, 64, 71, 76, 80, 109, 112, 186], "tx_mvb_usermeta": [52, 56], "tx_mvb_vld": [52, 56, 61, 62, 64, 71, 76, 80, 109, 112, 186], "tx_mwr": 91, "tx_new_sof": 62, "tx_next": 105, "tx_offset": 62, "tx_old_sof": 62, "tx_op": 104, "tx_op_data": 104, "tx_op_data_consum": 104, "tx_op_dst_rdi": 104, "tx_op_src_rdi": 104, "tx_op_vld": 104, "tx_out": 147, "tx_out_": 147, "tx_output": 147, "tx_path_40g": 110, "tx_pkt_lng": 61, "tx_ptr_width": 47, "tx_rd": [87, 91], "tx_region": [34, 70, 74, 112, 114], "tx_region_s": [34, 70, 112, 114], "tx_region_shar": 75, "tx_region_vld": 75, "tx_reset": [77, 79, 83, 112, 114], "tx_respons": 104, "tx_response_vld": 104, "tx_sel_channel": 47, "tx_sof": [54, 57, 58, 59, 68, 70, 75, 79, 81, 83, 113], "tx_sof_in": 63, "tx_sof_mask": [60, 62], "tx_sof_one_hot": 61, "tx_sof_origin": 60, "tx_sof_out": 63, "tx_sof_po": [54, 57, 58, 59, 60, 68, 70, 75, 79, 81, 83], "tx_sof_pos_b": 61, "tx_sof_pos_in": 63, "tx_sof_pos_out": 63, "tx_sof_unmask": 60, "tx_sop": [48, 74], "tx_sop_po": [48, 74], "tx_src_rdy": [7, 8, 48, 54, 57, 58, 59, 60, 62, 68, 70, 74, 75, 79, 81, 83, 92, 93, 94, 95, 96, 97, 99, 101, 102, 104, 108, 113], "tx_src_rdy_in": 63, "tx_src_rdy_origin": 60, "tx_src_rdy_out": 63, "tx_src_rdy_unmask": 60, "tx_statu": 79, "tx_valid": 96, "tx_vld": [92, 93, 94, 95, 97, 99, 101, 102, 104, 105, 108], "tx_word": 62, "tx_wr": [87, 91], "txmac": [0, 191], "txmac0": [0, 191], "txmac1": [0, 191], "txn": 110, "txp": 110, "txpolar": 110, "txt": 184, "type": [3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 19, 21, 23, 25, 26, 27, 29, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 49, 50, 51, 52, 54, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 83, 84, 85, 86, 87, 88, 91, 92, 93, 94, 95, 96, 97, 98, 99, 101, 102, 103, 104, 105, 107, 108, 109, 110, 112, 113, 114, 116, 117, 118, 119, 120, 122, 123, 124, 125, 128, 131, 132, 133, 134, 138, 139, 140, 141, 142, 144, 145, 146, 147, 151, 152, 159, 164, 169, 174, 185, 186, 189, 191, 193, 196, 198, 200], "type_id": [128, 131, 134, 140, 141, 142, 145, 146, 147, 153, 155], "type_item": 147, "typedef": 147, "typic": [2, 23, 115, 147, 156, 157, 160, 161, 165, 166, 168, 169, 189, 191, 194, 200, 203, 204], "u": [11, 19, 91, 147], "u200": 162, "u55c": 162, "u_array_t": 62, "uart": 183, "ucdb": 147, "udp": 76, "ug": [159, 164], "ug573": [159, 164], "ug574": [159, 164], "ultrascal": [3, 4, 5, 6, 15, 17, 19, 29, 34, 35, 36, 40, 41, 42, 43, 44, 46, 47, 51, 52, 56, 60, 62, 63, 64, 66, 67, 70, 71, 72, 73, 76, 77, 79, 80, 81, 84, 92, 102, 104, 108, 112, 114, 116, 119, 120, 124, 159, 164, 169, 172, 193, 194, 196, 198, 203], "umii": [112, 114], "unabl": 85, "unalign": [24, 48, 70], "unansw": 190, "unchang": [12, 47, 69, 113, 169], "uncom": 5, "uncov": 147, "undef_behaw_when_wr_to_same_address": 15, "undefin": [6, 13, 15, 27, 29, 32, 35, 78, 89, 154, 189, 193], "under": [28, 46, 67, 69, 131, 136, 142, 145, 146, 147, 162, 177, 192, 194], "undergo": 113, "underli": [13, 190], "unders": 193, "underscor": 78, "understand": [69, 89], "understood": 107, "unexpect": [84, 119], "unfortun": [69, 147, 194], "unifi": 198, "uniform": [128, 140, 141, 147, 169], "uniqu": [23, 115, 121, 122, 147, 189, 191, 193], "unit": [11, 18, 19, 20, 22, 23, 26, 49, 61, 62, 64, 69, 77, 78, 82, 85, 90, 104, 107, 112, 114, 115, 121, 122, 123, 124, 147, 156, 163, 167, 193, 197, 199, 204], "unitid": 23, "univers": [4, 5, 10, 159, 163, 164, 194], "universalclass": 10, "unix": 202, "unless": [7, 147], "unlik": 78, "unlimit": 3, "unmask": [60, 186], "unnessesari": 70, "unpack": [147, 165], "unpacking_stag": 62, "unpaus": [67, 73], "unprocess": 113, "unregist": 28, "unreli": 169, "unselect": [73, 163], "unsign": [62, 128, 134, 147, 150, 153, 154], "unsort": 46, "unspecifi": 169, "unsuccess": 190, "unsupport": [183, 190, 198], "until": [18, 20, 22, 28, 30, 32, 33, 50, 59, 60, 61, 62, 69, 85, 89, 102, 122, 132, 147, 150, 154, 159, 195, 201], "untouch": 169, "unus": [8, 17, 48, 85, 124], "unuseful": 147, "unverifi": [46, 60], "unwant": 60, "up": [6, 18, 28, 40, 46, 48, 62, 70, 82, 110, 112, 113, 114, 115, 128, 132, 134, 138, 139, 140, 147, 152, 154, 162, 163, 169, 170, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 189, 192, 193, 194, 198, 200, 201], "upd_hdp_chan": 43, "upd_hdp_data": 43, "upd_hdp_en": 43, "upd_hhp_chan": 43, "upd_hhp_data": 43, "upd_hhp_en": 43, "updat": [11, 24, 30, 35, 36, 43, 62, 121, 126, 169, 191, 201], "update_cnt": 126, "update_cnt_width": 126, "upfront": 69, "upi": 175, "upload": [28, 195], "upon": 69, "upper": [40, 46, 112, 123], "upstream": [47, 121, 122], "upvar": 169, "uram": [5, 81, 104, 108, 159, 164], "us": [0, 1, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 15, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 36, 38, 39, 40, 47, 48, 50, 52, 56, 59, 60, 61, 63, 64, 67, 69, 70, 73, 76, 77, 78, 79, 81, 82, 84, 85, 88, 89, 90, 92, 98, 99, 101, 105, 107, 109, 110, 112, 113, 114, 115, 117, 119, 121, 122, 123, 124, 125, 127, 128, 129, 130, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 147, 148, 149, 150, 151, 152, 153, 154, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 185, 186, 190, 191, 192, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204], "usabl": [119, 152], "usag": [12, 21, 30, 32, 33, 61, 64, 121, 135, 163, 169, 174], "usb": [170, 171, 172, 173, 174, 175, 176, 178, 183], "use_clk2": 18, "use_clk_arb": 18, "use_dsp_cnt": 114, "use_dst_rdi": 68, "use_fifox_multi": 101, "use_mux_impl": 105, "use_outreg": [71, 88], "use_pacp_arch": 50, "use_pip": 60, "use_xpm_librari": 169, "used_in": 169, "useful": 42, "useless": 39, "user": [0, 3, 6, 11, 15, 18, 19, 20, 22, 24, 25, 32, 40, 42, 43, 46, 47, 49, 50, 52, 54, 56, 60, 62, 69, 70, 73, 82, 89, 91, 92, 103, 116, 120, 132, 147, 149, 151, 154, 155, 159, 164, 169, 175, 176, 178, 179, 183, 185, 186, 189, 191, 192, 193, 196, 198, 199], "user_const": [169, 191], "user_env": 169, "user_rx_mfb_block_s": 40, "user_rx_mfb_data": 40, "user_rx_mfb_dst_rdi": 40, "user_rx_mfb_eof": 40, "user_rx_mfb_eof_po": 40, "user_rx_mfb_item_width": 40, "user_rx_mfb_meta_chan": 40, "user_rx_mfb_meta_hdr_meta": 40, "user_rx_mfb_region": 40, "user_rx_mfb_region_s": 40, "user_rx_mfb_sof": 40, "user_rx_mfb_sof_po": 40, "user_rx_mfb_src_rdi": 40, "user_to_cor": 147, "usermeta_width": [52, 56], "usp": [119, 169, 170, 171, 172, 177, 180, 181, 198], "usr": 28, "usr_mfb": 41, "usr_mfb_": 41, "usr_mfb_block_s": 47, "usr_mfb_data": [41, 42, 43], "usr_mfb_dst_rdi": [41, 42, 43], "usr_mfb_eof": [41, 42, 43], "usr_mfb_eof_po": [41, 42, 43], "usr_mfb_item_width": 47, "usr_mfb_meta": [41, 42], "usr_mfb_meta_byte_en": 42, "usr_mfb_meta_chan": 43, "usr_mfb_meta_hdr_meta": 43, "usr_mfb_meta_pkt_s": 43, "usr_mfb_region": 47, "usr_mfb_region_s": 47, "usr_mfb_sof": [41, 42, 43], "usr_mfb_sof_po": [41, 42, 43], "usr_mfb_src_rdi": [41, 42, 43], "usr_pkt_size_max": 61, "usr_rx_mfb_data": 47, "usr_rx_mfb_dst_rdi": 47, "usr_rx_mfb_eof": 47, "usr_rx_mfb_eof_po": 47, "usr_rx_mfb_meta_chan": 47, "usr_rx_mfb_meta_hdr_meta": 47, "usr_rx_mfb_sof": 47, "usr_rx_mfb_sof_po": 47, "usr_rx_mfb_src_rdi": 47, "usr_rx_pkt_size_max": [47, 61], "usr_rx_pkt_size_min": 61, "usr_tx_": 46, "usr_tx_mfb": 46, "usr_tx_mfb_block_s": 46, "usr_tx_mfb_data": [46, 47], "usr_tx_mfb_dst_rdi": [46, 47], "usr_tx_mfb_eof": [46, 47], "usr_tx_mfb_eof_po": [46, 47], "usr_tx_mfb_item_width": 46, "usr_tx_mfb_meta_chan": [46, 47], "usr_tx_mfb_meta_hdr_meta": [46, 47], "usr_tx_mfb_meta_pkt_s": [46, 47], "usr_tx_mfb_region": 46, "usr_tx_mfb_region_s": 46, "usr_tx_mfb_sof": [46, 47], "usr_tx_mfb_sof_po": [46, 47], "usr_tx_mfb_src_rdi": [46, 47], "usr_tx_pkt_size_max": 47, "usual": [47, 89, 113, 147, 169, 179], "util": [19, 51, 89, 174, 191, 193, 195], "uvm": [105, 125, 131, 135, 142, 145, 146, 149, 150, 162, 201], "uvm_act": [131, 142, 145, 146], "uvm_active_passive_enum": 136, "uvm_ag": 147, "uvm_analysis_": 147, "uvm_analysis_export": 147, "uvm_analysis_imp_data": 147, "uvm_analysis_imp_decl": 147, "uvm_analysis_imp_export": 134, "uvm_analysis_imp_meta": 147, "uvm_analysis_imp_reset": 147, "uvm_analysis_port": 147, "uvm_app_cor": 134, "uvm_avmm": 125, "uvm_avst_crdt": 126, "uvm_barri": 147, "uvm_bitstream_t": 147, "uvm_common": [134, 137, 147], "uvm_compon": [131, 134, 142, 145, 146, 147], "uvm_component_param_util": [134, 147], "uvm_component_util": [134, 147], "uvm_components_": 147, "uvm_components_util": 147, "uvm_componet_util": [131, 142, 145, 146], "uvm_config_db": [131, 142, 145, 146, 147], "uvm_debug": 147, "uvm_declare_p_sequenc": 147, "uvm_do": 147, "uvm_do_on": 147, "uvm_do_with": [147, 155], "uvm_driv": 147, "uvm_env": 147, "uvm_ev": [147, 153], "uvm_event_callback": 153, "uvm_ful": 147, "uvm_high": 147, "uvm_info": 155, "uvm_intel_mac_seg": 136, "uvm_lbu": 137, "uvm_logic_vector": [134, 147], "uvm_logic_vector_arrai": [136, 147], "uvm_logic_vector_array_intel_mac_seg": 136, "uvm_low": [46, 147], "uvm_max_quit_count": 147, "uvm_medium": [147, 155], "uvm_mfb": 46, "uvm_mi": 155, "uvm_no_act": 147, "uvm_non": 147, "uvm_object": [147, 153], "uvm_object_": 147, "uvm_object_param_util": [147, 153], "uvm_object_util": [134, 147], "uvm_packag": 147, "uvm_pass": [131, 142, 145, 146], "uvm_phas": [131, 134, 142, 145, 146, 147, 153], "uvm_pool": 147, "uvm_prob": 153, "uvm_root": 147, "uvm_scoreboard": [134, 147], "uvm_sequ": [134, 147], "uvm_sequenc": 147, "uvm_sequence_item": 147, "uvm_sequence_librari": 147, "uvm_sequence_library_util": 147, "uvm_sim": 155, "uvm_subscrib": 147, "uvm_test": [131, 142, 145, 146, 147], "uvm_testnam": 147, "uvm_tlm_analysis_": 147, "uvm_tlm_analysis_fifo": 134, "uvmcontrol": 147, "v": [26, 174], "v1": 191, "v_mfb_tx": 147, "v_tx_mfb": 147, "val": 147, "valekv": 190, "valid": [4, 6, 17, 18, 19, 22, 23, 27, 30, 34, 35, 36, 42, 48, 51, 54, 56, 57, 58, 59, 60, 61, 62, 64, 65, 66, 67, 69, 70, 72, 73, 75, 76, 77, 78, 79, 80, 82, 83, 85, 89, 90, 92, 94, 96, 97, 98, 99, 101, 103, 104, 105, 107, 110, 112, 114, 115, 116, 119, 120, 121, 124, 129, 130, 131, 133, 136, 138, 139, 145, 147, 149, 150, 152, 163, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 185, 186, 189, 190, 193, 195, 202], "valu": [3, 4, 5, 6, 8, 9, 10, 11, 15, 17, 18, 19, 21, 22, 23, 26, 27, 32, 36, 38, 40, 43, 46, 47, 48, 50, 54, 57, 59, 60, 61, 62, 64, 67, 68, 69, 70, 71, 73, 76, 77, 78, 79, 81, 85, 89, 90, 91, 92, 93, 102, 103, 105, 109, 112, 113, 114, 115, 116, 119, 120, 121, 124, 126, 129, 130, 133, 134, 147, 149, 150, 151, 154, 155, 162, 163, 168, 169, 179, 185, 186, 189, 191, 192, 194, 195, 198, 201], "value_0": 26, "value_1": 26, "value_2": 26, "value_cnt": 26, "value_en": 26, "value_vld_0": 26, "value_vld_1": 26, "value_vld_2": 26, "value_width": 26, "valuecmd": 26, "values_vld": 26, "varabl": 169, "vari": [11, 46, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 195, 198], "variabl": [11, 32, 127, 128, 131, 136, 140, 141, 142, 145, 146, 147, 148, 149, 150, 154, 163, 190], "variable_nam": 153, "variant": [1, 64, 112, 114, 116, 126, 156, 163, 181, 191, 193, 195, 198], "variou": [47, 112, 113, 114, 115, 147, 159, 169, 190, 194], "vast": 89, "vcover": 147, "vcu118": 162, "vector": [19, 45, 51, 61, 76, 78, 86, 104, 107, 131, 135, 142, 145, 149, 150, 163, 167], "vendor": [115, 162, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 190, 191, 198], "ver": 147, "ver_bas": 147, "verbos": [46, 147], "veri": [7, 18, 70, 73, 89, 131, 142, 145, 146, 147, 169, 190, 196], "verif": [69, 105, 125, 133, 134, 135, 138, 139, 149, 154, 155, 162, 169, 201], "verifi": [5, 46, 60, 64, 132, 147], "verilog": 169, "versa": [41, 112, 198], "versatil": 78, "version": [33, 51, 61, 69, 79, 93, 114, 115, 159, 162, 164, 169, 171, 173, 174, 179, 182, 183, 190, 191, 194, 195], "vfid": 23, "vhd": [10, 32, 147, 169, 190, 191, 197], "vhdl": [11, 115, 169, 191, 197, 198], "vhdl2008": 169, "vhdl98": 169, "vhdl_dut_u": 153, "vhdlpkgbool": 190, "vhdlpkggen": 190, "vhdlpkghexvector": 190, "vhdlpkgint": 190, "vhdlpkgstring": 190, "vhld": 147, "via": [26, 28, 30, 32, 69, 73, 78, 107, 110, 124, 151, 167, 169, 174, 178, 186, 189, 190, 193, 197, 198, 199, 201, 204], "viabl": 169, "vice": [41, 112, 198], "view": 19, "vif": 147, "vif_nam": 147, "violat": 84, "virtex": [164, 172], "virtex7": [116, 169], "virtual": [23, 61, 78, 120, 131, 134, 142, 145, 146, 149, 153, 155, 193], "virtual_debug_en": 28, "visibl": [60, 190], "visit": [147, 190], "visual": 60, "viti": 177, "vitistm": 177, "vivado": [123, 169, 170, 171, 172, 177, 180, 181, 194, 195], "vivado_ip_xact": 169, "vivado_set_properti": 169, "vld": [33, 98, 147, 150], "void": [131, 134, 142, 145, 146, 147, 153, 155], "voltag": [24, 167], "volunt": 201, "vsec": [115, 191, 198], "vsim": [147, 169], "vu9p": [162, 170], "w": [0, 30, 31, 32, 33, 40, 46, 69, 112, 114, 189, 195, 197], "wa": [0, 11, 26, 32, 47, 60, 61, 69, 70, 89, 91, 104, 112, 121, 147, 154, 155, 163, 165, 168, 183, 185, 190, 193], "wai": [0, 11, 12, 18, 19, 20, 61, 62, 66, 69, 70, 82, 90, 91, 112, 113, 121, 122, 128, 133, 138, 139, 140, 152, 155, 169, 190, 191, 193], "wait": [19, 28, 30, 32, 33, 60, 65, 77, 85, 102, 104, 113, 114, 121, 122, 134, 147, 150, 153, 195], "waitrequest": [24, 85], "waitrequestallow": 85, "want": [8, 11, 19, 60, 69, 70, 89, 90, 91, 102, 112, 125, 147, 151, 155, 185, 193, 195, 201], "warn": [6, 18, 61, 70, 77, 147, 179, 186], "wast": 48, "watchdog": 134, "waveform": 107, "wclk": 16, "we": [11, 16, 19, 22, 52, 69, 70, 82, 89, 90, 91, 147, 189, 191, 193, 195, 198, 200, 201], "websit": [170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183], "well": [7, 8, 18, 20, 43, 46, 47, 49, 59, 61, 78, 82, 89, 90, 91, 147, 169, 190, 191, 193, 196], "were": [5, 14, 28, 46, 60, 89, 112, 114, 134, 147, 190], "what": [5, 81, 91, 104, 108, 131, 147, 155, 162], "whatev": 69, "when": [3, 4, 5, 6, 7, 8, 9, 11, 12, 13, 14, 15, 17, 18, 19, 20, 21, 22, 26, 27, 28, 30, 32, 34, 36, 41, 45, 48, 49, 52, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 69, 70, 73, 75, 77, 78, 80, 81, 82, 84, 85, 89, 90, 91, 93, 95, 96, 98, 99, 101, 104, 105, 107, 108, 112, 113, 114, 115, 121, 122, 128, 129, 130, 131, 132, 133, 134, 136, 138, 139, 140, 141, 145, 147, 149, 150, 152, 153, 154, 163, 169, 185, 189, 190, 191, 193, 201], "whenev": [32, 60, 72, 147], "where": [4, 6, 8, 22, 24, 28, 46, 60, 62, 63, 69, 73, 77, 78, 82, 98, 109, 113, 114, 121, 124, 147, 149, 154, 155, 163, 169, 173, 175, 176, 183, 189, 190, 191, 195, 196, 200, 201], "wherea": [78, 107, 147], "whether": [11, 17, 21, 51, 62, 67, 69, 73, 78, 82, 89, 112, 114, 124, 136, 147, 149, 151], "whic": 36, "which": [0, 1, 3, 7, 10, 11, 15, 18, 19, 20, 22, 26, 28, 32, 33, 36, 37, 39, 40, 41, 43, 44, 45, 46, 48, 50, 51, 57, 59, 60, 61, 62, 67, 69, 70, 73, 77, 78, 82, 87, 89, 91, 93, 95, 101, 102, 104, 107, 112, 113, 114, 121, 122, 125, 127, 128, 129, 130, 131, 133, 134, 136, 138, 139, 140, 141, 142, 145, 146, 147, 148, 149, 150, 152, 154, 155, 159, 162, 164, 167, 169, 183, 185, 189, 190, 191, 193, 194, 197, 198, 200], "while": [11, 19, 28, 70, 82, 89, 112, 113, 134, 154, 162, 167, 169], "whole": [0, 8, 12, 19, 30, 31, 32, 34, 37, 39, 42, 46, 61, 62, 69, 70, 78, 90, 104, 107, 112, 114, 119, 124, 128, 132, 138, 139, 140, 141, 147, 152, 179, 189, 193, 197], "whose": [107, 163], "why": [11, 89, 147, 154], "wide": [18, 19, 48, 70, 88, 89, 91, 115, 162], "wider": [51, 70, 90], "width": [3, 4, 5, 6, 7, 8, 9, 10, 12, 13, 15, 17, 18, 19, 22, 25, 26, 27, 28, 29, 30, 31, 32, 35, 36, 38, 40, 44, 45, 46, 47, 48, 49, 50, 51, 52, 56, 57, 62, 64, 66, 67, 69, 71, 72, 73, 76, 77, 78, 79, 80, 81, 84, 85, 86, 87, 89, 90, 91, 92, 94, 97, 98, 99, 101, 102, 103, 104, 105, 108, 112, 114, 116, 119, 120, 122, 124, 133, 138, 139, 147, 149, 150, 152, 153, 159, 163, 186, 189, 191, 193, 195, 198, 202], "wip": [112, 114], "wire": [5, 85, 88, 108, 119, 147, 153, 163, 167, 189, 197], "wish": [69, 147], "wit": 15, "within": [0, 18, 38, 40, 41, 44, 45, 46, 51, 61, 62, 67, 69, 70, 73, 76, 77, 78, 107, 134, 138, 139, 162, 169, 191, 194], "withing": 62, "withnout": 111, "without": [4, 20, 28, 48, 60, 62, 66, 78, 79, 84, 104, 107, 112, 113, 114, 116, 120, 132, 147, 163, 169, 174, 189, 191, 192, 193, 194, 198, 201], "withouth": 60, "wo": [69, 124], "won": 69, "word": [0, 4, 5, 6, 15, 17, 18, 20, 23, 24, 25, 30, 31, 32, 33, 34, 37, 39, 48, 49, 50, 51, 59, 60, 61, 62, 63, 64, 65, 67, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 90, 92, 94, 97, 99, 101, 104, 107, 108, 112, 113, 114, 116, 119, 120, 127, 131, 132, 133, 134, 138, 139, 142, 145, 146, 147, 148, 152, 155, 189, 194, 198], "word_siz": 147, "word_width": [78, 107, 150], "work": [6, 11, 14, 15, 18, 20, 24, 25, 26, 27, 29, 35, 41, 50, 69, 70, 82, 89, 91, 92, 98, 112, 114, 122, 125, 131, 134, 142, 144, 145, 149, 154, 169, 174, 186, 189, 191, 193, 194, 201], "workaround": 69, "workflow": 32, "world": [113, 147], "wors": 6, "worst": [11, 20, 61], "would": [0, 19, 51, 60, 70, 91, 112, 113, 147, 149, 190, 200], "wr": [5, 6, 32, 77, 82, 89, 149, 153], "wr_addr": [13, 15, 17, 80], "wr_addr_clear": 14, "wr_aful": [4, 79], "wr_and_rd_en_in": 153, "wr_be": [15, 17], "wr_clear": 14, "wr_clk": [4, 17], "wr_data": [4, 13, 15, 17, 80], "wr_en": [4, 13, 15, 17, 80, 153], "wr_full": 4, "wr_ie": 80, "wr_rst": [4, 17], "wr_statu": 4, "wrap": [25, 32, 132, 164], "wrapper": [0, 17, 19, 28, 88, 135, 167, 192], "write": [0, 4, 5, 11, 13, 15, 17, 18, 19, 20, 22, 23, 24, 25, 26, 27, 30, 31, 32, 33, 38, 40, 44, 45, 46, 50, 69, 73, 80, 84, 85, 87, 89, 92, 110, 112, 114, 115, 119, 120, 121, 122, 124, 125, 129, 130, 133, 134, 138, 139, 147, 149, 150, 152, 153, 155, 159, 163, 164, 169, 173, 174, 175, 176, 177, 183, 190, 191, 194, 197, 198, 201], "write_data": 147, "write_j": [173, 183], "write_meta": 147, "write_pof": [175, 176], "write_port": [6, 13, 15, 16], "write_reset": 147, "writedata": 125, "writeresponsevalid": 85, "written": [4, 5, 6, 17, 31, 33, 43, 44, 60, 69, 78, 80, 87, 89, 112, 147, 149, 179, 186, 206], "wrong": 147, "www": [10, 177], "x": [10, 26, 32, 60, 69, 72, 78, 119, 133, 134, 147, 193, 198], "x16": [47, 170, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 195, 198], "x8": [47, 198], "x8x8": [183, 198], "xanosecond": 124, "xci": 169, "xcku15p": 180, "xcu200": 170, "xcu55c": 171, "xcv": 198, "xcvr": 193, "xcvu7p": 169, "xcvu9p": [172, 181], "xczu19eg": 177, "xdc": 169, "xgmii": [112, 114], "xilinx": [4, 5, 13, 68, 81, 88, 104, 108, 110, 112, 114, 116, 122, 137, 159, 163, 164, 167, 169, 170, 171, 172, 177, 180, 181, 190, 193, 194, 195, 196, 198], "xlgmii": [110, 112, 114], "xlgmii_clk": 110, "xlgmii_rxc": 110, "xlgmii_rxd": 110, "xlgmii_txc": 110, "xlgmii_txd": 110, "xmii": 132, "xml": 33, "xnor": 163, "xor": 163, "xor48": 163, "xp": 69, "xpm_cdc": 169, "xpm_fifo": 169, "xpm_memori": 169, "xpm_memory_sdpram": 164, "xpresssx": 162, "xscn": 69, "xvc_enabl": 198, "xx": 89, "xxx_root_directori": 0, "xz": [115, 191], "y": 26, "yaml": 151, "ye": [149, 171, 173, 179, 180, 181, 182, 183, 194], "yet": [4, 85, 89, 162, 174, 192, 193, 201, 202], "you": [0, 6, 8, 11, 15, 18, 20, 21, 24, 25, 26, 28, 32, 69, 70, 73, 87, 89, 91, 92, 98, 112, 114, 125, 127, 131, 134, 142, 145, 146, 147, 148, 151, 154, 155, 157, 162, 163, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 185, 189, 190, 191, 192, 193, 194, 195, 198, 201], "your": [0, 11, 25, 28, 32, 69, 73, 98, 125, 134, 155, 162, 174, 183, 184, 189, 190, 194, 195, 201], "your_card": 195, "your_design": 183, "your_ndk_firmwar": 195, "yourself": [11, 20], "yourselv": 91, "yum": 32, "z": 26, "zero": [0, 11, 15, 29, 32, 48, 50, 112, 147, 152], "zeroth": 78, "zynqmp_fsbl": 177}, "titles": ["Minimal NDK application", "Asynchronous modules", "Basic Tools", "DSP Comparator", "ASFIFOX", "FIFOX", "FIFOX Multi", "Register FIFO", "Barrel Shifter", "Multi MEMx Counter", "H3 Class Hash", "N_LOOP_OP", "Synchronous SR latch", "Live value table memory", "Memory clear", "Multi-port BRAM", "NP LUT RAM", "Simple dual-port BRAM", "CrossbarX", "Event Counter", "Packet Planner", "Pulse short", "Transaction Sorter", "Packages", "SDM CLIENT", "Mem logger", "Data logger", "Histogramer", "JTAG-over-protocol Client", "Latency meter", "AMM_GEN", "AMM_PROBE", "DDR4 Memory Tester", "MEM_TESTER Software", "Header Insertor", "Address Manager", "Header Manager", "Input Buffer", "Software Manager", "Transaction Buffer", "RX DMA Calypte", "Channel Start/stop control", "Metadata Extractor", "Packet Dispatcher", "Transaction buffer", "Software Manager", "TX DMA Calypte", "DMA Calypte", "FLU bus specification", "Gen Loop Switch (GLS)", "MFB Generator", "MFB MVB Appender", "MFB FRAME EXTENDER", "The verification of this component will be designed and implemented as part of the bachelor\u2019s thesis.", "MFB FRAME TRIMMER", "The verification of this component will be designed and implemented as part of the bachelor\u2019s thesis.", "CROSSBARX STREAM2", "MFB Cutter Simple", "MFB Dropper", "MFB Enabler", "MFB Frame Masker", "Frame Packer", "Frame Unpacker", "MFB Loopback", "MFB Merger", "MFB Merger Simple", "Metadata Insertor", "Packet Delayer", "MFB PIPE", "Rate Limiter", "MFB Reconfigurator", "MFB Splitter", "MFB Splitter Simple", "Timestamp Limiter", "MFB Trasformer", "MFB Auxiliary Signals", "Checksum Calculator", "CrossbarX Stream", "MFB specification", "MFB ASFIFOX", "Crossbarx Output Buffer", "MFB FIFOX", "MFB Packet Discard ASFIFO", "MFB PD ASFIFO SIMPLE", "MI ASYNC", "MI2AVMM", "MI2AXI4", "MI indirect access", "MI Pipe", "MI bus specification", "MI Reconfigurator", "MI Splitter Plus Gen", "MVB Channel Router", "MVB DEMUX", "MVB DISCARD", "MVB Gate", "MVB Item Collision Resolver", "MVB Merge Items", "SHAKEDOWN", "MVB Merge Streams", "The verification of this component will be designed and implemented as part of the bachelor\u2019s thesis.", "MVB Merge Streams Ordered", "MVB MUX", "MVB2MFB", "MVB Operation", "MVB Shakedown", "The verification of this component will be designed and implemented as part of the bachelor\u2019s thesis.", "MVB Specification", "MVB FIFOX", "MVB Lookup Table", "40GE Ethernet PHY for Ultrascale+ FPGAs", "BUFFER", "RX MAC LITE", "MFB -> LBUS reconfigurator (TX LBUS)", "TX MAC LITE", "PCI_EXT_CAP", "PCIE CONVERSION UNITS", "PCIE Byte Count", "PCIe Byte Enable Decoder", "MTC (MI Transaction Controller)", "PCIE Header parsing/deparsing", "PTC Tag Manager", "PTC (PCIe Transaction Controller)", "TSU Format to ns Convertor", "TSU GEN", "AVMM Agent", "AVST CRDT Agent", "AXI Agent", "Byte Array agent", "Byte Array to LII convert enviroment", "Byte Array to LII convert enviroment", "Byte_array_mfb environment", "Byte array to MII transitional environment", "Byte array to pma convert enviroment", "Common package", "Components", "Intel MAC SEG", "LBUS Agent", "LII agent", "LII agent", "Logic vector agent", "Logic Vector Array agent", "logic_vector_array_axi environment", "byte array to MAC SEG", "LOGIC VECTOR ARRAY LBUS Environment", "logic_vector_array_mfb environment", "logic_vector_mvb environment", "SystemVerilog and UVM tutorial", "MFB Agent", "MI agent", "MVB agent", "FlowTest Sequence", "PMA agent", "probe agent", "RESET agent", "UVM simulation", "Controllers & TSU", "Debug Tools", "DSP components", "FIFO components", "FL Tools", "FLU Tools", "Overview", "Basic logic elements", "Memory modules", "MFB Tools", "MI Tools", "Miscellaneous", "MVB Components", "Build System", "AMD Alveo U200", "AMD Alveo U55C", "AMD VCU118@VU9P", "Bittware IA-420F", "Bittware IA-440I", "Intel Stratix 10 DX FPGA DK", "Intel Agilex I-Series FPGA DK", "iWave G35P", "PRO DESIGN Falcon", "ReflexCES XpressSX AGI-FH400G", "Silicom fb2CGhh@KU15P", "Silicom fb4CGg3@VU9P", "Silicom N6010", "Terasic A2700", "Cocotb toplevel simulation core", "F-Tile Multirate IP", "NETWORK MODULE", "BUFFER", "CRDT Agent", "The Application", "Configuration files and parameters", "Device Tree", "The DMA module", "The Network Module", "Frequently Asked Questions", "How to start", "The Memory Controller", "The MI bus interconnect", "The PCIe module", "NDK Architecture", "NDK Terminology", "NDK testing", "Time Stamp Unit", "Network Tools", "PCIe Tools", "Shift registers", "UVM Verification"], "titleterms": {"": [53, 55, 100, 106], "1": [40, 46, 60, 78, 87, 91, 107, 113, 169, 185, 193], "10": 175, "2": [46, 78, 91, 107, 113, 169, 193], "3": [91, 113, 169, 193], "4": [113, 169, 193], "40ge": 110, "420f": 173, "440i": 174, "5": 113, "6": 113, "A": 89, "The": [0, 53, 55, 100, 106, 169, 189, 192, 193, 196, 197, 198], "a2700": 183, "ab": 91, "access": [87, 189, 193, 201], "ad": 190, "adapt": [112, 114], "add": 169, "addinputfil": 169, "addit": [11, 20, 82], "addr_bas": 91, "address": [26, 28, 35, 69, 87, 91, 110, 115, 197], "advanc": 169, "agent": [125, 126, 127, 128, 137, 138, 139, 140, 141, 147, 148, 149, 150, 152, 153, 154, 188], "agi": 179, "agilex": 176, "alloc": 197, "also": 194, "alveo": [170, 171], "amd": [170, 171, 172], "amm_gen": 30, "amm_prob": 31, "an": [194, 195], "app_conf": 190, "append": 51, "applic": [0, 162, 189, 190, 193, 194, 195], "ar": [194, 195], "architectur": [18, 19, 20, 22, 30, 32, 61, 70, 82, 90, 91, 110, 112, 114, 122, 199], "arcitectur": 62, "arrai": [128, 129, 130, 132, 133, 140, 141, 143, 144, 169], "asfifo": [82, 83], "asfifox": [4, 79], "ask": 194, "async": 84, "asynchron": [1, 159], "author": 190, "auxiliari": 75, "avail": 194, "avmm": 125, "avst": 126, "axi": 127, "bachelor": [53, 55, 100, 106], "barrel": 8, "base": 195, "basic": [2, 147, 163], "batch": 169, "behavior": 6, "between": 194, "bind": 153, "bit": 91, "bittwar": [173, 174], "block": [4, 5, 6, 11, 18, 24, 48, 62, 67, 73, 77, 121, 122], "board": 179, "boot": [173, 174, 175, 176, 177, 178, 183], "bram": [15, 17], "bu": [30, 31, 32, 48, 89, 138, 139, 152, 162, 197], "buffer": [37, 39, 44, 80, 111, 187], "build": [169, 185, 190, 191, 194, 195], "byte": [17, 117, 118, 128, 129, 130, 132, 133, 143], "byte_array_mfb": 131, "byte_array_port": 147, "c": 33, "calcul": 76, "callback": 153, "calypt": [40, 46, 47], "can": [190, 194], "captur": 19, "card": [115, 162, 190, 191, 194, 195], "card_conf": 190, "card_const": 190, "card_nam": 190, "ce_gener": 132, "channel": [41, 92, 200], "channel_align": 132, "check": 195, "checksum": 76, "chip": [169, 194], "class": [10, 125, 134], "clear": 14, "client": [24, 28], "clock": [159, 194], "cocotb": 184, "code": [48, 147], "collis": 96, "common": [134, 147], "commun": 194, "comp": 169, "compar": [3, 134], "compon": [14, 25, 26, 27, 29, 32, 45, 53, 55, 60, 100, 106, 122, 135, 158, 159, 168, 169, 190, 191], "config": [136, 150], "configur": [47, 69, 78, 122, 128, 131, 140, 141, 142, 145, 146, 147, 151, 169, 186, 190, 198], "constant": 190, "constraint": 70, "contact": 190, "content": [2, 156, 157, 161, 165, 166, 168, 203, 204, 206], "control": [25, 26, 30, 31, 32, 40, 41, 46, 113, 119, 122, 156, 192, 194, 196, 198], "convers": 116, "convert": [129, 130, 133], "convertor": 123, "copi": 48, "core": [184, 185, 190, 193, 198], "core_bootstrap": 190, "core_conf": 190, "core_const": 190, "corundum": 194, "count": 117, "counter": [9, 19], "coverag": 147, "crdt": [126, 188], "creat": 147, "crossbarx": [18, 56, 77, 80], "cutter": 57, "data": [26, 70], "data_buff": 132, "ddr4": 32, "debug": [28, 157], "decod": 118, "delay": 67, "demux": 93, "depars": 120, "depend": [190, 195], "descript": [14, 25, 26, 27, 29, 32, 78, 89, 107, 138, 139, 152, 169, 190], "design": [53, 55, 100, 106, 169, 178, 190], "develop": [191, 194], "devic": [115, 169, 170, 171, 172, 191], "diagram": [4, 5, 6, 11, 18, 24, 48, 62, 67, 73, 77, 78, 89, 107, 121, 122], "differ": 194, "differnt": 91, "direct": 144, "discard": [82, 94], "dispatch": 43, "distribut": 50, "dk": [175, 176], "dma": [40, 46, 47, 189, 192, 194, 200], "do": [190, 194], "doc": 186, "doe": 194, "dr": 190, "driver": [138, 139, 147, 150, 152], "dropper": 58, "dsp": [3, 158], "dt": 191, "dtb": 191, "dual": [17, 159], "dx": 175, "effect": 70, "element": 163, "enabl": [17, 59, 118], "endpoint": 115, "entiti": [186, 198], "env": 132, "enviro": [129, 130, 133], "environ": [131, 132, 142, 144, 145, 146, 147], "eof_po": 78, "ethernet": [110, 189, 194, 200], "evalfil": 169, "event": 19, "exampl": [48, 50, 60, 78, 91, 107, 113, 147, 151, 155, 169, 191], "extend": 52, "extra": 115, "extractor": 42, "f": 185, "falcon": 178, "fb2cghh": 180, "fb4cgg3": 181, "fdo": 147, "featur": [11, 19, 20, 25, 26, 27, 29, 32, 82, 169], "few": 89, "fh400g": 179, "fifo": [7, 134, 147, 159], "fifox": [5, 6, 81, 108], "file": [169, 190], "final": 169, "firmwar": [170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 191, 194, 195], "fl": 160, "flow": 11, "flowtest": 151, "flu": [48, 161], "forc": 82, "format": [123, 202], "fpga": [110, 175, 176, 190, 191, 194, 195], "frame": [52, 54, 60, 61, 62], "frequenc": 194, "frequent": 194, "from": [189, 193], "full": 26, "function": [78, 147], "further": [190, 195], "g35p": 177, "gate": 95, "gen": [49, 65, 71, 72, 91, 124], "gener": [14, 18, 25, 26, 27, 29, 32, 33, 41, 42, 44, 45, 46, 48, 50, 78, 107, 108, 112, 114, 138, 139, 147, 151, 152, 189, 191], "given": 190, "gl": [49, 201], "guidelin": 48, "h3": 10, "hard": 198, "hash": 10, "have": 194, "header": [34, 36, 120], "hierarchi": 169, "high": 147, "histogram": 27, "host": 195, "how": [155, 189, 193, 195], "hw": 28, "i": [176, 190, 194], "ia": [173, 174], "id": 115, "idl": 113, "implemen": 169, "implement": [53, 55, 100, 106, 169, 185, 194], "implementdesign": 169, "includ": 190, "incomplet": 169, "index": 78, "indirect": 87, "init": 169, "initi": [173, 183], "inner": [131, 142, 145, 146], "input": [37, 147], "insertor": [34, 66], "instanc": [14, 25, 26, 27, 29], "instruct": [173, 174, 175, 176, 177, 178, 183], "integr": 191, "intel": [136, 175, 176], "interconnect": 197, "interfac": [6, 110, 138, 139, 147, 150, 152, 153, 189, 193, 194], "intern": [30, 32], "ip": [185, 192, 198], "ipg_gener": 132, "irrelev": 91, "iso": 194, "item": [96, 97, 125, 126, 128, 136, 137, 138, 139, 140, 141, 150, 152, 169], "iwav": 177, "jtag": 28, "jumbo": 194, "kei": [25, 26, 27, 29, 32], "kit": 194, "ku15p": 180, "lane": 200, "latch": 12, "latenc": 29, "layer": 147, "lbu": [113, 137, 144], "level": [142, 147], "librari": [137, 147, 162], "lii": [129, 130, 138, 139], "limit": [69, 73], "list": [169, 195], "lite": [112, 114, 193], "live": 13, "load": 195, "local": [36, 40, 46, 47], "locat": 191, "logger": [25, 26], "logic": [125, 140, 141, 144, 163, 193], "logic_vector_array_axi": 142, "logic_vector_array_mfb": 145, "logic_vector_mvb": 146, "lookup": 109, "loop": 49, "loopback": 63, "low": [131, 142, 145, 146, 147], "lut": 16, "mac": [112, 114, 136, 143, 193], "machin": 113, "main": [147, 197], "make": 195, "makefil": [169, 190], "manag": [35, 36, 38, 45, 110, 121], "map": [91, 112, 114], "mask": 91, "masker": 60, "medusa": 192, "mem": 25, "mem_test": 33, "memori": [0, 13, 14, 32, 164, 196], "memory_model": 125, "memx": 9, "merg": [97, 99, 101], "merge_n_to_m": 98, "merger": [64, 65], "meta": 155, "metadata": [42, 66], "meter": 29, "methodologi": 147, "mfb": [50, 51, 52, 54, 57, 58, 59, 60, 63, 64, 65, 68, 70, 71, 72, 74, 75, 78, 79, 81, 82, 83, 113, 148, 155, 165], "mi": [0, 26, 30, 31, 32, 84, 87, 88, 89, 90, 91, 119, 149, 155, 166, 197], "mi2avmm": 85, "mi2axi4": 86, "mii": 132, "minim": 0, "miscellan": 167, "mk": 190, "mod": 169, "model": 147, "modul": [1, 147, 162, 164, 169, 186, 189, 190, 192, 193, 198, 201], "monitor": [128, 129, 130, 132, 133, 138, 139, 140, 141, 147, 150, 152], "more": 24, "mtc": 119, "multi": [6, 9, 15], "multir": 185, "mux": 102, "mvb": [51, 92, 93, 94, 95, 96, 97, 99, 101, 102, 104, 105, 107, 108, 109, 150, 155, 168], "mvb2mfb": 103, "n": 123, "n6010": 182, "n_loop_op": 11, "ndk": [0, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 190, 194, 195, 199, 200, 201], "need": [190, 194, 195], "netfpga": 194, "network": [186, 193, 194, 203], "node": 169, "note": [69, 125, 147, 151, 192], "np": 16, "object": 147, "obtain": 169, "offset": 0, "ofm": 147, "one": 191, "op": 145, "open": 194, "openn": 194, "oper": [11, 69, 77, 78, 104, 107, 113], "order": 101, "osi": 194, "other": [122, 147, 169], "output": 80, "over": 28, "overview": 162, "packag": [23, 134, 147, 190], "packer": 61, "packet": [20, 43, 67, 82, 189, 193, 194], "paramet": [78, 107, 151, 190, 195], "parametr": [147, 190], "pars": 120, "part": [28, 53, 55, 100, 106], "pass": 190, "past": 48, "pc": [110, 195], "pci_ext_cap": 115, "pcie": [47, 116, 117, 118, 120, 122, 198, 204], "pcie_cor": 198, "pcie_ctrl": 198, "pd": 83, "pdf": 32, "phase": 169, "phy": 110, "pipe": [68, 88], "pkt_end": 113, "pkt_halt": 113, "pkt_process": 113, "plan": [40, 46, 60, 111, 186, 187], "planner": 20, "platform_tag": 169, "plu": 91, "pma": [110, 133, 152], "port": [14, 15, 17, 18, 25, 26, 27, 29, 32, 78, 91, 107, 112, 114, 138, 139, 152, 189, 200], "prepar": 195, "prioriti": 169, "pro": 178, "probe": 153, "profil": [151, 185], "program": [33, 170, 171, 172], "properti": [147, 169], "protocol": [28, 194], "ptc": [121, 122], "puls": 21, "py": 33, "pytest": [32, 33], "quartu": 190, "question": 194, "quick": [11, 184], "r": 201, "ram": 16, "random": 134, "rate": 69, "read": [6, 189], "realign": 113, "receiv": [189, 193], "reconfigur": [70, 90, 113, 193], "refer": [1, 18, 20, 24, 32, 61, 122, 159, 164], "reflexc": 179, "regist": [7, 40, 46, 112, 114, 189, 201, 205], "report": [32, 33, 147], "report_gen": 33, "repositori": 147, "request": 147, "request_item": 125, "request_subscrib": 125, "requir": 191, "reset": [11, 147, 154], "resolv": 96, "respons": [125, 147], "response_item": 125, "reusabl": 162, "revis": 179, "router": 92, "run": 147, "rx": [40, 110, 112, 131, 142, 144, 145, 146], "savedesign": 169, "scenario": [78, 107, 113], "scoreboard": 147, "scratch": 201, "script": [147, 179], "sdm": 24, "seg": [136, 143], "select": [192, 198], "sequenc": [125, 126, 128, 129, 130, 131, 132, 133, 136, 137, 138, 139, 140, 141, 142, 144, 145, 146, 147, 150, 151, 152], "sequence_item": [127, 148, 149], "sequence_item_request": 125, "sequence_item_respons": 125, "sequence_rx": 132, "sequence_rx_bas": 132, "sequence_tx": 132, "sequence_tx_bas": 132, "seri": 176, "set": 91, "setupdesign": 169, "shakedown": [98, 105], "shift": [70, 205], "shifter": 8, "short": 21, "side": 70, "signal": [48, 75, 107, 202], "silicom": [180, 181, 182], "simpl": [17, 25, 26, 57, 65, 72, 83, 154], "simul": [155, 184], "singl": 159, "situat": 11, "size": 28, "sof_po": 78, "softwar": [33, 38, 45], "solut": 11, "sorter": 22, "sourc": 194, "space": [26, 28, 69, 87, 110, 115, 197], "specif": [24, 48, 60, 78, 85, 89, 107, 147, 162, 190], "splitter": [71, 72, 91], "sr": 12, "stack": 194, "stamp": 202, "standard": 194, "start": [41, 184, 195], "state": 113, "statu": [40, 46], "stop": 41, "stratix": 175, "stream": [77, 99, 101, 200], "stream2": 56, "sub": 32, "subcompon": [36, 40, 41, 42, 44, 46, 47, 62, 108], "support": [47, 162, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 194, 198], "sv": 132, "sv_lib": 169, "sw": [25, 26, 28, 32, 189, 193, 194], "switch": [49, 185], "sychron": 154, "synchron": [12, 147], "synth_flag": 169, "synthesi": 169, "synthesizeproject": 169, "synthetizedesign": 169, "system": [169, 190, 191], "systemverilog": 147, "tab": [40, 46, 60, 87, 91, 185, 193], "tabl": [13, 48, 109], "tag": 121, "target": 169, "tcl": [147, 169, 190], "templat": [14, 25, 26, 27, 29], "teras": 183, "terminologi": 200, "test": [147, 179, 201], "testbench": 147, "tester": [0, 32, 33], "thesi": [53, 55, 100, 106], "thi": [53, 55, 100, 106], "through": 190, "tile": 185, "tile_multir": 185, "time": [48, 78, 89, 107, 202], "timestamp": [73, 202], "tip": 185, "tl": 190, "tool": [2, 157, 160, 161, 165, 166, 203, 204], "top": [131, 142, 146], "toplevel": 184, "transact": [22, 39, 44, 119, 122], "transit": 132, "transmit": [189, 193], "trasform": 74, "tree": [115, 169, 191], "trimmer": 54, "tsu": [123, 124, 156], "tutori": [147, 201], "tx": [46, 110, 113, 114, 144], "type": 190, "typic": 186, "u200": 170, "u55c": 171, "ultrascal": 110, "unit": [116, 198, 202], "unpack": 62, "up": 91, "us": [91, 155, 169, 189, 193], "usag": [25, 26, 48, 69, 87, 132, 147], "user": [190, 194], "uvm": [40, 46, 147, 155, 206], "uvm_error": 147, "uvm_fat": 147, "uvm_info": 147, "v": 147, "valu": [13, 107, 190], "variabl": 169, "variant": 185, "variou": 107, "vcu118": 172, "vector": [140, 141, 144], "verif": [5, 40, 46, 53, 55, 60, 100, 106, 111, 147, 186, 187, 206], "vhdl": 190, "virtual": 147, "vivado": 190, "vld": 107, "vu9p": [172, 181], "w": 201, "warn": 151, "warp": 26, "what": [190, 194, 195], "word_realign": 113, "work": [190, 195], "wrapper": 132, "write": [6, 189], "xpresssx": 179}})
\ No newline at end of file
+Search.setIndex({"alltitles": {"1. Init phase (SetupDesign)": [[170, "init-phase-setupdesign"]], "2. File add phase (AddInputFiles)": [[170, "file-add-phase-addinputfiles"]], "3. Synthesis and Implemenation (SynthetizeDesign, ImplementDesign)": [[170, "synthesis-and-implemenation-synthetizedesign-implementdesign"]], "4. Final phase (SaveDesign)": [[170, "final-phase-savedesign"]], "40GE Ethernet PHY for Ultrascale+ FPGAs": [[111, null]], "A few timing diagrams": [[90, "a-few-timing-diagrams"]], "AMD Alveo U200": [[171, null]], "AMD Alveo U55C": [[172, null]], "AMD VCU118@VU9P": [[173, null]], "AMM_GEN": [[31, null]], "AMM_PROBE": [[32, null]], "ASFIFOX": [[5, null]], "AVMM Agent": [[126, null]], "AVST CRDT Agent": [[127, null]], "AXI Agent": [[128, null]], "AXIS_ASFIFOX": [[3, null]], "Adapter": [[113, "adapter"], [115, "adapter"]], "Adding constants to the VHDL package": [[191, "adding-constants-to-the-vhdl-package"], [191, "id4"]], "Additional Features": [[12, "additional-features"], [83, "additional-features"]], "Additional features": [[21, "additional-features"]], "Address Manager": [[36, null]], "Address space": [[88, "address-space"], [111, "address-space"], [116, "id2"]], "Address space and configuration": [[70, "address-space-and-configuration"]], "Address space size": [[29, "address-space-size"]], "Advanced synthesis configuration": [[170, "advanced-synthesis-configuration"]], "Agent": [[148, "agent"], [151, "agent"]], "Agents": [[127, "agents"]], "Applications": [[163, null]], "Architecture": [[19, "architecture"], [20, "architecture"], [21, "architecture"], [23, "architecture"], [62, "architecture"], [71, "architecture"], [83, "architecture"], [91, "architecture"], [92, "architecture"], [111, "architecture"], [113, "architecture"], [115, "architecture"]], "Architecture configurations": [[123, "architecture-configurations"]], "Arcitecture": [[63, "arcitecture"]], "Asynchronous modules": [[1, null]], "BUFFER": [[112, null], [188, null]], "Barrel Shifter": [[9, null]], "Basic Tools": [[2, null]], "Basic logic elements": [[164, null]], "Basic usage of the UVM methodology in the OFM repository": [[148, "basic-usage-of-the-uvm-methodology-in-the-ofm-repository"]], "Batch feature in EvalFile": [[170, "batch-feature-in-evalfile"]], "Bind": [[154, "bind"]], "Bittware IA-420F": [[174, null]], "Bittware IA-440I": [[175, null]], "Block diagram": [[5, "block-diagram"], [6, "block-diagram"], [7, "block-diagram"], [12, "block-diagram"], [19, "block-diagram"], [25, "block-diagram"], [63, "block-diagram"], [68, "block-diagram"], [74, "block-diagram"], [78, "block-diagram"], [122, "block-diagram"], [123, "block-diagram"]], "Board Revision": [[180, "board-revision"]], "Board Test Scripts": [[180, "board-test-scripts"]], "Boot Instructions": [[179, "boot-instructions"]], "Boot instructions": [[175, "boot-instructions"], [176, "boot-instructions"], [177, "boot-instructions"], [178, "boot-instructions"]], "Boot instructions (initial)": [[174, "boot-instructions-initial"], [184, "boot-instructions-initial"]], "Build System": [[170, null]], "Build system files": [[191, "build-system-files"]], "Build tips": [[186, "build-tips"]], "Bus Specifications": [[163, null]], "Byte Array Sequence": [[129, "byte-array-sequence"]], "Byte Array agent": [[129, null]], "Byte Array monitor": [[129, "byte-array-monitor"]], "Byte Array sequence item": [[129, "byte-array-sequence-item"]], "Byte Array to LII Sequence": [[130, "byte-array-to-lii-sequence"], [131, "byte-array-to-lii-sequence"]], "Byte Array to LII convert enviroment": [[130, null], [131, null]], "Byte Array to LII monitor": [[130, "byte-array-to-lii-monitor"], [131, "byte-array-to-lii-monitor"]], "Byte Array to PMA Sequence": [[134, "byte-array-to-pma-sequence"]], "Byte Array to PMA monitor": [[134, "byte-array-to-pma-monitor"]], "Byte array to MII transitional environment": [[133, null]], "Byte array to pma convert enviroment": [[134, null]], "Byte_array_mfb environment": [[132, null]], "Byte_array_port environment": [[148, "byte-array-port-environment"]], "C Program": [[34, "c-program"]], "CRDT Agent": [[189, null]], "CROSSBARX STREAM2": [[57, null]], "Callback": [[154, "callback"]], "Capture feature": [[20, "capture-feature"]], "Card ID": [[116, "card-id"]], "Channel Start/stop control": [[42, null]], "Checksum Calculator": [[77, null]], "Chip design synthesis and implementation": [[170, "chip-design-synthesis-and-implementation"]], "Cocotb toplevel simulation core": [[185, null]], "Code coverage": [[148, "code-coverage"]], "Common environment": [[148, "common-environment"]], "Common package": [[135, null]], "Comparer": [[135, "comparer"]], "Component port and generics description": [[15, "component-port-and-generics-description"], [26, "component-port-and-generics-description"], [27, "component-port-and-generics-description"], [28, "component-port-and-generics-description"], [30, "component-port-and-generics-description"], [33, "component-port-and-generics-description"]], "Component specification": [[61, "component-specification"]], "Component synthesis": [[170, "component-synthesis"]], "Components": [[136, null]], "Components:": [[136, null]], "Config": [[137, "config"], [151, "config"]], "Configuration": [[132, "configuration"], [143, "configuration"], [146, "configuration"], [147, "configuration"]], "Configuration files": [[191, "id5"], [191, "configuration-files"]], "Configuration files and parameters": [[191, null]], "Configuration generation": [[152, "configuration-generation"]], "Configuration generator configuration": [[152, "configuration-generator-configuration"]], "Configuration object": [[148, "configuration-object"], [148, "id1"]], "Constraints and side-effects": [[71, "constraints-and-side-effects"]], "Contact for author": [[191, "contact-for-author"]], "Content:": [[2, null], [157, null], [158, null], [162, null], [166, null], [167, null], [169, null], [204, null], [205, null]], "Contents:": [[207, null]], "Control SW": [[26, "control-sw"], [27, "control-sw"], [33, "control-sw"]], "Control/Status Registers": [[41, "control-status-registers"], [47, "control-status-registers"]], "Controllers & TSU": [[157, null]], "Controlling state machine": [[114, "controlling-state-machine"]], "Copy-paste code blocks": [[49, "copy-paste-code-blocks"]], "Coverage": [[148, "coverage"]], "Create model input fifo": [[148, "create-model-input-fifo"]], "CrossbarX": [[19, null]], "CrossbarX Stream": [[78, null]], "Crossbarx Output Buffer": [[81, null]], "DDR4 Memory Tester": [[33, null]], "DMA Calypte": [[48, null]], "DMA Channel": [[201, "dma-channel"]], "DMA Medusa IP notes": [[193, "dma-medusa-ip-notes"]], "DMA Stream": [[201, "dma-stream"]], "DSP Comparator": [[4, null]], "DSP components": [[159, null]], "DT integration in build system": [[192, "dt-integration-in-build-system"]], "Data logger": [[27, null]], "Data logger warping component": [[27, "data-logger-warping-component"]], "Data shifting": [[71, "data-shifting"]], "Debug Tools": [[158, null]], "Debugging - DEBUG part": [[29, "debugging-debug-part"]], "Debugging - HW part": [[29, "debugging-hw-part"]], "Debugging - SW part": [[29, "debugging-sw-part"]], "Device Tree": [[116, "device-tree"], [192, null]], "Device Tree nodes": [[170, "device-tree-nodes"]], "Distribution examples": [[51, "distribution-examples"]], "Does NDK implement ISO/OSI protocol support?": [[195, "does-ndk-implement-iso-osi-protocol-support"]], "Does NDK support Jumbo packets?": [[195, "does-ndk-support-jumbo-packets"]], "Driver": [[148, "driver"], [151, "driver"]], "Dual clock (asynchronous) FIFOs": [[160, "dual-clock-asynchronous-fifos"]], "Endpoint ID": [[116, "endpoint-id"]], "Entity Docs": [[187, "entity-docs"]], "Environment": [[148, "environment"]], "Ethernet Channel": [[201, "ethernet-channel"]], "Ethernet Lanes": [[201, "ethernet-lanes"]], "Ethernet Port": [[201, "ethernet-port"]], "Ethernet Stream": [[201, "ethernet-stream"]], "EvalFile": [[170, "evalfile"]], "Event Counter": [[20, null]], "Example 1": [[170, "example-1"]], "Example 1 - setting up ADDR_BASE": [[92, "example-1-setting-up-addr-base"]], "Example 2": [[170, "example-2"]], "Example 2 - masking irrelevant bits of the address": [[92, "example-2-masking-irrelevant-bits-of-the-address"]], "Example 3": [[170, "example-3"]], "Example 3 - mapping ports to differnt ABs": [[92, "example-3-mapping-ports-to-differnt-abs"]], "Example configurations": [[79, "example-configurations"], [152, "example-configurations"]], "Example of DTS of one component": [[192, "example-of-dts-of-one-component"]], "Example of Makefile for component synthesis": [[170, "example-of-makefile-for-component-synthesis"]], "Example of function of the EOF_POS index": [[79, "example-of-function-of-the-eof-pos-index"]], "Example of function of the SOF_POS index": [[79, "example-of-function-of-the-sof-pos-index"]], "Example of generated DTS for FPGA card": [[192, "example-of-generated-dts-for-fpga-card"]], "Example of using Modules.tcl variables": [[170, "example-of-using-modules-tcl-variables"]], "Example of using properties": [[170, "example-of-using-properties"]], "Examples": [[61, "examples"], [156, "examples"]], "Examples of realignment": [[114, "examples-of-realignment"]], "Examples of use": [[92, "examples-of-use"]], "Examples of various VLD signal values": [[108, "examples-of-various-vld-signal-values"]], "Extra space": [[116, "id3"], [116, "extra-space"]], "F-Tile Multirate IP": [[186, null]], "FIFO components": [[160, null]], "FIFOX": [[6, null]], "FIFOX Multi": [[7, null]], "FL Tools": [[161, null]], "FLU Tools": [[162, null]], "FLU bus specification": [[49, null]], "File description": [[191, "file-description"], [191, "id1"]], "FlowTest Sequence": [[152, null]], "Force Discard": [[83, "force-discard"]], "Frame Packer": [[62, null]], "Frame Unpacker": [[63, null]], "Frequently Asked Questions": [[195, null]], "Functional coverage": [[148, "functional-coverage"]], "Further work with parameters": [[191, "further-work-with-parameters"], [191, "id2"]], "Further work with the NDK": [[196, "further-work-with-the-ndk"]], "GLS module tutorial": [[202, "gls-module-tutorial"]], "Gen Loop Switch (GLS)": [[50, null]], "General Subcomponents": [[47, "general-subcomponents"]], "General components": [[46, "general-components"]], "General subcomponents": [[42, "general-subcomponents"], [43, "general-subcomponents"], [45, "general-subcomponents"], [109, "general-subcomponents"]], "Generating coverage reports": [[148, "generating-coverage-reports"]], "Generic parameters": [[79, "generic-parameters"], [108, "generic-parameters"]], "Generics": [[19, "generics"], [139, "generics"], [140, "generics"], [153, "generics"]], "H3 Class Hash": [[11, null]], "Header Insertor": [[35, null]], "Header Manager": [[37, null]], "Hierarchy description in Modules.tcl": [[170, "hierarchy-description-in-modules-tcl"]], "High-level monitor": [[148, "high-level-monitor"]], "Histogramer": [[28, null]], "How to build an FPGA firmware with an NDK-based application": [[196, "how-to-build-an-fpga-firmware-with-an-ndk-based-application"]], "How to check the NDK firmware in the FPGA": [[196, "how-to-check-the-ndk-firmware-in-the-fpga"]], "How to load the firmware to an FPGA card": [[196, "how-to-load-the-firmware-to-an-fpga-card"]], "How to prepare the FPGA card and the host PC": [[196, "how-to-prepare-the-fpga-card-and-the-host-pc"]], "How to start": [[196, null]], "How to use the Application interfaces": [[190, "how-to-use-the-application-interfaces"]], "How to use the Network Module interfaces": [[194, "how-to-use-the-network-module-interfaces"]], "How to use the UVM simulation": [[156, "how-to-use-the-uvm-simulation"]], "I need to include specific component in CORE depending on a given parameter value": [[191, "i-need-to-include-specific-component-in-core-depending-on-a-given-parameter-value"]], "IDLE": [[114, "idle"]], "Implemented IP cores": [[186, "implemented-ip-cores"]], "Input Buffer": [[38, null]], "Instance template": [[15, "instance-template"], [28, "instance-template"], [30, "instance-template"]], "Instance template (full usage)": [[27, "instance-template-full-usage"]], "Instance template (simple usage)": [[26, "instance-template-simple-usage"], [27, "instance-template-simple-usage"]], "Intel Agilex I-Series FPGA DK": [[177, null]], "Intel MAC SEG": [[137, null]], "Intel Stratix 10 DX FPGA DK": [[176, null]], "Interface": [[111, "interface"], [148, "interface"], [151, "interface"], [154, "interface"]], "Internal Architecture": [[31, "internal-architecture"], [33, "internal-architecture"]], "Is there a SW stack also available for the NDK?": [[195, "is-there-a-sw-stack-also-available-for-the-ndk"]], "Is there also an open-source DMA controller available?": [[195, "is-there-also-an-open-source-dma-controller-available"]], "JTAG-over-protocol Client": [[29, null]], "Key features": [[26, "key-features"], [27, "key-features"], [28, "key-features"], [30, "key-features"], [33, "key-features"]], "LBUS Agent": [[138, null]], "LII agent": [[139, null], [140, null]], "LII bus description": [[139, "lii-bus-description"], [140, "lii-bus-description"]], "LII driver": [[139, "lii-driver"], [140, "lii-driver"]], "LII interface": [[139, "lii-interface"], [140, "lii-interface"]], "LII monitor": [[139, "lii-monitor"], [140, "lii-monitor"]], "LII sequence item": [[139, "lii-sequence-item"], [140, "lii-sequence-item"]], "LOGIC VECTOR ARRAY LBUS Environment": [[145, null]], "Latency meter": [[30, null]], "Layered agents": [[148, "layered-agents"]], "List of make parameters:": [[196, "list-of-make-parameters"]], "List of properties used in MOD variables": [[170, "list-of-properties-used-in-mod-variables"]], "List of properties used in SV_LIBS": [[170, "list-of-properties-used-in-sv-libs"]], "Live value table memory": [[14, null]], "Local Subcomponents": [[37, "local-subcomponents"], [41, "local-subcomponents"], [47, "local-subcomponents"], [48, "local-subcomponents"]], "Location of DTB in the firmware": [[192, "location-of-dtb-in-the-firmware"]], "Logic Vector Array Sequence": [[141, "logic-vector-array-sequence"], [142, "logic-vector-array-sequence"]], "Logic Vector Array agent": [[142, null]], "Logic Vector Array monitor": [[141, "logic-vector-array-monitor"], [142, "logic-vector-array-monitor"]], "Logic Vector Array sequence item": [[141, "logic-vector-array-sequence-item"], [142, "logic-vector-array-sequence-item"]], "Logic vector agent": [[141, null]], "Low sequence configuration": [[132, "low-sequence-configuration"], [146, "low-sequence-configuration"], [147, "low-sequence-configuration"]], "Low-level sequence": [[148, "low-level-sequence"]], "Low-level sequence configuration": [[143, "low-level-sequence-configuration"]], "MEM_TESTER Software": [[34, null]], "MERGE_N_TO_M": [[99, "merge-n-to-m"]], "MFB + META/MVB": [[156, "mfb-meta-mvb"]], "MFB + MI": [[156, "mfb-mi"]], "MFB -> LBUS reconfigurator (TX LBUS)": [[114, null]], "MFB ASFIFOX": [[80, null]], "MFB Agent": [[149, null]], "MFB Auxiliary Signals": [[76, null]], "MFB Cutter Simple": [[58, null]], "MFB Dropper": [[59, null]], "MFB Enabler": [[60, null]], "MFB FIFOX": [[82, null]], "MFB FRAME EXTENDER": [[53, null]], "MFB FRAME TRIMMER": [[55, null]], "MFB Frame Masker": [[61, null]], "MFB Generator": [[51, null]], "MFB Loopback": [[64, null]], "MFB MVB Appender": [[52, null]], "MFB Merger": [[65, null]], "MFB Merger Simple": [[66, null]], "MFB Merger Simple GEN": [[66, "id1"]], "MFB PD ASFIFO SIMPLE": [[84, null]], "MFB PIPE": [[69, null]], "MFB Packet Discard ASFIFO": [[83, null]], "MFB Reconfigurator": [[71, null]], "MFB Splitter": [[72, null]], "MFB Splitter Gen": [[72, "id1"]], "MFB Splitter Simple": [[73, null]], "MFB Splitter Simple Gen": [[73, "id1"]], "MFB Tools": [[166, null]], "MFB Trasformer": [[75, null]], "MFB specification": [[79, null]], "MI ASYNC": [[85, null]], "MI Bus Control": [[31, "mi-bus-control"], [32, "mi-bus-control"], [33, "mi-bus-control"]], "MI Pipe": [[89, null]], "MI Reconfigurator": [[91, null]], "MI Splitter Plus Gen": [[92, null]], "MI Tools": [[167, null]], "MI address space": [[27, "mi-address-space"]], "MI agent": [[150, null]], "MI bus description": [[90, "mi-bus-description"]], "MI bus specification": [[90, null]], "MI indirect access": [[88, null]], "MI2AVMM": [[86, null]], "MI2AXI4": [[87, null]], "MTC (MI Transaction Controller)": [[120, null]], "MVB + MI": [[156, "mvb-mi"]], "MVB Channel Router": [[93, null]], "MVB Components": [[169, null]], "MVB DEMUX": [[94, null]], "MVB DISCARD": [[95, null]], "MVB FIFOX": [[109, null]], "MVB Gate": [[96, null]], "MVB Item Collision Resolver": [[97, null]], "MVB Lookup Table": [[110, null]], "MVB MUX": [[103, null]], "MVB Merge Items": [[98, null]], "MVB Merge Streams": [[100, null]], "MVB Merge Streams Ordered": [[102, null]], "MVB Operation": [[105, null]], "MVB Shakedown": [[106, null]], "MVB Specification": [[108, null]], "MVB agent": [[151, null]], "MVB2MFB": [[104, null]], "Main .fdo script for running the verification": [[148, "main-fdo-script-for-running-the-verification"]], "Makefile": [[170, "makefile"]], "Management": [[111, "management"]], "Mem logger": [[26, null]], "Memory clear": [[15, null]], "Memory modules": [[165, null]], "Metadata Extractor": [[43, null]], "Metadata Insertor": [[67, null]], "Minimal NDK application": [[0, null]], "Miscellaneous": [[168, null]], "Model": [[148, "model"]], "Modules.tcl": [[148, "modules-tcl"]], "Monitor": [[151, "monitor"]], "More references": [[25, "more-references"]], "Multi MEMx Counter": [[10, null]], "Multi-port BRAM": [[16, null]], "NDK Architecture": [[200, null]], "NDK Firmware Support": [[179, "ndk-firmware-support"]], "NDK Terminology": [[201, null]], "NDK firmware support": [[171, "ndk-firmware-support"], [172, "ndk-firmware-support"], [173, "ndk-firmware-support"], [174, "ndk-firmware-support"], [175, "ndk-firmware-support"], [176, "ndk-firmware-support"], [177, "ndk-firmware-support"], [178, "ndk-firmware-support"], [180, "ndk-firmware-support"], [181, "ndk-firmware-support"], [182, "ndk-firmware-support"], [183, "ndk-firmware-support"], [184, "ndk-firmware-support"]], "NDK testing": [[202, null]], "NETWORK MODULE": [[187, null]], "NOTES": [[148, "notes"]], "NP LUT RAM": [[17, null]], "N_LOOP_OP": [[12, null]], "Network Module Core": [[194, "network-module-core"]], "Network Module Logic": [[194, "network-module-logic"]], "Network Tools": [[204, null]], "Note": [[152, null], [152, null]], "Note\n :class: note": [[126, null]], "Notes": [[70, "notes"]], "OFM verification environment": [[148, "ofm-verification-environment"]], "Operation": [[70, "operation"], [79, "operation"], [108, "operation"], [114, "operation"]], "Operations": [[78, "operations"]], "Operator flow": [[12, "operator-flow"]], "Other components": [[123, "other-components"]], "Other features of the build system": [[170, "other-features-of-the-build-system"]], "Other tutorials": [[148, "other-tutorials"]], "Overview": [[163, null]], "PCIE Byte Count": [[118, null]], "PCIE CONVERSION UNITS": [[117, null]], "PCIE Header parsing/deparsing": [[121, null]], "PCI_EXT_CAP": [[116, null]], "PCIe Byte Enable Decoder": [[119, null]], "PCIe Tools": [[205, null]], "PDF report generator SW": [[33, "pdf-report-generator-sw"]], "PKT_END": [[114, "pkt-end"]], "PKT_HALT": [[114, "pkt-halt"]], "PKT_PROCESS": [[114, "pkt-process"]], "PLATFORM_TAGS": [[170, "platform-tags"]], "PMA": [[111, "pma"]], "PMA agent": [[153, null]], "PMA bus description": [[153, "pma-bus-description"]], "PMA driver": [[153, "pma-driver"]], "PMA interface": [[153, "pma-interface"]], "PMA monitor": [[153, "pma-monitor"]], "PMA sequence item": [[153, "pma-sequence-item"]], "PRO DESIGN Falcon": [[179, null]], "PTC (PCIe Transaction Controller)": [[123, null]], "PTC Tag Manager": [[122, null]], "Package": [[148, "package"]], "Packages": [[24, null]], "Packet Delayer": [[68, null]], "Packet Dispatcher": [[44, null]], "Packet Planner": [[21, null]], "Parametrized object": [[148, "parametrized-object"]], "Parametrizing NDK-FPGA design": [[191, "parametrizing-ndk-fpga-design"]], "Parametrizing a specific card type": [[191, "parametrizing-a-specific-card-type"]], "Parametrizing the user application": [[191, "parametrizing-the-user-application"]], "Passing through Modules.tcl": [[191, "passing-through-modules-tcl"], [191, "id3"]], "Port description": [[79, "port-description"], [108, "port-description"]], "Ports": [[19, "ports"], [139, "ports"], [140, "ports"], [153, "ports"]], "Ports and Generics": [[113, "ports-and-generics"], [115, "ports-and-generics"]], "Ports and generics of the Application": [[190, "ports-and-generics-of-the-application"]], "Priority for PLATFORM_TAGS": [[170, "priority-for-platform-tags"]], "Profile generation": [[152, "profile-generation"]], "Profile generator configuration": [[152, "profile-generator-configuration"]], "Programming the device": [[171, "programming-the-device"], [172, "programming-the-device"], [173, "programming-the-device"]], "Properties": [[148, "properties"], [148, "id5"]], "Pulse short": [[22, null]], "Pytest SW": [[33, "pytest-sw"]], "Pytest Tester (mem_tester.py)": [[34, "pytest-tester-mem-tester-py"]], "Quick reset": [[12, "quick-reset"]], "Quick start": [[185, "quick-start"]], "RESET agent": [[155, null]], "RX DMA Calypte": [[41, null]], "RX Inner sequences": [[132, "rx-inner-sequences"], [143, "rx-inner-sequences"], [146, "rx-inner-sequences"], [147, "rx-inner-sequences"]], "RX MAC LITE": [[113, null]], "RX PCS": [[111, "rx-pcs"]], "RX direction": [[145, "rx-direction"]], "Random": [[135, "random"]], "Rate Limiter": [[70, null]], "Read interface behavior": [[7, "read-interface-behavior"]], "Read/write access to the Application registers from SW": [[190, "read-write-access-to-the-application-registers-from-sw"]], "Receiving packets from Ethernet": [[190, "receiving-packets-from-ethernet"]], "Receiving packets from the Application": [[194, "receiving-packets-from-the-application"]], "Receiving packets from the DMA module": [[190, "receiving-packets-from-the-dma-module"]], "References": [[1, "references"], [19, "references"], [21, "references"], [33, "references"], [62, "references"], [123, "references"], [160, "references"], [165, "references"]], "ReflexCES XpressSX AGI-FH400G": [[180, null]], "Register FIFO": [[8, null]], "Register Map": [[113, "register-map"], [115, "register-map"]], "Report Generator (report_gen.py)": [[34, "report-generator-report-gen-py"]], "Request-response Agents": [[148, "request-response-agents"]], "Requirements for developers": [[192, "requirements-for-developers"]], "Reset": [[148, "reset"]], "Response logic": [[126, "response-logic"]], "Reusable Modules Library": [[163, null]], "Run of a specific sequence": [[148, "run-of-a-specific-sequence"]], "SDM CLIENT": [[25, null]], "SHAKEDOWN": [[99, null]], "SW access to the MAC Lites": [[194, "sw-access-to-the-mac-lites"]], "SW access to the Network Module Cores and Network Module Logics": [[194, "sw-access-to-the-network-module-cores-and-network-module-logics"]], "SW access to the reconfiguration interfaces": [[194, "sw-access-to-the-reconfiguration-interfaces"]], "Scenario 1": [[79, "scenario-1"], [108, "scenario-1"], [114, "scenario-1"]], "Scenario 2": [[79, "scenario-2"], [108, "scenario-2"], [114, "scenario-2"]], "Scenario 3": [[114, "scenario-3"]], "Scenario 4": [[114, "scenario-4"]], "Scenario 5": [[114, "scenario-5"]], "Scenario 6": [[114, "scenario-6"]], "Scoreboard": [[148, "scoreboard"], [148, "id3"], [148, "id4"]], "Selecting a DMA controller": [[193, "selecting-a-dma-controller"]], "Selecting a PCIe configuration": [[199, "selecting-a-pcie-configuration"]], "Sequence": [[137, "sequence"], [148, "sequence"], [151, "sequence"]], "Sequence Item": [[127, "sequence-item"], [138, "sequence-item"]], "Sequence Items": [[126, "sequence-items"]], "Sequence Libraries": [[138, "sequence-libraries"]], "Sequence configuration": [[129, "sequence-configuration"], [141, "sequence-configuration"], [142, "sequence-configuration"]], "Sequence item": [[137, "sequence-item"], [151, "sequence-item"]], "Sequence library": [[148, "sequence-library"], [148, "id2"]], "Sequence parameters": [[152, "sequence-parameters"]], "Sequence_item": [[150, "sequence-item"]], "Sequencers": [[145, "sequencers"]], "Sequences": [[126, "sequences"], [127, "sequences"], [138, "sequences"], [145, "sequences"]], "Shift registers": [[206, null]], "Silicom N6010": [[183, null]], "Silicom fb2CGhh@KU15P": [[181, null]], "Silicom fb4CGg3@VU9P": [[182, null]], "Simple dual-port BRAM": [[18, null]], "Simple dual-port BRAM with Byte Enable": [[18, "simple-dual-port-bram-with-byte-enable"]], "Single clock FIFOs": [[160, "single-clock-fifos"]], "Situation": [[12, "situation"]], "Software Manager": [[39, null], [46, null]], "Solution": [[12, "solution"]], "Specification": [[25, "specification"], [86, "specification"]], "Sub-components": [[33, "sub-components"]], "Subcomponents": [[63, "subcomponents"]], "Supported Cards": [[163, null]], "Supported PCIe Configurations": [[48, "supported-pcie-configurations"]], "Supported PCIe Hard IP": [[199, "supported-pcie-hard-ip"]], "Switching profiles": [[186, "switching-profiles"]], "Synchronization": [[148, "synchronization"]], "Synchronous SR latch": [[13, null]], "SynthesizeProject": [[170, "synthesizeproject"]], "SystemVerilog and UVM tutorial": [[148, null]], "TL;DR": [[191, "tl-dr"]], "TSU Format to ns Convertor": [[124, null]], "TSU GEN": [[125, null]], "TX DMA Calypte": [[47, null]], "TX MAC LITE": [[115, null]], "TX PCS": [[111, "tx-pcs"]], "TX direction": [[145, "tx-direction"]], "Tab. 1": [[41, "id2"], [47, "id2"], [61, "id2"], [88, "id2"], [92, "id2"], [194, "id6"]], "Tab. 1 F-Tile_Multirate IPs variants": [[186, "id1"]], "Tab. 2": [[47, "id3"], [92, "id3"], [194, "id7"]], "Tab. 3": [[92, "id4"], [194, "id8"]], "Tab. 4": [[194, "id9"]], "Table of generics": [[49, "table-of-generics"]], "Table of signals": [[49, "table-of-signals"]], "Terasic A2700": [[184, null]], "Test": [[148, "test"]], "Test environment": [[148, "test-environment"]], "Testbench": [[148, "testbench"]], "Testing R/W access to the scratch registers": [[202, "testing-r-w-access-to-the-scratch-registers"]], "The (incomplete) list of SYNTH_FLAGS array items": [[170, "the-incomplete-list-of-synth-flags-array-items"]], "The Application": [[190, null]], "The DMA module": [[193, null]], "The MI bus interconnect": [[198, null]], "The Memory Controller": [[197, null]], "The Memory Testers": [[0, "the-memory-testers"]], "The Network Module": [[194, null]], "The PCIe Control unit (PCIE_CTRL)": [[199, "the-pcie-control-unit-pcie-ctrl"]], "The PCIe Core (PCIE_CORE)": [[199, "the-pcie-core-pcie-core"]], "The PCIe module": [[199, null]], "The PCIe module entity": [[199, "the-pcie-module-entity"]], "The application MI offsets": [[0, "the-application-mi-offsets"]], "The comp target in Makefile": [[170, "the-comp-target-in-makefile"]], "The main allocation of the MI address space": [[198, "the-main-allocation-of-the-mi-address-space"]], "The verification of this component will be designed and implemented as part of the bachelor\u2019s thesis.": [[54, null], [56, null], [101, null], [107, null]], "Time Stamp Unit": [[203, null]], "Timestamp Limiter": [[74, null]], "Timestamp format": [[203, "timestamp-format"]], "Timestamp signals": [[203, "timestamp-signals"]], "Timing diagram example": [[49, "timing-diagram-example"]], "Timing diagrams": [[79, "timing-diagrams"], [108, "timing-diagrams"]], "Top sequencers and sequences": [[132, "top-sequencers-and-sequences"], [143, "top-sequencers-and-sequences"], [147, "top-sequencers-and-sequences"]], "Transaction Buffer": [[40, null]], "Transaction Sorter": [[23, null]], "Transaction buffer": [[45, null]], "Transmitting packets to the Application": [[194, "transmitting-packets-to-the-application"]], "Transmitting packets to the DMA module": [[190, "transmitting-packets-to-the-dma-module"]], "Transmitting packets to the Ethernet": [[190, "transmitting-packets-to-the-ethernet"]], "Typical Configurations": [[187, "typical-configurations"]], "UVM Verification": [[41, "uvm-verification"], [47, "uvm-verification"], [207, null]], "UVM simulation": [[156, null]], "UVM_error vs UVM_fatal": [[148, "uvm-error-vs-uvm-fatal"]], "UVM_info": [[148, "uvm-info"]], "Usage": [[70, "usage"], [88, "usage"], [133, "usage"]], "Usage guidelines": [[49, "usage-guidelines"]], "Variables in Modules.tcl obtained by the build system": [[170, "variables-in-modules-tcl-obtained-by-the-build-system"]], "Verification": [[6, "verification"]], "Verification Plan": [[41, "verification-plan"], [47, "verification-plan"], [112, "verification-plan"], [187, "verification-plan"], [188, "verification-plan"], [188, "id1"]], "Verification block diagram": [[6, "verification-block-diagram"]], "Verification example": [[148, "verification-example"]], "Verification plan": [[61, "verification-plan"]], "Virtual sequence and synchronization": [[148, "virtual-sequence-and-synchronization"]], "Virtual sequencer": [[148, "virtual-sequencer"]], "WORD_REALIGN": [[114, "word-realign"]], "Warning": [[152, null], [152, null]], "What Ethernet standards does NDK support?": [[195, "what-ethernet-standards-does-ndk-support"]], "What FPGA chips and cards does NDK support?": [[195, "what-fpga-chips-and-cards-does-ndk-support"]], "What SW do I need to build the NDK firmware?": [[195, "what-sw-do-i-need-to-build-the-ndk-firmware"]], "What can I do with the app_conf.tcl file": [[191, "what-can-i-do-with-the-app-conf-tcl-file"]], "What can I do with the card_conf.tcl file": [[191, "what-can-i-do-with-the-card-conf-tcl-file"]], "What can I do with the card_const.tcl file": [[191, "what-can-i-do-with-the-card-const-tcl-file"]], "What can I do with the core_conf.tcl file": [[191, "what-can-i-do-with-the-core-conf-tcl-file"]], "What can I do with the core_const.tcl file": [[191, "what-can-i-do-with-the-core-const-tcl-file"]], "What clock frequencies are available for the user application?": [[195, "what-clock-frequencies-are-available-for-the-user-application"]], "What communication interfaces can a NDK applications have available?": [[195, "what-communication-interfaces-can-a-ndk-applications-have-available"]], "What dependencies are needed to build an FPGA firmware": [[196, "what-dependencies-are-needed-to-build-an-fpga-firmware"]], "What is a Network Development Kit (NDK)?": [[195, "what-is-a-network-development-kit-ndk"]], "What is the difference between NDK and Corundum?": [[195, "what-is-the-difference-between-ndk-and-corundum"]], "What is the difference between NDK and NetFPGA?": [[195, "what-is-the-difference-between-ndk-and-netfpga"]], "What is the difference between NDK and OpenNIC?": [[195, "what-is-the-difference-between-ndk-and-opennic"]], "Write interface behavior": [[7, "write-interface-behavior"]], "build//Makefile": [[191, "build-card-name-makefile"]], "build//app_conf.tcl": [[191, "build-card-name-app-conf-tcl"]], "build//{Vivado,Quartus}.tcl": [[191, "build-card-name-vivado-quartus-tcl"]], "byte array to MAC SEG": [[144, null]], "card.mk": [[191, "card-mk"]], "card_conf.tcl": [[191, "card-conf-tcl"]], "card_const.tcl": [[191, "card-const-tcl"]], "ce_generator.sv": [[133, "ce-generator-sv"]], "channel_align.sv": [[133, "channel-align-sv"]], "comparer classes": [[135, "id1"], [135, "id2"], [135, "id3"]], "core.mk": [[191, "core-mk"]], "core_bootstrap.tcl": [[191, "core-bootstrap-tcl"]], "core_conf.tcl": [[191, "core-conf-tcl"]], "core_const.tcl": [[191, "core-const-tcl"]], "data_buffer.sv": [[133, "data-buffer-sv"]], "env.sv": [[133, "env-sv"]], "fifo": [[135, "fifo"]], "iWave G35P": [[178, null]], "ipg_generator.sv": [[133, "ipg-generator-sv"]], "logic_vector_array_axi environment": [[143, null]], "logic_vector_array_mfb environment": [[146, null]], "logic_vector_mvb environment": [[147, null]], "memory_model": [[126, "memory-model"]], "monitor.sv": [[133, "monitor-sv"]], "op sequencers and sequences": [[146, "op-sequencers-and-sequences"]], "probe agent": [[154, null]], "request_item": [[126, "request-item"]], "request_subscriber": [[126, "request-subscriber"]], "response_item": [[126, "response-item"]], "sequence_item": [[128, "sequence-item"], [149, "sequence-item"]], "sequence_item_request": [[126, "sequence-item-request"]], "sequence_item_response": [[126, "sequence-item-response"]], "sequence_rx.sv and sequence_tx.sv": [[133, "sequence-rx-sv-and-sequence-tx-sv"]], "sequence_rx_base.sv": [[133, "sequence-rx-base-sv"]], "sequence_tx_base.sv": [[133, "sequence-tx-base-sv"]], "sequencer.sv": [[133, "sequencer-sv"]], "simple sychronous RESET agents": [[155, "simple-sychronous-reset-agents"]], "uvm_info": [[148, "id6"]], "wrapper.sv": [[133, "wrapper-sv"]]}, "docnames": ["app-minimal", "async", "base", "comp/axis_tools/storage/asfifox/readme", "comp/base/dsp/dsp_comparator/readme", "comp/base/fifo/asfifox/readme", "comp/base/fifo/fifox/readme", "comp/base/fifo/fifox_multi/readme", "comp/base/fifo/reg_fifo/readme", "comp/base/logic/barrel_shifter/readme", "comp/base/logic/cnt_multi_memx/readme", "comp/base/logic/h3hash/readme", "comp/base/logic/n_loop_op/readme", "comp/base/logic/sr_sync_latch/readme", "comp/base/mem/lvt_mem/readme", "comp/base/mem/mem_clear/readme", "comp/base/mem/mp_bram/readme", "comp/base/mem/np_lutram/readme", "comp/base/mem/sdp_bram/readme", "comp/base/misc/crossbarx/readme", "comp/base/misc/event_counter/readme", "comp/base/misc/packet_planner/readme", "comp/base/misc/pulse_short/readme", "comp/base/misc/trans_sorter/readme", "comp/base/pkg/readme", "comp/ctrls/sdm_client/readme", "comp/debug/data_logger/mem_logger/readme", "comp/debug/data_logger/readme", "comp/debug/histogramer/readme", "comp/debug/jtag_op_client/readme", "comp/debug/latency_meter/readme", "comp/debug/mem_tester/amm_gen/readme", "comp/debug/mem_tester/amm_probe/readme", "comp/debug/mem_tester/readme", "comp/debug/mem_tester/sw/readme", "comp/dma/dma_calypte/comp/rx/comp/hdr_insertor/readme", "comp/dma/dma_calypte/comp/rx/comp/hdr_manager/addr_manager/readme", "comp/dma/dma_calypte/comp/rx/comp/hdr_manager/readme", "comp/dma/dma_calypte/comp/rx/comp/input_buffer/readme", "comp/dma/dma_calypte/comp/rx/comp/software_manager/readme", "comp/dma/dma_calypte/comp/rx/comp/trans_buffer/readme", "comp/dma/dma_calypte/comp/rx/readme", "comp/dma/dma_calypte/comp/tx/comp/chan_start_stop_ctrl/readme", "comp/dma/dma_calypte/comp/tx/comp/metadata_extractor/readme", "comp/dma/dma_calypte/comp/tx/comp/packet_dispatcher/readme", "comp/dma/dma_calypte/comp/tx/comp/pcie_trans_buffer/readme", "comp/dma/dma_calypte/comp/tx/comp/software_manager/readme", "comp/dma/dma_calypte/comp/tx/readme", "comp/dma/dma_calypte/readme", "comp/flu_tools/readme", "comp/mfb_tools/debug/gen_loop_switch/readme", "comp/mfb_tools/debug/generator/readme", "comp/mfb_tools/edit/frame_appender/readme", "comp/mfb_tools/edit/frame_extender/readme", "comp/mfb_tools/edit/frame_extender/uvm/readme", "comp/mfb_tools/edit/frame_trimmer/readme", "comp/mfb_tools/edit/frame_trimmer/uvm/readme", "comp/mfb_tools/flow/crossbarx_stream2/readme", "comp/mfb_tools/flow/cutter_simple/readme", "comp/mfb_tools/flow/dropper/readme", "comp/mfb_tools/flow/enabler/readme", "comp/mfb_tools/flow/frame_masker/readme", "comp/mfb_tools/flow/frame_packer/readme", "comp/mfb_tools/flow/frame_unpacker/readme", "comp/mfb_tools/flow/loopback/readme", "comp/mfb_tools/flow/merger/readme", "comp/mfb_tools/flow/merger_simple/readme", "comp/mfb_tools/flow/metadata_insertor/readme", "comp/mfb_tools/flow/packet_delayer/readme", "comp/mfb_tools/flow/pipe/readme", "comp/mfb_tools/flow/rate_limiter/readme", "comp/mfb_tools/flow/reconfigurator/readme", "comp/mfb_tools/flow/splitter/readme", "comp/mfb_tools/flow/splitter_simple/readme", "comp/mfb_tools/flow/timestamp_limiter/readme", "comp/mfb_tools/flow/transformer/readme", "comp/mfb_tools/logic/auxiliary_signals/readme", "comp/mfb_tools/logic/checksum_calculator/readme", "comp/mfb_tools/logic/crossbarx_stream/readme", "comp/mfb_tools/readme", "comp/mfb_tools/storage/asfifox/readme", "comp/mfb_tools/storage/crossbarx_output_buffer/readme", "comp/mfb_tools/storage/fifox/readme", "comp/mfb_tools/storage/pd_asfifo/readme", "comp/mfb_tools/storage/pd_asfifo_simple/readme", "comp/mi_tools/async/readme", "comp/mi_tools/converters/mi2avmm/readme", "comp/mi_tools/converters/mi2axi4/readme", "comp/mi_tools/indirect_access/readme", "comp/mi_tools/pipe/readme", "comp/mi_tools/readme", "comp/mi_tools/reconf/readme", "comp/mi_tools/splitter_plus_gen/readme", "comp/mvb_tools/flow/channel_router/readme", "comp/mvb_tools/flow/demux/readme", "comp/mvb_tools/flow/discard/readme", "comp/mvb_tools/flow/gate/readme", "comp/mvb_tools/flow/item_collision_resolver/readme", "comp/mvb_tools/flow/merge_items/readme", "comp/mvb_tools/flow/merge_n_to_m/readme", "comp/mvb_tools/flow/merge_streams/readme", "comp/mvb_tools/flow/merge_streams/uvm/readme", "comp/mvb_tools/flow/merge_streams_ordered/readme", "comp/mvb_tools/flow/mux/readme", "comp/mvb_tools/flow/mvb2mfb/readme", "comp/mvb_tools/flow/operation/readme", "comp/mvb_tools/flow/shakedown/readme", "comp/mvb_tools/flow/shakedown/uvm/readme", "comp/mvb_tools/readme", "comp/mvb_tools/storage/fifox/readme", "comp/mvb_tools/storage/lookup_table/readme", "comp/nic/eth_phy/40ge/readme", "comp/nic/mac_lite/rx_mac_lite/comp/buffer/uvm/readme", "comp/nic/mac_lite/rx_mac_lite/readme", "comp/nic/mac_lite/tx_mac_lite/comp/adapters/lbus/reconf/readme", "comp/nic/mac_lite/tx_mac_lite/readme", "comp/pcie/common/readme", "comp/pcie/convertors/readme", "comp/pcie/logic/byte_count/readme", "comp/pcie/logic/byte_en_decoder/readme", "comp/pcie/mtc/readme", "comp/pcie/others/hdr_gen/readme", "comp/pcie/ptc/comp/tag_manager/readme", "comp/pcie/ptc/readme", "comp/tsu/tsu_format_to_ns/readme", "comp/tsu/tsu_gen/readme", "comp/uvm/avmm/readme", "comp/uvm/avst_crdt/readme", "comp/uvm/axi/readme", "comp/uvm/byte_array/readme", "comp/uvm/byte_array_lii/readme", "comp/uvm/byte_array_lii_rx/readme", "comp/uvm/byte_array_mfb/readme", "comp/uvm/byte_array_mii/readme", "comp/uvm/byte_array_pma/readme", "comp/uvm/common/readme", "comp/uvm/componets", "comp/uvm/intel_mac_seg/readme", "comp/uvm/lbus/readme", "comp/uvm/lii/readme", "comp/uvm/lii_rx/readme", "comp/uvm/logic_vector/readme", "comp/uvm/logic_vector_array/readme", "comp/uvm/logic_vector_array_axi/readme", "comp/uvm/logic_vector_array_intel_mac_seg/readme", "comp/uvm/logic_vector_array_lbus/readme", "comp/uvm/logic_vector_array_mfb/readme", "comp/uvm/logic_vector_mvb/readme", "comp/uvm/manual", "comp/uvm/mfb/readme", "comp/uvm/mi/readme", "comp/uvm/mvb/readme", "comp/uvm/packet_generators/flowtest/readme", "comp/uvm/pma/readme", "comp/uvm/probe/readme", "comp/uvm/reset/readme", "comp/uvm/sim_manual", "ctrls", "debug", "dsp", "fifo", "fl", "flu", "index", "logic", "memory", "mfb", "mi", "misc", "mvb", "ndk_build/readme", "ndk_cards/amd/alveo-u200/readme", "ndk_cards/amd/alveo-u55c/readme", "ndk_cards/amd/vcu118/readme", "ndk_cards/bittware/ia-420f/readme", "ndk_cards/bittware/ia-440i/readme", "ndk_cards/intel/dk-dev-1sdx-p/readme", "ndk_cards/intel/dk-dev-agi027res/readme", "ndk_cards/iwave/g35p/readme", "ndk_cards/prodesign/pd-falcon/readme", "ndk_cards/reflexces/agi-fh400g/readme", "ndk_cards/silicom/fb2cghh/readme", "ndk_cards/silicom/fb4cgg3/readme", "ndk_cards/silicom/n6010/readme", "ndk_cards/terasic/a2700/readme", "ndk_core/cocotb/README", "ndk_core/comp/eth/network_mod/comp/network_mod_core/doc/f-tile_multirate_ip", "ndk_core/comp/eth/network_mod/readme", "ndk_core/comp/eth/network_mod/uvm/readme", "ndk_core/comp/pcie/pcie_mod/comp/pcie_adapter/uvm/tbench/env/crdt_agent/readme", "ndk_core/doc/app", "ndk_core/doc/configuration", "ndk_core/doc/devtree", "ndk_core/doc/dma", "ndk_core/doc/eth", "ndk_core/doc/faq", "ndk_core/doc/how_to_start", "ndk_core/doc/mem", "ndk_core/doc/mi", "ndk_core/doc/pcie", "ndk_core/doc/readme", "ndk_core/doc/terminology", "ndk_core/doc/testing", "ndk_core/doc/tsu", "nic", "pcie", "shift", "ver"], "envversion": {"sphinx": 64, "sphinx.domains.c": 3, "sphinx.domains.changeset": 1, "sphinx.domains.citation": 1, "sphinx.domains.cpp": 9, "sphinx.domains.index": 1, "sphinx.domains.javascript": 3, "sphinx.domains.math": 2, "sphinx.domains.python": 4, "sphinx.domains.rst": 2, "sphinx.domains.std": 2}, "filenames": ["app-minimal.rst", "async.rst", "base.rst", "comp/axis_tools/storage/asfifox/readme.rst", "comp/base/dsp/dsp_comparator/readme.rst", "comp/base/fifo/asfifox/readme.rst", "comp/base/fifo/fifox/readme.rst", "comp/base/fifo/fifox_multi/readme.rst", "comp/base/fifo/reg_fifo/readme.rst", "comp/base/logic/barrel_shifter/readme.rst", "comp/base/logic/cnt_multi_memx/readme.rst", "comp/base/logic/h3hash/readme.rst", "comp/base/logic/n_loop_op/readme.rst", "comp/base/logic/sr_sync_latch/readme.rst", "comp/base/mem/lvt_mem/readme.rst", "comp/base/mem/mem_clear/readme.rst", "comp/base/mem/mp_bram/readme.rst", "comp/base/mem/np_lutram/readme.rst", "comp/base/mem/sdp_bram/readme.rst", "comp/base/misc/crossbarx/readme.rst", "comp/base/misc/event_counter/readme.rst", "comp/base/misc/packet_planner/readme.rst", "comp/base/misc/pulse_short/readme.rst", "comp/base/misc/trans_sorter/readme.rst", "comp/base/pkg/readme.rst", "comp/ctrls/sdm_client/readme.rst", "comp/debug/data_logger/mem_logger/readme.rst", "comp/debug/data_logger/readme.rst", "comp/debug/histogramer/readme.rst", "comp/debug/jtag_op_client/readme.rst", "comp/debug/latency_meter/readme.rst", "comp/debug/mem_tester/amm_gen/readme.rst", "comp/debug/mem_tester/amm_probe/readme.rst", "comp/debug/mem_tester/readme.rst", "comp/debug/mem_tester/sw/readme.rst", "comp/dma/dma_calypte/comp/rx/comp/hdr_insertor/readme.rst", "comp/dma/dma_calypte/comp/rx/comp/hdr_manager/addr_manager/readme.rst", "comp/dma/dma_calypte/comp/rx/comp/hdr_manager/readme.rst", "comp/dma/dma_calypte/comp/rx/comp/input_buffer/readme.rst", "comp/dma/dma_calypte/comp/rx/comp/software_manager/readme.rst", "comp/dma/dma_calypte/comp/rx/comp/trans_buffer/readme.rst", "comp/dma/dma_calypte/comp/rx/readme.rst", "comp/dma/dma_calypte/comp/tx/comp/chan_start_stop_ctrl/readme.rst", "comp/dma/dma_calypte/comp/tx/comp/metadata_extractor/readme.rst", "comp/dma/dma_calypte/comp/tx/comp/packet_dispatcher/readme.rst", "comp/dma/dma_calypte/comp/tx/comp/pcie_trans_buffer/readme.rst", "comp/dma/dma_calypte/comp/tx/comp/software_manager/readme.rst", "comp/dma/dma_calypte/comp/tx/readme.rst", "comp/dma/dma_calypte/readme.rst", "comp/flu_tools/readme.rst", "comp/mfb_tools/debug/gen_loop_switch/readme.rst", "comp/mfb_tools/debug/generator/readme.rst", "comp/mfb_tools/edit/frame_appender/readme.rst", "comp/mfb_tools/edit/frame_extender/readme.rst", "comp/mfb_tools/edit/frame_extender/uvm/readme.rst", "comp/mfb_tools/edit/frame_trimmer/readme.rst", "comp/mfb_tools/edit/frame_trimmer/uvm/readme.rst", "comp/mfb_tools/flow/crossbarx_stream2/readme.rst", "comp/mfb_tools/flow/cutter_simple/readme.rst", "comp/mfb_tools/flow/dropper/readme.rst", "comp/mfb_tools/flow/enabler/readme.rst", "comp/mfb_tools/flow/frame_masker/readme.rst", "comp/mfb_tools/flow/frame_packer/readme.rst", "comp/mfb_tools/flow/frame_unpacker/readme.rst", "comp/mfb_tools/flow/loopback/readme.rst", "comp/mfb_tools/flow/merger/readme.rst", "comp/mfb_tools/flow/merger_simple/readme.rst", "comp/mfb_tools/flow/metadata_insertor/readme.rst", "comp/mfb_tools/flow/packet_delayer/readme.rst", "comp/mfb_tools/flow/pipe/readme.rst", "comp/mfb_tools/flow/rate_limiter/readme.rst", "comp/mfb_tools/flow/reconfigurator/readme.rst", "comp/mfb_tools/flow/splitter/readme.rst", "comp/mfb_tools/flow/splitter_simple/readme.rst", "comp/mfb_tools/flow/timestamp_limiter/readme.rst", "comp/mfb_tools/flow/transformer/readme.rst", "comp/mfb_tools/logic/auxiliary_signals/readme.rst", "comp/mfb_tools/logic/checksum_calculator/readme.rst", "comp/mfb_tools/logic/crossbarx_stream/readme.rst", "comp/mfb_tools/readme.rst", "comp/mfb_tools/storage/asfifox/readme.rst", "comp/mfb_tools/storage/crossbarx_output_buffer/readme.rst", "comp/mfb_tools/storage/fifox/readme.rst", "comp/mfb_tools/storage/pd_asfifo/readme.rst", "comp/mfb_tools/storage/pd_asfifo_simple/readme.rst", "comp/mi_tools/async/readme.rst", "comp/mi_tools/converters/mi2avmm/readme.rst", "comp/mi_tools/converters/mi2axi4/readme.rst", "comp/mi_tools/indirect_access/readme.rst", "comp/mi_tools/pipe/readme.rst", "comp/mi_tools/readme.rst", "comp/mi_tools/reconf/readme.rst", "comp/mi_tools/splitter_plus_gen/readme.rst", "comp/mvb_tools/flow/channel_router/readme.rst", "comp/mvb_tools/flow/demux/readme.rst", "comp/mvb_tools/flow/discard/readme.rst", "comp/mvb_tools/flow/gate/readme.rst", "comp/mvb_tools/flow/item_collision_resolver/readme.rst", "comp/mvb_tools/flow/merge_items/readme.rst", "comp/mvb_tools/flow/merge_n_to_m/readme.rst", "comp/mvb_tools/flow/merge_streams/readme.rst", "comp/mvb_tools/flow/merge_streams/uvm/readme.rst", "comp/mvb_tools/flow/merge_streams_ordered/readme.rst", "comp/mvb_tools/flow/mux/readme.rst", "comp/mvb_tools/flow/mvb2mfb/readme.rst", "comp/mvb_tools/flow/operation/readme.rst", "comp/mvb_tools/flow/shakedown/readme.rst", "comp/mvb_tools/flow/shakedown/uvm/readme.rst", "comp/mvb_tools/readme.rst", "comp/mvb_tools/storage/fifox/readme.rst", "comp/mvb_tools/storage/lookup_table/readme.rst", "comp/nic/eth_phy/40ge/readme.rst", "comp/nic/mac_lite/rx_mac_lite/comp/buffer/uvm/readme.rst", "comp/nic/mac_lite/rx_mac_lite/readme.rst", "comp/nic/mac_lite/tx_mac_lite/comp/adapters/lbus/reconf/readme.rst", "comp/nic/mac_lite/tx_mac_lite/readme.rst", "comp/pcie/common/readme.rst", "comp/pcie/convertors/readme.rst", "comp/pcie/logic/byte_count/readme.rst", "comp/pcie/logic/byte_en_decoder/readme.rst", "comp/pcie/mtc/readme.rst", "comp/pcie/others/hdr_gen/readme.rst", "comp/pcie/ptc/comp/tag_manager/readme.rst", "comp/pcie/ptc/readme.rst", "comp/tsu/tsu_format_to_ns/readme.rst", "comp/tsu/tsu_gen/readme.rst", "comp/uvm/avmm/readme.rst", "comp/uvm/avst_crdt/readme.rst", "comp/uvm/axi/readme.rst", "comp/uvm/byte_array/readme.rst", "comp/uvm/byte_array_lii/readme.rst", "comp/uvm/byte_array_lii_rx/readme.rst", "comp/uvm/byte_array_mfb/readme.rst", "comp/uvm/byte_array_mii/readme.rst", "comp/uvm/byte_array_pma/readme.rst", "comp/uvm/common/readme.rst", "comp/uvm/componets.rst", "comp/uvm/intel_mac_seg/readme.rst", "comp/uvm/lbus/readme.rst", "comp/uvm/lii/readme.rst", "comp/uvm/lii_rx/readme.rst", "comp/uvm/logic_vector/readme.rst", "comp/uvm/logic_vector_array/readme.rst", "comp/uvm/logic_vector_array_axi/readme.rst", "comp/uvm/logic_vector_array_intel_mac_seg/readme.rst", "comp/uvm/logic_vector_array_lbus/readme.rst", "comp/uvm/logic_vector_array_mfb/readme.rst", "comp/uvm/logic_vector_mvb/readme.rst", "comp/uvm/manual.rst", "comp/uvm/mfb/readme.rst", "comp/uvm/mi/readme.rst", "comp/uvm/mvb/readme.rst", "comp/uvm/packet_generators/flowtest/readme.rst", "comp/uvm/pma/readme.rst", "comp/uvm/probe/readme.rst", "comp/uvm/reset/readme.rst", "comp/uvm/sim_manual.rst", "ctrls.rst", "debug.rst", "dsp.rst", "fifo.rst", "fl.rst", "flu.rst", "index.rst", "logic.rst", "memory.rst", "mfb.rst", "mi.rst", "misc.rst", "mvb.rst", "ndk_build/readme.rst", "ndk_cards/amd/alveo-u200/readme.rst", "ndk_cards/amd/alveo-u55c/readme.rst", "ndk_cards/amd/vcu118/readme.rst", "ndk_cards/bittware/ia-420f/readme.rst", "ndk_cards/bittware/ia-440i/readme.rst", "ndk_cards/intel/dk-dev-1sdx-p/readme.rst", "ndk_cards/intel/dk-dev-agi027res/readme.rst", "ndk_cards/iwave/g35p/readme.rst", "ndk_cards/prodesign/pd-falcon/readme.rst", "ndk_cards/reflexces/agi-fh400g/readme.rst", "ndk_cards/silicom/fb2cghh/readme.rst", "ndk_cards/silicom/fb4cgg3/readme.rst", "ndk_cards/silicom/n6010/readme.rst", "ndk_cards/terasic/a2700/readme.rst", "ndk_core/cocotb/README.rst", "ndk_core/comp/eth/network_mod/comp/network_mod_core/doc/f-tile_multirate_ip.rst", "ndk_core/comp/eth/network_mod/readme.rst", "ndk_core/comp/eth/network_mod/uvm/readme.rst", "ndk_core/comp/pcie/pcie_mod/comp/pcie_adapter/uvm/tbench/env/crdt_agent/readme.rst", "ndk_core/doc/app.rst", "ndk_core/doc/configuration.rst", "ndk_core/doc/devtree.rst", "ndk_core/doc/dma.rst", "ndk_core/doc/eth.rst", "ndk_core/doc/faq.rst", "ndk_core/doc/how_to_start.rst", "ndk_core/doc/mem.rst", "ndk_core/doc/mi.rst", "ndk_core/doc/pcie.rst", "ndk_core/doc/readme.rst", "ndk_core/doc/terminology.rst", "ndk_core/doc/testing.rst", "ndk_core/doc/tsu.rst", "nic.rst", "pcie.rst", "shift.rst", "ver.rst"], "indexentries": {}, "objects": {}, "objnames": {}, "objtypes": {}, "terms": {"": [0, 1, 4, 7, 12, 19, 20, 21, 25, 33, 41, 47, 49, 51, 60, 61, 62, 63, 68, 70, 71, 74, 78, 79, 83, 88, 90, 92, 111, 113, 123, 124, 126, 135, 148, 150, 151, 163, 170, 175, 186, 190, 191, 192, 194, 196, 199, 203], "0": [0, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 20, 21, 22, 23, 24, 25, 26, 27, 28, 30, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 102, 103, 104, 105, 106, 108, 109, 110, 111, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 124, 125, 126, 127, 128, 133, 135, 137, 138, 139, 140, 141, 142, 148, 150, 151, 152, 154, 155, 160, 165, 170, 172, 178, 180, 183, 184, 186, 187, 190, 192, 193, 194, 196, 199, 202, 203], "00": [0, 4, 33, 92, 121, 196], "000": [6, 20, 22, 124, 125, 170], "0000": [7, 79, 90, 108, 119, 121, 196], "000000": 92, "00000000": 202, "00000042": 202, "000000_000000_000000_000000": 79, "0001": [7, 108, 119, 121], "000111": 92, "00011111": 164, "000b": 120, "001": [124, 125], "0010": [119, 121], "001000": 92, "00100000": 164, "0011": [7, 16, 119], "001100_011111_000000_000001": 79, "001111": 92, "001b": 120, "0045": 90, "0089": 90, "01": [4, 90, 153], "010": 124, "0100": [119, 121], "010000": 92, "01000000": [120, 199], "0101": 119, "010b": 120, "0110": 119, "0111": [7, 119], "011101": 92, "011110": 92, "011b": 120, "02": 196, "02000000": [120, 199], "024": 20, "03": 33, "03000000": [120, 199], "04": [0, 33], "04000000": [120, 199], "048": 22, "05000000": [120, 199], "06000000": [120, 199], "07": [192, 196], "08": 196, "0a000000": [120, 199], "0b000001": 70, "0b000010": 70, "0b000100": 70, "0d": [135, 148], "0gb": 70, "0th": [79, 114], "0x": 70, "0x0": [41, 47, 50, 92, 93, 111, 170, 202], "0x00": [31, 32, 41, 47, 51, 64, 70, 74, 86, 88, 113, 115, 116, 125, 192], "0x000": 50, "0x0000": [27, 33, 51, 194], "0x000000": [51, 93, 194], "0x0000000": 194, "0x00000000": [0, 92, 198], "0x00000001": 92, "0x000000013": 92, "0x000000017": 92, "0x00000002": 92, "0x00000003": 92, "0x00000004": 92, "0x00000005": 92, "0x00000006": 92, "0x00000007": 92, "0x00000008": 92, "0x0000000b": 92, "0x0000000c": 92, "0x0000000f": 92, "0x00000010": 92, "0x00000014": 92, "0x00000018": 92, "0x0000001c": 92, "0x0000001f": 92, "0x00000020": 92, "0x0000003f": 92, "0x00000040": 92, "0x00000077": 92, "0x00000078": 92, "0x000000ab": 92, "0x000000ac": 92, "0x000000cb": 92, "0x000000cc": 92, "0x000000f7": 92, "0x000000f8": 92, "0x000000ff": 198, "0x00000100": 198, "0x000001fb": 92, "0x000004": 93, "0x000008": 93, "0x00000fff": 198, "0x00001000": [0, 198], "0x00001fff": 198, "0x00002000": [0, 198], "0x00002fff": 198, "0x00003000": [194, 198], "0x00003010": 0, "0x0000301c": 0, "0x00003110": 0, "0x0000311c": 0, "0x00003fff": [194, 198], "0x00004000": [0, 198], "0x000040ff": 198, "0x00004100": 198, "0x00004fff": 198, "0x00005000": [0, 198], "0x00005080": 0, "0x000050c0": 0, "0x00005200": 0, "0x00005280": 0, "0x000052c0": 0, "0x00007fff": 198, "0x00008000": [0, 194, 198], "0x00008200": 0, "0x0000a000": 0, "0x0000a200": 0, "0x0000bfff": 198, "0x0000c000": 198, "0x0000ffff": [194, 198], "0x00010000": [0, 29, 198], "0x00010004": 192, "0x0001ffff": 198, "0x00020000": 198, "0x0004": [27, 33], "0x0008": [27, 33], "0x000c": [27, 33], "0x0010": [27, 33], "0x0014": [27, 33], "0x0018": 33, "0x00200000": 194, "0x004": 50, "0x0040": 33, "0x007fffff": 198, "0x008": 50, "0x00800000": [0, 194, 198], "0x00a00000": 0, "0x00c": 50, "0x00ffffff": [194, 198], "0x01": [51, 113, 115, 192], "0x01000000": [0, 192, 198], "0x01000080": 0, "0x01000100": 0, "0x01000180": 0, "0x01000200": 0, "0x01000280": 0, "0x01000300": 0, "0x01000380": 0, "0x01000400": 0, "0x01000480": 0, "0x01000500": 0, "0x01000580": 0, "0x01000600": 0, "0x01000680": 0, "0x01000700": 0, "0x01000780": 0, "0x01200000": 0, "0x01200080": 0, "0x01200100": 0, "0x01200180": 0, "0x01200200": 0, "0x01200280": 0, "0x01200300": 0, "0x01200380": 0, "0x01200400": 0, "0x01200480": 0, "0x01200500": 0, "0x01200580": 0, "0x01200600": 0, "0x01200680": 0, "0x01200700": 0, "0x01200780": 0, "0x013fffff": 198, "0x01400000": 198, "0x01ff": 194, "0x01ffffff": 198, "0x02": [113, 115, 192], "0x020": 116, "0x0200": 194, "0x0200000": 194, "0x02000000": [0, 198], "0x02800000": 0, "0x03": [86, 113, 192], "0x03000000": 0, "0x03020000": 0, "0x03040000": 0, "0x03060000": 0, "0x03080000": 0, "0x030a0000": 0, "0x030c0000": 0, "0x030e0000": 0, "0x03ff": 194, "0x03ffffff": 198, "0x04": [31, 32, 41, 47, 51, 64, 70, 74, 86, 88, 113, 115, 116, 125, 192], "0x040": 50, "0x0400": 194, "0x04c": 50, "0x05": 192, "0x050": 50, "0x050501": [51, 93], "0x05c": 50, "0x05ff": 194, "0x06": 192, "0x060": 50, "0x0600": 194, "0x06c": 50, "0x07": [86, 192], "0x070": 50, "0x070401": [51, 93], "0x07c": 50, "0x07ff": 194, "0x08": [31, 32, 41, 47, 51, 70, 74, 88, 113, 115, 116, 125, 192], "0x080": 50, "0x0800": [29, 194], "0x09": 192, "0x09ff": 194, "0x0a": 192, "0x0a00": 194, "0x0b": 192, "0x0bf": 50, "0x0bff": 194, "0x0c": [31, 32, 41, 47, 49, 51, 70, 88, 113, 115, 116, 125, 192], "0x0c0": 50, "0x0c00": 194, "0x0d": 192, "0x0d7b": 116, "0x0dff": 194, "0x0e": 192, "0x0e00": 194, "0x0f": 192, "0x0ff": 50, "0x0fff": 194, "0x1": [41, 47, 116, 139, 140], "0x10": [31, 32, 41, 47, 51, 70, 88, 113, 115, 116, 125, 192], "0x100": [41, 47, 50, 192], "0x1000": [29, 192], "0x10000": [111, 170, 192], "0x1000000": 192, "0x1000080": 192, "0x1000100": 192, "0x1000180": 192, "0x1000200": 192, "0x1000280": 192, "0x1000300": 192, "0x1000380": 192, "0x10004": 111, "0x1000400": 192, "0x1000480": 192, "0x1000500": 192, "0x1000580": 192, "0x1000600": 192, "0x1000680": 192, "0x1000700": 192, "0x1000780": 192, "0x10008": 111, "0x1000c": 111, "0x10010": 111, "0x10014": 111, "0x10018": 111, "0x1200000": 192, "0x1200080": 192, "0x1200100": 192, "0x1200180": 192, "0x1200200": 192, "0x1200280": 192, "0x1200300": 192, "0x1200380": 192, "0x1200400": 192, "0x1200480": 192, "0x1200500": 192, "0x1200580": 192, "0x1200600": 192, "0x1200680": 192, "0x1200700": 192, "0x1200780": 192, "0x14": [32, 41, 47, 51, 70, 88, 113, 115, 116, 125], "0x1600": 170, "0x17f": 50, "0x18": [32, 41, 47, 51, 70, 92, 113, 115, 116, 125], "0x180": 50, "0x1800": 29, "0x1bf": 50, "0x1c": [32, 41, 47, 51, 113, 115, 116, 125], "0x1c0": 50, "0x1f": 49, "0x1ff": 50, "0x1fffff": 194, "0x2": [41, 47], "0x20": [32, 41, 47, 51, 113, 115, 125, 192], "0x200": [192, 194], "0x2000": [192, 194], "0x20000": [170, 192], "0x200000": [47, 194], "0x2000000": 192, "0x21ff": 194, "0x2200": 194, "0x23ff": 194, "0x24": [32, 41, 47, 51, 113, 115, 125], "0x2400": 194, "0x25ff": 194, "0x2600": 194, "0x27ff": 194, "0x28": [32, 41, 47, 113, 115], "0x2800": 194, "0x2800000": 192, "0x29ff": 194, "0x2a00": 194, "0x2bff": 194, "0x2c": [32, 41, 47, 113, 115, 192], "0x2c00": 194, "0x2dff": 194, "0x2e00": 194, "0x2fff": 194, "0x3": 92, "0x30": [41, 47, 113, 115, 170, 192], "0x30000": [111, 170], "0x3000000": 192, "0x30004": 111, "0x30008": 111, "0x3000c": 111, "0x30010": 111, "0x30040": 111, "0x30058": 111, "0x30064": 111, "0x30068": 111, "0x3010": 192, "0x30190": 111, "0x301b4": 111, "0x301c": 192, "0x3020000": 192, "0x30320": 111, "0x30344": 111, "0x3040000": 192, "0x3060000": 192, "0x3080000": 192, "0x30a0000": 192, "0x30c0000": 192, "0x30e0000": 192, "0x3110": 192, "0x311c": 192, "0x34": [32, 41, 47, 113], "0x38": [32, 41, 47, 113], "0x3c": [32, 41, 47, 113, 192], "0x3fff": 192, "0x3fffff": 194, "0x4": [50, 92, 139, 140, 170], "0x40": [32, 41, 47, 113, 170, 192], "0x4000": [29, 192], "0x40000": 192, "0x42": 202, "0x44": [32, 41, 47], "0x48": [32, 41, 47], "0x4c": [41, 47], "0x50": [41, 47], "0x5000": 192, "0x5080": 192, "0x50c0": 192, "0x5200": 192, "0x5280": 192, "0x52c0": 192, "0x54": [41, 47], "0x58": [41, 47], "0x5c": [41, 47], "0x60": [41, 47], "0x64": [41, 47], "0x65c33529": 192, "0x68": [41, 47], "0x6c": [41, 47], "0x7": 92, "0x70": [41, 47], "0x74": [41, 47], "0x78": [41, 47], "0x7c": [41, 47], "0x8": 50, "0x80": [41, 47, 113, 170, 192], "0x8000": [170, 192], "0x800000": 192, "0x8200": 192, "0xa0": 192, "0xa000": 192, "0xa00000": 192, "0xa200": 192, "0xc": 50, "0xc000": [29, 192], "0xff0001": [51, 93], "0xff0002": [51, 93], "0xffff": 51, "0xffffffff": 92, "0xfffffffff": 92, "1": [0, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 42, 43, 44, 45, 46, 48, 49, 50, 51, 52, 53, 55, 57, 58, 59, 60, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 80, 81, 82, 83, 84, 85, 86, 87, 89, 90, 93, 94, 95, 96, 97, 98, 99, 100, 102, 103, 104, 105, 106, 109, 110, 111, 112, 113, 115, 117, 118, 120, 121, 123, 124, 125, 126, 127, 128, 134, 135, 137, 138, 139, 140, 141, 142, 148, 150, 151, 152, 153, 154, 155, 156, 164, 174, 177, 178, 180, 184, 187, 188, 190, 191, 199, 202, 203], "10": [0, 1, 4, 14, 25, 27, 32, 33, 47, 57, 90, 97, 111, 113, 115, 121, 124, 125, 135, 148, 150, 152, 153, 156, 160, 163, 165, 168, 184, 187, 194, 195, 196, 197, 199, 200], "100": [19, 112, 113, 115, 124, 125, 132, 143, 146, 148, 150, 152, 184, 187, 192, 193, 194, 195, 199, 200, 201], "1000": [68, 70, 74, 119, 121], "10000": [47, 148], "1001": 79, "100g": [70, 79, 171, 172, 173, 174, 176, 178, 179, 181, 182, 183, 186, 192], "100g0": [171, 173], "100g2": [171, 172, 173, 174, 176, 178, 179, 181, 182, 183, 192, 196], "100g4": [177, 180, 182, 191], "100gbase": 195, "100ge": 186, "100mhz": 195, "101": [24, 124, 125, 190, 194], "101010": 92, "101011": 92, "101_000_000_000": 79, "1024": [20, 49, 78, 120, 132, 143, 146, 147, 156], "103": 49, "104": 49, "10g": [111, 139, 140], "10g8": [174, 176, 177, 180, 183], "10gb": [70, 115], "10gbase": 195, "10ge": [139, 140, 186], "10n": 148, "11": [4, 27, 32, 49, 90, 92, 111, 118, 121, 190], "1100": 119, "11000000": 164, "110010": 92, "110010_010101_111000_110000": 79, "110011": 92, "110_010_000_011": 79, "111": [33, 124, 125], "1110": [79, 108], "1111": [7, 119], "111101": 92, "111110": 92, "111111": 92, "1145": 14, "117": [0, 33], "12": [0, 20, 26, 27, 32, 37, 39, 46, 47, 48, 49, 50, 62, 63, 79, 81, 104, 111, 121, 190, 192, 196], "1234": 90, "125": [49, 111], "12613618": 0, "127": [35, 49], "128": [26, 35, 37, 40, 41, 47, 49, 67, 71, 106, 110, 115, 120, 121, 129, 132, 138, 141, 142, 143, 146, 147, 202], "128501": 33, "128b": [113, 116], "12th": 79, "13": [0, 27, 29, 42, 43, 47, 49, 62, 118, 121], "131": 0, "13345442": 0, "134": 186, "134217724": 0, "135": 49, "136": 156, "137": [33, 156], "13893635": 0, "1393": 33, "14": [27, 29, 46, 48, 52, 53, 55, 57, 62, 63, 77, 180], "141": 0, "147": 33, "15": [0, 24, 27, 51, 93, 113, 125, 190, 194], "150": [78, 179], "1522": 195, "1526": 113, "153": 33, "156": 111, "16": [0, 6, 14, 16, 24, 27, 29, 33, 36, 37, 39, 41, 42, 43, 44, 45, 47, 48, 49, 51, 52, 63, 67, 70, 77, 81, 82, 90, 93, 98, 105, 109, 113, 114, 121, 125, 135, 148, 156, 180, 187, 190, 191, 194, 196, 199], "160": 0, "161": 0, "16165552": 33, "16383": [50, 187, 195], "16384": [29, 113, 115], "1643": 33, "165": 0, "16777215": 33, "168": 152, "16b": [63, 125], "17": [27, 121, 174, 196], "18": [20, 183], "183": [117, 121], "19": 111, "192": 152, "1b": [116, 125], "1gb": 184, "1hz": 125, "1sd280pt2f55e1vg": [170, 176], "1sdx": [176, 177, 187], "1sm21beu2f55e2vg": 179, "1st": [4, 41, 47, 70, 79], "1x": [174, 175, 178, 179, 180], "1x100ge": 194, "1x400gbe": [177, 180], "1x400ge": [175, 184, 194], "1xgen1x16": 199, "1xgen3x16": 199, "1xgen3x8ll": 199, "1xgen4x16": 196, "2": [0, 3, 4, 5, 6, 7, 8, 12, 13, 14, 16, 19, 20, 21, 22, 24, 25, 27, 28, 31, 32, 33, 35, 37, 39, 41, 42, 43, 44, 45, 46, 48, 49, 50, 51, 52, 53, 57, 58, 59, 61, 62, 63, 65, 66, 67, 68, 70, 71, 72, 73, 74, 75, 77, 78, 80, 81, 84, 85, 86, 87, 89, 90, 91, 93, 94, 100, 102, 103, 106, 111, 112, 113, 115, 117, 118, 120, 121, 123, 124, 125, 127, 137, 138, 139, 140, 148, 151, 153, 154, 155, 165, 171, 173, 175, 178, 180, 181, 182, 184, 186, 187, 188, 190, 191, 196, 199, 202], "20": [19, 21, 33, 63, 111, 123, 135, 148], "200": [20, 27, 70, 111, 150, 184, 187, 195], "2000": 148, "20000": 112, "200000": 148, "200000000": 74, "200g": [178, 184], "200g2": [177, 180], "200mhz": 195, "201": 111, "2014": 1, "2015": 1, "2019": [19, 21, 123], "20208": [160, 165], "2022": [171, 173, 192, 196], "2023": 62, "2024": [62, 170, 175, 196], "2048": [29, 68, 74], "2048b": [70, 187], "21": 113, "218": 111, "219": 111, "21st": 79, "22": [33, 113, 192, 196], "23": [0, 24, 93, 113, 190, 194], "24": [20, 24, 33, 37, 41, 44, 47, 48, 64, 78, 79, 105, 148, 172, 184, 190, 194], "241581": 33, "248": 49, "25": [4, 24, 111, 184, 187, 190, 194, 201], "250": [33, 48], "255": [49, 111, 152], "256": [8, 9, 11, 26, 33, 48, 49, 93, 117, 120, 156, 190], "256b": 117, "2570": 33, "25g": 186, "25g8": [174, 176, 177, 180, 183], "25gbase": 195, "25ge": 186, "25mhz": 111, "26": [24, 26, 33, 190, 194, 198], "2629629": 14, "265549": 0, "265625": 111, "266660": [33, 190], "26b": 116, "27": [24, 113, 190, 194], "27238": 0, "28": [24, 113, 152, 190, 194, 201], "288": 6, "288000": [82, 105, 109], "29": [24, 190, 194], "2b": 125, "2d": 27, "2hz": 125, "2l": 172, "2nd": [4, 70, 79], "2x": [32, 113, 171, 172, 173, 176, 177, 178, 181, 182, 183, 184], "2x100gbe": [171, 172, 173, 178, 181, 182], "2x100ge": [174, 176, 179, 183], "2x200gbe": [177, 180], "2x200ge": 194, "2x40gbe": [177, 180], "2x40ge": 194, "2xgen4x8x8": 199, "2xgen5x8x8": 199, "3": [0, 6, 12, 13, 17, 20, 23, 27, 29, 31, 32, 33, 35, 37, 49, 51, 61, 70, 71, 79, 86, 87, 88, 90, 91, 102, 106, 108, 111, 113, 115, 116, 119, 120, 121, 125, 137, 175, 178, 180, 184, 186, 187, 190, 191, 193, 199, 202], "30": [24, 152, 184, 190, 194], "300": 195, "300000": 26, "31": [24, 49, 51, 93, 111, 113, 115, 116, 120, 125, 139, 140, 190, 194, 199, 202, 203], "3125": 111, "31st": 79, "32": [0, 5, 6, 13, 20, 21, 24, 26, 27, 33, 35, 37, 39, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 57, 64, 65, 67, 70, 71, 72, 74, 75, 79, 82, 85, 86, 87, 88, 89, 90, 92, 93, 95, 96, 98, 99, 100, 102, 105, 109, 110, 111, 113, 117, 120, 121, 124, 125, 135, 139, 140, 152, 153, 156, 168, 187, 190, 194, 199, 202, 203], "322": 111, "32b": [125, 195], "32bit": [25, 148], "33": [24, 111, 117, 190, 194], "33554431": 0, "36": [24, 190, 194], "37": [24, 190, 194], "38": [24, 190, 194], "39": 134, "39b": 125, "3b": 125, "3fbf807": 33, "3hz": 125, "3rd": [79, 92], "3th": 6, "3x": 178, "4": [0, 7, 12, 20, 21, 24, 25, 27, 31, 32, 33, 35, 37, 38, 40, 42, 44, 47, 48, 49, 50, 51, 53, 55, 57, 58, 59, 60, 61, 62, 63, 65, 69, 70, 73, 76, 77, 78, 79, 80, 81, 82, 84, 90, 91, 92, 93, 95, 96, 97, 98, 99, 100, 102, 104, 106, 108, 109, 110, 111, 113, 115, 117, 118, 121, 127, 138, 152, 186, 187, 190, 191, 192, 193, 196, 201, 202], "40": [70, 184, 187], "400": [48, 78, 111, 113, 115, 163, 187, 193, 195, 200], "400g": [62, 70, 79, 175, 177, 180, 184], "400g1": [175, 177, 180, 184, 187], "400gbp": 163, "401": 111, "4096": [16, 29, 62], "40g": [111, 178], "40g2": [177, 180], "40ge": 204, "41": 33, "412": 152, "418": 111, "419": 111, "42": [0, 111], "420f": 163, "425": 0, "427": 0, "4294967295": 0, "43": 202, "4321": 90, "435": 33, "44": 111, "440i": 163, "440i_design_nam": 175, "441": 33, "45": [111, 196], "453": 33, "459": 33, "46": 0, "465": 33, "47": 113, "471": 33, "477": 33, "48": [49, 68, 74, 113, 187, 190], "483": 33, "489": 33, "48th": 79, "49": 111, "495": 33, "4b": [24, 116], "4n": 148, "4x": [179, 182], "4x100gbe": [177, 180, 182], "4x100ge": 194, "4x10ge": 194, "4x25": 194, "4x25ge": 194, "5": [0, 21, 25, 27, 32, 33, 51, 61, 70, 78, 92, 93, 111, 113, 120, 139, 140, 153, 170, 187, 192, 194, 196, 202], "50": [111, 148, 152, 156, 187], "500": [150, 156, 170], "501": 33, "50118": 33, "503": 0, "50g8": [177, 180], "50gb": 70, "50th": 79, "51": 111, "511": [49, 114], "512": [3, 5, 7, 10, 18, 26, 33, 48, 49, 50, 62, 80, 81, 82, 84, 109, 117, 120, 148, 190], "512b": [34, 70, 113, 117, 187], "52": 111, "527": 0, "53": 111, "536": 104, "5476": 90, "54xx": 90, "551": 0, "555": 0, "56": 0, "56th": 79, "573": 33, "575": [0, 22], "579": 33, "58": 134, "5th": 79, "6": [0, 12, 24, 27, 32, 33, 51, 79, 92, 111, 113, 115, 121, 172, 174, 180, 183, 184, 190, 194, 202], "60": [63, 113], "60b": [57, 114, 115, 190, 194], "60gb": 70, "62": [42, 43, 44, 45, 121, 156], "62500": 70, "627": 33, "62961": 33, "62962": 33, "63": [12, 35, 125, 203], "630": 33, "633": 33, "64": [3, 5, 6, 9, 10, 11, 12, 14, 17, 18, 20, 33, 36, 37, 39, 41, 46, 47, 48, 49, 51, 62, 66, 68, 71, 74, 78, 80, 81, 82, 87, 94, 103, 105, 109, 113, 121, 124, 129, 137, 141, 142, 187, 190, 191, 199, 202, 203], "64b": [24, 125], "66": [33, 111], "6618217": 0, "67108860": 33, "67xx": 90, "68": 0, "69": 33, "6th": 79, "7": [0, 12, 25, 26, 27, 32, 33, 49, 51, 57, 62, 77, 92, 93, 111, 113, 115, 120, 121, 160, 164, 165, 190, 194, 202], "71": 202, "72": [6, 82, 105, 109], "74": 156, "74899": 0, "75": 33, "75gb": 70, "78": [0, 202], "79": 202, "7seri": [4, 5, 6, 16, 17, 18, 61, 65, 67, 69, 72, 82, 89, 105, 109, 121], "8": [0, 3, 10, 16, 18, 25, 26, 27, 32, 33, 35, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 84, 85, 86, 87, 89, 90, 91, 92, 93, 96, 104, 108, 109, 110, 111, 113, 114, 115, 117, 120, 121, 125, 139, 140, 148, 150, 156, 170, 175, 187, 190, 191, 192, 194, 196, 199, 201, 202], "80": [33, 49], "802": 111, "81": [111, 117], "82": 111, "83": [0, 111, 202], "85": [112, 117, 121], "852": 0, "87": 33, "88": [117, 121], "88513": 0, "8x10gbe": [177, 180], "8x10ge": [174, 176, 183, 194], "8x25gbe": [177, 180], "8x25ge": [174, 176, 183, 194], "8x50gbe": [177, 180], "8x50ge": 194, "9": [18, 27, 32, 44, 46, 77, 92, 111, 124, 125], "90": [112, 148], "91": 186, "93": [0, 33], "94": [192, 196], "95415f0": 192, "96": [0, 49, 121, 202], "96b": 125, "97": 33, "98": 90, "98xx": 90, "99": 33, "999": [125, 203], "A": [5, 6, 12, 18, 19, 20, 23, 27, 28, 41, 42, 47, 49, 61, 68, 70, 79, 114, 122, 132, 135, 142, 143, 146, 147, 148, 150, 163, 170, 180, 190, 191, 192, 194, 195, 198, 199, 202, 205], "AND": [36, 58, 59, 60, 76, 82, 92, 93, 106, 113, 164, 187, 190, 199], "And": [0, 35, 61, 71, 151, 191], "As": [70, 79, 90, 92, 113, 115, 133, 190, 191, 194], "At": [19, 23, 62, 63, 70, 71, 83, 90, 122, 130, 131, 148, 170], "BE": [24, 70, 90, 91, 156], "BY": 190, "Be": [29, 152], "But": [12, 21, 70, 79, 90, 150], "By": [0, 50, 70, 115, 199], "FOR": [36, 168], "For": [0, 1, 7, 8, 12, 16, 19, 20, 21, 33, 34, 43, 44, 47, 53, 58, 60, 62, 70, 71, 79, 80, 82, 83, 90, 92, 94, 103, 111, 113, 114, 115, 116, 117, 119, 121, 122, 123, 126, 128, 130, 131, 132, 135, 143, 146, 147, 148, 149, 151, 154, 155, 156, 158, 163, 170, 171, 172, 173, 184, 190, 191, 194, 196, 200, 201, 202], "IN": [19, 139, 140, 153], "If": [0, 3, 5, 8, 16, 18, 20, 21, 23, 27, 33, 44, 52, 60, 61, 66, 70, 71, 78, 80, 83, 90, 91, 92, 103, 106, 113, 115, 120, 122, 130, 131, 135, 148, 150, 151, 152, 163, 170, 175, 186, 190, 192, 193, 194, 195, 196, 199, 202], "In": [0, 7, 8, 12, 13, 19, 25, 33, 37, 41, 47, 52, 53, 61, 62, 70, 71, 74, 78, 79, 86, 90, 91, 92, 93, 94, 108, 114, 115, 122, 123, 132, 133, 135, 139, 140, 143, 146, 147, 148, 151, 155, 156, 163, 170, 178, 184, 190, 192, 194, 197, 199, 201, 202], "It": [0, 1, 8, 20, 25, 29, 31, 39, 46, 50, 53, 57, 61, 63, 66, 68, 70, 71, 73, 80, 81, 90, 92, 93, 104, 111, 113, 116, 120, 122, 123, 124, 126, 128, 132, 133, 137, 142, 143, 146, 147, 148, 150, 156, 160, 164, 165, 168, 170, 184, 186, 187, 190, 191, 192, 194, 198, 200], "Its": [33, 39, 52, 62, 114, 194, 199], "NO": [150, 171, 173, 175, 176, 177, 178, 186], "NOT": [71, 113], "No": [49, 105, 122, 132, 143, 146, 193, 195], "Not": [50, 62, 74, 79, 108, 117, 179, 195], "OF": 60, "ON": [74, 178, 184], "OR": [24, 92, 164, 172, 190, 194], "ORed": 92, "Of": [63, 113, 115, 117, 190], "On": [21, 91, 108, 112, 114, 122, 123, 139, 140, 153, 174, 176, 177, 184, 194, 196], "One": [0, 11, 31, 71, 73, 103, 105, 109, 128, 132, 145, 146, 148, 151, 164, 170, 184], "Or": 70, "Such": [12, 23, 61, 135, 192], "That": [63, 79, 88, 90, 92, 148, 154, 194], "The": [1, 2, 4, 5, 6, 7, 9, 12, 13, 16, 18, 19, 20, 21, 23, 27, 28, 29, 31, 33, 35, 36, 37, 38, 40, 41, 42, 43, 45, 46, 47, 48, 51, 52, 53, 55, 57, 58, 60, 61, 62, 63, 64, 68, 70, 71, 72, 74, 77, 78, 79, 80, 83, 85, 86, 88, 90, 91, 92, 93, 95, 97, 100, 103, 108, 110, 111, 113, 114, 115, 116, 117, 118, 120, 121, 122, 123, 125, 126, 127, 128, 129, 132, 133, 135, 137, 138, 141, 142, 143, 145, 146, 147, 148, 149, 150, 151, 152, 154, 156, 157, 158, 160, 163, 164, 165, 166, 168, 169, 179, 180, 184, 186, 187, 191, 192, 195, 196, 200, 201, 202, 203, 204, 205], "Their": [108, 170], "Then": [0, 26, 31, 33, 63, 70, 74, 79, 90, 92, 123, 148, 150, 155, 191, 192, 194], "There": [5, 6, 12, 25, 27, 33, 40, 49, 62, 65, 68, 70, 74, 75, 90, 92, 95, 99, 102, 113, 114, 115, 123, 126, 130, 131, 132, 133, 134, 135, 137, 139, 140, 145, 146, 147, 148, 149, 150, 151, 153, 156, 164, 170, 186, 187, 190, 191, 192, 194, 199, 202], "These": [0, 19, 49, 50, 61, 63, 70, 71, 79, 86, 90, 92, 108, 117, 123, 126, 133, 135, 148, 170, 186, 190, 191, 193, 194, 198, 203], "To": [12, 13, 19, 20, 21, 23, 31, 33, 36, 63, 70, 71, 74, 83, 91, 92, 108, 113, 115, 122, 133, 134, 163, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 191, 192, 194, 196], "WITH": [58, 59, 60], "Will": 33, "With": [7, 21, 33, 61, 90, 148, 164, 192], "_clear": 165, "_conf": 191, "_const": 191, "_data": [49, 127, 148], "_det": 111, "_dst_rdy": [49, 190, 194], "_eop": 49, "_eop_po": 49, "_hdr": 127, "_help_": 186, "_meta": 148, "_n": 49, "_range_max_numb": 152, "_rx": 148, "_sop": 49, "_sop_po": 49, "_src_rdy": 49, "_tx": 148, "a0": 90, "a1": [90, 114], "a2": [90, 114], "a2700": 163, "a3": 90, "a5": 90, "ab": 14, "abcdef0123456789": 191, "abid": 114, "abil": [20, 79, 83, 111, 194], "abl": [20, 21, 25, 26, 59, 83, 90, 108, 113, 115, 122, 148, 168, 175], "abnorm": 92, "about": [12, 19, 25, 26, 27, 37, 43, 80, 81, 82, 83, 92, 113, 117, 133, 148, 170, 175, 190, 191, 192, 194, 196, 199], "abov": [4, 19, 49, 63, 70, 71, 79, 92, 108, 123, 125, 129, 132, 141, 142, 146, 147, 151, 170, 171, 172, 173, 191, 194, 200], "abrevi": 191, "abstract": [18, 148], "academi": 195, "acc": 46, "acceler": [159, 163, 184, 200], "accept": [5, 19, 25, 35, 38, 42, 47, 49, 63, 79, 86, 90, 92, 106, 108, 150, 151], "access": [0, 12, 20, 25, 28, 29, 33, 39, 41, 46, 47, 48, 51, 70, 90, 91, 113, 115, 116, 125, 148, 163, 167, 168, 170, 175, 191, 192, 197, 198, 200], "accident": 192, "accord": [0, 5, 16, 18, 37, 42, 43, 44, 52, 61, 62, 63, 70, 73, 74, 78, 79, 85, 86, 90, 92, 93, 108, 111, 113, 114, 115, 148, 168, 179, 187, 191, 192, 194, 199], "accordingli": [70, 92, 114, 133], "account": [21, 61, 192], "accross": [122, 123], "accumul": [20, 21, 62, 63, 68, 70, 74, 102, 133, 134], "accumult": 20, "accur": [125, 200, 203], "achiev": [4, 19, 100, 148, 191, 194], "ack": 190, "aclk": 22, "acm": 14, "across": [20, 61, 191], "act": [25, 29, 92, 150, 198], "action": [37, 71], "activ": [1, 12, 33, 46, 65, 83, 90, 99, 100, 113, 115, 123, 125, 129, 132, 133, 137, 139, 140, 141, 142, 143, 146, 147, 148, 151, 153, 154, 155, 184, 190, 194, 199], "activity_rx": 187, "activity_tx": 187, "actual": [6, 7, 12, 19, 20, 39, 41, 46, 63, 70, 92, 113, 115, 125, 148, 150, 151, 190, 194], "actuali": 71, "acumul": [130, 131], "ad": [11, 29, 33, 48, 53, 63, 70, 113, 114, 124, 148, 163, 170, 195], "adapt": [178, 194, 199], "adapter_error": 113, "adapter_link_up": 113, "adc": 168, "adc_sensor": 168, "add": [9, 11, 12, 23, 27, 29, 40, 49, 71, 125, 133, 148, 150, 156, 164, 180, 190, 191, 194], "add_callback": 154, "add_sequ": [132, 143, 146, 147, 148], "add_stat": 27, "adder": 164, "addit": [9, 48, 49, 53, 85, 163, 164, 165, 170, 192, 194, 199], "addition": [33, 110, 194], "additionali": 62, "addr": [33, 34, 36, 41, 44, 90, 91, 115, 148, 150, 156, 192], "addr_bas": 36, "addr_channel": 36, "addr_data_bas": 37, "addr_data_channel": 37, "addr_data_mask": 37, "addr_data_sw_point": 37, "addr_header_bas": 37, "addr_header_channel": 37, "addr_header_mask": 37, "addr_header_sw_point": 37, "addr_mask": [36, 87, 92], "addr_sw_point": 36, "addr_vld": 36, "addr_width": [36, 37, 85, 86, 87, 88, 89, 90, 92, 148, 150], "addra": 17, "addrb": 17, "address": [0, 12, 14, 15, 16, 18, 19, 20, 21, 24, 25, 31, 32, 33, 34, 37, 39, 41, 43, 44, 45, 47, 50, 51, 64, 74, 78, 79, 83, 85, 86, 87, 90, 91, 93, 110, 113, 115, 120, 121, 125, 126, 148, 150, 152, 168, 170, 175, 184, 187, 190, 192, 194, 199, 202], "address_width": 126, "adher": [114, 148, 191], "adjac": 194, "adjust": [9, 61, 70, 78, 148, 170, 191], "adn": 33, "adress": [28, 47, 86], "advanc": [92, 128, 149, 165], "advantag": [13, 19, 92, 148], "advis": 8, "aempti": [6, 7, 109], "aempty_offset": 3, "affect": [5, 19, 39, 41, 48, 67, 68, 70, 191], "after": [4, 19, 20, 21, 25, 28, 29, 33, 34, 35, 36, 44, 46, 47, 55, 60, 61, 62, 63, 66, 70, 71, 74, 78, 86, 88, 90, 92, 97, 113, 114, 122, 134, 135, 148, 149, 154, 168, 170, 174, 176, 177, 179, 184, 186, 192, 194, 196, 202], "after_on": 164, "afterward": 20, "aful": [6, 7, 109], "afull_offset": [3, 84], "again": [0, 61, 66, 70, 90, 122, 190, 202], "agent": [130, 131, 132, 133, 134, 136, 137, 143, 144, 145, 146, 147, 207], "agent_rx": 148, "agent_rx_data": 127, "agent_rx_hdr": 127, "agent_tx": 148, "agent_tx_data": 127, "agent_tx_hdr": 127, "agfb014r24a2e2v": 183, "agfb014r24b2e2v": 174, "agi": [163, 186], "agi027r": [177, 187], "agib023r18a1e1v": 175, "agib027r29a1e2v": 180, "agib027r29a1e2vb": 184, "agib027r29a1e2vr0": [177, 180], "agib027r29a1e2vr3": 180, "agilex": [3, 4, 5, 6, 14, 16, 18, 25, 26, 33, 36, 37, 48, 52, 53, 55, 57, 61, 62, 63, 68, 70, 73, 74, 77, 84, 86, 87, 96, 97, 100, 102, 104, 105, 106, 110, 113, 115, 120, 121, 160, 163, 165, 170, 194, 195, 197], "agreg": 168, "aim": 90, "algorithm": [21, 77, 78, 93, 135, 148, 168], "alhough": 114, "alias": 81, "alig": 98, "align": [21, 25, 35, 38, 40, 49, 52, 62, 63, 71, 79, 91, 98, 104, 108, 111, 114, 121, 148, 168], "all": [0, 7, 12, 15, 19, 20, 21, 23, 24, 27, 29, 31, 33, 34, 42, 43, 44, 45, 46, 47, 49, 50, 51, 60, 61, 63, 64, 67, 70, 71, 72, 74, 78, 79, 83, 90, 91, 92, 93, 94, 97, 102, 108, 111, 113, 115, 116, 117, 122, 123, 124, 126, 127, 129, 130, 131, 132, 133, 134, 135, 139, 140, 141, 142, 143, 146, 148, 150, 151, 153, 154, 155, 156, 163, 164, 170, 175, 186, 187, 190, 191, 192, 194, 195, 196, 199, 201], "alloc": [190, 199], "allow": [3, 4, 5, 7, 12, 16, 18, 19, 20, 21, 22, 23, 37, 39, 41, 44, 46, 48, 50, 51, 53, 55, 59, 61, 70, 71, 79, 80, 85, 95, 98, 108, 110, 111, 113, 114, 115, 120, 122, 133, 139, 140, 148, 158, 160, 164, 165, 180, 186, 187, 190, 191, 193, 194, 196, 198, 199, 200], "allow_single_fifo": 7, "allwai": [65, 71, 112], "almost": [6, 7, 21, 68, 74, 84, 160, 202], "almost_empti": [82, 109], "almost_empty_offset": [5, 6, 7, 80, 82, 109], "almost_ful": [82, 109], "almost_full_offset": [5, 6, 7, 80, 82, 109], "alon": 12, "along": [9, 62, 63, 90, 113, 179, 194], "alow": [33, 48], "alreadi": [21, 29, 44, 61, 114, 123, 170, 191, 192, 196], "also": [0, 4, 5, 7, 8, 9, 12, 14, 18, 21, 26, 27, 29, 33, 37, 38, 39, 49, 52, 53, 61, 62, 63, 70, 71, 78, 81, 85, 88, 90, 92, 108, 111, 113, 114, 122, 123, 130, 131, 132, 133, 134, 135, 143, 146, 148, 151, 152, 163, 164, 170, 174, 175, 176, 177, 182, 184, 186, 190, 191, 192, 193, 194, 198, 199, 200, 202, 205], "altera": [5, 89, 170], "altera_syncram": 165, "altern": [29, 165], "although": [70, 79, 170, 171, 172, 173], "altogeth": [79, 194], "alu": 164, "alveo": 163, "alwai": [19, 25, 35, 38, 40, 70, 90, 91, 92, 108, 113, 114, 148, 150, 194, 195, 196, 198, 199], "am": 111, "am_in": 111, "amd": [48, 139, 140, 153, 163, 178, 195], "american": 90, "amm": [31, 32, 33, 34, 190], "amm_addr": 33, "amm_addr_width": 33, "amm_address": 33, "amm_burst_count": 33, "amm_burst_count_width": 33, "amm_clk": 33, "amm_data_width": [31, 33], "amm_freq_khz": [26, 33, 190], "amm_gen": [33, 34], "amm_mux": 33, "amm_prob": 33, "amm_probe_en": 33, "amm_read": 33, "amm_read_data": 33, "amm_read_data_valid": 33, "amm_readi": 33, "amm_rst": 33, "amm_writ": 33, "amm_write_data": 33, "among": [190, 194, 198, 202], "amount": [7, 8, 14, 16, 21, 40, 51, 63, 66, 68, 70, 79, 94, 106, 108, 133, 134, 153, 165], "an": [0, 6, 7, 8, 12, 20, 21, 24, 28, 29, 41, 44, 47, 48, 50, 51, 53, 61, 64, 66, 70, 71, 73, 74, 79, 83, 85, 90, 92, 110, 111, 114, 116, 120, 123, 126, 133, 135, 138, 139, 140, 142, 143, 148, 150, 152, 154, 156, 158, 163, 164, 165, 168, 170, 174, 176, 177, 179, 180, 184, 186, 190, 191, 193, 194, 197, 198, 199, 201, 202, 203], "analysi": [129, 130, 131, 134, 135, 139, 140, 141, 142, 145, 151, 153, 186], "analysis_expoert": 135, "analysis_export": [132, 135, 143, 146, 147, 148], "analysis_export_data": 148, "analysis_export_meta": 148, "analysis_export_rx": 148, "analysis_export_rx_packet": 148, "analysis_export_tx": 148, "analysis_export_tx_packet": 148, "analysis_imp": 148, "analysis_imp_dut": [135, 148], "analysis_imp_model": [135, 148], "analysis_imp_reset": 148, "analysis_imp_rx": 148, "analysis_imp_tx": 148, "analysis_port": 148, "analyz": [29, 63, 97, 113], "ancestor": 170, "anew": 97, "ani": [0, 6, 11, 12, 19, 21, 23, 27, 55, 58, 59, 60, 61, 67, 69, 70, 71, 79, 80, 82, 88, 90, 91, 92, 94, 108, 113, 132, 133, 143, 146, 147, 148, 152, 155, 170, 192, 196, 202], "anlysis_export": 148, "annot": 148, "announc": 116, "anot": 46, "anoth": [20, 21, 23, 33, 63, 71, 74, 79, 83, 90, 92, 114, 125, 135, 143, 146, 148, 156, 165, 168, 170, 191, 192, 201], "another_lib": 170, "anotherlib": 170, "answer": 90, "anyhow": 90, "anyth": [23, 68, 70, 92, 135, 186], "anywher": [70, 170], "ap": 179, "apertur": [120, 121], "api": [190, 195, 198], "app": [0, 29, 163, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 187, 190, 191, 192, 196, 197], "app_archgrp": 191, "app_clk": 190, "app_conf": [29, 196], "app_cor": [0, 192], "app_core_minimal_0": [0, 192], "app_core_minimal_1": [0, 192], "app_reset": 190, "appart": [21, 71, 123], "appear": [10, 71, 79, 83, 114], "append": [133, 166, 170], "append_fifo_depth": 52, "appli": [4, 22, 37, 49, 61, 63, 64, 68, 70, 71, 108, 113, 114, 186], "applianc": 200, "applic": [29, 70, 108, 117, 170, 171, 173, 179, 184, 192, 193, 197, 198, 200, 202, 203], "application_cor": [190, 191], "application_core_entity_onli": 191, "approach": [14, 70, 90, 148, 170], "appropri": [35, 68, 74, 78, 116, 156, 170, 190, 194, 199], "approx": [3, 5, 80], "approxim": 180, "aproxim": 21, "ar": [0, 2, 4, 5, 6, 7, 8, 11, 12, 13, 14, 16, 18, 19, 20, 21, 23, 24, 25, 26, 28, 29, 31, 35, 36, 37, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 55, 58, 60, 61, 62, 63, 65, 66, 67, 68, 70, 71, 74, 75, 77, 78, 79, 85, 86, 88, 90, 92, 93, 97, 99, 102, 104, 105, 108, 111, 113, 114, 115, 116, 117, 119, 121, 122, 123, 125, 126, 127, 132, 133, 134, 135, 137, 139, 140, 143, 146, 147, 148, 150, 151, 152, 153, 154, 156, 157, 158, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 180, 181, 182, 183, 184, 186, 187, 190, 191, 192, 193, 194, 197, 198, 199, 201, 202, 203, 204, 205], "arbirarili": 22, "arbitr": 168, "arbitrari": [13, 18, 19, 22, 39, 61, 66, 71, 78, 90, 108, 114, 148, 168], "arbitrarili": 90, "arch": 148, "archgrp": [170, 191], "archgrp_arr": 191, "architectur": [6, 7, 12, 16, 51, 52, 65, 82, 105, 109, 122, 148, 160, 163, 165, 170, 187, 191, 193, 194, 196, 197, 199], "archiv": [11, 170], "ardi": [86, 90, 150], "area": [36, 48], "aren": [92, 170], "argument": [25, 33, 34, 148, 152], "arith": 148, "around": [16, 26, 62, 71, 91, 92, 122, 123, 165], "arrai": [23, 62, 76, 122, 132, 136, 143, 146, 148, 151, 156, 164, 165, 191], "arrang": [62, 108, 125], "array_size_set": [129, 141, 142], "arria": 165, "arria10": [5, 6, 16, 18, 82, 105, 109], "arriv": [19, 23, 61, 62, 83, 113, 114, 130, 131, 168], "arrow": 70, "art": 163, "asap": 105, "asfifo": [115, 123, 160, 166], "asfifo_bram": 160, "asfifo_bram_block": 160, "asfifo_bram_datamux": 160, "asfifo_bram_releas": 160, "asfifo_bram_xilinx": 160, "asfifox": [3, 160, 166], "asic": 148, "asid": 12, "assembl": [62, 148], "assembli": 62, "assert": [8, 13, 15, 31, 33, 63, 73, 74, 78, 79, 86, 90, 94, 96, 108, 111, 113, 115, 130, 131, 138, 139, 140, 148, 150, 151, 156], "assign": [19, 21, 92, 113, 122, 123, 151, 168, 186, 190, 191], "associ": [65, 72, 92, 117, 148, 191, 195, 199], "assum": [148, 199], "asx4": 198, "async": [111, 115, 167], "async_bus_handshak": [1, 170], "async_gener": 1, "async_mask": 22, "async_open_loop": 1, "async_open_loop_smd": 1, "async_reset": [1, 168], "asynch": 50, "asynchron": [2, 5, 12, 64, 83, 85, 155, 186], "atom": [19, 113], "attach": [153, 179], "attempt": [7, 70], "attent": [70, 202], "attribut": 71, "author": [192, 196], "auto": [6, 7, 11, 33, 82, 105, 109, 110, 122, 190], "autogener": 126, "autom": 33, "automat": [6, 7, 11, 20, 31, 61, 70, 74, 148, 160, 165, 170, 193, 197, 199], "automaticli": 81, "auxiliari": [43, 61, 62, 70, 117, 120, 163, 166, 193], "avail": [0, 5, 11, 21, 25, 27, 33, 44, 51, 61, 70, 74, 83, 93, 111, 113, 115, 122, 123, 125, 148, 170, 175, 179, 190, 194, 196, 198, 199, 200, 202, 203], "avalon": [0, 25, 33, 86, 126, 163, 190, 194, 197, 199], "averag": [19, 21, 26, 27, 32, 47, 78], "avg": [0, 33, 78], "avmm": [86, 136, 190, 194], "avmm_address": 86, "avmm_byteen": 86, "avmm_read": 86, "avmm_readdata": 86, "avmm_readdatavalid": 86, "avmm_waitrequest": 86, "avmm_writ": 86, "avmm_writedata": 86, "avoid": [12, 21, 25, 61, 70, 74, 88, 190, 191, 194], "avst": [113, 115, 136, 194], "await": [19, 97], "awar": [70, 170], "axi": [3, 87, 117, 121, 123, 136, 143, 163, 190, 199], "axi4": [87, 163, 197], "axi_araddr": 87, "axi_arburst": 87, "axi_arid": 87, "axi_arlen": 87, "axi_arprot": 87, "axi_arreadi": 87, "axi_ars": 87, "axi_arvalid": 87, "axi_awaddr": 87, "axi_awburst": 87, "axi_awid": 87, "axi_awlen": 87, "axi_awprot": 87, "axi_awreadi": 87, "axi_aws": 87, "axi_awvalid": 87, "axi_bid": 87, "axi_breadi": 87, "axi_bresp": 87, "axi_bvalid": 87, "axi_ccuser_width": 117, "axi_cquser_width": 117, "axi_data_width": [87, 117], "axi_if": 143, "axi_lite_interfac": 148, "axi_rdata": 87, "axi_rid": 87, "axi_rlast": 87, "axi_rreadi": 87, "axi_rresp": 87, "axi_rvalid": 87, "axi_rx_spe": 143, "axi_wdata": 87, "axi_wreadi": 87, "axi_wstrb": 87, "axi_wvalid": 87, "b": [19, 23, 27, 33, 41, 47, 49, 63, 90, 114, 135, 148, 154, 195], "b0": [90, 148, 154, 155, 156], "b01": 184, "b011": 184, "b1": [148, 155, 156], "b10": 184, "b_array_t": [27, 92], "bachelor": 1, "back": [21, 70, 74, 78, 83, 148, 151, 170, 191, 193, 194, 199, 202], "backbon": 195, "backpressur": [114, 194], "backward": 52, "bandwidth": 49, "bar": [120, 121, 199], "bar0": [120, 192, 199], "bar0_base_addr": [120, 199], "bar1": 120, "bar1_base_addr": [120, 199], "bar2": [120, 199], "bar2_base_addr": [120, 199], "bar3": 120, "bar3_base_addr": [120, 199], "bar4": [120, 199], "bar4_base_addr": [120, 199], "bar5": 120, "bar5_base_addr": [120, 199], "bar_apertur": 121, "bar_id": 121, "bar_shift_lat": 9, "bare": 170, "barrel": [45, 62, 164], "barrel_shift": 164, "barrel_shifter_dsp": 164, "barrel_shifter_gen": [9, 114], "barrel_shifter_gen_pip": 9, "barrier": 148, "base": [0, 2, 6, 19, 28, 31, 32, 33, 36, 39, 41, 47, 62, 63, 70, 72, 79, 81, 89, 91, 92, 103, 105, 111, 114, 116, 120, 121, 122, 123, 125, 126, 129, 132, 133, 141, 143, 146, 147, 148, 151, 152, 160, 164, 165, 168, 170, 191, 192, 194, 197, 199, 200], "base_addr": 170, "base_address": 192, "baseh": 41, "basel": 41, "baselin": 156, "basi": 79, "basic": [27, 33, 34, 70, 74, 93, 114, 132, 139, 140, 142, 143, 145, 146, 147, 153, 163, 170, 171, 192, 194, 196, 197, 202], "bbuild": 29, "bcefst": 148, "bclk": 22, "bear": 19, "becaus": [12, 21, 22, 33, 35, 40, 61, 70, 71, 83, 90, 92, 114, 129, 141, 142, 148, 151, 170, 186, 191, 192, 194], "been": [12, 13, 19, 20, 21, 44, 58, 61, 65, 79, 108, 113, 114, 117, 122, 123, 132, 135, 143, 148, 150, 164, 170, 171, 172, 173, 175, 192], "befor": [6, 10, 19, 20, 21, 24, 51, 61, 66, 70, 71, 74, 78, 79, 83, 88, 90, 92, 94, 105, 113, 115, 122, 123, 132, 135, 143, 146, 148, 150, 154, 170, 174, 175, 184, 187, 190, 191, 193, 194, 199], "before_on": 164, "begener": 51, "begin": [12, 35, 38, 40, 47, 53, 57, 62, 70, 79, 92, 114, 132, 133, 135, 146, 147, 148, 152, 154, 155, 170], "beginbursttransf": 86, "beginig": 71, "behav": [8, 13, 45, 47, 61, 132, 143, 146, 147, 148, 151, 170], "behavior": [12, 13, 46, 85, 90, 93, 114, 124, 145, 148, 151, 160, 164, 165, 168, 194], "behaviour": [14, 61, 105, 151], "behind": [63, 74, 90, 190], "beign": [135, 155], "being": [13, 29, 61, 62, 70, 71, 74, 79, 90, 91, 108, 113, 115, 132, 146, 170], "bellow": [112, 176, 177], "belong": [79, 92, 191, 194], "below": [0, 21, 24, 29, 63, 70, 74, 90, 92, 108, 117, 122, 132, 133, 135, 143, 146, 147, 148, 151, 153, 163, 170, 171, 172, 173, 180, 190, 191, 193, 194, 196, 198, 199, 202, 203], "bene\u0161": 62, "ber": 111, "ber_mon": 111, "besid": [50, 64], "best": [48, 62, 93, 170], "better": [3, 5, 16, 18, 19, 24, 33, 36, 67, 74, 80, 89, 90, 93, 100, 104, 114, 135, 137, 148, 170], "between": [0, 12, 19, 20, 21, 25, 26, 29, 30, 31, 33, 49, 50, 57, 62, 63, 68, 70, 71, 74, 78, 79, 80, 85, 86, 92, 100, 106, 108, 111, 122, 123, 129, 132, 133, 135, 141, 142, 143, 146, 147, 148, 150, 153, 164, 168, 170, 187, 190, 194, 198, 199, 200, 202], "bidirect": 148, "bifurac": 199, "bifurc": [184, 199], "big": [102, 164], "bigger": [71, 108], "bin": [29, 92, 148, 178], "bin2hot": 164, "binari": [20, 62, 79, 92, 164, 170, 192], "bind": [116, 136], "bip": 111, "bit": [0, 1, 3, 4, 5, 6, 7, 8, 9, 12, 13, 16, 18, 19, 20, 22, 23, 24, 25, 27, 29, 31, 32, 33, 34, 37, 41, 47, 48, 49, 50, 51, 52, 53, 57, 58, 62, 63, 64, 65, 66, 68, 70, 71, 72, 73, 74, 77, 78, 79, 85, 86, 87, 88, 90, 91, 93, 95, 98, 99, 100, 102, 104, 108, 111, 113, 115, 116, 117, 120, 121, 124, 125, 126, 139, 140, 148, 151, 153, 154, 155, 164, 168, 178, 190, 194, 196, 198, 199, 202, 203], "bitrat": 111, "bitstream": [171, 172, 173, 174, 176, 177, 179, 184, 196], "bittwar": 163, "bitwis": 164, "black": 202, "blaster": 179, "blob": [116, 192], "block": [0, 4, 9, 16, 18, 27, 28, 29, 38, 40, 41, 45, 47, 48, 50, 51, 52, 53, 57, 62, 64, 65, 66, 70, 71, 72, 73, 76, 77, 79, 105, 111, 113, 114, 115, 117, 120, 134, 142, 148, 151, 153, 160, 164, 190, 191, 193, 194, 199, 200], "block_aux_en": 76, "block_en": [16, 18], "block_lock": [111, 153], "block_siz": [9, 36, 38, 50, 51, 55, 58, 59, 60, 61, 64, 66, 69, 71, 73, 75, 76, 79, 82, 132, 146, 147, 148, 149, 187], "block_width": [9, 16, 18], "blok": 4, "blokov\u00fd": 6, "board": [179, 184, 187, 190, 196, 200], "board_rev": [180, 196], "bock_width": 16, "bodfc": 113, "bodfch": 113, "bodfcl": 113, "bodi": [135, 148], "boolean": [3, 4, 5, 6, 7, 8, 9, 11, 16, 18, 20, 26, 28, 33, 36, 40, 41, 48, 50, 51, 52, 60, 61, 64, 65, 66, 67, 69, 72, 74, 76, 77, 78, 80, 81, 85, 89, 92, 93, 94, 95, 96, 98, 99, 100, 102, 105, 106, 109, 110, 113, 115, 117, 118, 120, 125, 187, 199], "boot": [74, 171, 172, 173, 180, 181, 182, 183, 192, 196, 198], "boot_en": 192, "bootload": 178, "border": 70, "bot": [71, 155], "both": [4, 5, 6, 12, 13, 19, 21, 26, 28, 45, 48, 50, 64, 70, 71, 79, 83, 85, 86, 90, 91, 92, 98, 105, 108, 111, 114, 121, 123, 124, 132, 143, 145, 146, 147, 148, 150, 151, 175, 186, 190, 191, 194, 195], "bottleneck": 21, "bottom": [63, 178, 180], "bound": [154, 192], "boundari": [111, 129, 141, 142], "box": [27, 28], "box_cnt": [15, 28], "box_width": [15, 28], "bp": 70, "brake": 187, "bram": [3, 5, 6, 14, 28, 45, 62, 80, 82, 83, 85, 105, 109, 110, 160, 163, 165, 170, 192], "branch": 29, "brand": 61, "break": [19, 94, 106, 126, 128, 148, 149], "bridg": [25, 33], "brief": 156, "brnolog": [163, 193], "broadcast": [24, 190, 194], "brodcast": 113, "broken": [29, 120], "bs_calc": 62, "bscn": 70, "bt": 180, "bu": [0, 13, 19, 20, 25, 26, 27, 38, 39, 40, 41, 42, 45, 46, 47, 48, 57, 58, 64, 65, 69, 71, 72, 76, 79, 85, 86, 91, 92, 93, 94, 96, 103, 104, 108, 110, 111, 113, 115, 117, 120, 121, 123, 125, 133, 136, 161, 162, 166, 167, 168, 169, 190, 192, 193, 194, 197, 199, 200, 202], "buf_a_col": 19, "buf_a_sect": 19, "buf_a_stream_row": 19, "buf_b_col": 19, "buf_b_row": 19, "buf_b_sect": 19, "buf_block": 81, "buf_byt": 81, "buf_word": 81, "buff": [31, 33, 34], "buff_rd_addr": 44, "buff_rd_chan": 44, "buff_rd_data": 44, "buff_rd_data_vld": 44, "buff_rd_en": 44, "buffer": [8, 19, 21, 31, 33, 34, 35, 36, 37, 41, 43, 44, 46, 47, 48, 57, 68, 74, 78, 83, 102, 106, 111, 113, 114, 115, 166, 168, 190, 194, 199], "buffer_ae_offset": 74, "buffer_af_offset": 74, "buffer_s": 74, "buffered_data_s": 40, "bug": [62, 148, 192], "build": [0, 29, 70, 111, 148, 163, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 194, 200, 202], "build_phas": [132, 135, 143, 146, 147, 148, 154], "built": [29, 95, 175, 191, 196], "buld": [171, 172, 173], "bundl": 170, "burst": [0, 31, 32, 33, 51, 86, 145, 147, 148, 150, 190], "burst_cnt": [31, 34], "burst_id": 34, "burst_siz": 51, "burst_width": 126, "burstcount": [86, 126], "bus_handshak": 170, "buse": [0, 1, 89, 108, 163, 190, 193, 194, 199], "busi": [70, 88], "buss": 49, "bw_bmc_fpga_load": 175, "bw_card_list": 175, "bypass": [16, 74, 77], "byte": [20, 21, 24, 35, 36, 37, 39, 40, 41, 42, 43, 45, 46, 47, 48, 49, 50, 51, 53, 57, 62, 63, 70, 77, 85, 86, 90, 91, 93, 113, 115, 116, 117, 120, 121, 125, 132, 136, 139, 140, 141, 142, 143, 146, 147, 150, 152, 153, 156, 165, 187, 190, 194, 199, 202, 205], "byte_aray_mfb": 148, "byte_arra_mfb": 132, "byte_arrai": [132, 133, 148, 155], "byte_array_ag": 148, "byte_array_cfg": 148, "byte_array_mfb": [129, 136, 148, 149], "byte_array_mfb_cfg": 148, "byte_array_mfb_env": 132, "byte_array_mfb_monitor": 148, "byte_array_mfb_sequ": 148, "byte_array_moinitor": 148, "byte_array_port_env": 148, "bytes_max_numb": 152, "bytes_min_numb": 152, "bytes_per_packet_max_numb": 152, "bytes_per_packet_min_numb": 152, "bytes_per_packet_rev_max_numb": 152, "bytes_per_packet_rev_min_numb": 152, "bytes_rev_max_numb": 152, "bytes_rev_min_numb": 152, "bytes_vld": [130, 131, 139, 140], "bytesh": [41, 47], "bytesl": [41, 47], "c": [11, 33, 41, 47, 90, 148], "c_char_width": 148, "c_data": 154, "c_transact": 148, "ca": 21, "cabal": 1, "cabl": [29, 171, 172, 173, 174, 175, 176, 177, 179, 184], "cage": 201, "calcul": [5, 21, 26, 27, 43, 47, 62, 70, 74, 78, 79, 93, 108, 113, 115, 118, 122, 135, 148, 151, 166, 168, 170, 190, 202], "calibr": [33, 190], "call": [26, 52, 74, 79, 108, 146, 147, 148, 154, 163, 170, 174, 176, 177, 184, 190, 191, 192, 194], "callback": [136, 170], "calucul": 77, "calypt": [39, 46, 163, 193, 195, 205], "cam": 113, "came": [12, 44], "can": [0, 1, 4, 5, 6, 7, 8, 9, 11, 12, 13, 16, 19, 20, 21, 22, 23, 26, 27, 28, 29, 30, 31, 33, 34, 37, 38, 42, 43, 45, 47, 48, 53, 57, 60, 61, 62, 63, 66, 68, 70, 71, 74, 77, 78, 79, 80, 83, 85, 88, 89, 90, 91, 92, 93, 94, 96, 98, 99, 100, 102, 105, 106, 108, 110, 111, 113, 114, 115, 122, 123, 124, 126, 127, 129, 132, 133, 135, 141, 142, 143, 146, 147, 148, 150, 152, 154, 155, 156, 160, 163, 164, 165, 168, 170, 171, 172, 173, 174, 175, 178, 180, 184, 186, 190, 192, 193, 194, 196, 198, 199, 200, 201, 202, 203], "candid": [160, 165, 168], "cannot": [7, 12, 21, 52, 61, 71, 83, 112, 115, 123, 133, 148, 150, 155, 164, 192], "capabl": [6, 29, 64, 116, 126, 180, 192, 199], "capac": [25, 102], "cappabl": 71, "capture_en": 20, "capture_fifo_item": 20, "card": [0, 24, 29, 33, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 190, 194, 197, 198, 199, 200, 202], "card_archgrp": 191, "card_conf": [171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184], "card_id": 199, "card_id_width": 199, "card_root_directori": 191, "care": [43, 78, 90, 114, 126, 133, 152], "careful": [128, 149], "carefulli": 106, "carri": [90, 92, 164, 190, 194], "carry_chain": 164, "case": [0, 4, 5, 7, 8, 12, 13, 21, 23, 37, 41, 47, 61, 62, 70, 71, 74, 79, 88, 90, 91, 92, 94, 97, 106, 108, 113, 114, 115, 121, 123, 133, 148, 152, 156, 170, 186, 190, 191, 192, 196, 197, 199, 202], "cass": 155, "cast": [135, 148, 154], "caus": [19, 23, 52, 70, 71, 90, 113, 114, 115, 191], "caution": 92, "caveat": 170, "cb": 148, "cbs_simpl": 154, "cc": [117, 120, 121, 199], "cc_axi": 117, "cc_axi_data": 117, "cc_axi_keep": 117, "cc_axi_last": 117, "cc_axi_readi": 117, "cc_axi_us": 117, "cc_axi_valid": 117, "cc_mfb": 117, "cc_mfb_block_siz": 199, "cc_mfb_data": [117, 120], "cc_mfb_dst_rdy": [117, 120], "cc_mfb_eof": [117, 120], "cc_mfb_eof_po": [117, 120], "cc_mfb_item_width": 199, "cc_mfb_meta": 120, "cc_mfb_region": 199, "cc_mfb_region_s": 199, "cc_mfb_sof": [117, 120], "cc_mfb_sof_po": [117, 120], "cc_mfb_src_rdy": [117, 120], "cc_pipe": 120, "cc_user_width": 117, "cd": [0, 26, 29, 33, 180], "cdc": 22, "cdgmii": [113, 115], "ce_gener": 136, "ceil": [37, 70], "cell": 192, "certain": [20, 52, 61, 92, 168, 192], "cesnet": [0, 29, 170, 180, 191, 192, 195], "cfc": 113, "cfch": 113, "cfcl": 113, "cfg": [129, 132, 141, 142, 143, 146, 147, 148], "cgmii": 113, "ch": 186, "ch_cnt": 93, "ch_diff": 93, "ch_max": [51, 93], "ch_min": [51, 93], "ch_next": 93, "ch_out": 93, "chain": [29, 92, 164], "challeng": 170, "chan": [0, 93], "chang": [16, 19, 20, 31, 51, 61, 67, 70, 71, 75, 90, 91, 92, 93, 113, 114, 115, 117, 120, 123, 132, 134, 135, 143, 146, 147, 148, 152, 154, 155, 156, 186, 191, 192, 195, 196], "channel": [0, 10, 24, 36, 37, 39, 41, 43, 44, 45, 46, 47, 48, 50, 51, 62, 68, 74, 79, 81, 90, 108, 133, 135, 148, 160, 164, 169, 186, 187, 190, 191, 193, 194, 196, 202], "channel_align": 136, "channel_cor": 46, "channel_id": 194, "channel_vld": 36, "channels_width": 51, "chapter": [0, 2, 113, 115, 157, 158, 161, 162, 166, 167, 169, 190, 191, 192, 193, 196, 201, 202, 204, 205], "charact": 148, "characterist": [65, 67, 71, 72], "check": [6, 11, 21, 25, 29, 47, 61, 66, 71, 88, 90, 113, 115, 122, 123, 139, 140, 148, 150, 151, 154, 175, 184, 186, 187, 188, 191, 194], "checker": 123, "checksum": [115, 166], "checksum_calcul": 77, "child": [132, 143, 146, 147], "chip": [25, 164, 190], "choic": [6, 156, 186], "choke": 190, "choos": [4, 6, 92, 132, 134, 143, 146, 147, 191], "chosen": [6, 43, 191], "chunk": [130, 131, 134, 156], "cicl": 155, "circuit": 13, "circumst": 70, "clarifi": 170, "clariti": 191, "class": [47, 121, 127, 128, 129, 132, 133, 138, 141, 142, 143, 146, 147, 148, 149, 150, 154, 155, 164], "class_typ": 135, "classic": 6, "claus": 111, "clb": [160, 165], "clear": [13, 28, 50, 79, 90, 113, 115, 156, 164, 165], "clear_addr": 15, "clear_by_read": 28, "clear_by_rst": [15, 28], "clear_don": 15, "clear_en": 15, "clear_wr": 15, "client": [157, 158, 194], "clk": [4, 6, 7, 8, 9, 10, 11, 13, 14, 15, 16, 19, 20, 26, 27, 28, 30, 32, 33, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 50, 51, 52, 53, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 82, 86, 87, 88, 89, 92, 93, 94, 95, 96, 97, 98, 99, 100, 102, 103, 104, 105, 106, 109, 110, 114, 118, 120, 124, 125, 135, 148, 154, 155, 170, 186], "clk2": [19, 78, 164], "clk_": 85, "clk_arb": [19, 78], "clk_en": [4, 133], "clk_eth": [187, 190], "clk_freq": 125, "clk_frequenc": 74, "clk_in": 81, "clk_m": 85, "clk_meta": 81, "clk_out": 81, "clk_period": [148, 156, 170], "clk_port": 170, "clk_sel": 125, "clk_sel_width": 125, "clk_src": 125, "clk_stabl": 111, "clk_user": [187, 190], "clk_user_x2": 190, "clk_user_x3": 190, "clk_user_x4": 190, "clk_x2": 57, "clock": [1, 4, 5, 6, 7, 10, 11, 13, 14, 16, 18, 19, 20, 21, 22, 27, 28, 33, 36, 39, 46, 49, 50, 52, 53, 57, 58, 59, 60, 61, 62, 63, 64, 66, 67, 68, 69, 70, 71, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 85, 86, 87, 90, 92, 93, 94, 95, 97, 98, 99, 100, 102, 103, 104, 106, 108, 110, 111, 113, 115, 120, 123, 125, 128, 133, 134, 139, 140, 148, 149, 150, 151, 153, 154, 155, 163, 165, 166, 168, 169, 170, 186, 187, 190, 194, 199, 203], "clog2": [135, 149], "clone": [29, 148], "close": [20, 192, 193, 205], "closur": 13, "cmac": [113, 115, 171, 172, 173, 178, 181, 182, 187, 194, 195], "cmake": 29, "cmd": [27, 88, 113, 115], "cmd_a": 27, "cmd_width": 27, "cmp": 164, "cnt": [12, 27, 32, 33, 164], "cnt_dist": 164, "cnt_max": 66, "cnt_multi_memx": [10, 164], "cnt_next": 12, "cnt_width": 10, "cnter": 27, "cnter_cnt": 27, "cnter_diff_0": 27, "cnter_diff_1": 27, "cnter_diff_2": 27, "cnter_incr_0": 27, "cnter_incr_1": 27, "cnter_incr_2": 27, "cnter_submit_0": 27, "cnter_submit_1": 27, "cnter_submit_2": 27, "cnter_width": 27, "cnters_diff": 27, "cnters_incr": 27, "cnters_submit": 27, "cntr": 51, "cntrs_width": [41, 47], "cocotb": 202, "codapa": 123, "code": [0, 1, 6, 29, 92, 135, 164, 170, 191, 207], "code_archgrp": 191, "code_coverag": 148, "colid": 19, "collect": [111, 154, 163], "collis": [12, 19, 28, 169], "color": [19, 92], "color_conf_delai": 19, "color_timeout_width": 19, "column": [19, 92], "com": [29, 90, 178], "combin": [12, 41, 47, 62, 90, 92, 99, 115, 124, 156, 190, 191], "combinatori": 13, "combo": 196, "combo_user_const": 191, "come": [12, 19, 42, 62, 78, 79, 90, 111, 114, 139, 140, 148, 194, 199, 202], "command": [0, 25, 27, 29, 34, 88, 111, 113, 115, 148, 154, 155, 156, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 191, 196], "comment": [148, 170, 191, 192], "commentari": 191, "common": [4, 18, 19, 26, 27, 33, 49, 61, 65, 72, 86, 88, 89, 92, 99, 111, 127, 136, 138, 163, 170, 191, 207], "common_clock": 18, "commonli": [90, 135, 136, 137, 148, 154, 156, 170], "commun": [29, 32, 79, 108, 120, 123, 126, 127, 128, 137, 138, 139, 140, 148, 149, 150, 190, 192, 193, 197, 198, 199, 200, 205], "comp": [0, 2, 29, 33, 34, 89, 139, 140, 148, 157, 158, 161, 162, 166, 167, 169, 186, 202, 204, 205], "comp_": 170, "comp_with_data": 121, "compar": [12, 33, 46, 65, 92, 123, 129, 136, 139, 140, 141, 142, 148, 153, 164, 199], "comparer_": 148, "comparer_base_ord": 135, "comparer_base_tag": [135, 148], "comparer_base_unord": 135, "comparer_data": 148, "comparer_meta": 148, "comparer_ord": [135, 148], "comparer_tag": 135, "comparer_unord": 135, "comparesr": 148, "comparison": [4, 62, 135, 170], "compat": [12, 33, 34, 62, 69, 163, 168, 170, 191, 192, 196], "compens": 111, "compil": [148, 170, 191, 192, 193, 199], "complet": [12, 19, 24, 34, 47, 48, 60, 70, 79, 83, 117, 120, 121, 122, 148, 163, 170, 174, 176, 177, 179, 184, 192, 194, 196, 199], "completit": [121, 199], "complex": [12, 21, 39, 41, 48, 70, 71, 122, 126, 139, 140, 170], "compli": 19, "complic": [12, 71, 91, 150], "compon": [2, 6, 7, 8, 11, 13, 19, 20, 21, 22, 25, 29, 31, 34, 35, 36, 37, 38, 40, 42, 43, 44, 45, 47, 50, 51, 52, 53, 55, 57, 58, 59, 60, 62, 63, 64, 66, 68, 69, 70, 71, 73, 74, 75, 77, 78, 80, 81, 82, 85, 86, 87, 88, 90, 91, 93, 94, 95, 97, 98, 99, 100, 103, 104, 105, 106, 108, 110, 111, 113, 114, 115, 117, 118, 119, 120, 121, 124, 125, 126, 129, 133, 135, 137, 139, 140, 141, 142, 148, 153, 154, 155, 156, 158, 161, 162, 163, 164, 165, 166, 167, 168, 189, 190, 194, 195, 198, 202, 203, 204, 205, 207], "compos": [79, 125, 151, 170], "comprehens": 163, "compress": [116, 192], "comput": [0, 11, 63, 123], "comun": 155, "concaten": [63, 98, 191], "concern": [71, 170, 194], "concret": 33, "concurr": 20, "condit": [70, 104, 113, 114, 148, 191, 199], "condition": 191, "conduct": [79, 108], "conector": [171, 172, 173, 174, 175, 176, 177, 178, 180, 181, 182, 183, 184], "conenct": 71, "config": [51, 132, 136, 143, 146, 147, 148, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 191], "config_filepath": 152, "config_gener": 152, "config_generator_config_filepath": 152, "config_item": [126, 132, 143, 146, 147], "config_sequ": [129, 132, 141, 142, 143, 146, 147, 148], "configr": 48, "configur": [0, 1, 20, 25, 27, 29, 33, 35, 39, 41, 46, 47, 50, 51, 52, 58, 60, 61, 62, 71, 75, 76, 90, 91, 93, 108, 110, 111, 113, 114, 115, 116, 117, 120, 125, 126, 127, 128, 130, 131, 134, 136, 139, 140, 149, 153, 155, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 190, 192, 194, 195, 196, 203], "configuret": 155, "confirm": [7, 19, 23, 37, 168], "conform": 19, "connect": [0, 5, 6, 8, 12, 13, 19, 21, 22, 29, 31, 33, 39, 41, 47, 48, 49, 50, 61, 62, 71, 74, 86, 90, 91, 92, 94, 111, 113, 115, 116, 123, 129, 130, 131, 132, 134, 135, 139, 140, 141, 142, 143, 146, 147, 148, 150, 151, 153, 154, 155, 164, 168, 171, 172, 173, 174, 175, 176, 177, 180, 184, 187, 190, 192, 193, 194, 196, 199, 200, 202], "connect_phas": [132, 135, 143, 146, 147, 148], "connector": [171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 199, 200], "consecut": [12, 20, 70, 79, 108, 114, 129, 141, 142], "consequ": 194, "consid": [19, 21, 50, 51, 79, 92, 106, 108, 148, 191, 192, 194, 202], "consist": [20, 29, 42, 63, 70, 71, 73, 78, 83, 92, 108, 114, 122, 123, 148, 192, 193, 200], "consol": 199, "constain": 92, "constant": [22, 27, 48, 62, 63, 70, 79, 86, 92, 108, 113, 115, 152, 164, 192, 202], "constist": [45, 47], "constr_quartu": 170, "constr_text": 170, "constr_vivado": 170, "constrain": 191, "constraint": [1, 102, 134, 148, 170, 191, 194], "construct": 156, "constructor": 27, "consum": [63, 71, 105, 106], "consume_item_width": 105, "consumpt": [8, 12, 65, 92], "contain": [0, 12, 19, 20, 21, 27, 33, 38, 41, 46, 47, 50, 51, 52, 61, 63, 65, 71, 72, 79, 81, 83, 85, 91, 92, 94, 102, 108, 110, 113, 115, 118, 121, 123, 125, 126, 127, 128, 129, 132, 133, 135, 137, 138, 139, 140, 141, 142, 143, 145, 146, 147, 148, 150, 153, 155, 156, 160, 161, 162, 164, 166, 167, 169, 170, 180, 190, 191, 192, 193, 194, 197, 199, 200, 202, 203, 207], "containst": 149, "containt": [151, 155], "content": [28, 37, 40, 43, 62, 110, 113, 114, 115, 126, 151, 192], "continu": [7, 20, 31, 47, 49, 83, 92, 114, 119, 155, 193, 202, 203], "contiuou": 119, "contol": 40, "contrast": 148, "control": [0, 7, 20, 22, 39, 46, 51, 62, 64, 70, 90, 93, 96, 111, 113, 115, 125, 127, 128, 133, 148, 149, 153, 156, 163, 168, 187, 190, 192, 194, 196, 198, 200, 201, 202, 205], "conv_bscn2gb": 70, "conv_gbs2bscn": 70, "conv_ps2pscn": 70, "conv_pscn2p": 70, "convent": 79, "convers": [50, 70, 111, 115, 120, 125, 129, 141, 142, 205], "convert": [23, 25, 27, 70, 86, 87, 91, 92, 104, 106, 113, 114, 115, 117, 122, 123, 124, 126, 132, 136, 137, 143, 145, 146, 147, 163, 164, 168, 174, 176, 177, 184, 191, 194, 197, 199], "convert2block": 142, "convert2str": [129, 139, 140, 141, 142, 148, 151, 153, 156], "converttim": 27, "cooper": [148, 180], "copi": [53, 57, 71, 129, 139, 140, 141, 142, 153, 156, 185, 196], "copr": [0, 29], "core": [0, 11, 12, 29, 41, 47, 48, 51, 111, 116, 122, 123, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 187, 190, 198, 200, 202], "core_archgrp": 191, "core_boostrap": 191, "core_func": 191, "correct": [5, 6, 16, 18, 20, 37, 47, 85, 91, 113, 116, 117, 118, 120, 127, 148, 151, 154, 180, 187, 196, 199], "correctli": [61, 70, 91, 113, 133, 138, 148, 190, 192, 196], "correspond": [7, 19, 23, 29, 36, 63, 70, 74, 79, 111, 114, 122, 123, 151, 190, 191, 193, 194, 195, 201, 202], "correspons": 23, "cos521": 11, "cost": [21, 97, 102], "could": [12, 13, 19, 21, 22, 52, 61, 62, 79, 92, 108, 148, 153, 186], "count": [0, 7, 20, 21, 26, 27, 31, 32, 33, 58, 70, 78, 94, 106, 113, 115, 164, 168, 190, 203, 205], "counter": [1, 12, 19, 27, 30, 32, 33, 37, 39, 41, 42, 44, 46, 47, 48, 51, 65, 68, 74, 100, 111, 113, 115, 156, 164, 168], "counton": 148, "coupl": [90, 187], "cours": [11, 20, 88], "cov_html": 148, "cov_packet": 148, "cover": [47, 61, 105, 187], "coverag": 6, "coverage_bas": 148, "covergroup": 148, "coverpoint": 148, "cpack": 29, "cpu": 190, "cq": [117, 120, 121, 199], "cq_axi": 117, "cq_axi_data": 117, "cq_axi_keep": 117, "cq_axi_last": 117, "cq_axi_readi": 117, "cq_axi_us": 117, "cq_axi_valid": 117, "cq_fbe": 117, "cq_lbe": 117, "cq_mfb": 117, "cq_mfb_block_siz": 199, "cq_mfb_data": [117, 120], "cq_mfb_dst_rdy": [117, 120], "cq_mfb_eof": [117, 120], "cq_mfb_eof_po": [117, 120], "cq_mfb_item_width": 199, "cq_mfb_meta": 120, "cq_mfb_region": 199, "cq_mfb_region_s": 199, "cq_mfb_sof": [117, 120], "cq_mfb_sof_po": [117, 120], "cq_mfb_src_rdy": [117, 120], "cq_pipe": 120, "cq_tph_present": 117, "cq_tph_st_tag": 117, "cq_tph_type": 117, "cq_user_width": 117, "cquser_width": 121, "cr": 111, "crash": 191, "crc": [24, 113, 115, 190, 194, 195, 202], "crc_check_en": 113, "crc_error": 113, "crc_insert_en": 115, "crc_is_receiv": 113, "crc_remove_en": 113, "crdt": 136, "creat": [19, 27, 29, 36, 39, 50, 52, 62, 63, 65, 71, 72, 79, 89, 108, 123, 129, 132, 133, 135, 139, 140, 141, 142, 143, 146, 147, 150, 151, 153, 154, 156, 164, 170, 179, 184, 185, 190, 192, 194, 195, 196], "create_sequence_item": [132, 143, 146, 147, 150, 156], "create_stat": 27, "creation": [108, 130, 131, 134, 143, 148, 170], "creator": 63, "credit": [122, 127], "critic": 90, "cross": [1, 22, 31, 33, 64, 85, 148, 168], "crossbar": 19, "crossbarx": [23, 115, 166, 168], "crossbarx_stream": 78, "csp": 175, "csr": [190, 194, 198, 200], "csv": 152, "ctl_bar_apertur": 120, "ctl_max_payload_s": 120, "ctrl": [27, 31, 32, 33, 113, 157, 168], "ctrl_reg": 125, "ctrli": 27, "ctrli_width": 27, "ctrlo": 27, "ctrlo_default": 27, "ctrlo_width": 27, "curent": 30, "current": [5, 7, 11, 16, 19, 21, 24, 29, 31, 33, 34, 35, 37, 41, 44, 47, 55, 60, 61, 62, 63, 65, 66, 68, 70, 71, 74, 79, 80, 81, 83, 86, 88, 90, 108, 113, 114, 115, 120, 122, 123, 133, 148, 150, 170, 192, 193, 194, 195, 197, 199], "current_tim": 68, "currently_stor": [5, 6, 7], "custom": [27, 150, 156, 170, 191, 192], "customiz": 165, "customli": 170, "cut": [58, 63, 113, 123, 130, 131, 134], "cutted_item": 58, "cutter": [43, 113, 123, 166], "cvg": 148, "cx": 78, "cx_clk_arb": 78, "cx_reset_arb": 78, "cx_use_clk2": 78, "cx_use_clk_arb": 78, "cycl": [1, 4, 6, 7, 11, 12, 14, 16, 19, 20, 21, 22, 27, 28, 32, 49, 51, 61, 62, 66, 70, 74, 79, 86, 90, 91, 93, 97, 108, 110, 114, 122, 123, 125, 128, 134, 139, 140, 148, 149, 150, 155, 160, 163, 164, 165, 166, 168, 169, 174, 176, 177, 184], "cz": 191, "czech": 195, "d": [33, 34, 49, 90, 179, 186], "d0": [90, 156], "d1": 90, "d12": 156, "d16": 156, "d2": 90, "d3": 90, "d4": 156, "d512": 156, "d516": 156, "d8": [90, 156], "d9": 90, "damag": 47, "danger": 187, "data": [3, 5, 6, 7, 8, 9, 11, 12, 13, 14, 16, 18, 19, 20, 21, 24, 26, 31, 32, 33, 34, 36, 37, 38, 40, 41, 44, 45, 46, 47, 48, 49, 50, 51, 52, 55, 57, 58, 61, 62, 63, 64, 65, 66, 68, 69, 70, 73, 74, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 90, 91, 92, 93, 95, 97, 98, 99, 100, 102, 105, 106, 108, 109, 111, 113, 115, 116, 117, 120, 121, 123, 125, 126, 128, 129, 132, 133, 134, 135, 137, 138, 139, 140, 141, 142, 143, 145, 146, 147, 148, 149, 150, 151, 153, 154, 155, 156, 158, 160, 163, 164, 165, 168, 187, 190, 193, 194, 195, 196, 199, 200, 201, 202], "data_addr_req_cntr_inc": 37, "data_addr_stall_inc": 37, "data_block_s": 81, "data_block_width": 81, "data_buff": [136, 170], "data_buff_full_chan": 39, "data_buff_full_cntr_incr": 39, "data_clear_i": 15, "data_dir": 19, "data_in": [9, 11, 13], "data_in_rdi": 11, "data_in_vld": 11, "data_item": 148, "data_item_width": 81, "data_logg": [0, 26, 27, 33], "data_logger_i": 27, "data_mux_lat": 19, "data_mux_outreg_en": 19, "data_out": [9, 11], "data_out_rdi": 11, "data_out_vld": 11, "data_pcie_hdr": 37, "data_pcie_hdr_dst_rdi": 37, "data_pcie_hdr_s": 37, "data_pcie_hdr_src_rdi": 37, "data_pointer_width": [44, 46, 47], "data_rot_lat": 19, "data_rot_outreg_en": 19, "data_vld": 153, "data_width": [5, 6, 7, 8, 11, 13, 14, 15, 16, 17, 18, 30, 49, 85, 86, 88, 89, 90, 92, 94, 99, 103, 126, 128, 139, 140, 143, 148, 150, 153, 154, 156], "databas": [132, 137, 143, 146, 147, 148], "datafram": 27, "datalogg": 27, "datapath": 202, "date": [170, 202], "david": 62, "dba_rd_chan": 39, "dba_rd_data": 39, "dbg_gls0": [0, 192], "dbg_gls1": [0, 192], "dbg_signal_width": 42, "dd": [174, 175, 177, 178, 179, 180, 184, 201], "ddr": [33, 163], "ddr4": [158, 184, 190, 197], "ddr_logger_0": 192, "ddr_logger_1": 192, "ddr_logger_2": 192, "ddr_logger_3": 192, "ddr_tester_0": 192, "ddr_tester_1": 192, "ddr_tester_2": 192, "ddr_tester_3": 192, "deactiv": 1, "deadlock": [21, 23, 198], "deafult": 62, "deal": 63, "deassert": [8, 13, 79, 86, 90, 108, 114, 138, 156], "deb": 196, "debug": [0, 20, 21, 33, 42, 47, 48, 113, 148, 163, 168, 171, 172, 173, 179, 180, 193, 198, 199, 202], "debug_rand_addr": 33, "debugaccess": 86, "dec": [4, 115], "dec1fn": 164, "decid": [68, 83, 92, 137, 151], "declar": [49, 71, 79, 108, 170, 186, 191], "decod": [2, 43, 90, 111, 164, 205], "decreas": [71, 75, 78, 129, 141, 142], "decrement": [12, 150], "dedic": [63, 168, 170, 175, 187, 200], "deduc": 12, "deem": 23, "deep": [3, 5, 80, 190], "def": 27, "def_refr_period": 33, "default": [0, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 16, 17, 18, 20, 22, 26, 27, 28, 29, 30, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 50, 51, 52, 53, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 80, 81, 82, 84, 85, 86, 87, 88, 89, 90, 92, 93, 94, 95, 96, 97, 98, 99, 100, 102, 103, 104, 105, 106, 109, 110, 113, 115, 117, 118, 120, 121, 124, 125, 126, 132, 139, 140, 143, 146, 147, 148, 150, 152, 153, 155, 156, 164, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 186, 187, 190, 191, 192, 193, 195, 199, 203], "default_addr_limit": 33, "default_burst_cnt": 33, "default_mod": 93, "deficit": [21, 78, 115, 168], "deficit_idle_count": 168, "defin": [6, 11, 12, 19, 20, 25, 28, 30, 39, 41, 46, 48, 49, 63, 70, 78, 82, 84, 90, 92, 102, 105, 109, 111, 115, 120, 133, 148, 150, 152, 153, 154, 156, 170, 184, 187, 190, 194, 199], "definit": [12, 31, 32, 33, 113, 115, 154, 170, 190], "degrad": [111, 195], "delai": [6, 16, 19, 22, 61, 70, 90, 135, 150, 155], "delay": [74, 166], "delay_count": 22, "delet": [83, 111, 148, 150], "deliber": 191, "delimit": [42, 43, 47, 133], "demo": [187, 190], "demonst": 61, "demonstr": [12, 61, 79, 108, 163, 170], "demultiplex": [94, 164], "demux": [164, 169], "demux_width": 94, "dens": 102, "depars": [43, 205], "depend": [12, 19, 21, 23, 29, 62, 70, 71, 82, 91, 92, 105, 108, 109, 113, 122, 123, 130, 131, 134, 139, 140, 148, 150, 153, 170, 180, 190, 194, 195, 197], "deprac": 47, "deprec": [160, 165, 190], "depreci": [32, 33, 34], "depth": [3, 5, 6, 14, 16, 18, 29, 46, 50, 53, 62, 80, 82, 84, 98, 103, 105, 109], "deriv": [63, 71, 74, 79, 81], "descend": 191, "descrambl": [111, 134], "descrambler_gen": 111, "describ": [0, 2, 19, 49, 70, 79, 108, 111, 122, 123, 129, 132, 133, 141, 142, 143, 146, 147, 148, 151, 152, 153, 156, 157, 158, 170, 171, 172, 173, 190, 191, 192, 193, 194, 196, 198, 202, 203, 204, 205], "descript": [0, 1, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 16, 17, 18, 19, 20, 21, 22, 24, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 80, 81, 82, 84, 85, 86, 87, 88, 89, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 102, 103, 104, 105, 106, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 123, 124, 125, 129, 132, 135, 136, 141, 142, 143, 146, 147, 150, 151, 156, 158, 161, 162, 166, 167, 168, 169, 180, 184, 187, 188, 190, 192, 193, 194, 198, 199, 200, 203, 205], "descriptor": [39, 41, 48], "desctin": 19, "deseri": 111, "deserv": 170, "design": [6, 12, 13, 18, 29, 39, 41, 43, 47, 48, 49, 79, 108, 111, 114, 123, 148, 154, 163, 168, 175, 184, 186, 187, 190, 192, 194, 196, 198, 199], "desir": [20, 62, 70, 77, 88, 156, 193, 199], "deskew": 111, "desnt": 16, "despair": 70, "destin": [19, 24, 49, 51, 66, 69, 93, 95, 98, 100, 102, 113, 115, 117, 151, 164, 168, 190, 194, 202], "destination_devic": 178, "desynchron": 148, "detail": [0, 1, 18, 19, 21, 25, 62, 78, 108, 111, 117, 123, 139, 140, 148, 160, 163, 164, 165, 168, 170, 180, 184, 190, 191, 193, 194, 196], "detect": [1, 12, 19, 31, 33, 36, 70, 111, 122, 125, 160, 164, 168, 191, 202], "detector": [164, 168], "determin": [5, 6, 7, 27, 41, 45, 47, 57, 63, 65, 70, 79, 82, 90, 92, 94, 100, 109, 113, 115, 117, 126, 152, 190], "dev": [33, 176, 177, 187, 191, 192], "develop": [148, 156, 163, 166, 169, 170, 175, 176, 177, 191, 193, 196, 200], "deviat": 47, "devic": [3, 4, 5, 6, 7, 10, 14, 16, 17, 18, 19, 20, 25, 26, 29, 30, 33, 34, 35, 36, 37, 39, 41, 42, 43, 44, 45, 46, 47, 48, 50, 51, 52, 53, 55, 57, 61, 62, 63, 64, 65, 67, 68, 69, 70, 71, 72, 73, 74, 77, 78, 80, 81, 82, 84, 85, 86, 87, 89, 92, 93, 96, 97, 98, 100, 102, 103, 104, 105, 106, 109, 110, 111, 113, 115, 117, 120, 121, 125, 148, 184, 186, 187, 190, 198, 199], "devicetre": [190, 198, 199, 202], "devtre": [0, 29, 33, 170, 192, 198], "dfc": [113, 115], "dfch": [113, 115], "dfcl": [113, 115], "dfifo": 113, "dfifo_ovf": 113, "di": [6, 7, 17, 77, 135, 164], "diagnost": 194, "diagram": [0, 50, 71, 91, 114, 120, 180, 190, 191, 193, 194, 199, 200], "did": 113, "didn": 152, "differ": [4, 12, 19, 20, 23, 27, 29, 33, 45, 49, 70, 71, 78, 90, 91, 93, 97, 98, 104, 113, 114, 115, 117, 122, 123, 125, 129, 133, 135, 141, 142, 148, 150, 151, 156, 157, 163, 170, 191, 193, 194, 197, 201], "difficult": [52, 148], "difficulti": 71, "digit": 20, "dimens": [19, 139, 140, 153], "dimm": 184, "din": 99, "din_dst_rdi": 99, "din_src_rdi": 99, "din_vld": 99, "dir": [19, 139, 140, 153, 170], "direct": [0, 9, 19, 25, 47, 48, 49, 50, 79, 90, 91, 108, 114, 132, 143, 146, 147, 148, 151, 152, 164, 170, 198, 199, 201], "directli": [8, 16, 18, 21, 23, 26, 29, 61, 62, 70, 86, 92, 111, 125, 148, 154, 170, 175, 191, 192, 194, 199, 202], "directori": [0, 2, 47, 125, 148, 157, 158, 161, 162, 166, 167, 169, 170, 185, 186, 191, 192, 196, 204, 205, 207], "disabl": [4, 6, 7, 16, 27, 29, 41, 47, 50, 51, 52, 60, 64, 77, 89, 93, 96, 99, 109, 111, 113, 115, 125, 148, 152, 154, 171, 173, 187, 196, 199, 203], "disadvantag": 148, "disc_bts_cnt_width": [39, 46], "disc_pkt_cnt_width": [39, 46], "discard": [24, 37, 41, 42, 47, 53, 57, 60, 61, 78, 84, 113, 115, 135, 148, 166, 169, 187, 190, 194, 195], "discart": [39, 46, 115], "discourag": 148, "disect": 19, "disjoint": 90, "dispatch": 47, "displai": [47, 61, 135, 180, 190, 194], "distinct": 29, "distinguish": [49, 70, 79, 170], "distmem": 14, "distr": 93, "distribut": [5, 17, 21, 90, 93, 108, 111, 129, 133, 141, 142, 155, 164, 190], "divid": [0, 33, 70, 71, 79, 90, 93, 142, 148, 154, 156, 193, 198, 199], "divis": [53, 71], "dk": [163, 187], "dl": 14, "dll": 170, "dma": [0, 35, 36, 37, 39, 40, 42, 43, 44, 45, 46, 50, 68, 74, 93, 122, 123, 163, 171, 173, 187, 191, 196, 198, 199, 200, 202, 205], "dma_bar_en": 199, "dma_bus_pack": 24, "dma_calypt": 48, "dma_calypte_debug_cor": 170, "dma_calypte_debug_core0": 170, "dma_calypte_latency_met": 170, "dma_calypte_latency_meter0": 170, "dma_calypte_reset_fsm": 170, "dma_calypte_reset_fsm0": 170, "dma_calypte_rx_perf_cntr": 170, "dma_calypte_rx_perf_cntrs0": 170, "dma_calypte_test_core0": 170, "dma_calypte_tx_data_buff": 170, "dma_calypte_tx_hdr_buff": 170, "dma_cc_mfb_data": 199, "dma_cc_mfb_dst_rdi": 199, "dma_cc_mfb_eof": 199, "dma_cc_mfb_eof_po": 199, "dma_cc_mfb_meta": 199, "dma_cc_mfb_sof": 199, "dma_cc_mfb_sof_po": 199, "dma_cc_mfb_src_rdi": 199, "dma_clk": [190, 199], "dma_clk_x2": 190, "dma_cq_mfb_data": 199, "dma_cq_mfb_dst_rdi": 199, "dma_cq_mfb_eof": 199, "dma_cq_mfb_eof_po": 199, "dma_cq_mfb_meta": 199, "dma_cq_mfb_sof": 199, "dma_cq_mfb_sof_po": 199, "dma_cq_mfb_src_rdi": 199, "dma_ctrl_calypte_": 170, "dma_ctrl_ndp_rx": [0, 192], "dma_ctrl_ndp_rx0": [0, 192], "dma_ctrl_ndp_rx1": [0, 192], "dma_ctrl_ndp_rx10": [0, 192], "dma_ctrl_ndp_rx11": [0, 192], "dma_ctrl_ndp_rx12": [0, 192], "dma_ctrl_ndp_rx13": [0, 192], "dma_ctrl_ndp_rx14": [0, 192], "dma_ctrl_ndp_rx15": [0, 192], "dma_ctrl_ndp_rx2": [0, 192], "dma_ctrl_ndp_rx3": [0, 192], "dma_ctrl_ndp_rx4": [0, 192], "dma_ctrl_ndp_rx5": [0, 192], "dma_ctrl_ndp_rx6": [0, 192], "dma_ctrl_ndp_rx7": [0, 192], "dma_ctrl_ndp_rx8": [0, 192], "dma_ctrl_ndp_rx9": [0, 192], "dma_ctrl_ndp_tx": [0, 192], "dma_ctrl_ndp_tx0": [0, 192], "dma_ctrl_ndp_tx1": [0, 192], "dma_ctrl_ndp_tx10": [0, 192], "dma_ctrl_ndp_tx11": [0, 192], "dma_ctrl_ndp_tx12": [0, 192], "dma_ctrl_ndp_tx13": [0, 192], "dma_ctrl_ndp_tx14": [0, 192], "dma_ctrl_ndp_tx15": [0, 192], "dma_ctrl_ndp_tx2": [0, 192], "dma_ctrl_ndp_tx3": [0, 192], "dma_ctrl_ndp_tx4": [0, 192], "dma_ctrl_ndp_tx5": [0, 192], "dma_ctrl_ndp_tx6": [0, 192], "dma_ctrl_ndp_tx7": [0, 192], "dma_ctrl_ndp_tx8": [0, 192], "dma_ctrl_ndp_tx9": [0, 192], "dma_discard": 37, "dma_downhdr_width": [65, 72, 199], "dma_endpoint": 48, "dma_ep": 199, "dma_hdr": 37, "dma_hdr_addr_req_cntr_inc": 37, "dma_hdr_addr_stall_inc": 37, "dma_hdr_buff_full_chan": 39, "dma_hdr_buff_full_cntr_incr": 39, "dma_hdr_dst_rdi": 37, "dma_hdr_meta_width": 190, "dma_hdr_pointer_width": [44, 46, 47], "dma_hdr_src_rdi": 37, "dma_hdr_width": 44, "dma_mfb_region": 190, "dma_mfb_region_s": 190, "dma_modul": [0, 192], "dma_params_": 170, "dma_params_rx0": 192, "dma_params_tx0": 192, "dma_pcie_hdr": 37, "dma_pcie_hdr_dst_rdi": 37, "dma_pcie_hdr_s": 37, "dma_pcie_hdr_src_rdi": 37, "dma_port": 199, "dma_rc_mfb_data": 199, "dma_rc_mfb_dst_rdi": 199, "dma_rc_mfb_eof": 199, "dma_rc_mfb_eof_po": 199, "dma_rc_mfb_meta": 199, "dma_rc_mfb_sof": 199, "dma_rc_mfb_sof_po": 199, "dma_rc_mfb_src_rdi": 199, "dma_rc_mvb_data": 199, "dma_rc_mvb_dst_rdi": 199, "dma_rc_mvb_src_rdi": 199, "dma_rc_mvb_vld": 199, "dma_reset": [190, 199], "dma_reset_x2": 190, "dma_rq_mfb_data": 199, "dma_rq_mfb_dst_rdi": 199, "dma_rq_mfb_eof": 199, "dma_rq_mfb_eof_po": 199, "dma_rq_mfb_meta": 199, "dma_rq_mfb_sof": 199, "dma_rq_mfb_sof_po": 199, "dma_rq_mfb_src_rdi": 199, "dma_rq_mvb_data": 199, "dma_rq_mvb_dst_rdi": 199, "dma_rq_mvb_src_rdi": 199, "dma_rq_mvb_vld": 199, "dma_rx": 50, "dma_rx_": 190, "dma_rx_blocking_mod": 191, "dma_rx_channel": 190, "dma_rx_frame_size_max": 190, "dma_rx_mfb_data": [50, 190], "dma_rx_mfb_dst_rdi": [50, 190], "dma_rx_mfb_eof": [50, 190], "dma_rx_mfb_eof_po": [50, 190], "dma_rx_mfb_sof": [50, 190], "dma_rx_mfb_sof_po": [50, 190], "dma_rx_mfb_src_rdi": [50, 190], "dma_rx_mvb_channel": [50, 190], "dma_rx_mvb_discard": [50, 190], "dma_rx_mvb_dst_rdi": [50, 190], "dma_rx_mvb_hdr_meta": [50, 190], "dma_rx_mvb_len": [50, 190], "dma_rx_mvb_src_rdi": [50, 190], "dma_rx_mvb_vld": [50, 190], "dma_stream": 190, "dma_tx": 50, "dma_tx_": 190, "dma_tx_channel": 190, "dma_tx_frame_size_max": 190, "dma_tx_mfb_data": [50, 190], "dma_tx_mfb_dst_rdi": [50, 190], "dma_tx_mfb_eof": [50, 190], "dma_tx_mfb_eof_po": [50, 190], "dma_tx_mfb_sof": [50, 190], "dma_tx_mfb_sof_po": [50, 190], "dma_tx_mfb_src_rdi": [50, 190], "dma_tx_mvb_channel": [50, 190], "dma_tx_mvb_dst_rdi": [50, 190], "dma_tx_mvb_hdr_meta": [50, 190], "dma_tx_mvb_len": [50, 190], "dma_tx_mvb_src_rdi": [50, 190], "dma_tx_mvb_vld": [50, 190], "dma_tx_usr_choke_chan": 190, "dma_typ": [191, 193, 196], "dma_uphdr_width": [65, 72, 199], "dnf": [29, 196], "do": [6, 7, 51, 61, 70, 71, 74, 83, 92, 93, 94, 113, 115, 117, 120, 127, 135, 148, 156, 164, 170, 175, 184, 186, 192, 194, 196, 202], "do_compar": [129, 139, 140, 141, 142, 151, 153], "do_copi": [129, 139, 140, 141, 142, 151, 153], "dob": 17, "doc": [24, 190, 194], "document": [0, 6, 7, 19, 29, 47, 49, 63, 79, 80, 82, 123, 132, 143, 146, 147, 148, 149, 156, 160, 164, 165, 168, 170, 186, 191, 193, 194, 196, 202], "doe": [0, 5, 12, 23, 25, 43, 47, 49, 51, 55, 61, 63, 70, 71, 79, 86, 90, 91, 99, 106, 113, 114, 115, 120, 124, 129, 141, 142, 148, 170, 187, 190, 192, 196, 199], "doesn": [91, 92, 113, 132, 135, 137, 143, 146, 147, 148, 163, 170], "doi": 14, "domain": [1, 22, 33, 80, 85, 155, 194], "don": [12, 19, 22, 33, 90, 92, 113, 148, 152, 153], "done": [12, 19, 20, 27, 29, 33, 46, 62, 63, 70, 71, 91, 103, 113, 114, 122, 123, 135, 148, 155, 170, 175, 190, 191], "dont": [128, 149], "dont_car": 14, "doubl": [19, 71, 78, 113, 115, 122, 123, 160, 164, 190, 194], "doulo": 148, "dout": 99, "dout_dst_rdi": 99, "dout_src_rdi": 99, "dout_vld": 99, "down": [16, 23, 70, 91, 92, 114], "download": [29, 132, 175, 179], "downstream": [48, 122, 123], "downto": [3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 15, 16, 17, 18, 20, 22, 26, 27, 28, 30, 33, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 80, 81, 82, 84, 85, 86, 87, 88, 89, 90, 92, 93, 94, 95, 96, 97, 98, 99, 100, 102, 103, 104, 105, 106, 109, 110, 111, 113, 114, 115, 116, 117, 118, 119, 120, 121, 124, 125, 187, 190, 199], "dp_bmem": 165, "dp_bmem_behav": 170, "dp_bmem_v7": 165, "dp_bram": [31, 165], "dp_bram_xilinx": 165, "dp_uram_xilinx": 165, "dpi": 170, "dpm": [41, 47], "dpm_rd_chan": 39, "dpm_rd_data": 39, "draft": [24, 190, 194, 198], "drc": 194, "drd": [90, 91, 150], "drdy": [86, 90, 150], "dreleas": 160, "drive": [128, 133, 137, 139, 140, 148, 153], "driven": [13, 22], "driver": [29, 33, 39, 128, 129, 130, 131, 134, 136, 141, 142, 149, 150, 155, 180, 192, 195, 196], "driver_delai": 155, "drop": [42, 44, 47, 49, 57, 59, 68, 111, 112, 154, 156, 187], "drop_object": 148, "drope": 47, "dropper": [42, 156, 166], "drp_bridg": 194, "drpclk": 111, "dsp": [2, 46, 48, 115, 124, 125, 164], "dsp48e2": 164, "dsp_cnt_width": 48, "dsp_compar": 4, "dsp_enabl": 4, "dsp_xor": 164, "dst": [51, 93, 106, 148], "dst_buf": [19, 168], "dst_buf_col": 19, "dst_buf_row": 19, "dst_buf_wr_addr": 19, "dst_buf_wr_data": 19, "dst_buf_wr_en": 19, "dst_buf_wr_i": 19, "dst_channel": 93, "dst_rdy": [5, 49, 79, 83, 94, 106, 108, 112, 122, 123, 132, 146, 147, 148, 149, 151, 164], "dt": [116, 170, 191], "dtb": [116, 170], "dtb_data": 192, "dtb_pkg": 116, "dtc": [192, 196], "dts_appendprop_comp_nod": 170, "dts_appendprop_int": 170, "dts_applic": 192, "dts_boot_control": 192, "dts_build_netcop": 192, "dts_build_project": 192, "dts_calypte_test_cor": 170, "dts_create_nod": 170, "dts_dma_calypte_ctrl": 170, "dts_dma_modul": 192, "dts_my_comp": 192, "dts_templat": 170, "dual": [1, 5, 31, 45, 165], "due": [7, 33, 62, 66, 71, 74, 113, 115, 135], "duplic": [57, 199], "durat": [22, 30, 74], "dure": [14, 20, 28, 33, 49, 61, 63, 70, 108, 115, 148, 165, 170, 186, 191], "dut": [126, 127, 128, 129, 132, 135, 138, 141, 142, 143, 145, 146, 148, 149, 150, 151, 154, 155, 156], "dut_bas": 148, "dut_item": [135, 148], "dut_tr_timeout_set": 135, "dut_typ": 135, "dut_u": [148, 154], "dw": [35, 121], "dw_count": 118, "dword": [24, 45, 116, 117, 118, 120, 121], "dwr": [90, 91, 150], "dx": [163, 196], "dynam": [122, 123, 170, 186, 191, 194, 195], "e": [12, 13, 20, 21, 29, 41, 45, 47, 62, 63, 70, 71, 74, 79, 86, 90, 91, 92, 102, 108, 113, 114, 115, 117, 148, 152, 154, 156, 170, 171, 172, 174, 175, 176, 179, 181, 183, 185, 187, 190, 191, 194, 195, 202], "e_p": 186, "e_til": [187, 192], "ea_do": 135, "each": [0, 7, 12, 16, 19, 21, 24, 27, 28, 29, 33, 36, 40, 41, 45, 46, 47, 50, 52, 53, 58, 59, 60, 61, 62, 63, 65, 68, 70, 71, 72, 73, 74, 76, 77, 79, 81, 83, 84, 88, 90, 91, 92, 93, 94, 95, 97, 98, 99, 100, 102, 103, 104, 105, 108, 111, 113, 114, 115, 116, 117, 118, 122, 123, 135, 148, 151, 156, 160, 164, 168, 170, 186, 187, 190, 191, 192, 193, 194, 195, 197, 198, 199, 201, 202, 203], "earli": [139, 140], "earlier": [79, 148, 156], "easi": [0, 134, 139, 140, 153, 180, 195, 198, 202], "easier": [12, 49, 92, 133, 170], "easili": [38, 62, 89, 170, 192, 200], "eb1_di": 135, "eb1_do": 135, "eb2_di": 135, "eb2_do": 135, "ecc": [33, 190], "edb": [139, 140], "edg": [1, 31, 33, 79, 154, 164, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184], "edge_detect": [31, 164], "edit": [31, 33, 192, 196], "editor": 49, "edu": 11, "eeof": [139, 140], "efd": 113, "effect": [3, 5, 7, 67, 80, 82, 102, 105, 109, 111, 160, 165], "effectiv": 18, "effici": [53, 100, 102, 104, 163], "effort": 148, "eg": [105, 192], "ehip_port_typ": 187, "eight": [153, 194], "einfochip": 148, "either": [31, 33, 35, 58, 61, 62, 63, 70, 71, 79, 90, 170, 191], "element": [2, 152, 159, 191], "elf": 178, "elimin": [19, 21, 123], "els": [4, 27, 90, 92, 93, 115, 132, 135, 142, 146, 147, 148, 191], "elseif": [170, 191], "embed": [160, 165], "emif": [31, 33, 34, 190, 197], "emif_auto_precharg": [33, 190], "emif_cal_fail": [33, 190], "emif_cal_success": [33, 190], "emif_ecc_isr": 33, "emif_ecc_usr_int": 190, "emif_rst_don": [33, 190], "emif_rst_req": [33, 190], "emploi": 194, "empti": [6, 7, 21, 53, 68, 74, 79, 130, 131, 145, 155, 160, 170, 192], "emul": 106, "en": [22, 154, 178], "ena": 138, "enabl": [0, 3, 4, 5, 6, 7, 9, 11, 12, 16, 19, 20, 21, 22, 25, 27, 29, 33, 41, 43, 47, 48, 50, 51, 53, 55, 57, 58, 59, 61, 64, 65, 66, 67, 72, 74, 77, 78, 80, 83, 85, 86, 90, 91, 92, 93, 94, 98, 99, 100, 102, 105, 113, 115, 117, 118, 120, 121, 123, 124, 125, 133, 139, 140, 148, 150, 163, 164, 165, 166, 170, 180, 184, 187, 190, 193, 194, 195, 199, 202, 203, 205], "enabled_chan": [39, 44, 46], "enc": 164, "encapsul": 152, "encapsulation_element_max_numb": 152, "encod": [2, 111, 134, 153, 164], "encount": 70, "end": [12, 20, 21, 27, 29, 30, 33, 35, 42, 47, 49, 57, 60, 61, 63, 64, 70, 71, 74, 79, 90, 92, 113, 114, 115, 117, 130, 131, 132, 133, 134, 135, 139, 140, 146, 147, 148, 151, 152, 154, 155, 160, 170, 190, 202], "end_ev": 30, "end_event_meta": 30, "end_meta_width": 30, "end_profil": 186, "end_time_max": 152, "end_time_min": 152, "endclass": [132, 135, 143, 146, 147, 148, 154], "endfunct": [132, 135, 143, 146, 147, 148, 154], "endgroup": 148, "endian": 63, "endinterfac": 154, "endmodul": 148, "endpoint": [24, 39, 41, 42, 45, 46, 48, 120, 190, 193, 196, 199], "endpoint_typ": 120, "endproperti": 148, "endtask": [135, 148, 154, 155, 156], "engin": [33, 47, 148], "enhanc": 13, "enjoi": 29, "enlarg": 113, "enough": [21, 70, 79, 91, 148, 150, 194, 201], "ensur": [57, 70, 85, 97, 123, 184, 190, 191, 192], "ent": 148, "enter": [114, 135, 187, 190, 194, 199], "entir": [0, 19, 24, 114, 123, 170, 190, 192, 194, 198, 202], "entiti": [3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 15, 16, 17, 18, 20, 22, 26, 27, 28, 30, 33, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 80, 81, 82, 84, 85, 86, 87, 88, 89, 92, 93, 94, 95, 96, 97, 98, 99, 100, 102, 103, 104, 105, 106, 109, 110, 111, 113, 114, 115, 117, 118, 119, 120, 121, 124, 125, 135, 154, 170, 190, 191], "entity_a": 135, "entity_b": 135, "entity_b1_i": 135, "entity_b2_i": 135, "entity_bas": [148, 170, 192], "entity_i": 135, "entity_name_1": 191, "entity_name_2": 191, "entri": [47, 110, 170, 191], "enum": 126, "env": [132, 136, 137, 143, 146, 147, 148, 155, 156], "env_config_item": 155, "env_main": 148, "env_rx": [132, 143, 146, 147], "enviro": [136, 155], "environ": [6, 47, 128, 129, 134, 136, 137, 141, 142, 149, 156, 170, 191, 207], "eof": [35, 52, 57, 58, 60, 61, 62, 63, 66, 67, 71, 78, 79, 81, 83, 84, 113, 114, 115, 117, 130, 131, 132, 139, 140, 146, 148, 149, 155, 190], "eof_po": [35, 62, 71, 149], "eof_pos_width": 79, "eop": [49, 138], "eop_empti": 137, "eop_po": 49, "ep": 190, "epoch": 203, "epon": 111, "eq": 135, "equal": [4, 28, 33, 70, 78, 79, 90, 92, 125, 148, 170, 187, 190], "equat": 70, "eras": [28, 83], "err": [33, 138], "error": [0, 24, 33, 88, 90, 111, 112, 113, 135, 137, 145, 148, 186, 187, 190, 191, 194], "error_msg": 135, "errorcrc": [24, 190, 194], "errorfram": [24, 190, 194], "errormac": [24, 190, 194], "errormaxtu": [24, 190, 194], "errormintu": [24, 190, 194], "errorn": 115, "especi": [191, 192, 202], "essenti": [90, 148], "etc": [0, 2, 41, 47, 49, 70, 90, 106, 142, 148, 170, 191, 192, 194, 199], "eth": [0, 50, 93, 113, 115, 135, 175, 187, 190, 192, 194, 195, 196, 202], "eth0": 192, "eth1": 192, "eth_channel": 190, "eth_core_arch": 187, "eth_hdr_pack": [24, 113, 190, 194], "eth_link_up": 115, "eth_mac_bypass": 187, "eth_mfb_region": 190, "eth_mfb_region_s": 190, "eth_phi": [139, 140], "eth_port": 187, "eth_port_chan": 187, "eth_port_rx_mtu": 187, "eth_port_spe": 187, "eth_port_tx_mtu": 187, "eth_refclk_n": 187, "eth_refclk_p": 187, "eth_rx": 50, "eth_rx_": 190, "eth_rx_hdr_width": [113, 187, 190], "eth_rx_link_up": 190, "eth_rx_mfb_data": [50, 190], "eth_rx_mfb_dst_rdi": [50, 190], "eth_rx_mfb_eof": [50, 190], "eth_rx_mfb_eof_po": [50, 190], "eth_rx_mfb_sof": [50, 190], "eth_rx_mfb_sof_po": [50, 190], "eth_rx_mfb_src_rdi": [50, 190], "eth_rx_mvb_channel": 50, "eth_rx_mvb_data": 190, "eth_rx_mvb_discard": 50, "eth_rx_mvb_dst_rdi": [50, 190], "eth_rx_mvb_hdr_meta": 50, "eth_rx_mvb_len": 50, "eth_rx_mvb_src_rdi": [50, 190], "eth_rx_mvb_vld": [50, 190], "eth_rx_n": 187, "eth_rx_p": 187, "eth_stream": [187, 190], "eth_tx": 50, "eth_tx_hdr_width": [187, 190], "eth_tx_mfb_": 190, "eth_tx_mfb_data": [50, 190], "eth_tx_mfb_dst_rdi": [50, 190], "eth_tx_mfb_eof": [50, 190], "eth_tx_mfb_eof_po": [50, 190], "eth_tx_mfb_hdr": 190, "eth_tx_mfb_sof": [50, 190], "eth_tx_mfb_sof_po": [50, 190], "eth_tx_mfb_src_rdi": [50, 190], "eth_tx_mvb_channel": [50, 187, 190], "eth_tx_mvb_dst_rdi": 50, "eth_tx_mvb_hdr_meta": 50, "eth_tx_mvb_len": 50, "eth_tx_mvb_src_rdi": 50, "eth_tx_mvb_timestamp": [187, 190], "eth_tx_mvb_vld": [50, 187, 190], "eth_tx_n": 187, "eth_tx_p": 187, "eth_tx_phy_rdi": 190, "eth_vers": 115, "etherlink": 29, "ethernet": [0, 24, 50, 51, 93, 113, 115, 137, 139, 140, 163, 168, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 186, 187, 191, 194, 198, 200, 202, 203, 204], "ethphi": [139, 140], "evalu": [63, 154, 170, 173], "even": [12, 19, 22, 23, 27, 33, 51, 63, 70, 90, 93, 148, 190, 194, 195], "evenli": [0, 21, 108, 190, 194], "event": [27, 30, 85, 139, 140, 168], "event_count": 168, "event_counter_mi_wrapp": 20, "event_data": 154, "event_sign": 154, "eventhough": 124, "eventu": 194, "everi": [12, 27, 28, 33, 40, 45, 70, 78, 79, 91, 114, 129, 134, 141, 142, 148, 150, 156, 170], "everyth": [151, 175], "everyvher": 155, "evolut": 20, "ex_test": 61, "exacli": [102, 105], "exact": 79, "exactli": [20, 33, 70, 103], "exampl": [0, 7, 9, 12, 20, 21, 23, 24, 26, 27, 33, 53, 70, 71, 74, 90, 91, 93, 113, 115, 119, 125, 129, 132, 135, 136, 141, 142, 143, 146, 147, 154, 155, 158, 163, 164, 180, 185, 190, 191, 194, 196, 199, 200, 201, 202], "example1": 6, "exce": 70, "except": [19, 43, 58, 139, 140, 170], "exception": 170, "execut": [19, 28, 29, 91, 94, 105, 170, 198, 202], "exist": [63, 106, 132, 148, 170, 180, 192, 194, 198], "exit": 33, "exp_rom_base_addr": [120, 199], "expans": [57, 120, 195], "expect": [12, 29, 61, 73, 90, 92, 148, 179, 187, 196], "experi": 196, "experiment": [51, 171, 173], "expert": [164, 165], "expir": 19, "explain": [91, 92, 148, 156, 194, 201], "explan": 170, "explicit": 170, "exponenti": 62, "export": [130, 131, 132, 134, 143, 145, 146, 147, 170], "expr": 170, "express": [44, 47, 48, 102, 116, 117, 119, 148, 170, 199], "ext": 202, "ext_siz": 53, "extend": [57, 61, 63, 70, 78, 111, 116, 132, 135, 143, 146, 147, 148, 154, 164, 166, 192, 199], "extens": [53, 116, 150, 170, 192, 199], "extent": 192, "extern": [0, 25, 31, 33, 34, 74, 99, 111, 125, 158, 190, 195, 197, 200, 202, 203], "external_tim": 74, "external_time_src": 74, "extra": [113, 115, 148, 160, 170], "extra_librari": 170, "extra_modul": 170, "extra_vflag": 148, "extract": [48, 62, 63, 113, 123, 133], "extractor": [47, 123], "extrem": 70, "f": [33, 48, 113, 115, 175, 177, 180, 184, 194, 195, 202], "f0": [184, 196], "f1": 184, "f_extend_end_en": 78, "f_extend_end_s": 78, "f_extend_start_en": 78, "f_extend_start_s": 78, "f_gap_adjust_en": 78, "f_gap_adjust_size_avg": 78, "f_gap_adjust_size_min": 78, "f_tile": 187, "fabric": 111, "fact": [71, 130, 131, 134], "factori": [148, 184], "fail": [33, 148, 179, 190], "failur": 33, "fake_fifo": [6, 8, 109], "fake_loopback": 64, "fake_pip": [69, 89], "fake_switch": 50, "falcon": 163, "fall": [1, 3, 5, 80, 83, 92, 122, 123], "fall09": 11, "fals": [6, 7, 8, 9, 16, 18, 19, 20, 27, 28, 33, 36, 41, 48, 50, 52, 61, 64, 65, 67, 69, 74, 76, 77, 78, 81, 89, 92, 93, 94, 96, 98, 99, 102, 105, 106, 109, 113, 115, 117, 118, 125, 170, 187, 191, 199], "famili": 170, "familiar": 192, "fancy_str": 191, "far": [64, 175], "fashion": 19, "fast": [12, 139, 140, 164], "fast_sof": [139, 140], "faster": 170, "fb2cgg3": 182, "fb2cghh": 163, "fb4cgg3": 163, "fbe_in": 119, "fbe_out": 119, "fc": 113, "fcs_error": 137, "fdo": [6, 170], "feat": [139, 140], "featur": [57, 79, 113, 163, 165, 171, 173, 175, 191, 192, 194, 203], "fec": [186, 194], "feed": 194, "fetch": 170, "few": [3, 5, 6, 7, 33, 55, 63, 70, 71, 80, 110, 156, 170, 186, 192], "fewer": 75, "ffvc1760": 178, "ffve1760": 181, "fh400g": [163, 186], "fibonacci": 164, "field": [19, 63, 70, 113, 117, 129, 141, 142, 191], "fifo": [1, 2, 3, 5, 6, 7, 10, 19, 20, 21, 23, 25, 30, 49, 50, 62, 65, 67, 68, 71, 72, 74, 80, 82, 83, 84, 85, 96, 97, 98, 103, 104, 105, 109, 111, 122, 123, 126, 130, 131, 133, 134, 136, 154, 163, 190, 194], "fifo_ae_offset": 68, "fifo_aempti": 82, "fifo_af_offset": 68, "fifo_aful": 82, "fifo_bram": 160, "fifo_bram_xilinx": 160, "fifo_ctrl": 62, "fifo_data": 148, "fifo_depth": [62, 68, 82, 98, 103, 109], "fifo_en1_input": 135, "fifo_en2_input": 135, "fifo_ful": 30, "fifo_item": [3, 30, 80, 84], "fifo_model_input": 148, "fifo_n1": 160, "fifo_s": 71, "fifo_statu": 82, "fifo_typ": 148, "fifo_width": [82, 105, 109], "fifox": [19, 21, 23, 47, 52, 53, 67, 72, 98, 102, 105, 122, 148, 154, 160, 166, 169], "fifox_items_mult": 102, "fifox_multi": [7, 63, 160], "fifox_ram_typ": [6, 7], "fifth": 92, "fig": [33, 34], "figur": [41, 47, 48, 79, 92, 108, 114, 123], "file": [29, 33, 47, 126, 133, 148, 152, 156, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 190, 192, 195, 196, 198], "file_to_anotherlib": 170, "file_to_work": 170, "file_typ": 170, "filenam": [170, 191], "fill": [19, 20, 31, 34, 83, 114, 121, 133, 170, 190, 194], "filtr": 62, "final": [4, 57, 74, 79, 90, 92, 148, 156, 191], "find": [33, 132, 143, 146, 147, 148, 152, 170, 190, 191, 196, 199], "fine": [49, 170], "finish": [33, 148, 196], "finish_item": [148, 155, 156], "finish_on_complet": 148, "fire": 154, "firmwar": [0, 27, 70, 90, 116, 170, 190, 193, 197, 198, 199, 200, 202], "firmware_bas": 148, "first": [3, 5, 6, 7, 19, 20, 21, 24, 26, 31, 32, 33, 34, 47, 49, 52, 60, 61, 62, 63, 68, 70, 71, 74, 78, 79, 80, 90, 92, 108, 113, 114, 115, 117, 118, 119, 121, 130, 131, 132, 134, 135, 139, 140, 145, 146, 148, 150, 151, 153, 154, 156, 164, 168, 170, 175, 178, 179, 180, 186, 190, 191, 192, 194, 196, 199, 202, 203], "first_b": [118, 119], "first_on": [164, 168], "first_one_detector": 168, "firstib": 24, "fist": [37, 135, 155], "fit": [7, 12, 20, 21, 62, 170], "five": [70, 79, 143, 149, 156, 186], "fix": [21, 108, 148, 150, 179, 186, 192], "fl_": 49, "fl_tool": 161, "flag": [6, 7, 24, 27, 34, 57, 58, 59, 60, 70, 76, 84, 95, 111, 112, 113, 115, 116, 117, 170, 180, 190, 194, 199, 203], "flash": [25, 174, 175, 176, 177, 179, 184, 198, 200], "flgb2104": [173, 182], "flip": [3, 5, 80], "float": [113, 115, 170], "flop": [3, 5, 80], "flow": [0, 26, 33, 67, 70, 152, 171, 172, 173, 190, 194], "flowtest": 136, "flu_": 49, "flu_tool": 162, "flush": [133, 148], "flvb2104": 170, "fly": 113, "fms_convert": 27, "fo": 121, "focus": [48, 90], "folder": [27, 29, 33, 34, 156, 160, 165, 168, 170, 184, 191, 196], "follow": [0, 12, 20, 27, 33, 36, 38, 41, 47, 48, 60, 61, 62, 63, 64, 70, 71, 79, 83, 90, 91, 92, 93, 108, 111, 113, 114, 123, 126, 127, 128, 129, 132, 138, 141, 142, 143, 146, 148, 149, 156, 170, 175, 179, 180, 184, 190, 191, 194, 196, 202], "folow": 135, "footprint": 45, "forbidden": [7, 13, 49, 164], "forc": 33, "forev": [135, 148, 154], "fork": [105, 148], "form": [4, 27, 61, 70, 71, 75, 79, 92, 111, 114, 116, 170, 192, 201], "format": [24, 27, 51, 62, 63, 68, 70, 74, 90, 93, 111, 113, 116, 123, 125, 148, 153, 174, 176, 177, 184, 190, 194, 196, 199, 202], "formatdefaultvalu": 27, "formatnon": 27, "formula": [70, 170], "forward": [0, 63, 70, 83, 113, 115, 152, 163, 193, 194, 199], "found": [1, 26, 43, 60, 79, 113, 148, 160, 163, 164, 165, 168, 175, 180, 191, 192, 196, 198], "four": [70, 79, 90, 113, 115, 132, 146, 147, 150, 184, 194, 195], "fourth": [90, 92], "fpga": [0, 2, 4, 5, 6, 16, 18, 19, 20, 25, 29, 33, 37, 41, 47, 50, 51, 52, 61, 62, 63, 68, 69, 73, 74, 77, 78, 82, 84, 85, 89, 92, 93, 98, 100, 102, 104, 105, 109, 113, 115, 117, 120, 123, 125, 158, 160, 163, 164, 165, 168, 170, 171, 172, 173, 174, 175, 178, 179, 180, 181, 182, 183, 184, 186, 187, 190, 193, 194, 197, 198, 199, 200, 202, 204], "fpga_common": [191, 192], "fpga_id": 190, "fpga_id_vld": 190, "fpga_id_width": 190, "fpga_root_directori": [171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 191, 196, 198, 202], "fraction": 125, "frame": [24, 42, 43, 44, 47, 49, 50, 51, 52, 57, 58, 60, 65, 67, 69, 71, 72, 76, 77, 79, 82, 83, 93, 113, 114, 115, 117, 123, 130, 131, 133, 134, 135, 137, 139, 140, 148, 153, 155, 163, 166, 187, 190, 194, 195, 202], "frame_align": 71, "frame_pack": 62, "frame_size_max": 192, "frame_size_min": 192, "frame_unpack": 63, "framelink": 49, "framelinkunalign": 49, "frames_over_tx_block": 71, "frames_over_tx_region": 71, "framework": [0, 29, 33, 53, 148, 163, 172, 174, 175, 180, 183, 184, 192, 195], "free": [3, 5, 6, 7, 21, 36, 50, 80, 82, 83, 84, 109, 111, 115, 122, 123, 133], "freed": [21, 122], "freeli": 191, "freq": [27, 32, 74, 190], "frequenc": [1, 19, 20, 21, 33, 48, 70, 78, 111, 115, 123, 125, 165, 168, 190, 194], "frequent": [19, 196, 201], "friedl": [139, 140], "friendli": 12, "from": [0, 7, 11, 12, 13, 14, 16, 18, 19, 20, 21, 23, 25, 26, 27, 29, 31, 32, 33, 34, 37, 39, 40, 41, 42, 44, 46, 47, 48, 50, 52, 58, 60, 61, 62, 63, 64, 66, 67, 68, 70, 71, 74, 75, 77, 78, 79, 83, 89, 90, 92, 93, 96, 98, 102, 105, 106, 108, 110, 111, 113, 114, 115, 116, 118, 120, 122, 123, 125, 126, 128, 129, 132, 133, 134, 135, 139, 140, 141, 142, 143, 145, 146, 148, 149, 150, 151, 153, 154, 156, 163, 164, 165, 168, 170, 175, 186, 187, 191, 192, 193, 195, 196, 197, 198, 199, 200, 201, 202, 203], "from_dict": 27, "front": 78, "fsbl": 178, "fsc": 113, "fsgd2104": 171, "fsm": [27, 31, 33, 114], "fsm_format": 27, "fsvh2892": 172, "ft": 152, "full": [6, 7, 8, 21, 33, 62, 65, 68, 70, 71, 74, 83, 84, 123, 148, 160, 163, 170, 187, 191, 202], "fulli": [114, 123, 175], "func": [47, 61, 187], "function": [4, 6, 11, 24, 25, 27, 41, 47, 48, 68, 70, 78, 111, 114, 120, 121, 129, 132, 134, 135, 141, 142, 143, 146, 147, 150, 151, 154, 155, 164, 170, 192, 194, 199], "further": [52, 62, 83, 170, 190, 194, 198], "futur": [16, 57, 80, 150, 163, 202], "fw": [156, 195], "fwft_mode": [3, 5, 80], "g": [12, 13, 20, 21, 29, 41, 47, 62, 70, 71, 74, 86, 114, 152, 156, 170, 175, 185, 190, 191], "g35p": 163, "gab": 135, "gain": [29, 114], "gap": [21, 63, 70, 71, 78, 79, 108, 113, 114, 115, 132, 135, 143, 146, 148, 168, 199], "gate": [86, 164, 169], "gather": 170, "gb": [0, 33, 78], "gbase": 111, "gbaser_decod": 111, "gbaser_encod": 111, "gbe": 201, "gbp": [47, 70, 111, 113, 115, 193, 195, 201, 202], "ge": 194, "ge_2024": 170, "gearbox": 111, "gen": [33, 65, 115, 157, 166, 167, 180, 193, 202], "gen3": [48, 171, 173, 178, 179, 181, 182, 199], "gen3x16": 117, "gen3x8": 117, "gen4": [48, 174, 176, 183, 199], "gen5": [175, 177, 180, 184, 199], "gen_burst": 33, "gen_loop_switch": [0, 50, 192, 202], "gen_lutram": 165, "gen_mvb_demux": 94, "gen_mvb_mux": 103, "gen_nor": 164, "gen_reg_arrai": 165, "gener": [1, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 16, 17, 18, 20, 21, 22, 24, 29, 35, 36, 37, 38, 39, 40, 41, 44, 48, 50, 52, 53, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 80, 81, 82, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 102, 103, 104, 105, 106, 110, 114, 117, 118, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 132, 133, 134, 135, 136, 137, 138, 141, 142, 143, 145, 146, 147, 149, 150, 154, 155, 156, 158, 164, 165, 166, 168, 170, 171, 172, 173, 178, 179, 180, 185, 186, 187, 191, 193, 194, 195, 196, 198, 199, 200, 202, 203], "generali": 12, "generate_j": [174, 184], "generate_pof": [176, 177], "generated_": 152, "generated_config": 152, "generated_ipv4_range_max_numb": 152, "generated_ipv6_range_max_numb": 152, "generated_mac_range_max_numb": 152, "generated_memory_fil": 126, "generated_memory_file_typ": 126, "generated_profil": 152, "get": [12, 20, 29, 33, 63, 67, 70, 90, 99, 116, 120, 132, 135, 143, 146, 147, 148, 150, 151, 154, 170, 175, 190, 192, 193, 194, 201], "get_full_nam": [132, 143, 146, 147, 148, 156], "get_global_pool": 154, "get_next_item": 148, "get_rsp": [150, 156], "get_typ": [132, 143, 146, 147, 148], "get_type_nam": 148, "getit_indv_hdr_data": 63, "gigabit": [163, 200, 201], "git": [29, 170], "github": 29, "gitlab": [139, 140], "given": [15, 28, 29, 30, 70, 74, 79, 108, 148, 175], "gl": [166, 180, 193, 198], "glbl": 170, "global": [21, 24, 41, 47, 48, 111, 121, 170, 190, 194], "global_out_aful": 21, "global_out_en": 21, "gls_mod": 202, "go": [0, 16, 90, 92, 148, 192, 196], "goal": [70, 191], "goe": [92, 114, 170, 187, 191, 194], "goingt": 154, "gonna": 92, "good": [70, 148, 170, 190], "gp": 125, "grai": 1, "granular": 24, "graph": [20, 33, 34], "graph_tool": 27, "great": 79, "greater": [19, 50, 52, 63, 78, 105, 113, 125, 151, 170], "ground": 25, "group": [79, 93, 148, 191, 201], "gt": [111, 196], "gty": [111, 170], "gty_40g": 111, "guarante": 133, "guest": 120, "gui": [148, 171, 172, 173], "guid": [25, 33, 148, 160, 165, 176, 177, 179, 186, 194], "guidelin": 148, "h": [33, 34, 123, 135, 175, 179], "h0": 156, "h02": 156, "h04": 156, "h1": 156, "h1f": 156, "h2474b6ac": 156, "h3": 164, "h3_hash": [11, 164], "h3_pack": 11, "h3_type": 11, "h3c_": 11, "h3c_22x11": 11, "h3c_256x64": 11, "h3c_64x16": 11, "h3c_64x22": 11, "h4": 156, "h4c": 156, "h50": 156, "h6fbaaa52": 156, "h7a": 156, "h8": 156, "ha": [0, 1, 6, 7, 11, 12, 13, 20, 21, 23, 31, 33, 44, 45, 46, 47, 49, 52, 53, 61, 62, 63, 65, 70, 71, 74, 78, 79, 83, 90, 91, 92, 96, 98, 108, 113, 114, 117, 122, 123, 129, 130, 131, 132, 134, 135, 137, 139, 140, 141, 142, 143, 145, 146, 147, 148, 150, 153, 154, 156, 164, 165, 170, 171, 172, 173, 175, 184, 190, 191, 192, 193, 194, 195, 196, 198], "had": [49, 92], "hak": 29, "half": [114, 125, 199], "halt": [70, 123], "hand": [79, 108, 122, 123, 191], "handl": [28, 33, 52, 57, 114, 132, 143, 145, 146, 155, 170, 184, 193, 195, 198, 199], "handout": 11, "handshak": [1, 8, 108, 164], "happen": [23, 28, 60, 63, 83, 105, 148], "hard": [31, 33, 113, 115, 120, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 194, 202], "hardwar": [29, 39, 41, 46, 47, 48, 170, 171, 172, 173, 191], "hash": [148, 164], "hash_width": 11, "have": [7, 11, 12, 16, 19, 20, 21, 27, 31, 33, 41, 47, 50, 58, 61, 63, 70, 71, 79, 83, 88, 90, 91, 92, 94, 108, 112, 113, 114, 115, 122, 123, 127, 132, 135, 143, 145, 146, 148, 150, 151, 153, 155, 156, 164, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 186, 190, 194, 196, 202], "hba_rd_chan": 39, "hba_rd_data": 39, "hbm": [163, 190], "hbm_addr_width": 190, "hbm_axi_araddr": 190, "hbm_axi_arburst": 190, "hbm_axi_arid": 190, "hbm_axi_arlen": 190, "hbm_axi_arprot": 190, "hbm_axi_arqo": 190, "hbm_axi_arreadi": 190, "hbm_axi_ars": 190, "hbm_axi_arus": 190, "hbm_axi_arvalid": 190, "hbm_axi_awaddr": 190, "hbm_axi_awburst": 190, "hbm_axi_awid": 190, "hbm_axi_awlen": 190, "hbm_axi_awprot": 190, "hbm_axi_awqo": 190, "hbm_axi_awreadi": 190, "hbm_axi_aws": 190, "hbm_axi_awus": 190, "hbm_axi_awvalid": 190, "hbm_axi_bid": 190, "hbm_axi_breadi": 190, "hbm_axi_bresp": 190, "hbm_axi_bvalid": 190, "hbm_axi_rdata": 190, "hbm_axi_rdata_par": 190, "hbm_axi_rid": 190, "hbm_axi_rlast": 190, "hbm_axi_rreadi": 190, "hbm_axi_rresp": 190, "hbm_axi_rvalid": 190, "hbm_axi_wdata": 190, "hbm_axi_wdata_par": 190, "hbm_axi_wlast": 190, "hbm_axi_wreadi": 190, "hbm_axi_wstrb": 190, "hbm_axi_wvalid": 190, "hbm_burst_width": 190, "hbm_clk": 190, "hbm_data_width": 190, "hbm_id_width": 190, "hbm_init_don": 190, "hbm_len_width": 190, "hbm_port": 190, "hbm_prot_width": 190, "hbm_qos_width": 190, "hbm_reset": 190, "hbm_resp_width": 190, "hbm_size_width": 190, "hbm_user_width": 190, "hc": 156, "hc4d1ce40": 156, "hda7a5407": 156, "hda7a5411": 156, "hda7a54cc": 156, "hdl": [148, 202], "hdp": [41, 47, 48], "hdp_update_chan": 37, "hdp_update_data": 37, "hdp_update_en": 37, "hdp_wr_chan": [39, 46], "hdp_wr_data": [39, 46], "hdp_wr_en": [39, 46], "hdr": [24, 47, 153, 190, 194], "hdr_buff": 170, "hdr_buff_addr": 44, "hdr_buff_chan": 44, "hdr_buff_data": 44, "hdr_buff_dst_rdi": 44, "hdr_buff_src_rdi": 44, "hdr_id": 190, "hdr_len": 190, "hdr_length": 63, "hdr_meta_width": [41, 44, 47, 48, 50, 62, 81], "hdr_vld": 153, "hdr_width": [65, 72, 135, 148], "hdrm_data_pcie_hdr": 35, "hdrm_data_pcie_hdr_dst_rdi": 35, "hdrm_data_pcie_hdr_s": 35, "hdrm_data_pcie_hdr_src_rdi": 35, "hdrm_dma_hdr_data": 35, "hdrm_dma_hdr_dst_rdi": 35, "hdrm_dma_hdr_src_rdi": 35, "hdrm_dma_pcie_hdr": 35, "hdrm_dma_pcie_hdr_dst_rdi": 35, "hdrm_dma_pcie_hdr_s": 35, "hdrm_dma_pcie_hdr_src_rdi": 35, "hdrm_pkt_drop": 35, "he": [12, 19, 151, 156, 170], "header": [21, 24, 36, 39, 40, 41, 42, 43, 44, 46, 47, 48, 49, 50, 62, 63, 65, 72, 77, 81, 111, 116, 118, 123, 134, 135, 148, 153, 156, 168, 190, 194, 205], "header_length": 63, "header_width": 156, "heatsink": 178, "heavili": 21, "heb7ab8cc": 156, "help": [21, 33, 34, 63, 148, 186], "helper": 191, "henc": [61, 70, 90, 170], "here": [1, 7, 8, 12, 19, 20, 21, 23, 61, 62, 70, 74, 79, 91, 113, 114, 139, 140, 156, 158, 160, 164, 165, 168, 186, 191, 192, 194, 196], "hexa": 34, "hexadecim": [79, 90], "hf0": 156, "hf404f404f404f404": 156, "hfe": [156, 170], "hfe_empti": 170, "hfe_ful": 170, "hfe_pars": 170, "hfe_pip": 170, "hfe_top": 170, "hft": [139, 140], "hhp": [41, 47], "hhp_update_chan": 37, "hhp_update_data": 37, "hhp_update_en": 37, "hhp_wr_chan": [39, 46], "hhp_wr_data": [39, 46], "hhp_wr_en": [39, 46], "hi": [129, 130, 131, 139, 140, 141, 153, 192], "hi_ber": 111, "hide": 170, "hierarch": 191, "hierarchi": [27, 126, 191], "high": [19, 21, 33, 51, 76, 79, 88, 93, 111, 113, 115, 125, 132, 133, 134, 143, 145, 146, 151, 155, 163, 164, 193, 195, 200, 201], "higher": [19, 20, 51, 61, 90, 92, 104, 114, 125, 129, 141, 142, 148, 164, 170, 191, 195], "highest": [18, 51, 79, 92, 108, 125, 148, 170, 191], "highli": 207, "highspe": 170, "himself": 83, "hint": 117, "hist": [27, 32], "hist_box_cnt": 27, "hist_box_width": 27, "hist_en": 27, "histogram": [0, 26, 27, 32, 33, 158], "histogram_box": [26, 33], "histogramm": 27, "histogrammer_i": 28, "histori": 27, "hit": [24, 190, 194], "hitmac": [24, 190, 194], "hitmacvld": [24, 190, 194], "hl": 148, "hl_item": 148, "hl_sequenc": [135, 148], "hl_tr": 155, "hl_transact": 135, "hold": [79, 113, 115, 148, 184], "hole": [150, 202], "hopefulli": 91, "host": [25, 29, 36, 41, 47, 86, 120, 123, 163, 170, 174, 175, 176, 177, 184, 190, 195, 199, 200], "hot": [62, 164], "how": [0, 5, 6, 7, 12, 20, 22, 24, 27, 61, 70, 79, 82, 90, 92, 108, 109, 132, 135, 143, 146, 147, 148, 163, 170, 193, 202], "howev": [6, 12, 20, 33, 61, 63, 70, 79, 90, 91, 104, 114, 142, 148, 163, 191, 192, 194, 195, 202], "hp": 184, "hpm": [41, 47], "hpm_rd_chan": 39, "hpm_rd_data": 39, "hsi": 180, "htile_pcie_fix": 179, "html": [148, 178, 180], "http": [11, 14, 29, 139, 140, 178], "huge": 8, "hw": [36, 37, 192, 202], "hz": [70, 74], "i": [0, 1, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 102, 103, 104, 105, 106, 108, 109, 110, 111, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 158, 160, 163, 164, 165, 168, 170, 173, 174, 175, 176, 178, 179, 180, 182, 184, 186, 187, 190, 192, 193, 194, 196, 197, 198, 199, 200, 201, 202, 203, 205], "i0": 12, "i0_deccr": 12, "i0_incr": 12, "i1": 12, "i1_deccr": 12, "i1_incr": 12, "i2": 12, "i2_deccr": 12, "i2_incr": 12, "i2c": [0, 192, 194], "i2c0": [0, 192], "i2c1": [0, 192], "i_array_t": [27, 92], "i_str": 148, "ia": 163, "id": [16, 23, 24, 25, 47, 57, 61, 62, 63, 74, 88, 112, 113, 121, 122, 123, 170, 186, 187, 188, 190, 194, 199], "id32": 168, "idea": [20, 63, 148, 170, 190], "ideal": [21, 70, 192], "ident": 198, "identif": [116, 168, 190], "identifi": [90, 111, 116, 148, 192], "idl": [21, 27, 70, 78, 108, 111, 115, 133, 134, 153, 168], "idle_count_max": 133, "idle_count_min": 133, "ie": 192, "ieee": 111, "ier": 25, "iff": 148, "ifg": 113, "ignor": [5, 12, 18, 70, 74, 79, 80, 90, 102, 113, 114, 115], "ii": 179, "illegal_bin": 148, "illegalnam": 148, "illustr": [63, 70, 92, 194], "imag": [148, 175, 179, 184], "immedi": [1, 61, 63, 88, 90, 114, 115, 170, 193], "immidi": [83, 122], "impact": [70, 170], "impement": 148, "implement": [0, 3, 4, 5, 6, 10, 12, 14, 16, 18, 20, 29, 37, 39, 55, 63, 66, 71, 79, 80, 82, 85, 86, 89, 105, 106, 109, 110, 111, 113, 115, 123, 133, 135, 148, 150, 160, 163, 164, 165, 168, 179, 190, 191, 192, 193, 194, 198, 199, 202, 203], "implemet": 106, "impli": 70, "implicit": 6, "import": [27, 61, 71, 132, 143, 146, 148, 170, 192], "important_boolean": 191, "imposs": 148, "improv": [41, 48, 102, 148, 150, 163], "in_a": 135, "in_addr": 89, "in_addr_len": 121, "in_address": 121, "in_address_typ": 121, "in_ardi": 89, "in_attribut": 121, "in_axi_tus": 121, "in_b": [89, 135], "in_bus_num": 121, "in_byte_cnt": 121, "in_comp_st": 121, "in_data": 148, "in_drd": 89, "in_drdi": 89, "in_dw_cnt": 121, "in_dw_count": 118, "in_dwr": 89, "in_fb": 121, "in_first_b": 118, "in_head": 121, "in_intel_meta": 121, "in_last_b": 118, "in_lb": 121, "in_lower_addr": 121, "in_meta_func_id": 121, "in_mwr": 89, "in_pipe_en": 65, "in_rd": 89, "in_req_id": 121, "in_req_typ": 121, "in_stream": 57, "in_tag": 121, "in_tc": 121, "in_vfid": 121, "in_wr": 89, "inact": 90, "inbandfc": 113, "inc": [148, 170, 191], "inc_ch": 10, "inc_fifo_s": 10, "inc_rdi": 10, "inc_val": 10, "inc_vld": 10, "inc_width": 10, "includ": [5, 26, 27, 33, 34, 57, 62, 71, 111, 113, 115, 120, 148, 160, 163, 170, 171, 172, 173, 174, 175, 176, 177, 178, 180, 181, 182, 183, 184, 190, 192, 193, 194, 195, 196, 197, 198, 199, 202, 205], "incom": [19, 37, 38, 42, 43, 58, 59, 62, 68, 70, 74, 79, 83, 90, 92, 113, 118, 119, 123, 148, 190, 194], "incoming_fram": 113, "incompat": 191, "incomplet": 79, "inconsistend": 155, "incr": [51, 93], "incr_val_reg": 125, "increas": [12, 19, 36, 41, 67, 70, 71, 75, 78, 91, 113, 114, 129, 141, 142, 164, 192], "increment": [10, 12, 27, 28, 33, 44, 51, 68, 74, 90, 93, 113, 125, 150, 194, 202], "inculd": 170, "indent": 148, "independ": [0, 12, 18, 19, 21, 63, 66, 70, 93, 100, 106, 122, 123, 139, 140, 148, 160, 163, 170, 190, 191, 194, 201], "independetli": 106, "index": [7, 12, 24, 27, 31, 33, 37, 62, 90, 92, 108, 114, 116, 164, 190, 194], "indic": [5, 33, 60, 63, 70, 79, 88, 90, 92, 108, 117, 124, 148, 186, 192], "indirect": [116, 167], "indirectli": 88, "individu": [0, 1, 63, 65, 68, 74, 90, 113, 148, 170, 186, 190, 192, 193, 194, 198, 199], "ineffici": 71, "inf": [16, 52, 63, 68], "inf_channel": 37, "inf_dst_rdi": 37, "inf_meta": 37, "inf_src_rdi": 37, "infinit": 21, "influenc": [90, 100], "info": [9, 19, 29, 81, 113, 148, 175, 191, 194, 196], "inform": [12, 19, 25, 29, 37, 43, 46, 48, 50, 53, 57, 70, 71, 77, 80, 81, 82, 83, 86, 113, 117, 122, 123, 133, 143, 146, 147, 148, 149, 154, 156, 168, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 191, 192, 194, 196, 199, 202, 203], "infram": [132, 137, 143, 146], "infrastructur": 49, "infrom": 12, "ingor": 106, "inherit": [133, 135, 156], "init": 127, "init_ack": 127, "init_addr_base_downto": 92, "init_addr_mask_downto": 92, "init_done_n": 199, "init_port_mapping_downto": 92, "init_sequ": [132, 143, 146, 147, 148], "init_sequence_librari": [132, 143, 146, 147, 148], "initi": [27, 39, 41, 47, 127, 148, 191, 198], "inner": [136, 148], "inout": 187, "input": [4, 6, 7, 8, 9, 10, 11, 12, 13, 16, 18, 19, 21, 22, 23, 27, 28, 35, 37, 39, 40, 41, 42, 44, 45, 46, 47, 48, 49, 50, 52, 53, 57, 58, 59, 60, 61, 62, 64, 65, 66, 67, 68, 69, 70, 71, 75, 76, 77, 78, 81, 86, 88, 89, 92, 93, 94, 95, 98, 99, 100, 102, 103, 104, 106, 110, 112, 113, 114, 115, 119, 121, 123, 124, 125, 126, 133, 135, 154, 160, 164, 168, 170, 187, 190, 192, 193, 194], "input_1": 4, "input_2": 4, "input_data": 99, "input_data_width": 4, "input_dst_rdi": 99, "input_eq_output": 81, "input_fifo_s": 65, "input_item": 148, "input_mfb": 148, "input_mvb": 148, "input_reg": [9, 94], "input_regs_en": 4, "input_src_rdi": 99, "input_tim": 148, "input_vld": 28, "input_width": [11, 28], "inrement": 12, "insensit": 152, "insert": [11, 36, 50, 52, 53, 63, 64, 67, 77, 78, 111, 113, 115, 123, 156, 170, 178, 190, 192, 194], "insert_mod": 67, "insertor": [40, 41, 62, 111, 166], "insid": [19, 23, 28, 31, 33, 34, 63, 79, 83, 108, 113, 114, 115, 135, 148, 168, 170, 175, 192, 199, 202], "inspir": [14, 70, 148, 190], "instal": [0, 26, 27, 29, 33, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 192, 196], "instanc": [7, 29, 33, 133, 148, 151, 154, 156, 170, 192, 194, 199], "instant": 49, "instanti": [0, 29, 105, 133, 148, 170, 190, 192, 193, 194, 197, 202], "instantiati": 45, "instat": 96, "instead": [6, 7, 52, 53, 61, 65, 71, 74, 79, 92, 102, 132, 143, 146, 147, 148, 160, 165, 170, 190, 196], "instrfac": 27, "instruct": [19, 36, 50, 53, 78, 170, 191, 193, 194, 196], "int": [27, 135, 148, 154, 155], "inta": 125, "intefac": [61, 72], "integ": [9, 12, 16, 17, 18, 26, 27, 28, 30, 33, 36, 37, 38, 40, 61, 65, 66, 67, 69, 71, 72, 73, 75, 77, 78, 80, 89, 90, 92, 99, 125, 148, 151, 170, 190], "integer_vector": 187, "integr": [117, 170, 199], "intel": [5, 6, 25, 29, 33, 48, 62, 69, 82, 86, 89, 105, 109, 113, 115, 117, 120, 121, 123, 126, 127, 136, 158, 160, 163, 165, 168, 170, 174, 175, 179, 180, 183, 184, 186, 187, 191, 194, 195, 196, 197, 198, 199], "intel_jtag_op_control": [0, 192], "intel_jtag_op_ctrl": [0, 192], "intel_mac_seg_if": 137, "intel_sdm_control": [0, 192], "intend": [19, 111], "intensli": 61, "inter": [21, 115, 135, 148, 168], "interact": [27, 70, 90], "interconnect": [64, 190, 200], "interest": [11, 129, 141, 142], "interfac": [0, 3, 5, 6, 10, 12, 19, 20, 21, 23, 25, 26, 27, 28, 31, 33, 35, 36, 37, 39, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 53, 57, 58, 59, 60, 61, 62, 64, 65, 66, 69, 70, 71, 72, 73, 74, 75, 76, 80, 81, 82, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 96, 99, 102, 103, 105, 106, 110, 113, 114, 115, 117, 120, 121, 122, 123, 125, 126, 127, 128, 129, 132, 133, 135, 136, 137, 138, 141, 142, 143, 145, 146, 147, 149, 150, 155, 156, 163, 168, 171, 172, 173, 187, 192, 196, 197, 199, 200, 201, 202, 205], "interface_nam": [132, 137, 143, 146, 147, 148, 151], "interfam": 135, "interleav": 92, "intern": [7, 12, 19, 21, 29, 36, 45, 46, 47, 48, 50, 62, 64, 70, 74, 79, 83, 95, 108, 114, 126, 132, 138, 143, 146, 148, 154, 170, 190, 192, 193, 202], "interpret": [106, 170], "interrupt": [25, 33, 114, 168], "interrupt_manag": 168, "interupt": 190, "interv": [20, 70], "interval_count": 70, "interval_length": 70, "intro": 70, "introduc": [133, 148], "invalid": [7, 24, 62, 63, 70, 71, 90, 97, 108, 118, 150], "invert": [77, 111], "ip": [0, 25, 29, 31, 33, 34, 48, 111, 113, 115, 120, 122, 123, 163, 168, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 187, 191, 192, 194, 195, 196, 197, 198, 202, 205], "ipg": 115, "ipg_gener": 136, "ipg_generate_en": 115, "ipv4": [77, 152], "ipv4_min_packet_size_to_fragment_max": 152, "ipv4_min_packet_size_to_fragment_min": 152, "ipv4_prefix_max": 152, "ipv4_prefix_min": 152, "ipv6": 152, "ipv6_min_packet_size_to_fragment_max": 152, "ipv6_min_packet_size_to_fragment_min": 152, "ipv6_prefix_max": 152, "ipv6_prefix_min": 152, "irq": 25, "is_reset": 155, "iset": 12, "isn": 113, "isnt": 148, "isr": 25, "issu": [12, 29, 41, 44, 47, 74, 90, 148, 175, 191], "isunknown": 148, "it_num": 148, "it_str": 148, "item": [3, 5, 6, 7, 8, 12, 14, 15, 16, 17, 18, 19, 20, 24, 29, 50, 51, 52, 53, 55, 57, 58, 62, 63, 64, 65, 66, 67, 68, 70, 71, 72, 73, 74, 76, 77, 78, 79, 80, 81, 82, 90, 92, 93, 94, 95, 96, 99, 100, 102, 103, 104, 105, 106, 108, 109, 110, 113, 115, 117, 120, 135, 136, 147, 148, 149, 150, 169, 190, 192, 194], "item_aux_en": 76, "item_don": 148, "item_s": [143, 146], "item_width": [19, 38, 50, 51, 55, 58, 59, 60, 61, 64, 66, 69, 71, 73, 75, 76, 79, 82, 93, 95, 96, 97, 105, 106, 108, 109, 132, 141, 142, 143, 146, 147, 148, 149, 151, 156, 187], "items_port": 148, "items_s": 148, "items_width": 147, "itoa": 148, "its": [0, 13, 19, 21, 23, 25, 28, 29, 33, 41, 42, 46, 47, 48, 53, 61, 62, 63, 70, 74, 78, 79, 83, 90, 91, 92, 114, 122, 123, 126, 129, 135, 141, 142, 143, 147, 148, 151, 156, 163, 170, 184, 186, 190, 191, 192, 194, 195, 196, 198, 199, 202], "itself": [12, 21, 48, 122, 170, 179, 192, 194], "iwav": 163, "jakub": 1, "jan": [19, 21, 123], "jenkin": 148, "jic": [174, 184], "join": [12, 71, 148], "join_ani": 148, "json": [33, 152], "jtag": [158, 171, 172, 173, 174, 176, 177, 184, 198], "jtag_op_cli": 29, "jtag_op_mgmt": 29, "jtagconfig": 29, "jump": 148, "just": [0, 16, 33, 61, 70, 86, 92, 127, 133, 148, 150, 151, 154, 190, 191, 194, 196], "keep": [8, 12, 13, 31, 70, 92, 197], "kei": 194, "kept": [74, 86, 122, 123], "keyword": 170, "khz": [32, 33], "kind": [113, 114], "kintex": 48, "kit": [163, 173, 176, 177, 196, 200], "know": [12, 61, 83, 148, 192], "knowledg": [164, 165], "known": 150, "komponenti": 6, "ku15p": 163, "kubalek": [19, 21, 123], "l": [0, 170, 184], "l2": [195, 202], "lambda": 27, "lane": [62, 111, 133, 187, 199], "lane_align": 111, "lane_rx_polar": 187, "lane_tx_polar": 187, "languag": [148, 170], "lappend": [148, 170, 191], "larg": [20, 21, 29, 62, 66, 70, 114, 148, 170, 192], "large_vector": 191, "larger": [4, 7, 11, 27, 31, 33, 62, 71, 195], "largest": [42, 45, 47], "last": [7, 21, 24, 26, 32, 49, 60, 61, 63, 70, 79, 83, 88, 90, 92, 114, 117, 118, 119, 121, 122, 129, 130, 131, 133, 134, 139, 140, 141, 142, 148, 151, 153, 154, 155, 156, 160, 164, 170, 186, 191, 194], "last_b": [118, 119], "last_on": 164, "last_vld_impl": 63, "lastib": 24, "lastli": 63, "lat_mea": 47, "latch": 164, "latch_out": 13, "late": 170, "latenc": [0, 4, 7, 9, 10, 11, 12, 14, 19, 26, 27, 32, 33, 41, 47, 48, 61, 62, 71, 86, 90, 105, 115, 126, 139, 140, 158, 163, 164, 165, 187, 193, 195, 197, 199], "latency_end_meta": 30, "latency_fifo_depth": 105, "latency_fifo_en": 105, "latency_fifo_ram_typ": 105, "latency_met": [26, 30], "latency_meter_base_addr": 170, "latency_meter_i": 30, "latency_start_meta": 30, "latency_ticks_width": 26, "latency_vld": 30, "later": [12, 20, 43, 90, 105, 128], "latex": 33, "latter": 170, "launch": [170, 191], "layer": [111, 113, 115, 123, 152, 195, 201], "layer_max_numb": 152, "layer_typ": 152, "layout": 61, "lazi": 170, "lbe_in": 119, "lbe_out": 119, "lbu": [113, 115, 136, 194, 204], "lead": [7, 21, 67, 71, 78], "learn": [148, 190, 194, 196], "least": [6, 21, 33, 60, 86, 91, 94, 112, 170], "leav": [70, 92, 105, 170], "left": [5, 6, 7, 9, 25, 48, 70, 79, 82, 90, 108, 109, 114, 164, 194], "len": [27, 190], "len_width": 55, "lenght": [24, 37], "length": [13, 19, 20, 21, 24, 33, 35, 39, 41, 46, 48, 51, 55, 57, 62, 63, 70, 71, 77, 78, 79, 81, 93, 108, 113, 114, 115, 116, 121, 130, 131, 135, 164, 187, 190, 194, 202], "length_width": [51, 63, 77], "leonardo": 164, "less": [5, 6, 29, 57, 70, 90, 92, 113, 115, 191], "lesser": [65, 164], "let": [12, 21, 70, 71, 90, 92, 185], "letter": 79, "level": [0, 29, 47, 48, 61, 126, 127, 128, 129, 132, 133, 134, 136, 137, 138, 141, 142, 144, 145, 146, 147, 149, 155, 170, 187, 188, 190, 191, 192, 194, 197, 199, 200], "lewer": 155, "lfsr": 164, "lfsr_simple_random_gen": [33, 164], "li": 148, "lib": 170, "liberout": [139, 140, 192, 196], "libfdt": [192, 196], "librari": [136, 170, 192, 195], "licens": [113, 115, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 195, 196], "life": 29, "ligc_vector_array_mfb": 141, "ligic": 141, "lii": 136, "like": [11, 12, 51, 62, 79, 90, 92, 114, 116, 123, 127, 132, 142, 143, 146, 147, 148, 150, 156, 170, 187, 191, 194, 201, 202], "limit": [6, 8, 33, 52, 79, 90, 93, 104, 108, 150, 166, 187, 195], "line": [6, 148, 192, 195, 196, 201], "linear": [21, 32], "link": [49, 111, 113, 115, 139, 140, 187, 190, 191, 192, 194, 196, 199, 202], "link_up": 113, "linux": [180, 195, 196], "list": [1, 27, 29, 34, 41, 47, 62, 129, 141, 142, 148, 152, 156, 163, 175, 184, 186, 191, 194, 195, 199, 202], "listen": 32, "lite": [187, 204], "littl": [63, 70], "ll_mode": [115, 187], "ll_transact": 148, "load": [20, 23, 27, 29, 31, 33, 61, 70, 123, 148, 164, 170, 174, 175, 176, 177, 179, 180, 184, 191, 192, 200], "load_data": 27, "loader": 178, "local": [29, 151, 190, 202], "locat": [2, 29, 41, 47, 63, 126, 148, 152, 156, 157, 158, 160, 161, 162, 165, 166, 167, 169, 170, 186, 191, 194, 204, 205], "lock": [86, 111, 134], "log": [26, 27, 35, 148], "log2": [3, 5, 6, 9, 10, 14, 15, 16, 17, 18, 19, 28, 30, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 84, 91, 93, 94, 102, 103, 104, 109, 110, 113, 115, 117, 120, 187, 190, 199], "logarithm": [79, 125], "logger": [33, 158], "logger_0": 27, "logger_1": 27, "logger_stat": 27, "loggerstat": 27, "logic": [2, 4, 7, 12, 21, 29, 31, 33, 39, 41, 44, 47, 48, 49, 64, 67, 70, 71, 78, 83, 85, 92, 95, 97, 99, 106, 111, 115, 122, 125, 127, 128, 132, 133, 135, 136, 137, 138, 139, 140, 143, 146, 148, 149, 150, 151, 154, 155, 160, 165, 168, 187, 190, 191, 193, 199], "logic_vector": [141, 147], "logic_vector_arrai": [143, 146], "logic_vector_array_axi": [128, 136], "logic_vector_array_axi_env": 143, "logic_vector_array_mfb": [136, 142, 147], "logic_vector_array_mfb_env": 146, "logic_vector_mvb": 136, "logic_vector_mvb_env": 147, "long": [19, 22, 23, 35, 79, 83, 90, 168], "look": [12, 70, 92, 114, 125, 156, 164, 186, 194, 202], "lookup": [156, 163, 169], "loop": [12, 70, 106, 148, 166, 180, 193, 202], "loopback": [50, 111, 163, 166, 180, 193, 194, 196, 202], "loopback_base_addr": 170, "lost": 99, "lot": [20, 106, 148, 155], "low": [12, 19, 51, 70, 78, 93, 113, 115, 125, 126, 127, 128, 133, 136, 137, 138, 139, 140, 144, 149, 155, 163, 187, 193, 195, 199], "lower": [1, 6, 7, 16, 19, 20, 23, 41, 47, 51, 58, 61, 65, 71, 78, 90, 92, 97, 104, 113, 114, 121, 124, 125, 129, 141, 142, 148, 164, 194], "lowest": [12, 25, 48, 49, 50, 51, 79, 91, 92, 108, 170, 191], "lsb": [79, 88, 99, 108, 114], "lsearch": 170, "luckili": 12, "lut": [3, 5, 6, 14, 46, 80, 82, 85, 105, 109, 160, 165], "lut_arch": 110, "lut_depth": 110, "lut_width": 110, "lutmem": 160, "lutram": [5, 110], "lvt_mem": [14, 165], "m": [0, 7, 20, 33, 34, 99, 155, 164], "m1_": 135, "m_": 148, "m_agent": 148, "m_byte_arrai": 148, "m_byte_array_ag": 148, "m_byte_array_cfg": 148, "m_cfg": [132, 143, 146, 147], "m_compar": 148, "m_config": [132, 143, 146, 147, 148], "m_config_sequenc": 148, "m_cov": 148, "m_data": 156, "m_driver": 148, "m_env": [132, 143, 146, 147, 148], "m_eth": [132, 146, 147], "m_fifo_input": 135, "m_meta": 156, "m_mfb_agent": 148, "m_mfb_cfg": 148, "m_mfb_sequenc": 148, "m_model": 148, "m_model_a": 135, "m_model_b1": 135, "m_model_b2": 135, "m_monitor": 148, "m_mvb_sequenc": 148, "m_regmodel": 148, "m_reset": [132, 143, 146, 147], "m_root": 148, "m_sequenc": 148, "m_valu": 135, "mac": [24, 51, 111, 136, 152, 170, 187, 190, 195, 198, 202, 204], "mac_check": 113, "mac_check_en": 113, "mac_count": 113, "mac_loopback": 194, "mac_prefix_max": 152, "mac_prefix_min": 152, "machin": [29, 134, 184], "macro": [148, 156, 170], "made": [8, 20, 32, 33, 114, 148, 150, 155, 168, 186, 194], "mai": [5, 6, 7, 21, 23, 49, 61, 70, 71, 79, 90, 99, 108, 113, 115, 120, 122, 123, 135, 148, 163, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 190, 191, 195, 196, 202], "mailbox": [25, 148], "main": [12, 19, 23, 24, 49, 68, 74, 111, 113, 115, 123, 125, 126, 129, 141, 142, 153, 170, 191, 192, 194, 195, 199], "mainli": [21, 170, 199, 202], "maintain": [79, 108, 168], "major": [79, 90, 114, 129, 135, 141, 142, 155, 191, 195], "make": [0, 21, 27, 29, 34, 63, 70, 79, 90, 92, 111, 113, 122, 133, 148, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 191, 192, 194], "make_param": 170, "makefil": [171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 186, 193, 199], "malfunct": 196, "manag": [25, 28, 35, 41, 44, 47, 123, 124, 171, 172, 173, 175, 184, 194, 205], "mandatori": [63, 170, 192], "mandatory_ipv4_address_rang": 152, "mandatory_ipv6_address_rang": 152, "mandatory_mac_address_rang": 152, "mani": [20, 24, 27, 82, 90, 92, 109, 123, 133, 148, 164, 191, 197], "manipul": [41, 47], "manner": 114, "manual": [29, 31, 33, 34, 70, 148, 171, 172, 173, 175, 179, 184, 202], "manuali": 33, "manufactur": [195, 196], "map": [0, 15, 26, 27, 28, 30, 33, 86, 89, 90, 111, 116, 122, 123, 126, 135, 170, 190, 193, 194, 202], "mark": [7, 49, 83, 95, 99, 160, 184, 203], "markdown": 33, "marker": 111, "mash": 148, "mask": [18, 20, 24, 39, 41, 47, 61, 62, 66, 79, 83, 87, 95, 108, 113, 190, 194], "masker": [52, 166], "masking_en": 66, "master": [46, 62, 85, 87, 90, 93, 111, 113, 115, 120, 125, 126, 148, 150, 190, 198], "master_driv": 150, "master_sequ": 150, "match": [111, 113, 148, 192], "math_pack": 148, "math_pkg": 148, "mathemat": 148, "matter": [12, 194], "max": [0, 6, 18, 27, 30, 32, 33, 35, 38, 40, 41, 42, 43, 44, 47, 48, 50, 51, 52, 53, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 80, 81, 82, 84, 93, 94, 103, 104, 110, 113, 115, 117, 120, 125, 129, 132, 141, 142, 143, 146, 147, 148, 155, 156, 187, 190, 199], "max_concurrent_ev": 20, "max_en": 27, "max_flow_inter_packet_gap": 152, "max_interval_cycl": 20, "max_paralel_ev": 30, "max_paralel_read": 26, "max_transaction_count": 151, "max_word": 63, "maxim": [27, 32, 33, 62, 113], "maximum": [3, 4, 7, 12, 19, 20, 21, 22, 26, 27, 29, 37, 39, 41, 46, 47, 48, 50, 51, 52, 53, 57, 58, 63, 66, 70, 77, 78, 80, 81, 84, 104, 108, 113, 115, 120, 125, 129, 132, 135, 141, 142, 143, 146, 147, 152, 155, 187, 190, 195, 199, 202, 203], "maxtu": [24, 190, 194], "mb1_in": 135, "mb2_in": 135, "mblock_siz": 63, "mbp": 70, "mcio": 177, "md": [29, 33, 195, 196], "mdio": 194, "mean": [16, 19, 20, 24, 35, 49, 61, 63, 70, 71, 79, 88, 90, 91, 92, 105, 108, 125, 148, 149, 150, 156, 164, 170, 190, 191, 194, 199], "meant": [21, 83, 90], "measur": [0, 20, 26, 30, 32, 33, 47, 148, 187, 197, 202], "mechan": [1, 19, 49, 52, 70, 97, 108, 116, 148, 155, 170, 191, 192], "media": [139, 140], "mediat": 200, "medium": 153, "medusa": [163, 205], "meet": [13, 70, 114], "mem": [0, 27, 190, 197], "mem_addr_width": [26, 190], "mem_address": 26, "mem_async": 26, "mem_avmm_address": [26, 190], "mem_avmm_burstcount": [26, 190], "mem_avmm_read": [26, 190], "mem_avmm_readdata": [26, 190], "mem_avmm_readdatavalid": [26, 190], "mem_avmm_readi": [26, 190], "mem_avmm_writ": [26, 190], "mem_avmm_writedata": [26, 190], "mem_burst_count": 26, "mem_burst_count_width": 26, "mem_burst_width": [26, 190], "mem_clear": 15, "mem_clk": [26, 190], "mem_data_width": [26, 190], "mem_def_refr_period": 190, "mem_freq_khz": 26, "mem_logg": [0, 26, 27, 32, 33, 192], "mem_logger_0": [0, 192], "mem_logger_1": [0, 192], "mem_logger_2": [0, 192], "mem_logger_3": [0, 192], "mem_logger_i": 26, "mem_mi_addr": 26, "mem_mi_ardi": 26, "mem_mi_b": 26, "mem_mi_drd": 26, "mem_mi_drdi": 26, "mem_mi_dwr": 26, "mem_mi_rd": 26, "mem_mi_wr": 26, "mem_port": 190, "mem_read": 26, "mem_read_data": 26, "mem_read_data_valid": 26, "mem_readi": 26, "mem_refr_ack": 190, "mem_refr_period": 190, "mem_refr_period_width": 190, "mem_refr_req": 190, "mem_rst": [26, 190], "mem_test": [0, 26, 33, 192], "mem_tester_0": [0, 192], "mem_tester_1": [0, 192], "mem_tester_2": [0, 192], "mem_tester_3": [0, 192], "mem_tester_mi": 33, "mem_tester_report": [33, 34], "mem_typ": 14, "mem_writ": 26, "mem_write_data": 26, "memori": [2, 3, 5, 6, 12, 16, 17, 23, 24, 25, 26, 29, 31, 34, 36, 41, 50, 70, 80, 82, 85, 86, 90, 109, 113, 122, 126, 130, 131, 158, 160, 163, 164, 174, 176, 177, 184, 190, 194, 195, 198, 199, 200], "memory_filepath": 126, "memx": 46, "menawhil": 61, "mention": [29, 70, 79, 92, 170, 194], "mercuri": 184, "merg": [0, 57, 65, 66, 71, 74, 99, 106, 130, 131, 135, 148, 169, 194], "merge_n_to_m": 169, "merger": [0, 123, 166, 194], "merger_input": 66, "messag": [33, 135, 148], "messi": 92, "met": 70, "meta": [62, 72, 77, 85, 86, 92, 108, 121, 135, 148, 149, 150, 190], "meta_align": 58, "meta_behav": [132, 146, 147], "meta_eof": [132, 146], "meta_eq_output": 81, "meta_func_id": 121, "meta_item": 148, "meta_mod": 71, "meta_out_mod": 63, "meta_sof": [132, 146, 147], "meta_width": [55, 58, 59, 60, 61, 64, 66, 69, 71, 73, 75, 76, 82, 85, 86, 89, 90, 92, 97, 104, 110, 132, 135, 146, 147, 148, 149, 150], "metadata": [9, 16, 18, 19, 23, 36, 37, 47, 48, 50, 52, 53, 55, 57, 58, 62, 63, 64, 65, 66, 68, 70, 71, 73, 74, 77, 78, 80, 81, 82, 85, 86, 90, 104, 113, 132, 146, 150, 156, 166, 190, 194, 203], "metadata_insertor": 67, "metadata_s": 37, "metadata_width": [9, 16, 18, 19, 80], "meter": [50, 156, 158, 202], "method": [93, 135, 139, 140, 148, 153, 184, 196], "methodologi": 207, "metric": [41, 48, 148], "mfb": [0, 20, 35, 37, 38, 40, 41, 42, 43, 44, 45, 47, 48, 50, 57, 62, 63, 67, 70, 74, 77, 78, 81, 104, 108, 113, 115, 117, 120, 122, 123, 129, 132, 136, 141, 142, 146, 148, 163, 169, 187, 190, 193, 194, 199, 204], "mfb_agent": 148, "mfb_align": 104, "mfb_asfifox": 80, "mfb_auxiliary_sign": [20, 76], "mfb_block_reconfigur": 71, "mfb_block_siz": [44, 45, 52, 53, 57, 62, 63, 65, 66, 67, 68, 70, 72, 74, 77, 78, 80, 81, 84, 104, 117, 120, 190], "mfb_cfg": 148, "mfb_crossbarx_output_buff": 81, "mfb_crossbarx_stream2": 57, "mfb_cutter_simpl": 58, "mfb_data": [132, 146], "mfb_dropper": 59, "mfb_dst_rdy": 37, "mfb_enabl": 60, "mfb_eof": 37, "mfb_fifo_depth": [52, 53], "mfb_fifox": [52, 68, 82], "mfb_frame_extend": 53, "mfb_frame_mask": 61, "mfb_frame_trimm": 55, "mfb_gen2dma": [0, 192], "mfb_gen2eth": [0, 192], "mfb_gener": [0, 170, 192], "mfb_generator0": 170, "mfb_generator_mi32": [50, 51], "mfb_if": [132, 146, 147, 148], "mfb_item_reconfigur": 71, "mfb_item_width": [44, 45, 52, 53, 57, 62, 63, 65, 66, 67, 68, 70, 72, 74, 77, 78, 80, 81, 84, 104, 117, 120, 190], "mfb_loopback": [64, 170], "mfb_loopback0": 170, "mfb_merger": [62, 65], "mfb_merger_simpl": 66, "mfb_merger_simple_gen": 66, "mfb_meta_width": [52, 63, 65, 66, 67, 68, 70, 74, 77, 78, 81, 84], "mfb_meta_with_sof": 81, "mfb_metadata": [132, 146], "mfb_mvb_append": 52, "mfb_packet_delay": 68, "mfb_pd_asfifo": 115, "mfb_pd_asfifo_simpl": 84, "mfb_pipe": [61, 69], "mfb_properti": 148, "mfb_reg_siz": [65, 72, 80, 190], "mfb_region": [37, 44, 45, 52, 53, 57, 62, 63, 65, 66, 67, 68, 70, 72, 74, 77, 78, 80, 81, 84, 104, 117, 120, 190], "mfb_region_reconfigur": 71, "mfb_region_s": [44, 45, 52, 53, 57, 62, 63, 66, 67, 68, 70, 74, 77, 78, 81, 84, 104, 117, 120], "mfb_region_width": [117, 120], "mfb_rx_speed": [132, 146], "mfb_sequenc": 148, "mfb_splitter": 72, "mfb_splitter_gen": 72, "mfb_splitter_properti": 148, "mfb_splitter_simpl": 73, "mfb_splitter_simple_gen": 73, "mfb_src_rdy": 37, "mfb_timestamp_limit": 74, "mfb_to_lbus_reconf": 114, "mfb_tool": [166, 202], "mfb_transform": 75, "mfb_word_width": 70, "mfifo": 113, "mfifo_ovf": 113, "mgmt": [111, 194], "mhz": [20, 48, 70, 111, 195, 199], "mi": [20, 25, 26, 29, 39, 41, 46, 47, 48, 50, 51, 64, 70, 74, 86, 87, 93, 111, 113, 115, 125, 136, 148, 163, 168, 187, 190, 192, 193, 194, 199, 200, 202, 205], "mi0": 192, "mi2avmm": [25, 167], "mi2axi4": 167, "mi32": [50, 64, 90, 91, 111, 113, 115, 120, 125, 199], "mi64": 91, "mi_adc_port_ethmod": 194, "mi_adc_port_ethpmd": 194, "mi_adc_port_netmod": 194, "mi_addr": [26, 27, 33, 39, 41, 46, 47, 48, 50, 51, 64, 70, 74, 86, 87, 93, 111, 113, 115, 120, 125, 187, 190, 199], "mi_addr_mask": 20, "mi_addr_phi": 187, "mi_addr_pmd": 187, "mi_addr_space_pkg": 198, "mi_addr_width": [26, 27, 33, 70, 74, 93, 120, 187, 190], "mi_addr_width_phi": 187, "mi_ardi": [26, 27, 33, 39, 41, 46, 47, 48, 50, 51, 64, 70, 74, 86, 87, 93, 111, 113, 115, 120, 125, 187, 190, 199], "mi_ardy_phi": 187, "mi_ardy_pmd": 187, "mi_async": [33, 85], "mi_b": [26, 27, 33, 39, 41, 46, 47, 48, 50, 51, 70, 74, 86, 87, 93, 111, 113, 115, 120, 125, 187, 190, 199], "mi_be_phi": 187, "mi_be_pmd": 187, "mi_bu": 150, "mi_bus0": [0, 192, 202], "mi_clk": [33, 50, 64, 111, 113, 115, 125, 170, 187, 190, 199], "mi_clk_phi": 187, "mi_clk_pmd": 187, "mi_cpt_en_addr": 20, "mi_cpt_rd_addr": 20, "mi_data_reg": 125, "mi_data_width": [26, 27, 31, 33, 70, 74, 87, 93, 120, 187, 190], "mi_data_width_phi": 187, "mi_dbg": 199, "mi_dbg_addr": 199, "mi_dbg_ardi": 199, "mi_dbg_b": 199, "mi_dbg_drd": 199, "mi_dbg_drdi": 199, "mi_dbg_dwr": 199, "mi_dbg_rd": 199, "mi_dbg_wr": 199, "mi_debug": 180, "mi_drd": [26, 27, 33, 39, 41, 46, 47, 48, 50, 51, 64, 70, 74, 86, 87, 93, 111, 113, 115, 120, 125, 187, 190, 199], "mi_drd_phi": 187, "mi_drd_pmd": 187, "mi_drdi": [26, 27, 33, 39, 41, 46, 47, 48, 50, 51, 64, 70, 74, 86, 87, 93, 111, 113, 115, 120, 125, 187, 190, 199], "mi_drdy_phi": 187, "mi_drdy_pmd": 187, "mi_dwr": [26, 27, 33, 39, 41, 46, 47, 48, 50, 51, 64, 70, 74, 86, 87, 93, 111, 113, 115, 120, 125, 187, 190, 199], "mi_dwr_phi": 187, "mi_dwr_pmd": 187, "mi_events_addr": 20, "mi_funct": 120, "mi_indirect_access": 88, "mi_interval_addr": 20, "mi_m_addr": 85, "mi_m_ardi": 85, "mi_m_b": 85, "mi_m_drd": 85, "mi_m_drdi": 85, "mi_m_dwr": 85, "mi_m_mwr": 85, "mi_m_rd": 85, "mi_m_wr": 85, "mi_mwr": 86, "mi_pip": [89, 120], "mi_pipe_en": 50, "mi_rd": [26, 27, 33, 39, 41, 46, 47, 48, 50, 51, 64, 70, 74, 86, 87, 93, 111, 113, 115, 120, 125, 187, 190, 199], "mi_rd_phi": 187, "mi_rd_pmd": 187, "mi_read": 150, "mi_reset": [50, 64, 111, 113, 115, 125, 187, 190, 199], "mi_reset_phi": 187, "mi_reset_pmd": 187, "mi_reset_reg": 74, "mi_rst": 33, "mi_s_addr": 85, "mi_s_ardi": 85, "mi_s_b": 85, "mi_s_drd": 85, "mi_s_drdi": 85, "mi_s_dwr": 85, "mi_s_mwr": 85, "mi_s_rd": 85, "mi_s_wr": 85, "mi_sel_queue_reg": 74, "mi_splitter_plus_gen": [33, 90, 92], "mi_test_spac": [0, 192, 202], "mi_tool": 167, "mi_top_speed_reg": 74, "mi_width": [20, 39, 41, 46, 47, 48], "mi_wr": [26, 27, 33, 39, 41, 46, 47, 48, 50, 51, 64, 70, 74, 86, 87, 93, 111, 113, 115, 120, 125, 187, 190, 199], "mi_wr_phi": 187, "mi_wr_pmd": 187, "mi_writ": 150, "micro": 184, "mid_do": 148, "middl": [60, 79, 124, 125, 132, 134, 143, 146, 148, 155], "might": [12, 19, 23, 70, 71, 83, 90, 91, 92, 99, 148], "mii": [111, 113, 115, 136], "milisecond": 20, "min": [0, 27, 32, 33, 51, 55, 58, 78, 93, 113, 129, 132, 141, 142, 143, 146, 147], "min_en": 27, "min_packet_size_to_frag": 152, "min_transaction_count": 151, "minim": [27, 32, 33, 62, 113, 115, 163, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 190, 191, 192, 196, 197], "minimalist": [55, 191], "minimum": [3, 5, 21, 26, 29, 47, 48, 51, 63, 65, 70, 72, 80, 93, 104, 113, 115, 129, 141, 142, 152, 155, 170, 187, 190, 194], "minimumspe": 70, "minor": 192, "mintu": [24, 113, 190, 194], "mintu_check": 113, "minu": 23, "minut": [180, 184], "misc": [89, 187, 190, 199], "misc_app2top": 190, "misc_app2top_width": 190, "misc_net2top": 187, "misc_net2top_width": 187, "misc_pcie2top": 199, "misc_pcie2top_width": 199, "misc_top2app": 190, "misc_top2app_width": 190, "misc_top2net": 187, "misc_top2net_width": 187, "misc_top2pci": 199, "misc_top2pcie_width": 199, "miscellan": 2, "miss": 191, "mk": 170, "mlab": 5, "mm": [0, 25, 86, 163, 190, 197], "mod": [0, 148, 164, 187, 190], "mod_width": 57, "mode": [0, 1, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 15, 16, 17, 18, 20, 22, 26, 27, 28, 30, 33, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 50, 51, 52, 53, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 102, 103, 104, 105, 106, 109, 110, 111, 113, 114, 115, 117, 118, 119, 120, 121, 122, 124, 125, 139, 140, 148, 150, 165, 178, 180, 184, 187, 190, 191, 193, 194, 199, 202], "model": [61, 126, 135, 154, 170, 207], "model_a": 135, "model_b": 135, "model_data": 148, "model_entityb": 135, "model_in": 148, "model_input_fifo": 148, "model_item": [135, 148], "model_tr_timeout_set": 135, "model_typ": 135, "modelsim": [92, 148, 170], "modif": [57, 114, 192], "modifi": [12, 28, 57, 70, 71, 91, 133, 135, 148, 156, 164, 170, 196, 202], "modport": 151, "modprob": 180, "modul": [0, 2, 24, 26, 29, 39, 41, 48, 49, 50, 57, 62, 64, 93, 113, 115, 120, 123, 125, 157, 168, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 192, 196, 197, 198, 200, 201, 203], "modular": [113, 115, 191], "modulo": 164, "modulo_lookup": 164, "moment": [19, 70, 113, 115, 132, 146], "mon": 148, "monitor": [20, 62, 111, 136, 195], "more": [0, 1, 6, 8, 12, 18, 19, 21, 27, 30, 33, 43, 49, 60, 61, 62, 63, 70, 71, 78, 79, 80, 82, 86, 88, 90, 92, 99, 100, 102, 111, 113, 116, 123, 125, 126, 133, 139, 140, 143, 146, 147, 148, 149, 150, 155, 156, 170, 171, 172, 173, 175, 184, 190, 191, 194, 195, 196, 199, 200, 201, 202], "moreov": [111, 127], "most": [6, 8, 21, 34, 61, 62, 70, 71, 79, 91, 92, 99, 108, 113, 148, 156, 170, 191, 199], "mostli": [79, 170, 191], "move": [52, 114, 168], "mp_bram": [16, 165], "msb": [0, 70, 79, 92, 108, 114], "msel": 184, "msg": [121, 148], "msg_byte_arrai": 148, "msg_mvb": 148, "msg_port": 148, "msgd": 121, "msix": 198, "mtc": [198, 199, 205], "mtu": [50, 52, 113, 190, 192], "mtu_check": 113, "mty": 138, "much": [22, 49, 65, 92, 123, 135, 194], "mul48": 164, "mult_region": 47, "multi": [1, 12, 19, 21, 23, 27, 46, 47, 53, 67, 79, 83, 94, 102, 103, 106, 108, 111, 116, 122, 133, 163, 164, 165, 194], "multi_fifo": 160, "multi_fifox": 106, "multibus": 163, "multicast": [24, 113, 190, 194], "multip": 83, "multipl": [6, 7, 10, 12, 14, 18, 19, 21, 23, 25, 27, 30, 33, 42, 44, 46, 47, 48, 52, 57, 61, 62, 63, 66, 70, 71, 75, 79, 86, 90, 91, 92, 102, 104, 108, 122, 123, 124, 125, 135, 148, 155, 160, 163, 164, 165, 166, 169, 170, 180, 190, 191, 193, 194, 199, 200, 201, 202], "multiplex": [2, 19, 52, 103, 106, 163, 164], "multiplexor": 125, "multipli": [79, 92, 102, 124, 125, 151, 164], "multiport": 14, "multir": 194, "multiv": 148, "must": [3, 5, 6, 7, 12, 14, 16, 18, 19, 21, 25, 28, 33, 49, 50, 51, 52, 53, 57, 63, 67, 68, 70, 74, 77, 78, 79, 80, 83, 84, 85, 86, 87, 88, 90, 91, 92, 93, 98, 99, 100, 102, 103, 104, 105, 106, 110, 113, 114, 115, 116, 120, 122, 123, 125, 129, 135, 139, 140, 141, 142, 148, 150, 151, 152, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 187, 190, 192, 194, 195, 196, 198, 199, 203], "mux": [50, 62, 89, 106, 164, 169], "mux_a": 50, "mux_b": 50, "mux_c": 50, "mux_d": 50, "mux_dsp": 164, "mux_lat": 9, "mux_width": 103, "mvb": [0, 6, 21, 35, 37, 50, 53, 57, 62, 63, 65, 67, 72, 77, 81, 99, 104, 113, 122, 123, 136, 147, 148, 163, 166, 187, 190, 193, 194, 199], "mvb2mfb": 169, "mvb_agent": 148, "mvb_aggregate_last_vld": 63, "mvb_channel": 190, "mvb_channel_rout": [0, 192], "mvb_channel_router_mi": 93, "mvb_data": 190, "mvb_discard": [95, 148, 190], "mvb_discard_": 148, "mvb_fifo": 62, "mvb_fifo_depth": 53, "mvb_fifo_s": 67, "mvb_fifox": 109, "mvb_fifox_multi": 67, "mvb_gate": 96, "mvb_hdr_meta": 190, "mvb_if": 148, "mvb_item": [52, 63, 65, 67, 72, 81, 94, 100, 102, 103, 104, 105, 110], "mvb_item_collision_resolv": 97, "mvb_item_s": 52, "mvb_item_width": [63, 67, 72, 100, 102, 104, 148], "mvb_len": 190, "mvb_lookup_t": 110, "mvb_merg": 94, "mvb_merge_item": 98, "mvb_merge_stream": 100, "mvb_merge_streams_ord": 102, "mvb_meta_width": 72, "mvb_oper": 105, "mvb_output_fifo_s": 72, "mvb_properti": 148, "mvb_rx_speed": 147, "mvb_sequenc": 148, "mvb_shakedown": 106, "mvb_tool": 169, "mvb_valid_item": 148, "mvm": 148, "mwr": 90, "my": 151, "my_bitstream": [174, 176, 177, 184], "my_comp": 192, "my_param": 191, "my_param_1": 191, "my_param_2": 191, "myfil": 170, "n": [0, 7, 12, 16, 17, 18, 20, 27, 33, 41, 47, 61, 68, 73, 74, 93, 99, 111, 129, 135, 141, 142, 148, 154, 155, 164, 170, 194], "n6010": [163, 192, 196], "n_loop_op": [122, 164], "n_loop_op_pro": 164, "n_one": 164, "n_to_m_handshak": 164, "nad": 151, "name": [11, 12, 19, 24, 27, 29, 41, 47, 48, 49, 52, 61, 62, 63, 68, 73, 74, 77, 78, 79, 90, 93, 108, 113, 114, 115, 116, 125, 126, 132, 135, 137, 139, 140, 143, 146, 147, 148, 149, 150, 151, 153, 154, 155, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 186, 187, 190, 191, 192, 194, 196, 203], "namespac": 148, "nanosecond": [113, 124, 125, 190, 203], "natur": [3, 4, 5, 6, 7, 8, 10, 11, 14, 16, 18, 20, 22, 35, 37, 39, 41, 42, 43, 44, 45, 46, 47, 48, 50, 51, 52, 53, 55, 57, 58, 59, 60, 62, 63, 64, 65, 66, 67, 68, 70, 71, 73, 74, 76, 77, 78, 79, 80, 81, 82, 84, 85, 86, 87, 88, 93, 94, 95, 96, 97, 98, 100, 102, 103, 104, 105, 106, 108, 109, 110, 113, 115, 117, 120, 121, 139, 140, 153, 187, 190, 199], "nb_main": 170, "nb_preference_filt": 170, "ndk": [2, 29, 48, 79, 139, 140, 163, 186, 190, 193, 194, 197, 198, 199], "ndk_minim": [192, 196], "ndp": 190, "nearest": [7, 63], "neccessari": 170, "necesari": 63, "necess": 170, "necessari": [4, 62, 70, 90, 108, 113, 115, 135, 148, 156, 170, 178, 184, 187, 190, 191, 192, 195, 199], "need": [7, 12, 20, 21, 22, 24, 26, 27, 29, 33, 34, 40, 41, 46, 47, 53, 61, 70, 71, 79, 92, 105, 106, 114, 115, 116, 123, 133, 148, 164, 168, 170, 175, 186, 192], "neg": [78, 111], "negat": 86, "neither": [19, 71, 90, 170], "nessesari": 12, "nest": 170, "net": 148, "net_mod": 187, "net_mod_logic_env": 148, "netcop": [0, 34, 192], "network": [0, 24, 77, 93, 113, 148, 163, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 190, 196, 200, 201, 202, 203], "network_mod": [186, 187], "network_ord": 77, "network_port_id": 113, "never": [19, 21, 61, 70, 90, 155], "new": [19, 23, 28, 34, 53, 55, 61, 63, 70, 83, 90, 113, 122, 123, 125, 129, 132, 135, 141, 142, 143, 146, 147, 148, 152, 154, 156, 174, 176, 177, 184, 190, 191, 196, 200, 202, 207], "new_data": 14, "new_rx_tran": 19, "newli": [19, 20, 90, 170], "newlin": 148, "next": [12, 20, 21, 33, 51, 60, 61, 62, 63, 65, 68, 70, 78, 79, 83, 90, 92, 100, 102, 106, 108, 114, 116, 133, 139, 140, 148, 155, 170, 175, 179, 184, 192], "next_act": 151, "nfb": [0, 26, 27, 29, 33, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 190, 194, 195, 196, 198, 202, 203], "nfb0": [33, 192], "nfw": [184, 196], "nic": [194, 204], "ninit_don": 199, "no_oper": 150, "node": [27, 192, 196], "non": [12, 19, 119, 122, 148, 170, 186, 198], "non_parametrized_class": 148, "none": [6, 20, 47, 69, 70, 82, 103, 170], "nor": [19, 71, 90, 164, 170], "normal": [16, 129, 141, 142, 184, 194], "notat": 194, "note": [6, 12, 45, 47, 50, 51, 61, 62, 74, 79, 90, 92, 108, 132, 143, 146, 178, 179], "notic": [61, 70, 90, 114, 191], "notifi": 113, "now": [12, 20, 32, 34, 57, 90, 92, 122, 148, 156, 186, 194], "np": 46, "np_lutram": [12, 17, 164, 165], "np_lutram_pro": [164, 165], "npp": 50, "npp_hdr_size": 50, "npz": 27, "null": [16, 126, 130, 131, 132, 135, 143, 146, 147, 148], "nullifi": 97, "num": 148, "num_of_pkt": 78, "numa": 196, "number": [0, 3, 4, 5, 6, 7, 10, 12, 16, 18, 19, 20, 21, 24, 26, 27, 28, 29, 30, 32, 33, 34, 35, 36, 37, 38, 39, 41, 42, 45, 46, 47, 48, 50, 51, 52, 53, 57, 58, 62, 63, 64, 65, 66, 68, 70, 71, 72, 73, 74, 75, 77, 78, 79, 80, 81, 82, 84, 86, 88, 90, 92, 93, 95, 98, 99, 100, 102, 103, 104, 105, 106, 108, 109, 113, 114, 115, 116, 118, 120, 121, 122, 124, 125, 129, 130, 131, 133, 135, 137, 141, 142, 148, 152, 153, 155, 156, 164, 165, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 186, 187, 190, 191, 192, 193, 194, 196, 199, 201, 202, 203], "number_of_channel": 194, "number_of_item": [36, 37], "number_of_max_item": 36, "numer": [73, 148], "numeric_std": 148, "numericstdnowarn": 148, "o": [33, 196], "object": [129, 132, 139, 140, 141, 142, 143, 146, 147, 153, 154, 155, 170], "obligatori": [61, 187], "observ": [132, 137, 143, 145, 146, 148], "obsolet": [99, 113, 115, 160, 165], "obtain": [0, 25, 79, 116, 163, 191, 202], "obuf_input_eq_output": 78, "obuf_meta_eq_output": 78, "occupi": [29, 92, 108], "occur": [12, 20, 21, 27, 28, 30, 32, 33, 44, 51, 52, 61, 65, 71, 79, 100, 108, 113, 114, 122, 123, 134, 135, 148, 168, 170, 186], "occurr": [27, 90, 134], "octect": 115, "octet": [113, 115, 133], "ocurr": 155, "od": 19, "ofc": 73, "off": [63, 93, 111, 115, 126, 150, 152, 174, 176, 177, 178, 184, 194], "offer": 148, "offici": 163, "offset": [21, 25, 36, 47, 50, 52, 63, 68, 70, 74, 77, 86, 111, 113, 115, 116, 194, 202], "offset_processor": 63, "offset_width": [63, 77], "ofm": [0, 29, 33, 113, 115, 116, 157, 158, 161, 162, 166, 167, 169, 191, 192, 204, 205], "ofm_path": [29, 148, 170], "ofs_pmci": [0, 192], "often": [6, 7, 70, 79, 90, 108, 114, 148, 170, 191, 197], "og": 21, "ok": [68, 113, 175], "old": [10, 63, 65, 125, 165, 168], "older": [20, 61], "omit": [13, 70], "onc": [12, 19, 20, 21, 46, 61, 62, 71, 83, 90, 134, 153, 175, 179, 194, 200], "one": [0, 1, 4, 6, 9, 11, 12, 13, 16, 18, 19, 20, 21, 22, 23, 29, 31, 33, 40, 41, 46, 47, 49, 52, 53, 59, 61, 62, 63, 65, 66, 67, 70, 71, 72, 73, 74, 75, 79, 83, 85, 86, 88, 90, 92, 94, 97, 98, 100, 102, 103, 105, 106, 108, 112, 113, 114, 115, 120, 122, 123, 125, 127, 128, 129, 130, 131, 132, 133, 134, 135, 137, 139, 140, 141, 142, 143, 145, 146, 147, 148, 150, 151, 154, 155, 156, 160, 164, 165, 166, 168, 169, 170, 190, 191, 193, 194, 199, 200, 201], "one_clk_writ": 16, "ones": [29, 49, 92, 102, 114, 119, 148, 155, 156, 164, 191], "onli": [0, 1, 4, 5, 6, 7, 8, 12, 16, 18, 19, 20, 21, 22, 23, 24, 27, 29, 33, 40, 50, 51, 52, 53, 60, 61, 62, 63, 65, 68, 70, 71, 73, 74, 78, 79, 82, 83, 85, 86, 89, 90, 91, 92, 93, 97, 105, 108, 109, 110, 111, 113, 114, 115, 116, 117, 120, 121, 122, 123, 125, 128, 129, 130, 131, 134, 135, 137, 139, 140, 141, 142, 143, 145, 148, 149, 150, 151, 153, 156, 160, 163, 164, 165, 170, 174, 176, 177, 179, 182, 184, 187, 190, 191, 192, 193, 194, 195, 196, 197, 199, 207], "onto": [52, 179], "op": [63, 105, 136], "op_": 12, "op_data_in": 12, "op_data_out": 12, "op_in_": 12, "op_in_data": 12, "op_in_meta": 12, "op_in_op": 12, "op_in_sel": 12, "op_in_src": 12, "op_item_sel": 12, "op_meta": 12, "op_oper": 12, "op_out_data": 12, "open": [25, 29, 33, 163, 171, 172, 173, 193, 196], "open_loop": 1, "oper": [0, 62, 63, 71, 92, 111, 113, 115, 122, 148, 163, 164, 169, 170, 192, 196], "operatio": 12, "operators_pr": 12, "opposit": [86, 114], "opt": 89, "opt_mod": 93, "optic": [111, 201], "optim": [12, 62, 65, 89, 93, 160, 163, 164, 165, 186, 187, 193, 200], "option": [3, 4, 5, 6, 13, 21, 22, 29, 33, 47, 48, 51, 61, 63, 67, 68, 74, 77, 80, 82, 85, 86, 90, 95, 96, 105, 109, 111, 113, 115, 118, 124, 135, 139, 140, 152, 170, 175, 176, 177, 180, 187, 190, 192, 193, 199], "ordder": [139, 140], "order": [6, 7, 10, 12, 19, 21, 23, 24, 25, 33, 41, 44, 47, 49, 70, 71, 74, 77, 90, 98, 100, 106, 111, 114, 121, 122, 135, 147, 148, 152, 168, 169, 170, 178, 186, 191], "ordinari": 114, "ored": 155, "org": [14, 139, 140, 192, 196], "organ": [27, 79, 191], "orient": [6, 132, 143, 146, 190, 198], "origin": [21, 23, 52, 55, 58, 61, 62, 67, 71, 91, 168], "oroc": 113, "oroch": 113, "orocl": 113, "othe": 71, "other": [4, 7, 8, 10, 12, 18, 19, 20, 21, 23, 27, 33, 42, 47, 49, 51, 58, 60, 61, 62, 65, 66, 67, 69, 70, 71, 72, 74, 79, 81, 87, 90, 91, 92, 108, 111, 113, 115, 121, 122, 124, 126, 129, 132, 133, 135, 139, 140, 141, 143, 146, 147, 153, 155, 156, 163, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 186, 187, 191, 192, 194, 196, 199, 202], "otherwis": [5, 8, 21, 64, 70, 83, 99, 113, 115, 132, 143, 146, 147, 148, 163, 170, 184, 192], "our": [12, 20, 79, 90, 92, 148, 163, 193, 194, 196, 201], "out": [3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 15, 16, 17, 18, 19, 22, 23, 26, 27, 28, 30, 33, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 80, 81, 82, 84, 85, 86, 87, 88, 89, 90, 92, 93, 94, 95, 96, 97, 98, 99, 100, 102, 103, 104, 105, 106, 109, 110, 111, 113, 114, 115, 117, 118, 119, 120, 121, 124, 125, 148, 156, 168, 187, 190, 199], "out_addr": 89, "out_addr_len": 121, "out_address": 121, "out_address_typ": 121, "out_ardi": 89, "out_attribut": 121, "out_b": 89, "out_bar_apertur": 121, "out_bar_id": 121, "out_byte_cnt": 121, "out_byte_count": 118, "out_comp_st": 121, "out_complet": 121, "out_drd": 89, "out_drdi": 89, "out_dw_cnt": 121, "out_dwr": 89, "out_fb": 121, "out_first_ib": 118, "out_head": 121, "out_last_ib": 118, "out_lb": 121, "out_low_addr": 121, "out_mwr": 89, "out_pipe_en": [65, 72], "out_rd": 89, "out_reg": 11, "out_req_id": 121, "out_req_typ": 121, "out_tag": 121, "out_target_func": 121, "out_tc": 121, "out_wr": 89, "outgo": [62, 114, 190], "outgoing_fram": 115, "outpu": 155, "output": [0, 1, 3, 4, 5, 6, 7, 8, 9, 11, 12, 13, 16, 18, 19, 20, 21, 22, 23, 27, 33, 34, 35, 36, 37, 40, 41, 42, 43, 44, 45, 47, 48, 49, 52, 53, 58, 59, 60, 61, 62, 63, 64, 65, 66, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 80, 83, 86, 88, 89, 90, 92, 93, 94, 95, 97, 98, 99, 103, 104, 105, 106, 110, 111, 112, 113, 114, 115, 117, 118, 119, 121, 122, 124, 125, 126, 135, 148, 154, 160, 164, 166, 168, 187, 190, 193, 194, 196, 199, 202], "output_cov": 148, "output_data": 99, "output_dst_rdi": 99, "output_fifo_s": 72, "output_interfac": 88, "output_mfb_": 148, "output_reg": [3, 5, 9, 16, 18, 60, 80, 94, 95, 98, 99, 110, 118], "output_spe": 70, "output_src_rdi": 99, "output_width": 11, "outsid": [21, 60, 168, 187], "outuput": [22, 44], "over": [12, 20, 21, 24, 33, 51, 63, 70, 89, 113, 114, 158, 164, 190, 194, 196, 198], "over10gb": 115, "overal": [12, 41, 47, 79, 195], "overflow": [19, 21, 27, 28, 32, 113, 122, 123, 187], "overlap": [19, 33], "overrid": [19, 78, 152, 170], "overridden": 151, "overriden": 170, "overview": [0, 159, 170, 191], "overwrit": [12, 63, 70, 90, 152], "overwritten": [78, 83, 152, 170, 191], "own": [19, 21, 41, 46, 47, 50, 62, 63, 74, 91, 99, 114, 122, 123, 129, 130, 131, 135, 139, 140, 141, 142, 148, 153, 163, 184, 190, 191, 194, 195, 199, 202], "p": [33, 34, 70, 79, 123, 174, 176, 177, 183, 187, 199, 202], "p1": 49, "p2": 49, "p2mp": 111, "p_sequenc": 148, "p_tile": 199, "pack": 148, "packag": [0, 26, 27, 29, 33, 111, 113, 116, 126, 127, 128, 129, 132, 136, 138, 141, 142, 143, 145, 146, 149, 150, 155, 163, 170, 175, 180, 185, 190, 192, 194, 196, 198], "package_nam": 170, "packer": 166, "packet": [0, 35, 37, 38, 39, 40, 41, 42, 46, 47, 48, 49, 50, 51, 52, 57, 58, 59, 60, 61, 62, 63, 70, 72, 73, 74, 77, 78, 81, 112, 113, 115, 117, 129, 132, 135, 141, 142, 143, 145, 146, 148, 152, 154, 156, 163, 166, 168, 187, 193, 200, 201, 202, 203, 204], "packet_head": 135, "packet_max_s": 152, "packet_min_s": 152, "packet_plann": 168, "packet_port_env": 148, "packet_s": 135, "packet_size_max_step": 152, "packet_size_min_step": 152, "packet_size_prob": 152, "packet_splitt": 148, "packets_max_numb": 152, "packets_min_numb": 152, "packets_rev_max_numb": 152, "packets_rev_min_numb": 152, "packetsh": [41, 47], "packetsl": [41, 47], "pacsign": 183, "pactek": 112, "pad": [29, 190], "page": [49, 79, 108, 148, 159, 191], "pai": 70, "pair": [53, 116, 170, 194], "pakcet": 21, "paket": 148, "pandoc": 33, "pane": 29, "paper": 11, "paragraph": 70, "paral": 26, "paralel": [0, 30], "parallel": [12, 19, 21, 30, 63, 91, 122, 164, 168], "param": [148, 170, 192], "param_cfg": [132, 146, 147, 148], "paramet": [5, 16, 18, 22, 27, 33, 34, 37, 38, 40, 42, 45, 49, 61, 62, 66, 69, 70, 71, 80, 82, 84, 85, 88, 90, 93, 100, 104, 114, 115, 117, 120, 126, 127, 128, 129, 132, 135, 136, 137, 139, 140, 141, 142, 143, 146, 147, 148, 149, 150, 151, 153, 154, 156, 170, 180, 186, 187, 190, 192, 193, 194, 199, 202], "parameter": [71, 127], "parametr": [5, 193], "parametrized_class": 148, "paramt": 114, "paremet": 114, "parent": [116, 132, 135, 143, 146, 147, 148, 170, 191, 192], "pars": [43, 148, 170, 205], "parsepcieconf": 191, "part": [12, 16, 23, 37, 41, 47, 49, 50, 51, 53, 61, 79, 81, 90, 91, 92, 113, 114, 115, 122, 123, 125, 154, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 190, 191, 193, 194, 196, 198, 199, 202], "partial": [61, 62, 71, 135], "particip": 108, "particular": [70, 116, 129, 141, 142], "partner": [163, 193, 194], "pasid": 24, "pasidvld": 24, "pasiv": 155, "pass": [19, 20, 21, 23, 53, 62, 74, 111, 113, 122, 148, 152, 168, 170, 192, 194, 202], "passiv": [129, 139, 140, 141, 142, 148, 153], "path": [0, 23, 29, 34, 49, 67, 69, 90, 111, 123, 126, 148, 154, 168, 170, 180, 191, 195, 202], "path_to_entity_1": 191, "path_to_entity_2": 191, "pattern": [70, 133, 148, 155], "paus": [68, 74, 79, 108, 190], "pause_queu": 74, "pause_request": 68, "payload": [65, 72, 118, 120, 190, 199, 202], "pc": [113, 115, 120, 174, 176, 177, 184, 187, 194, 198, 199, 200, 202], "pcap": 152, "pci": [24, 44, 47, 48, 90, 116, 117, 119, 192, 196, 199], "pci0": 192, "pci_ext_cap": [192, 199, 205], "pcie": [24, 29, 35, 37, 41, 42, 43, 45, 47, 79, 116, 120, 122, 163, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 190, 191, 192, 193, 196, 198, 200], "pcie_10b_tag_req_en": 199, "pcie_byte_count": 118, "pcie_byte_en_decod": 119, "pcie_cc_hdr_gen": 121, "pcie_cc_meta_width": [120, 199], "pcie_cc_mfb2axi": 117, "pcie_clk": 199, "pcie_con": 199, "pcie_conf": [196, 199], "pcie_cq_": 47, "pcie_cq_axi2mfb": 117, "pcie_cq_hdr_depars": 121, "pcie_cq_meta_width": [43, 47, 48, 120, 199], "pcie_cq_mfb_block_s": [47, 48], "pcie_cq_mfb_data": [47, 48], "pcie_cq_mfb_dst_rdi": [47, 48], "pcie_cq_mfb_eof": [47, 48], "pcie_cq_mfb_eof_po": [47, 48], "pcie_cq_mfb_item_width": [47, 48], "pcie_cq_mfb_meta": [47, 48], "pcie_cq_mfb_region": [47, 48], "pcie_cq_mfb_region_s": [47, 48], "pcie_cq_mfb_sof": [47, 48], "pcie_cq_mfb_sof_po": [47, 48], "pcie_cq_mfb_src_rdi": [47, 48], "pcie_crdt_log": 189, "pcie_endpoint": [190, 191, 199], "pcie_endpoint_mod": [191, 199], "pcie_endpoint_typ": 199, "pcie_ep": 199, "pcie_ext_tag_en": 199, "pcie_gen": 191, "pcie_lan": [191, 199], "pcie_link_up": [190, 199], "pcie_meta_pack": 43, "pcie_mfb_block_s": [42, 43, 44], "pcie_mfb_data": [42, 43, 45], "pcie_mfb_dst_rdi": [42, 43], "pcie_mfb_eof": [42, 43], "pcie_mfb_eof_po": [42, 43], "pcie_mfb_item_width": [42, 43, 44], "pcie_mfb_meta": [42, 43, 45], "pcie_mfb_region": [42, 43, 44], "pcie_mfb_region_s": [42, 43, 44], "pcie_mfb_sof": [42, 43, 45], "pcie_mfb_sof_po": [42, 43], "pcie_mfb_src_rdi": [42, 43, 45], "pcie_mod_arch": 199, "pcie_mp": 199, "pcie_mrr": 199, "pcie_rc_hdr_depars": 121, "pcie_rc_meta_width": 199, "pcie_rcb_siz": 199, "pcie_rq_hdr_gen": 121, "pcie_rq_meta_width": [35, 41, 48, 199], "pcie_rq_mfb_block_s": 48, "pcie_rq_mfb_data": 48, "pcie_rq_mfb_dst_rdi": 48, "pcie_rq_mfb_eof": 48, "pcie_rq_mfb_eof_po": 48, "pcie_rq_mfb_item_width": 48, "pcie_rq_mfb_meta": 48, "pcie_rq_mfb_region": 48, "pcie_rq_mfb_region_s": 48, "pcie_rq_mfb_sof": 48, "pcie_rq_mfb_sof_po": 48, "pcie_rq_mfb_src_rdi": 48, "pcie_rx_n": 199, "pcie_rx_p": 199, "pcie_sysclk_n": 199, "pcie_sysclk_p": 199, "pcie_sysrst_n": 199, "pcie_tx_n": 199, "pcie_tx_p": 199, "pcie_up_mfb_block_s": 41, "pcie_up_mfb_data": 41, "pcie_up_mfb_dst_rdi": 41, "pcie_up_mfb_eof": 41, "pcie_up_mfb_eof_po": 41, "pcie_up_mfb_item_width": 41, "pcie_up_mfb_meta": 41, "pcie_up_mfb_region": 41, "pcie_up_mfb_region_s": 41, "pcie_up_mfb_sof": 41, "pcie_up_mfb_sof_po": 41, "pcie_up_mfb_src_rdi": 41, "pcie_user_clk": 199, "pcie_user_reset": 199, "pciex": 36, "pcs_rx_fifo_deprec": 111, "pcs_tx_fifo_deprec": 111, "pcspma": 192, "pcspma0": 192, "pcspma1": 192, "pcsreg": [0, 192], "pd": [27, 83, 115, 166, 179], "pdf": [11, 34], "per": [1, 18, 19, 61, 62, 63, 70, 74, 77, 79, 93, 106, 125, 134, 135, 152, 153, 155, 163, 187, 190, 194, 199, 203], "perceiv": 70, "percentag": [134, 143, 146], "percentig": 132, "perf_cntr_en": [41, 48], "perform": [0, 7, 12, 19, 21, 25, 27, 33, 37, 39, 41, 48, 71, 75, 108, 111, 113, 114, 115, 116, 125, 148, 164, 168, 170, 184, 202], "perhap": 92, "period": [22, 33, 47, 61, 66, 74, 78, 111, 164, 168, 170, 190], "peripher": 25, "perman": [190, 194], "permiss": [41, 47], "permit": 117, "permut": 7, "pg213": 117, "ph": 117, "phandl": 192, "phase": [70, 132, 135, 143, 146, 147, 148, 154], "phase_sav": 170, "phoni": 170, "phy": [190, 194, 204], "phy_40g": 111, "physic": [111, 153, 193, 194, 201], "pick": [129, 141, 142], "pictur": [70, 90, 108, 114, 148, 194], "piec": 148, "pin": [111, 194], "pip": 185, "pip3": 180, "pipe": [46, 50, 64, 65, 72, 92, 99, 105, 120, 164, 166, 167, 168], "pipe_dsp": 164, "pipe_out": 92, "pipe_outreg": 92, "pipe_tree_add": 164, "pipe_typ": [61, 69, 89, 92], "piped_port": 64, "pipelin": [11, 19, 21, 62, 63, 69, 71, 86, 92, 111, 133, 148, 154, 168, 190], "pkg": [29, 148, 156, 191], "pkt": 37, "pkt_cnt_width": 51, "pkt_cntr_chan": 37, "pkt_cntr_disc_inc": 37, "pkt_cntr_pkt_size": 37, "pkt_cntr_sent_inc": 37, "pkt_disc_byt": 42, "pkt_disc_chan": 42, "pkt_disc_inc": 42, "pkt_discard_byt": [39, 46], "pkt_discard_chan": [39, 46], "pkt_discard_inc": [39, 46], "pkt_drop": 47, "pkt_id_width": 57, "pkt_mtu": [37, 50, 53, 57, 63, 77, 78, 135], "pkt_mtu_byt": [113, 115], "pkt_mtu_in": 52, "pkt_sent_byt": [39, 44, 46], "pkt_sent_chan": [39, 44, 46, 81], "pkt_sent_dst_rdi": 81, "pkt_sent_inc": [39, 44, 46], "pkt_sent_len": 81, "pkt_sent_src_rdi": 81, "pkt_size": 37, "pkt_size_max": [39, 41, 42, 44, 46, 47, 81], "pl": 178, "place": [7, 21, 33, 71, 108, 111, 113, 114, 148, 153, 168, 191], "placehold": 191, "placement": 79, "plan": [19, 21], "plane": 50, "planned_pkt": 21, "planner": [19, 51, 78, 168], "platform": [170, 191, 192, 194, 196, 200, 201, 202], "player": 50, "player_fifo_depth": 50, "pleas": [90, 126, 128, 148, 149, 196, 202], "plot": [20, 27], "plot_count": 27, "plot_valu": 27, "plot_value_2d": 27, "plu": [23, 60, 167], "plug": 196, "pma": [113, 115, 136, 187, 194, 198, 202], "pma_xlaui_gti": 111, "pmci": [0, 192], "pmd": [111, 187, 192, 194, 198], "pmd0": 192, "pmd1": 192, "pmdctrl0": [0, 192], "pmdctrl1": [0, 192], "po": [52, 63, 77], "pof": [176, 177], "point": [0, 19, 47, 63, 79, 83, 122, 154, 163, 191, 198], "pointer": [21, 36, 37, 39, 41, 43, 44, 46, 47, 48, 62, 70, 81, 148], "pointer_update_chan": 36, "pointer_update_data": 36, "pointer_update_en": 36, "pointer_width": [36, 37, 39, 41, 43, 45], "polar": [111, 187], "polynom": 134, "polynomi": 111, "pool": 154, "pop": 20, "pop_front": [148, 154], "popul": [170, 192], "popular": 163, "port": [3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 17, 20, 22, 24, 29, 31, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 50, 51, 52, 53, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 80, 81, 82, 84, 85, 86, 87, 88, 89, 90, 93, 94, 95, 96, 97, 98, 99, 100, 102, 103, 104, 105, 106, 109, 110, 111, 114, 117, 118, 119, 120, 121, 123, 124, 125, 129, 130, 131, 134, 135, 136, 141, 142, 148, 150, 151, 160, 164, 165, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 187, 191, 194, 199, 200, 202], "port_en": 187, "port_id": 194, "port_list": 202, "port_map": 92, "portion": 0, "posedg": 148, "posit": [12, 13, 49, 58, 59, 79, 83, 90, 91, 92, 94, 102, 111, 113, 115, 117, 153, 170, 184, 186, 190], "possibl": [12, 18, 19, 20, 21, 27, 48, 49, 61, 62, 64, 70, 75, 78, 86, 88, 90, 92, 104, 113, 115, 122, 132, 135, 143, 146, 147, 148, 152, 156, 160, 170, 180, 184, 186, 190, 191, 192, 195, 202], "possibli": [79, 192], "possit": [60, 69, 80, 82, 83], "post": [148, 194], "post_do": 148, "post_trigg": 154, "potenti": [70, 170], "power": [3, 5, 7, 28, 33, 52, 53, 57, 58, 59, 61, 62, 63, 66, 68, 71, 74, 77, 78, 80, 84, 85, 91, 93, 100, 102, 104, 113, 115, 174, 176, 177, 184], "pp": [70, 78, 125, 203], "pps_n": 125, "pps_reg": 125, "pps_sel": 125, "pps_sel_width": 125, "pps_src": 125, "practic": [70, 79, 148, 170], "pre": [23, 25, 196], "pre_do": 148, "pre_trigg": 154, "preambl": [113, 133], "prebuilt": 29, "preced": [114, 116], "precharg": [33, 190], "precis": [26, 28, 33, 49, 68, 74, 125], "precomput": 11, "predefin": [92, 127, 129, 135, 141, 142, 170], "prefer": [70, 170], "preffer": 65, "prefix": [24, 49, 148, 152, 170], "prefixsum": 63, "prepar": [130, 131, 134, 150, 170, 190], "prepend": 63, "preprocess": 19, "prerequisit": [170, 180], "presenc": [23, 71, 108, 117, 190], "present": [12, 21, 29, 61, 67, 71, 82, 105, 109, 116, 170, 175, 178, 187, 190, 192], "preserv": 170, "pretti": 92, "prevent": [71, 122, 123], "previou": [12, 21, 33, 41, 47, 61, 62, 63, 71, 90, 92, 114, 122, 123, 143, 148, 151, 156, 190, 194], "previous": [21, 62, 70, 71, 90, 105, 114, 122, 123, 191], "primari": [48, 116, 155], "primarili": [93, 195], "prime": [29, 174, 175, 176, 177, 179, 180, 183, 184, 195, 196], "primit": [8, 160, 165], "princeton": 11, "principl": [90, 99], "print": [27, 33, 34, 129, 135, 139, 140, 141, 142, 148, 153, 156], "prioriti": [12, 28, 191], "prioritis": 16, "privileg": 29, "pro": [163, 174, 175, 176, 177, 180, 183, 184, 192, 195, 196], "probability_set": [132, 143, 146], "probabl": [28, 132, 143, 146], "probe": [33, 61, 136, 195], "probe_event_component_": 154, "probe_if": 154, "probe_inf": 154, "probe_statu": 154, "problem": [12, 52, 71, 135, 148, 164, 186, 194], "proc": 170, "proce": 175, "procedur": [170, 174, 176, 177, 184, 191], "process": [0, 12, 19, 21, 23, 24, 28, 35, 43, 52, 61, 62, 63, 70, 79, 83, 91, 108, 114, 115, 117, 120, 123, 126, 132, 135, 142, 143, 146, 147, 148, 155, 163, 168, 170, 190, 191, 198], "processing_ord": 170, "processor": 63, "prodesign": 179, "produc": [91, 135], "product": [79, 170, 178, 180], "profession": [163, 193], "profile_filepath": 152, "profile_gener": 152, "profile_generator_config_filepath": 152, "profile_swap": 186, "program": [170, 175], "programm": [174, 184], "progress": 19, "proj_onli": [170, 185], "project": [90, 170, 185, 191, 192, 196], "promiscu": 113, "prop_rdi": 148, "propabl": 40, "propag": [12, 18, 19, 20, 21, 23, 48, 63, 67, 77, 78, 83, 91, 122, 123, 170, 191], "proper": [114, 190, 194, 196], "properli": [113, 125, 148, 171, 172, 173, 192, 193], "properti": [0, 11, 86, 126, 127, 129, 138, 141, 142, 192, 197], "proport": 70, "proprietari": 153, "prot": 190, "protect": 148, "protocol": [49, 62, 85, 126, 128, 148, 149, 150, 155, 158, 163, 194, 198, 201], "prototyp": 180, "provid": [0, 12, 13, 16, 19, 21, 29, 39, 41, 46, 47, 48, 64, 70, 78, 79, 80, 85, 106, 108, 111, 117, 118, 120, 135, 148, 152, 159, 163, 170, 190, 191, 194, 196, 198, 199, 200], "prowid": 148, "prperti": 170, "prt": 148, "pscn": 70, "pseudo": [12, 33, 164, 186], "ptc": [199, 205], "ptc_disabl": 199, "ptr": 83, "ptr_out_reg": 36, "publicli": 202, "pull": [132, 145, 146, 148], "puls": [125, 139, 140, 164, 168, 203], "pulse_out": 22, "pulse_short": [22, 168], "pure": [13, 124, 135], "purpos": [21, 33, 38, 60, 62, 79, 114, 116, 117, 121, 122, 123, 126, 133, 135, 148, 154, 155, 156, 164, 170, 184, 191, 194, 199, 202], "push_back": [135, 148, 154], "put": [12, 41, 47, 64, 75, 83, 105, 148], "py": [0, 26, 27, 29, 33, 70, 152, 180, 186, 202], "pytest": 180, "python": [0, 26, 27, 33, 34, 70, 175, 180, 185, 186, 202], "python3": [0, 26, 27, 33, 34, 202], "p\u0159idat": 6, "qo": 190, "qsf": [186, 194], "qsfp": [111, 174, 175, 177, 178, 179, 180, 183, 184, 187, 191, 192, 194, 198, 200, 201, 202], "qsfp28": [171, 172, 173, 181, 182, 201], "qsfp56": 176, "qsfp_i2c_dir": 187, "qsfp_i2c_port": 187, "qsfp_i2c_scl": 187, "qsfp_i2c_scl_i": 187, "qsfp_i2c_scl_o": 187, "qsfp_i2c_sda": 187, "qsfp_i2c_sda_i": 187, "qsfp_i2c_sda_o": 187, "qsfp_i2c_trist": 187, "qsfp_int_n": 187, "qsfp_lpmode": 187, "qsfp_modprs_n": 187, "qsfp_modsel_n": 187, "qsfp_port": 187, "qsfp_reset_n": 187, "qspf": 179, "qspi": [25, 200], "quad": 25, "quadrat": 12, "quadrupl": 190, "qualiti": 68, "quantum": 20, "quartu": [29, 124, 170, 174, 175, 176, 177, 179, 180, 183, 184, 192, 195, 196], "quartust": 170, "quasi": 45, "quest": [130, 131], "questa": 170, "question": 191, "queue": [7, 74, 154, 196, 201], "quick_reset_en": 12, "quicki": 143, "quickli": [132, 146, 147, 200, 202], "quiet": 170, "quit": 148, "r": [0, 31, 32, 33, 34, 41, 47, 70, 111, 113, 115, 123, 175, 177, 180, 184, 185, 190, 196, 198, 199], "r_tile": 199, "race": 148, "rais": [71, 191], "raise_object": 148, "ram": [2, 5, 16, 18, 28, 36, 37, 39, 41, 45, 46, 48, 85, 105], "ram_typ": [3, 5, 6, 7, 80, 82, 85, 109], "ran": 155, "rand": [33, 34, 126, 127, 137, 138, 148], "rand_gen_addr_width": 33, "rand_gen_data_width": 33, "rand_length": 135, "rand_length_rand": 135, "rand_rdi": [135, 138], "rand_rdy_rand": 135, "random": [6, 33, 47, 92, 100, 126, 129, 132, 133, 134, 136, 139, 140, 141, 142, 143, 146, 147, 148, 150, 151, 153, 155, 156, 164], "random_addr_se": 33, "random_data_se": 33, "randomiz": [129, 141, 142], "randomli": [47, 129, 132, 141, 142, 146, 147, 148, 150, 155], "rang": [20, 24, 27, 28, 33, 34, 62, 74, 92, 108, 125, 139, 140, 151, 152, 163, 186, 187, 190, 194, 198, 202, 203], "rapid": 163, "rate": [111, 163, 166, 193, 195, 202], "rate_limit": 70, "rather": [90, 195], "ratio": 1, "raw": [33, 34], "rbf": 175, "rc": [121, 199], "rc_mfb_block_siz": 199, "rc_mfb_item_width": 199, "rc_mfb_region": 199, "rc_mfb_region_s": 199, "rcb": 199, "rd": [6, 7, 33, 78, 90, 150, 154], "rd_addr": [14, 16, 18, 45], "rd_aempti": [5, 80], "rd_ch": 10, "rd_chan": 45, "rd_clk": [5, 18], "rd_data": [5, 14, 16, 18, 45], "rd_data_vld": [16, 18, 45], "rd_empti": 5, "rd_en": [5, 16, 18, 45, 80, 154], "rd_latenc": [14, 19], "rd_meta_in": [16, 18], "rd_meta_out": [16, 18], "rd_pipe_en": 18, "rd_ptr": 81, "rd_rst": [5, 18], "rd_statu": 5, "rd_val": 10, "rd_vld": 10, "rdw_behav": 14, "rdy": [135, 138, 139, 140, 145, 148], "re": [62, 70, 92, 122, 194], "reach": [19, 20, 21, 42, 48, 62, 68, 70, 122, 202], "react": 33, "read": [0, 5, 6, 10, 12, 14, 16, 18, 19, 20, 21, 24, 25, 26, 27, 28, 31, 32, 33, 34, 37, 39, 41, 44, 45, 46, 47, 61, 62, 68, 70, 79, 81, 83, 85, 86, 88, 90, 91, 93, 97, 105, 106, 110, 111, 113, 114, 115, 116, 120, 121, 122, 123, 125, 126, 132, 133, 143, 146, 148, 150, 155, 156, 160, 164, 165, 187, 192, 194, 195, 196, 198, 199, 202], "read_addr": 28, "read_box": 28, "read_box_vld": 28, "read_port": [7, 14, 16, 17], "read_prior": 28, "read_req": 28, "readabl": [148, 194], "readdata": 126, "readdatavalid": [86, 126], "readi": [3, 5, 19, 31, 33, 49, 66, 69, 79, 80, 85, 86, 90, 93, 95, 98, 99, 100, 102, 106, 108, 113, 115, 117, 120, 125, 126, 137, 138, 151, 164, 168, 190, 193, 197], "readm": [29, 180, 195, 196], "reagion": 52, "real": [114, 116, 125, 170], "reali": 155, "realist": [33, 61], "realiti": 194, "realiz": [71, 90, 92], "realli": 90, "realtime_reg": 125, "rearrang": 57, "reason": [12, 19, 20, 21, 49, 71, 113, 115, 122, 126, 148, 155], "reboot": [184, 200], "rebuild": 170, "recalcul": [70, 97], "receiv": [0, 20, 21, 26, 31, 32, 33, 36, 41, 42, 47, 63, 73, 79, 81, 90, 93, 94, 98, 103, 105, 108, 111, 113, 114, 117, 123, 125, 128, 138, 148, 150, 160, 163, 168, 170, 187, 195, 199, 200, 202, 204], "recent": 12, "recept": [200, 203], "reciev": [12, 88, 90], "recip": 191, "recipi": 90, "reciv": 149, "recogn": 79, "recomend": [20, 170], "recommend": [4, 6, 90, 133, 148, 170, 187, 190, 191, 194, 196, 202], "reconfigur": [52, 166, 167, 186, 204], "record": 194, "record_max_numb": 152, "record_min_numb": 152, "recording_detail": 148, "recov": 111, "recoveri": 184, "recreat": 62, "recurs": 170, "recv_bts_cnt_width": [39, 46], "recv_pkt_cnt_width": [39, 46], "redefin": 148, "redirect": 74, "redistribut": 62, "reduc": [21, 27, 33, 71, 91, 191, 192], "reduct": [21, 71], "ref": [111, 150], "ref_nam": 192, "refclk_in": 111, "refclk_n": 111, "refclk_out": 111, "refclk_p": 111, "refer": [8, 11, 86, 111, 133, 148, 170, 171, 172, 173, 179, 184, 190, 191, 192, 194, 196, 197, 201, 202], "referenc": 192, "reffer": 20, "reflect": 152, "reflexc": 163, "refr_ack": 33, "refr_period": 33, "refr_period_width": 33, "refr_req": 33, "refr_req_before_test": 33, "refresh": [33, 190], "reg": [27, 61, 69, 89, 122, 170, 192], "reg0": [21, 23], "reg4": 21, "reg_bitmap": 124, "reg_fifo": 8, "reg_out_en": 40, "regard": [19, 191], "regardless": 90, "regarr0": [0, 192], "regarr1": [0, 192], "regino": 71, "region": [35, 38, 40, 44, 45, 47, 50, 51, 52, 53, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 82, 113, 115, 117, 120, 128, 132, 143, 146, 147, 148, 149, 168, 187, 190], "region_aux_en": 76, "region_numb": 63, "region_s": [38, 50, 51, 55, 58, 59, 60, 61, 64, 66, 69, 71, 73, 75, 76, 79, 82, 132, 146, 147, 148, 149, 187], "regions_s": [132, 146, 149], "regist": [3, 4, 5, 7, 9, 11, 12, 14, 16, 18, 19, 20, 21, 23, 25, 27, 29, 31, 32, 33, 34, 36, 39, 46, 48, 50, 51, 61, 62, 65, 70, 72, 74, 80, 88, 89, 90, 91, 92, 93, 94, 95, 98, 99, 105, 110, 111, 114, 116, 118, 122, 124, 125, 148, 160, 164, 165, 168, 187, 192, 194, 196, 198, 199, 200], "registr": 148, "regular": [62, 174, 184, 191], "reimplement": [135, 148], "rel": [170, 192], "relat": [33, 79, 81, 148], "relax": [24, 121], "releas": [29, 122, 160, 163, 199], "relev": [20, 191], "reli": 170, "reliabl": 111, "remad": 170, "remain": [3, 79, 80, 84, 114, 133, 151, 160, 171, 173], "remap": 123, "rememb": [70, 91], "remot": [25, 29], "remov": [7, 10, 13, 21, 29, 50, 52, 71, 83, 113, 160, 164, 165, 168, 180, 192, 194, 195], "reorder": [105, 111], "repeat": [23, 31, 47, 70, 122, 148, 155, 202], "repeater_ctrl": 187, "repetit": [132, 143, 146, 147], "replac": [6, 92, 109, 119, 163, 193], "repli": [150, 192, 196], "replic": 16, "report": [6, 47, 202], "report_gen": 33, "report_phas": 148, "repositori": [0, 2, 29, 113, 115, 152, 157, 158, 161, 162, 166, 167, 169, 170, 191, 192, 195, 204, 205], "repres": [6, 68, 70, 125, 137, 148, 186, 194], "represent": [6, 61, 192], "reprez": 135, "republ": 195, "req": [32, 148, 151, 155, 156], "reqest": 88, "requ": 90, "request": [0, 3, 5, 7, 12, 16, 24, 25, 26, 27, 28, 31, 32, 33, 36, 37, 41, 42, 46, 47, 48, 61, 70, 74, 80, 85, 86, 88, 90, 91, 93, 106, 113, 115, 116, 117, 120, 121, 122, 123, 125, 126, 130, 131, 150, 156, 160, 168, 190, 193, 194, 196, 198, 199, 200, 202], "request_item_type_": 126, "request_typ": 126, "requier": 191, "requir": [0, 1, 6, 7, 12, 20, 31, 47, 61, 65, 70, 71, 78, 91, 92, 98, 104, 105, 113, 114, 115, 122, 123, 128, 132, 135, 143, 146, 147, 148, 149, 155, 164, 165, 170, 174, 176, 177, 180, 184, 185, 187, 188, 191, 194, 195, 196, 199, 201, 202], "reserv": [41, 47, 51, 111, 113, 115, 116, 170, 198], "reset": [1, 4, 5, 6, 7, 9, 10, 11, 13, 14, 16, 18, 19, 20, 22, 27, 28, 32, 33, 34, 36, 37, 39, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 52, 53, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 81, 82, 83, 85, 86, 87, 88, 89, 92, 93, 94, 95, 96, 97, 98, 99, 100, 102, 103, 104, 105, 106, 109, 110, 111, 113, 114, 115, 118, 120, 124, 125, 132, 135, 136, 139, 140, 143, 146, 147, 150, 154, 168, 187, 190, 199, 203], "reset_": 85, "reset_ag": 155, "reset_arb": 19, "reset_eth": [187, 190], "reset_fsm_base_addr": 170, "reset_if": 148, "reset_in": 81, "reset_log": 85, "reset_m": 85, "reset_meta": 81, "reset_out": 81, "reset_sync": [132, 143, 146, 147, 155], "reset_tim": 155, "reset_tree_gen": 168, "reset_us": [187, 190], "reset_user_x2": 190, "reset_user_x3": 190, "reset_user_x4": 190, "reset_v": 12, "reset_width": [187, 190], "resiz": [33, 71, 123], "resize_buff": 113, "resize_on_tx": 115, "resolut": [45, 71, 114], "resolv": 169, "resourc": [12, 21, 27, 33, 52, 62, 63, 65, 71, 92, 102, 104, 105, 106, 113, 160, 164, 165, 192], "resp": 190, "respect": [6, 12, 25, 43, 61, 71, 90, 92, 108, 114, 163, 170, 191], "respond": [90, 120, 148, 150], "respones": 90, "respons": [19, 36, 86, 90, 105, 120, 122, 123, 127, 128, 136, 137, 138, 149, 150, 151, 156, 199], "rest": [33, 53, 61, 63, 170, 194], "restart": 70, "restor": 70, "restrict": [16, 19, 39, 41, 48, 55, 58, 69, 70, 79, 82, 148, 170], "result": [4, 11, 12, 14, 16, 19, 20, 29, 33, 62, 70, 77, 79, 111, 122, 135, 148, 168, 180, 194], "resum": [68, 74], "ret": [148, 155], "retriev": 133, "return": [27, 70, 74, 90, 114, 135, 148, 154, 155, 170], "rev": 116, "revers": [51, 114, 152, 164, 194], "revis": [192, 196], "rework": 77, "rfc": 113, "rhel": 196, "righ": 36, "right": [9, 25, 61, 70, 74, 79, 90, 108, 113, 114, 130, 131, 134, 139, 140, 156, 164, 186, 194], "ring": [36, 37], "rise": [1, 31, 33, 151, 154, 164], "risk": 99, "ro": [70, 116, 125], "robin": [0, 51, 93, 168], "rocki": 196, "role": 190, "roll": 148, "rom": [120, 164, 200], "root": [148, 170, 199], "rotat": [9, 19, 62], "rough": 20, "round": [0, 7, 20, 51, 63, 71, 91, 93, 168], "rout": [0, 19, 24, 62, 92, 93, 111, 199], "router": [0, 169], "row": [19, 70], "row_item": 19, "rpm": [0, 29, 196], "rq": [35, 121, 199], "rq_mfb_block_siz": 199, "rq_mfb_item_width": 199, "rq_mfb_region": 199, "rq_mfb_region_s": 199, "rr": [51, 93], "rr_arbit": 168, "rsp": 156, "rsp_item_width": 105, "rsp_out_reg": 36, "rst": [8, 15, 22, 26, 27, 28, 30, 33, 34, 35, 38, 40, 51, 62, 66, 73, 82, 114, 148], "rst_ch": 10, "rst_done": [15, 26, 27, 28], "rst_vld": 10, "rsu": 25, "rsvd": 93, "rtl": 195, "rule": [61, 79, 93, 108, 114, 126, 128, 148, 149, 154], "run": [0, 12, 19, 20, 29, 33, 34, 42, 70, 80, 81, 84, 111, 128, 129, 132, 133, 141, 142, 143, 146, 147, 149, 150, 152, 155, 156, 170, 179, 180, 184, 185, 187, 191, 192, 193, 199, 201, 202], "run_phas": [135, 148, 154], "run_test": 148, "rw": [41, 47, 70, 113, 115, 116, 125], "rx": [0, 3, 24, 35, 39, 47, 48, 50, 52, 53, 57, 61, 62, 63, 64, 65, 66, 67, 68, 70, 71, 72, 73, 74, 75, 77, 78, 80, 82, 84, 91, 93, 94, 95, 96, 99, 100, 102, 103, 105, 106, 115, 127, 128, 133, 136, 137, 138, 148, 149, 151, 163, 170, 187, 190, 194, 195, 196, 201, 202, 204], "rx0": 98, "rx0_data": 98, "rx0_dst_rdy": 98, "rx0_fifo_en": 98, "rx0_item": 98, "rx0_item_width": 98, "rx0_mfb_data": 65, "rx0_mfb_dst_rdy": 65, "rx0_mfb_eof": 65, "rx0_mfb_eof_po": 65, "rx0_mfb_meta": 65, "rx0_mfb_sof": 65, "rx0_mfb_sof_po": 65, "rx0_mfb_src_rdy": 65, "rx0_mvb_dst_rdy": 65, "rx0_mvb_hdr": 65, "rx0_mvb_payload": 65, "rx0_mvb_src_rdy": 65, "rx0_mvb_vld": 65, "rx0_payload_en": 65, "rx0_src_rdy": 98, "rx0_vld": 98, "rx1": 98, "rx1_data": 98, "rx1_dst_rdy": 98, "rx1_item": 98, "rx1_item_width": 98, "rx1_mfb_data": 65, "rx1_mfb_dst_rdy": 65, "rx1_mfb_eof": 65, "rx1_mfb_eof_po": 65, "rx1_mfb_meta": 65, "rx1_mfb_sof": 65, "rx1_mfb_sof_po": 65, "rx1_mfb_src_rdy": 65, "rx1_mvb_dst_rdy": 65, "rx1_mvb_hdr": 65, "rx1_mvb_payload": 65, "rx1_mvb_src_rdy": 65, "rx1_mvb_vld": 65, "rx1_payload_en": 65, "rx1_src_rdy": 98, "rx1_vld": 98, "rx_addr": [88, 92], "rx_aful": [80, 84], "rx_agent": 148, "rx_ardi": [88, 92], "rx_axis_tdata": 3, "rx_axis_tkeep": 3, "rx_axis_tlast": 3, "rx_axis_treadi": 3, "rx_axis_tus": 3, "rx_axis_tvalid": 3, "rx_be": 92, "rx_block_siz": [35, 40, 71, 113, 115], "rx_chan_rout": [0, 192], "rx_channel": [48, 62, 93], "rx_chsum_en": 77, "rx_clk": [3, 78, 80, 84, 113, 115, 135, 170], "rx_clk2": 78, "rx_clk_x2": 115, "rx_compare_data": 148, "rx_compare_meta": 148, "rx_cut": 58, "rx_data": [8, 9, 49, 55, 58, 59, 60, 61, 63, 69, 71, 75, 76, 80, 82, 84, 93, 94, 95, 96, 97, 100, 102, 103, 105, 106, 109], "rx_data_consum": 105, "rx_data_in": 64, "rx_data_out": 64, "rx_discard": [84, 95, 148], "rx_discard_": 148, "rx_dma_calypt": 41, "rx_dma_calypte_addr_manag": 36, "rx_dma_calypte_hdr_insertor": 35, "rx_dma_calypte_hdr_manag": 37, "rx_dma_calypte_input_buff": 38, "rx_dma_calypte_sw_manag": 39, "rx_dma_calypte_trans_buff": 40, "rx_dma_channel": 50, "rx_drd": [88, 92], "rx_drdy": [88, 92], "rx_drop": 59, "rx_dst_rdy": [8, 9, 49, 55, 58, 59, 61, 63, 69, 71, 75, 76, 80, 82, 84, 93, 94, 95, 96, 97, 100, 102, 103, 105, 106, 109], "rx_dst_rdy_in": 64, "rx_dst_rdy_out": 64, "rx_dwr": [88, 92], "rx_env": 148, "rx_eof": [55, 58, 59, 60, 61, 69, 71, 76, 80, 82, 84, 114], "rx_eof_in": 64, "rx_eof_out": 64, "rx_eof_po": [55, 58, 59, 60, 61, 69, 71, 76, 80, 82, 84], "rx_eof_pos_in": 64, "rx_eof_pos_out": 64, "rx_eop": [49, 75], "rx_eop_po": [49, 75], "rx_fifo_aful": 3, "rx_fifo_depth": 96, "rx_fifo_en": 96, "rx_fifo_statu": 3, "rx_gen_en": 48, "rx_hdr_addr": 81, "rx_hdr_chan": 81, "rx_hdr_dst_rdy": 81, "rx_hdr_ins_en": 50, "rx_hdr_len": 81, "rx_hdr_meta": 81, "rx_hdr_mfb_meta": 81, "rx_hdr_src_rdy": 81, "rx_hdr_vld": 81, "rx_include_crc": 115, "rx_include_ipg": 115, "rx_input": 148, "rx_input_data": 148, "rx_input_data_": 148, "rx_item": 106, "rx_item_width": [35, 40, 71, 113, 115], "rx_length": [63, 77], "rx_link_up": 187, "rx_mac_lit": [113, 170, 192, 194], "rx_mac_lite_region": 148, "rx_meta": [55, 58, 59, 60, 61, 63, 69, 71, 75, 76, 80, 82, 84, 97], "rx_meta_in": 64, "rx_meta_out": 64, "rx_metadata": 9, "rx_mfb": 148, "rx_mfb0_data": 66, "rx_mfb0_dst_rdy": 66, "rx_mfb0_eof": 66, "rx_mfb0_eof_po": 66, "rx_mfb0_meta": 66, "rx_mfb0_sof": 66, "rx_mfb0_sof_po": 66, "rx_mfb0_src_rdy": 66, "rx_mfb1_data": 66, "rx_mfb1_dst_rdy": 66, "rx_mfb1_eof": 66, "rx_mfb1_eof_po": 66, "rx_mfb1_meta": 66, "rx_mfb1_sof": 66, "rx_mfb1_sof_po": 66, "rx_mfb1_src_rdy": 66, "rx_mfb_": [114, 194], "rx_mfb_conf_eq_tx": 52, "rx_mfb_data": [35, 38, 40, 52, 53, 57, 62, 63, 66, 67, 68, 70, 72, 73, 74, 77, 78, 113, 114, 115, 187], "rx_mfb_discard": 78, "rx_mfb_dst_rdy": [35, 38, 40, 52, 53, 57, 62, 63, 66, 67, 68, 70, 72, 73, 74, 77, 78, 114, 115, 187], "rx_mfb_eof": [35, 38, 40, 52, 53, 57, 62, 63, 66, 67, 68, 70, 72, 73, 74, 77, 78, 113, 114, 115, 187], "rx_mfb_eof_po": [38, 40, 52, 53, 57, 62, 63, 66, 67, 68, 70, 72, 73, 74, 77, 78, 113, 114, 115, 187], "rx_mfb_error": 113, "rx_mfb_hdr": [187, 194], "rx_mfb_meta": [52, 66, 67, 68, 70, 73, 74, 77, 78], "rx_mfb_queue": 74, "rx_mfb_sel": 73, "rx_mfb_sof": [35, 38, 40, 52, 53, 57, 62, 63, 66, 67, 68, 70, 72, 73, 74, 77, 78, 113, 114, 115, 187], "rx_mfb_sof_po": [38, 52, 53, 57, 62, 63, 66, 67, 68, 70, 72, 73, 74, 77, 78, 113, 114, 115, 187], "rx_mfb_src_rdy": [35, 38, 40, 52, 53, 57, 62, 63, 66, 67, 68, 70, 72, 73, 74, 77, 78, 113, 114, 115, 187], "rx_mfb_t": 68, "rx_mfb_timestamp": 74, "rx_mvb": 148, "rx_mvb_channel": 62, "rx_mvb_data": [52, 63, 67, 72, 104], "rx_mvb_discard": 57, "rx_mvb_dst_rdy": [52, 53, 57, 62, 63, 67, 72, 104, 110], "rx_mvb_ext_en": 53, "rx_mvb_ext_onli": 53, "rx_mvb_ext_siz": 53, "rx_mvb_frame_length": 53, "rx_mvb_hdr": 72, "rx_mvb_len": 62, "rx_mvb_lut_addr": 110, "rx_mvb_meta": [72, 104], "rx_mvb_metadata": 110, "rx_mvb_mod_eof_en": 57, "rx_mvb_mod_eof_s": 57, "rx_mvb_mod_eof_typ": 57, "rx_mvb_mod_sof_en": 57, "rx_mvb_mod_sof_s": 57, "rx_mvb_mod_sof_typ": 57, "rx_mvb_payload": 72, "rx_mvb_src_rdy": [52, 53, 57, 62, 63, 67, 72, 104, 110], "rx_mvb_switch": 72, "rx_mvb_usermeta": [53, 57], "rx_mvb_vld": [52, 53, 57, 62, 63, 67, 72, 104, 110], "rx_mwr": 92, "rx_new_sof": 63, "rx_offset": [63, 77], "rx_old_sof": 63, "rx_op_dst_rdi": 105, "rx_op_en": 105, "rx_op_pipe_en": 105, "rx_op_respons": 105, "rx_op_src_rdi": 105, "rx_op_vld": 105, "rx_out_data": 148, "rx_out_hdr": 148, "rx_output": 148, "rx_path_40g": 111, "rx_ptr_width": 48, "rx_rd": [88, 92], "rx_region": [71, 75, 113, 115], "rx_region_s": [35, 40, 71, 113, 115], "rx_reset": [3, 78, 80, 84, 113, 115, 135], "rx_sel": [9, 94, 102], "rx_sel_data": 103, "rx_sel_dst_rdi": [102, 103], "rx_sel_if": 102, "rx_sel_src_rdi": [102, 103], "rx_sel_vld": [102, 103], "rx_shakedown_en": 100, "rx_sof": [55, 58, 59, 60, 61, 69, 71, 76, 80, 82, 84, 114], "rx_sof_in": 64, "rx_sof_mask": 63, "rx_sof_out": 64, "rx_sof_po": [55, 58, 59, 60, 61, 69, 71, 76, 80, 82, 84, 114], "rx_sof_pos_in": 64, "rx_sof_pos_out": 64, "rx_sop": [49, 75], "rx_sop_po": [49, 75], "rx_src_rdy": [8, 9, 49, 55, 58, 59, 60, 61, 63, 69, 71, 75, 76, 80, 82, 84, 93, 94, 95, 96, 97, 100, 102, 103, 105, 106, 109], "rx_src_rdy_in": 64, "rx_src_rdy_out": 64, "rx_statu": [80, 84], "rx_stream": [100, 102], "rx_trim_en": 55, "rx_trim_len": 55, "rx_uinstr_src_rdi": 19, "rx_valid": 97, "rx_vld": [93, 94, 95, 96, 100, 102, 103, 105, 106, 109], "rx_word": 63, "rx_wr": [88, 92], "rxmac": [0, 192], "rxmac0": [0, 192], "rxmac1": [0, 192], "rxn": 111, "rxp": 111, "rxpolar": 111, "s10memori": [160, 165], "s_ch": 186, "s_p": 186, "safe": [7, 19, 85], "safe_read_mod": 7, "sai": [12, 19, 71, 90, 139, 140, 153, 160], "said": 92, "sake": [71, 79, 148], "same": [1, 12, 13, 14, 16, 19, 21, 23, 28, 29, 30, 32, 33, 34, 49, 50, 52, 53, 61, 64, 66, 70, 71, 74, 78, 79, 81, 83, 86, 90, 91, 92, 97, 98, 102, 105, 108, 113, 114, 115, 116, 121, 129, 134, 135, 139, 140, 141, 142, 148, 149, 150, 151, 156, 160, 164, 165, 168, 170, 171, 175, 187, 190, 191, 194, 195, 196], "same_clk": [50, 64], "sampl": [41, 47, 113, 115, 126, 128, 148, 151, 154], "sand": 151, "save": [21, 27, 31, 34, 52, 104, 105, 139, 140, 148, 151, 164], "sc": [148, 192, 196], "sc_output_": 148, "scalabl": [19, 163, 200], "scale": [33, 106], "scan": 29, "scenario": [135, 148], "schemat": [176, 177], "scheme": [41, 47, 48, 170], "scienc": 195, "scope": [24, 170], "scoped_to_ref": 170, "scoreboard": [6, 135], "scoreboard_channel_head": 135, "scrambl": [111, 134, 153], "scrambler": [111, 134], "scrambler_gen": 111, "script": [26, 29, 33, 34, 70, 170, 174, 176, 177, 179, 184, 186, 191, 192, 196, 202], "sd": 178, "sd1": 178, "sdc": 186, "sdk": 175, "sdm": [157, 184, 198], "sdp": [41, 47, 48], "sdp_bmem": 165, "sdp_bmem_v7": 165, "sdp_bram": [18, 165], "sdp_bram_b": 18, "sdp_bram_behav": 165, "sdp_bram_xilinx": 165, "sdp_memx": [164, 165], "sdp_rd_chan": 39, "sdp_rd_data": 39, "sdp_uram_xilinx": 165, "se": [33, 38], "search": [63, 111], "second": [20, 24, 37, 41, 47, 61, 62, 70, 71, 79, 90, 92, 108, 114, 124, 125, 132, 134, 135, 145, 146, 148, 151, 154, 156, 160, 163, 170, 180, 190, 191, 192, 194, 203], "secondari": 71, "section": [19, 25, 70, 77, 86, 108, 111, 148, 156, 190, 191, 194], "section_length": 70, "sectionlength": 70, "secur": [16, 25, 184], "see": [0, 6, 7, 12, 18, 19, 20, 24, 25, 27, 50, 68, 70, 71, 74, 78, 80, 82, 85, 86, 92, 93, 104, 108, 111, 113, 114, 115, 117, 123, 125, 129, 141, 142, 143, 146, 147, 148, 149, 152, 156, 163, 170, 171, 172, 173, 174, 175, 176, 177, 178, 180, 181, 182, 183, 184, 190, 191, 192, 193, 194, 197, 202], "seed": [33, 47], "seem": [12, 186], "seen": [19, 27, 170, 196], "seg": 136, "segment": [36, 113, 115, 137, 194], "sel": [9, 32, 102], "sel_shakedown_en": 102, "select": [3, 5, 6, 11, 16, 18, 19, 27, 31, 33, 34, 37, 50, 55, 57, 62, 72, 73, 74, 77, 80, 82, 85, 93, 94, 95, 102, 103, 109, 113, 115, 117, 120, 125, 129, 141, 142, 148, 150, 160, 170, 180, 187, 190, 191, 192, 194, 196, 200, 201, 202], "selected_queu": 74, "self": [111, 180], "send": [0, 9, 12, 16, 19, 23, 25, 33, 35, 47, 48, 51, 53, 60, 62, 63, 79, 81, 83, 88, 93, 105, 108, 112, 117, 120, 123, 126, 127, 128, 130, 131, 132, 133, 134, 135, 138, 143, 145, 146, 147, 148, 149, 150, 153, 155, 156, 163, 190, 191, 198, 202], "send_empty_fram": 135, "send_fram": 135, "send_transact": 155, "sensor": 25, "sent": [21, 31, 35, 36, 39, 46, 48, 51, 53, 60, 61, 62, 74, 75, 81, 88, 90, 92, 105, 113, 114, 115, 129, 133, 138, 141, 142, 143, 148, 150, 187, 190, 193, 194, 199], "separ": [12, 27, 33, 35, 47, 59, 62, 79, 81, 93, 108, 113, 115, 122, 123, 135, 148, 170, 194, 195, 201, 205], "seq": [34, 129, 141, 142, 148], "seq_byte_arrai": 148, "seq_cfg": [132, 143, 146, 147, 148], "seq_item_export": 148, "seq_item_port": 148, "seq_mvb": 148, "seq_rx_packet": 148, "seq_tx_rdi": 148, "seqeuenc": 155, "sequenc": [20, 25, 33, 47, 111, 128, 135, 136, 149, 150, 155, 156], "sequence_": 148, "sequence_burst_rx": 147, "sequence_byte_arrai": 148, "sequence_full_speed_rx": [132, 143, 146, 147], "sequence_item": [126, 127, 129, 132, 135, 136, 137, 138, 141, 142, 143, 146, 147, 148, 151], "sequence_item_request": 150, "sequence_item_respons": [150, 156], "sequence_lib": [129, 141, 142, 148], "sequence_lib_rx": [132, 143, 146, 147], "sequence_librari": 148, "sequence_library_rx": 138, "sequence_library_rx_fullspe": 138, "sequence_mast": 150, "sequence_master_burst": 150, "sequence_master_max": 150, "sequence_meta": 156, "sequence_mfb_data": 156, "sequence_mi": 156, "sequence_mi_sim": 156, "sequence_mvb": 148, "sequence_mvb_data": 156, "sequence_packet_const": 148, "sequence_packet_incr": 148, "sequence_packet_larg": 148, "sequence_packet_mid": 148, "sequence_packet_rand_spac": 148, "sequence_packet_smal": 148, "sequence_rand": 155, "sequence_rand_rx": 147, "sequence_rx": [127, 136, 138, 148], "sequence_rx_bas": 136, "sequence_rx_fullspe": 138, "sequence_rx_initi": 127, "sequence_rx_rdi": 148, "sequence_rx_stop": 138, "sequence_simpl": [129, 135, 141, 142, 148, 155], "sequence_simple_const": [129, 141, 142], "sequence_simple_dec": [129, 141, 142], "sequence_simple_gauss": [129, 141, 142], "sequence_simple_inc": [129, 141, 142], "sequence_simple_rx": [132, 143, 146], "sequence_simple_rx_bas": [132, 143, 146, 147], "sequence_slav": 150, "sequence_slave_incr_addr": 150, "sequence_slave_librari": 150, "sequence_slave_same_addr": 150, "sequence_slave_sim": 150, "sequence_slave_slave_burst": 150, "sequence_stop_rx": [132, 143, 146, 147], "sequence_tb": 156, "sequence_tx": [136, 145], "sequence_tx_ack": 127, "sequence_tx_bas": 136, "sequence_tx_burst": 145, "sequence_tx_rdi": 148, "sequence_tx_stop": 145, "sequenti": [28, 33, 148], "sequentiali": 164, "seri": [33, 47, 119, 163, 164], "serial": [21, 25, 63, 111, 184, 187, 194, 196, 199, 201], "seriou": 148, "serv": [46, 47, 61, 79, 111, 120, 130, 131, 134, 148, 163, 164, 170], "server": [29, 180], "set": [3, 4, 5, 6, 7, 8, 9, 10, 12, 13, 14, 16, 19, 20, 21, 22, 23, 24, 25, 27, 28, 29, 31, 33, 34, 35, 37, 38, 40, 41, 46, 47, 48, 49, 50, 51, 53, 59, 61, 62, 64, 65, 66, 67, 70, 74, 77, 78, 79, 80, 83, 84, 88, 89, 90, 93, 104, 105, 106, 108, 112, 113, 114, 115, 116, 117, 122, 123, 125, 126, 128, 129, 130, 131, 132, 133, 134, 135, 141, 142, 143, 146, 147, 148, 149, 150, 151, 155, 156, 160, 163, 164, 165, 170, 178, 184, 186, 187, 190, 191, 194, 195, 196, 199, 202], "set_inst_overrid": [132, 143, 146, 147, 148], "set_max_delai": 1, "set_properti": 170, "set_rd": 150, "set_report_id_action_ti": 148, "setup": [0, 19, 20, 26, 33, 78, 155, 170, 186], "setup_flag": 170, "sever": [70, 104, 113, 115, 126, 154, 170, 180, 190, 193, 200, 202], "sfc": 115, "sfch": 115, "sfcl": 115, "sfd": 113, "sh": [174, 176, 177, 179, 184], "sh_fifo": 160, "sh_fsm": 114, "sh_reg": 148, "sh_reg_bas": 148, "shadow": [114, 170], "shake": 106, "shake_port": 106, "shakedown": [7, 100, 102, 105, 169], "shallow": [3, 5, 14, 80, 165], "shape": [70, 91], "share": [45, 49, 71, 76, 164, 170, 184, 191], "shell": 191, "shift": [6, 9, 21, 25, 52, 55, 58, 62, 82, 83, 89, 91, 105, 109, 114, 125, 160, 164], "shift_left": 9, "shifter": [45, 62, 164], "short": [33, 114, 115], "shorten": [22, 55, 168], "shorter": 16, "shoud": 33, "should": [6, 19, 21, 22, 25, 26, 27, 28, 32, 33, 34, 37, 49, 62, 66, 70, 78, 83, 90, 92, 102, 113, 125, 135, 148, 150, 170, 179, 184, 191, 192, 193, 194, 207], "show": [0, 12, 33, 61, 79, 90, 92, 108, 114, 126, 127, 128, 129, 132, 135, 138, 141, 142, 143, 146, 147, 148, 149, 156, 170, 180, 191, 194, 200, 202], "shown": [79, 90, 92, 108, 114, 123, 148, 186, 190, 191, 193, 194, 199], "shp_rd_chan": 39, "shp_rd_data": 39, "shreg": [61, 69, 89, 92, 148], "shrink": 78, "side": [5, 9, 23, 47, 48, 50, 61, 70, 75, 83, 85, 86, 90, 92, 111, 113, 114, 115, 123, 127, 148, 151, 194], "sideband": 117, "signal": [1, 3, 7, 8, 9, 13, 16, 18, 19, 21, 22, 25, 27, 30, 33, 35, 37, 39, 41, 42, 43, 46, 47, 48, 51, 53, 61, 62, 69, 70, 71, 73, 74, 77, 79, 80, 81, 82, 83, 84, 86, 90, 91, 92, 93, 94, 96, 97, 100, 103, 111, 113, 114, 115, 117, 118, 119, 120, 121, 125, 132, 133, 135, 137, 138, 139, 140, 143, 145, 146, 147, 148, 149, 150, 151, 153, 154, 155, 156, 160, 164, 165, 166, 168, 186, 187, 190, 194, 195, 199], "signaltap": 29, "signifi": [46, 79, 108], "signific": [70, 92], "significantli": [1, 31, 170], "silicom": 163, "sim": 170, "sim_flag": 148, "sim_lib": 170, "sim_modul": 170, "similar": [45, 90, 111, 114, 156, 160, 191], "similarli": [47, 170, 202], "simluat": 156, "simpl": [0, 7, 12, 15, 41, 43, 45, 47, 48, 52, 64, 65, 68, 70, 71, 79, 90, 92, 93, 96, 106, 108, 110, 120, 128, 129, 135, 136, 141, 142, 148, 149, 151, 164, 166, 168, 170, 191, 194, 195, 197, 202], "simple_simpl": 148, "simpler": [1, 12], "simplest": 114, "simpli": [13, 74, 148, 163, 170, 184, 202], "simplic": [79, 114, 148], "simplifi": [61, 62, 70, 79, 108, 111, 114, 129, 132, 141, 142, 143, 146, 147, 148, 163, 170, 180, 199, 200], "simul": [21, 33, 49, 70, 78, 143, 148, 151, 155, 170, 202, 207], "simult": 33, "simultan": [0, 7, 12, 33, 57, 70, 79], "sinc": [12, 14, 19, 20, 21, 45, 71, 74, 83, 94, 122, 160, 165, 170], "singl": [0, 1, 7, 9, 20, 21, 23, 27, 42, 47, 63, 66, 70, 71, 73, 74, 79, 83, 92, 106, 108, 114, 117, 120, 139, 140, 142, 148, 163, 165, 170, 180, 187, 190, 199], "situat": [61, 71, 79, 91, 99, 114, 122, 148, 170], "six": [79, 150], "size": [6, 8, 9, 10, 19, 20, 21, 24, 36, 37, 39, 41, 46, 47, 48, 50, 52, 53, 57, 58, 62, 63, 64, 65, 67, 68, 69, 70, 71, 72, 74, 75, 77, 78, 81, 82, 113, 115, 116, 118, 120, 129, 132, 135, 141, 142, 143, 146, 148, 150, 152, 154, 155, 156, 168, 170, 187, 190, 192, 199, 202], "size_max": 148, "skid": 40, "skip": [61, 170], "slack": 190, "slave": [85, 87, 90, 93, 111, 113, 115, 120, 125, 126, 148, 150, 190], "slice": [27, 31, 160, 164], "slight": [67, 114], "slightli": [12, 19, 23, 148, 197], "slot": [184, 196, 199, 200], "slow": [70, 168], "slower": [194, 195, 196], "slowest": 155, "slr_cross": 168, "slv_array_t": [14, 16, 17, 27, 33, 57, 62, 63, 66, 72, 73, 81, 88, 92, 97, 100, 102, 110, 187, 190, 199], "small": [21, 62, 63, 79, 114, 135, 148, 165, 168, 192], "smaller": [4, 14, 33, 42, 71, 155], "smallest": [70, 78, 79], "smarter": 125, "smooth": 96, "snippet": 191, "snoop": 121, "snyc_termin": 155, "so": [0, 7, 12, 13, 19, 21, 25, 52, 61, 62, 63, 70, 73, 74, 79, 83, 88, 90, 91, 92, 114, 126, 148, 153, 156, 168, 170, 175, 184, 186, 191, 192, 194, 202], "soc": 178, "soch": 115, "socket": 184, "socl": 115, "sof": [43, 52, 53, 57, 58, 59, 60, 61, 62, 63, 66, 67, 68, 71, 73, 74, 77, 79, 81, 83, 104, 113, 114, 115, 117, 130, 131, 132, 139, 140, 146, 148, 149, 155, 174, 176, 177, 184, 187, 190, 196], "sof_creat": 63, "sof_origin": 61, "sof_po": [35, 40, 62, 114, 149], "sof_pos_width": 79, "sof_unmask": 61, "softwar": [25, 29, 33, 41, 44, 47, 48, 70, 90, 111, 113, 115, 116, 125, 170, 178, 190, 192, 194, 195, 198], "sole": 114, "solut": [70, 75, 148], "solv": [12, 19, 23, 70, 99, 164], "som": 178, "some": [7, 11, 12, 13, 21, 22, 23, 33, 41, 46, 47, 51, 61, 70, 71, 79, 90, 92, 105, 108, 111, 113, 123, 129, 141, 142, 148, 154, 155, 163, 164, 168, 170, 191, 194, 196, 199, 200, 202], "some_boolean": 191, "some_integ": 191, "somehow": 194, "someth": [12, 135, 152], "sometim": [20, 21, 114, 148, 170, 191, 194], "somewhat": 92, "somewher": [19, 21, 132, 143, 146], "soon": [36, 113, 115], "sooner": 170, "sop": [49, 67, 138], "sop_po": 49, "sop_pos_width": 49, "sorag": 23, "sort": 62, "sorter": 19, "sourc": [0, 19, 24, 29, 49, 51, 68, 69, 74, 78, 93, 95, 98, 100, 102, 113, 115, 117, 125, 148, 151, 163, 164, 168, 170, 190, 191, 192, 193, 194, 196, 203, 205], "sp": 186, "sp_bmem": 165, "sp_bram": 165, "sp_bram_xilinx": 165, "sp_uram_xilinx": 165, "space": [0, 4, 5, 19, 20, 21, 23, 24, 31, 32, 33, 37, 39, 41, 43, 47, 50, 51, 62, 64, 74, 83, 90, 92, 93, 113, 115, 120, 122, 123, 125, 132, 135, 143, 146, 147, 148, 153, 168, 170, 184, 187, 190, 192, 194, 197, 199, 200, 202], "space_size_set": [132, 143, 146, 147], "spacer": 115, "span": [25, 79], "spars": 102, "special": [21, 47, 63, 168, 170, 187, 190, 194], "specif": [0, 1, 12, 22, 23, 27, 30, 33, 36, 41, 42, 46, 47, 63, 70, 74, 111, 113, 114, 116, 117, 123, 133, 152, 156, 160, 161, 162, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 190, 192, 194, 195, 196, 197, 198, 199], "specifi": [4, 12, 20, 21, 24, 26, 28, 36, 40, 57, 58, 59, 61, 63, 77, 79, 83, 92, 108, 113, 130, 131, 135, 148, 153, 155, 170, 191, 192, 207], "speed": [47, 50, 61, 70, 74, 100, 111, 112, 113, 115, 123, 133, 148, 156, 163, 164, 186, 187, 192, 194, 195, 196, 200, 201, 202], "spent": 7, "spike": 19, "spkt_lng": 62, "spkt_size_min": 62, "split": [0, 38, 71, 72, 73, 74, 135, 170, 190, 194], "splitter": [148, 166, 167, 194, 200], "splitter_output": [72, 73], "sr": [70, 164], "sr_sync_latch": [13, 164], "src": [51, 93, 106, 148, 179, 186, 191], "src_buf": [19, 168], "src_buf_col": 19, "src_buf_rd_addr": 19, "src_buf_rd_data": 19, "src_buf_row": 19, "src_channel": 93, "src_rdy": [5, 49, 79, 106, 108, 148, 149, 151, 164, 199], "ss": 29, "st": 199, "st_sp_dbg_chan": [42, 47, 48], "st_sp_dbg_meta": [42, 47, 48], "st_sp_dbg_signal_w": [47, 48], "stabl": 111, "stage": [8, 11, 21, 62, 63, 73, 83, 89, 170, 178, 191], "stai": [27, 51, 61, 66, 79, 83, 90, 92, 93, 163, 191], "stamp": [113, 200], "stand": 108, "standalon": 62, "standard": [24, 47, 61, 91, 92, 105, 111, 113, 115, 133, 148, 164, 170, 199, 201], "standardli": 194, "standart": 115, "starget": 170, "starget_": 170, "start": [0, 19, 20, 21, 29, 30, 36, 37, 46, 47, 49, 50, 51, 52, 57, 60, 61, 62, 63, 66, 70, 71, 79, 83, 92, 104, 108, 113, 114, 115, 117, 123, 125, 130, 131, 133, 134, 135, 139, 140, 148, 150, 152, 153, 156, 163, 170, 172, 174, 180, 183, 184, 190, 191, 199, 202], "start_channel": 186, "start_ev": 30, "start_event_meta": 30, "start_item": [148, 155, 156], "start_meta_width": 30, "start_profil": 186, "start_req_ack": [39, 42, 46], "start_req_chan": [39, 42, 46], "start_req_channel": [36, 37], "start_req_don": 37, "start_req_vld": [36, 37, 39, 42, 46], "start_time_max": 152, "start_time_min": 152, "startup": 111, "starvat": 19, "stat": [27, 60, 113, 115], "stat_discard": 60, "stat_pkt_lng": 37, "state": [0, 13, 27, 33, 34, 42, 70, 74, 85, 90, 92, 120, 125, 132, 134, 143, 146, 147, 148, 153, 155, 160, 163, 164, 190], "state_packet_data": [132, 143, 146], "state_packet_new": [132, 143, 146], "state_packet_non": [132, 143, 146], "state_packet_space_new": [132, 143, 146], "state_pakcet_spac": [132, 143, 146], "statement": 191, "static": [0, 190, 193], "statist": [0, 10, 12, 20, 26, 27, 33, 47, 48, 60, 90, 111, 113, 115, 148, 164, 168, 187], "stats_0": 27, "stats_1": 27, "statu": [6, 25, 27, 33, 39, 46, 50, 61, 62, 70, 82, 84, 88, 109, 111, 113, 115, 120, 121, 148, 160, 175, 187, 188, 190, 192, 198, 199, 200], "status_data": 137, "std": [148, 156], "std_arith": 148, "std_logic": [3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 15, 16, 17, 18, 20, 22, 26, 27, 28, 30, 33, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 80, 81, 82, 84, 85, 86, 87, 88, 89, 90, 92, 93, 94, 95, 96, 97, 98, 99, 100, 102, 103, 104, 105, 106, 109, 110, 111, 113, 114, 115, 117, 118, 120, 121, 124, 125, 187, 190, 199], "std_logic_arith": 148, "std_logic_vector": [3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 15, 16, 17, 18, 20, 22, 26, 27, 28, 30, 33, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 80, 81, 82, 84, 85, 86, 87, 88, 89, 90, 92, 93, 94, 95, 96, 97, 98, 99, 100, 102, 103, 104, 105, 106, 109, 110, 111, 113, 114, 115, 117, 118, 119, 120, 121, 124, 125, 187, 190, 192, 199], "stdarithnowarn": 148, "steadi": 70, "steer": 117, "stem": 170, "step": [21, 31, 37, 49, 52, 133, 148, 156, 170, 175, 186, 196, 202], "stick": 148, "still": [21, 60, 108, 123, 163, 184, 193], "stop": [21, 37, 41, 44, 46, 47, 51, 70, 83, 96, 114, 148, 190, 191], "stop_en": 96, "stop_forc": 39, "stop_force_chan": 39, "stop_req_ack": [39, 42, 46], "stop_req_chan": [39, 42, 46], "stop_req_channel": 37, "stop_req_don": 37, "stop_req_vld": [37, 39, 42, 46], "storag": [122, 123], "store": [3, 5, 6, 7, 11, 12, 14, 19, 20, 21, 23, 28, 36, 45, 47, 61, 62, 68, 70, 80, 83, 90, 97, 113, 115, 116, 122, 123, 130, 131, 137, 148, 154, 164, 168, 170, 175, 184, 192, 202], "stp": 29, "straddl": 117, "straight": [6, 70, 71, 109], "straightforward": 70, "strang": 148, "stratix": [25, 33, 113, 115, 160, 163, 165, 168, 194, 195, 196, 197, 199], "stratix10": [3, 4, 5, 6, 10, 16, 18, 36, 37, 39, 46, 50, 51, 52, 53, 57, 61, 63, 68, 72, 73, 74, 77, 78, 81, 82, 92, 98, 105, 109, 113, 115, 120, 121, 170, 187, 199], "stream": [0, 3, 19, 21, 37, 48, 50, 57, 60, 63, 65, 66, 67, 70, 74, 77, 98, 111, 113, 115, 135, 148, 163, 166, 169, 175, 178, 187, 190, 193, 194, 199, 202], "stream2": 166, "stream_out_aful": 21, "stream_out_en": 21, "strech": 63, "stress": 47, "string": [3, 4, 5, 6, 7, 10, 11, 14, 16, 17, 18, 20, 26, 30, 33, 35, 36, 37, 39, 41, 42, 43, 44, 45, 46, 47, 48, 50, 51, 52, 53, 55, 57, 61, 62, 63, 64, 65, 67, 68, 69, 70, 71, 72, 73, 74, 77, 78, 80, 81, 82, 84, 85, 86, 87, 89, 92, 93, 94, 96, 97, 98, 100, 102, 103, 104, 105, 106, 109, 110, 113, 115, 117, 120, 121, 125, 132, 135, 137, 143, 146, 147, 148, 154, 170, 187, 190, 192, 199], "strobe": [41, 47, 113, 115], "strongli": [148, 170, 190, 194], "struct": 148, "structur": [148, 156, 160, 164, 165, 170, 191, 192], "stuck": [120, 148, 156, 190, 194], "su": 29, "subclass": [129, 141, 142, 148], "subcompon": [50, 115, 148, 170, 191, 192, 194, 198], "subcor": 0, "subdirectori": 170, "subenviron": 148, "sublay": 194, "submit": 27, "submodul": [113, 115, 192, 205], "subnod": [170, 192], "subpart": 29, "subprocess": 170, "subprogram": 175, "subsect": [156, 194], "subsequ": 194, "subset": [19, 74, 163], "substitut": 189, "subtract": 63, "success": [0, 33, 116, 148, 184, 190], "successful": 40, "successfulli": [19, 113, 115, 148, 179, 196], "successor": 49, "suddenli": 70, "sudo": [29, 33, 180, 196], "suffici": [29, 79, 114], "suffix": [49, 61, 148, 170], "suggest": 191, "suit": [186, 194], "suitabl": [5, 14, 148, 160, 165], "sum": [20, 21, 27, 32, 63, 98], "sum_en": 27, "sum_extra_width": 27, "sum_on": 164, "sumbit": 27, "summar": 62, "super": [62, 132, 135, 143, 146, 147, 148, 154, 168], "superpacket": 63, "suppli": 170, "support": [5, 7, 11, 12, 14, 16, 18, 24, 25, 29, 34, 37, 44, 49, 50, 51, 52, 57, 68, 70, 71, 74, 79, 86, 91, 93, 113, 114, 115, 117, 121, 123, 125, 160, 165, 166, 169, 170, 187, 191, 193, 194, 196, 197, 200, 201, 202], "supported_platform_tag": 170, "supported_tag": 170, "suppos": 65, "suppress": 148, "sure": [29, 70, 90, 133, 175, 184], "surpass": 70, "surpris": 90, "surround": 148, "sv": [34, 136, 148, 156], "sw": [0, 20, 34, 36, 39, 41, 46, 48, 70, 110, 113, 115, 186, 192, 202], "sw1": 178, "sw2": 184, "sw4": 184, "sw5": 184, "sw_addr": 110, "sw_addr_width": [39, 41], "sw_be": 110, "sw_din": 110, "sw_dout": 110, "sw_dout_vld": 110, "sw_read": 110, "sw_rst": 27, "sw_slice": 110, "sw_timeout_w": 100, "sw_timeout_width": 65, "sw_width": 110, "sw_write": 110, "swap": [77, 186], "switch": [19, 21, 29, 65, 66, 70, 72, 100, 113, 126, 148, 152, 166, 178, 180, 184, 193, 196, 202], "switchabl": 180, "swrite": [135, 148], "sychron": 136, "sync_": 155, "sync_cb": 155, "sync_connect": [132, 143, 146, 147, 155], "sync_regist": 155, "sync_reset": 155, "sync_termin": 155, "synchrnou": 13, "synchron": [1, 7, 18, 26, 49, 62, 93, 94, 95, 98, 100, 102, 103, 104, 111, 113, 115, 120, 132, 143, 146, 147, 155, 164, 168, 190, 203], "synchroni": 125, "syncrhon": 155, "syntax": 192, "synth": 170, "synth_onli": 170, "synthes": 170, "synthesi": [164, 191, 196], "synthesis": 170, "synthfil": 170, "sysmon": 198, "system": [25, 42, 116, 175, 194, 196, 203], "systemverilog": [170, 207], "sythesi": 170, "t": [12, 19, 22, 27, 33, 34, 70, 79, 90, 91, 92, 111, 113, 125, 126, 132, 135, 137, 143, 146, 147, 148, 152, 153, 163, 170, 175], "tabl": [33, 41, 47, 79, 92, 93, 108, 126, 127, 128, 129, 132, 138, 141, 142, 143, 146, 147, 148, 149, 156, 163, 164, 169, 175, 190, 191, 194], "tabul": 148, "tag": [24, 117, 121, 123, 135, 148, 170, 199, 205], "tag_8": 121, "tag_9": 121, "tak": 155, "take": [6, 19, 21, 61, 67, 70, 78, 90, 91, 92, 108, 111, 114, 123, 130, 131, 133, 134, 148, 180, 184, 190, 191, 192, 194, 201], "taken": [0, 21, 83, 102, 114], "talk": 92, "tap": [61, 148], "targ": 170, "target": [1, 3, 4, 6, 12, 19, 20, 21, 29, 33, 48, 53, 57, 67, 70, 71, 81, 84, 86, 87, 90, 91, 92, 115, 121, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 194, 195, 196, 199, 200], "target_default": 170, "target_func": 121, "target_myproc": 170, "target_tag": 170, "task": [31, 111, 113, 115, 129, 130, 131, 135, 141, 142, 148, 150, 153, 154, 155, 156, 194, 199], "tb": 33, "tbd": [41, 187], "tcam": [24, 187, 190, 194], "tchannel": 135, "tcl": [29, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 192, 196, 198], "tclsh": 170, "tcp": 77, "tdata": [128, 143], "tdata_width": 3, "tdiscard": 135, "tdut": 148, "tehn": 16, "tehr": 134, "tell": [102, 126, 148], "temp": 198, "temperatur": [25, 168], "temporari": [52, 62], "ten": 163, "teras": 163, "term": [108, 192, 196, 201], "termin": [134, 153], "terminologi": 170, "test": [0, 6, 33, 34, 47, 48, 61, 62, 106, 112, 117, 132, 135, 143, 146, 147, 154, 156, 158, 171, 172, 173, 187, 188, 190, 196, 197, 198], "test_mem_test": 33, "test_pci": 180, "testbench": [154, 156, 170], "tester": [158, 197], "texliv": 33, "text": [49, 148], "tfc": 115, "tfch": 115, "tfcl": 115, "th": 105, "than": [0, 1, 4, 5, 19, 27, 31, 33, 50, 52, 57, 61, 62, 63, 70, 71, 79, 92, 105, 113, 115, 133, 148, 151, 164, 170, 191, 194, 195, 199, 200], "thank": [113, 115], "thei": [19, 21, 23, 38, 52, 60, 61, 63, 74, 78, 79, 90, 92, 97, 98, 99, 105, 122, 132, 133, 135, 143, 146, 147, 148, 151, 164, 168, 190, 191, 192, 194, 202], "them": [0, 12, 20, 23, 27, 35, 38, 61, 62, 63, 67, 70, 71, 78, 83, 90, 92, 108, 111, 112, 114, 120, 122, 123, 130, 131, 132, 133, 142, 143, 145, 146, 147, 148, 150, 153, 156, 163, 186, 190, 191, 192, 194, 196, 197, 199], "themselv": [12, 180], "theoret": 90, "theori": 92, "ther": 134, "therefor": [20, 31, 33, 49, 55, 63, 70, 78, 79, 90, 92, 108, 125, 148, 193, 194, 201], "thesi": [1, 19, 21, 62, 123], "thi": [0, 1, 2, 4, 6, 7, 8, 11, 12, 13, 14, 16, 19, 20, 21, 22, 23, 25, 27, 29, 31, 33, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 58, 59, 60, 61, 62, 63, 64, 65, 66, 68, 70, 71, 73, 74, 75, 77, 78, 79, 80, 81, 82, 83, 86, 87, 88, 90, 91, 92, 93, 94, 96, 97, 98, 99, 102, 105, 106, 108, 110, 113, 114, 115, 117, 118, 119, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 186, 187, 189, 190, 191, 192, 193, 194, 195, 196, 198, 199, 201, 202, 203, 204, 205, 207], "thing": [61, 63, 74, 79, 83, 148, 155, 191, 202], "think": 22, "third": [24, 37, 41, 47, 79, 90, 92, 148, 156, 190, 191, 194], "this_item": 148, "this_typ": 148, "those": [11, 12, 79, 90, 113, 114, 115, 129, 130, 131, 141, 148, 151, 170, 191], "though": [23, 79, 92, 190, 194], "three": [29, 65, 70, 79, 81, 90, 93, 108, 126, 128, 132, 135, 139, 140, 143, 146, 147, 148, 150, 153, 154, 155, 156, 191, 194, 197], "threshh": 148, "threshl": 148, "throgh": 22, "through": [3, 5, 20, 21, 41, 47, 53, 61, 70, 74, 78, 79, 80, 81, 83, 88, 90, 93, 110, 113, 115, 116, 125, 126, 127, 128, 129, 137, 138, 141, 142, 147, 148, 149, 168, 170, 190, 192, 193, 194, 195, 200, 202], "throughout": [190, 191, 198], "throughput": [0, 1, 19, 21, 23, 41, 47, 48, 65, 70, 71, 78, 79, 97, 102, 123, 132, 143, 146, 147, 163, 187, 193, 194, 195, 197, 200, 202], "throught": [139, 140], "throw": [16, 18], "thrp_mea": 47, "thu": [14, 19, 20, 21, 25, 71, 79, 86, 191, 199], "ti": [113, 191], "tic": 50, "tick": [19, 26, 30, 32, 33, 70, 156], "tile": [79, 113, 115, 123, 174, 175, 176, 177, 179, 180, 183, 184, 187, 190, 194, 195, 199, 202], "tile_multir": 194, "till": [42, 90, 148], "time": [0, 3, 5, 7, 12, 13, 16, 18, 20, 21, 23, 27, 28, 30, 33, 36, 47, 61, 65, 68, 71, 74, 80, 83, 91, 93, 100, 104, 113, 114, 122, 125, 126, 135, 148, 152, 154, 155, 170, 186, 187, 191, 192, 194, 200], "time_array_add": 135, "time_conv": 27, "time_form": 27, "time_reset": 68, "timeout": [19, 62, 65, 100, 135], "timeout_clk_no": 62, "timestamp": [24, 68, 113, 124, 125, 126, 157, 166, 187, 190, 194, 198], "timestamp_en": 113, "timestamp_format": 74, "timestamp_width": 74, "timestampvld": [24, 190, 194], "timestap": 190, "titl": 27, "tkeep": 128, "tkeep_width": 128, "tlast": 128, "tlm": 148, "tlp": [24, 118], "tmeta": 135, "tmodel": 148, "tmp": [12, 27], "tmp_data": 148, "tmp_meta": 148, "to_str": 27, "to_unsign": 20, "todo": [6, 113, 148, 164, 168], "togeth": [19, 20, 23, 24, 71, 75, 83, 90, 92, 108, 116, 123, 132, 142, 145, 146, 148, 151, 155, 164, 170, 201], "too": [19, 78, 148, 191], "took": 153, "tool": [0, 113, 115, 125, 148, 156, 163, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 190, 191, 192, 194, 195, 196, 198, 202, 203], "top": [0, 29, 48, 74, 136, 139, 140, 146, 170, 187, 190, 191, 192, 194, 197, 198, 199, 200], "top_level": [6, 148], "top_level_": 170, "top_level_archgrp": 170, "top_level_path": 170, "total": [0, 10, 12, 21, 29, 33, 39, 41, 42, 45, 46, 48, 57, 79, 93, 102, 113, 115, 199, 202], "total_error": 148, "tpacket_s": 135, "tph": 117, "tr": 148, "tr_dut": [135, 148], "tr_in_a": 135, "tr_in_b": 135, "tr_model": [135, 148], "tr_out": [135, 148], "tr_paket": 148, "tr_port": 148, "track": 19, "traffic": [20, 29, 68, 70, 129, 139, 140, 141, 142, 148, 153], "traget": [10, 39, 46], "tran": [19, 122, 168], "tranasciev": 153, "trans_a_col": 19, "trans_a_item": 19, "trans_b_col": 19, "trans_b_item": 19, "trans_comp_": 19, "trans_comp_dst_rdi": 19, "trans_comp_meta": 19, "trans_comp_src_rdi": 19, "trans_dst_rdi": 19, "trans_fifo_item": 19, "trans_fifo_s": [78, 115], "trans_len": 19, "trans_meta": 19, "trans_mtu": 19, "trans_sort": 168, "trans_src_rdi": 19, "trans_stream": 19, "trans_vld": 19, "transact": [19, 24, 31, 33, 35, 41, 43, 47, 48, 78, 79, 88, 90, 92, 94, 103, 104, 105, 106, 108, 110, 114, 115, 117, 118, 119, 121, 122, 129, 130, 131, 132, 133, 134, 135, 138, 139, 140, 141, 142, 143, 145, 146, 147, 148, 150, 153, 156, 168, 194, 198, 199, 200, 205], "transaction_count": 151, "transal": 120, "transceiv": [111, 170, 191, 192, 194], "transfer": [1, 12, 19, 47, 49, 70, 78, 79, 86, 90, 108, 110, 151, 163, 164, 168, 190, 193, 194, 195, 196, 198, 199, 200], "transform": [49, 71, 123, 133, 199], "transit": [70, 80, 85, 114, 136], "translat": [24, 29, 170, 192, 198], "transmiss": [49, 51, 68, 79, 94, 96, 100, 104, 108, 114, 115, 148, 200, 201, 202], "transmit": [1, 24, 47, 53, 68, 70, 73, 74, 79, 94, 102, 103, 105, 106, 108, 111, 113, 114, 115, 133, 153, 187, 199, 200, 201, 202, 203, 204], "transmitt": [79, 108], "transport": 37, "transs": 19, "trasfer": 117, "trasform": 166, "trbuf_reg_en": [41, 48], "treadi": [128, 143], "treat": 49, "treatment": 186, "tree": [27, 29, 41, 47, 139, 140, 164, 199], "trfc": 113, "trfch": 113, "trfcl": 113, "tri": [66, 164, 170, 194], "tricki": 70, "trigger": [3, 5, 6, 7, 22, 62, 78, 80, 82, 84, 109, 154, 170], "trim": [55, 57], "trimmer": 166, "tripl": 190, "true": [3, 4, 5, 7, 8, 9, 11, 14, 16, 18, 19, 26, 27, 28, 29, 40, 48, 50, 51, 52, 60, 61, 64, 65, 66, 69, 72, 74, 76, 78, 80, 81, 85, 92, 93, 95, 99, 100, 102, 105, 106, 110, 113, 115, 120, 125, 148, 170, 187, 191], "truli": 137, "truncat": 57, "trx": 148, "try": [12, 33, 62, 92, 194, 195], "try_get": [132, 143, 146, 148], "try_next_item": 148, "ts_demo_en": 187, "ts_dv": 125, "ts_format": 68, "ts_mult_smart_dsp": 125, "ts_mult_use_dsp": 125, "ts_n": [124, 125], "ts_tsu": 124, "ts_width": 68, "tsel": [16, 52], "tsu": [0, 24, 68, 74, 113, 163, 187, 190, 191, 192, 194, 200, 203], "tsu_clk": [187, 190, 203], "tsu_format_to_n": [124, 125], "tsu_gen": [125, 203], "tsu_reset": [190, 203], "tsu_rst": 187, "tsu_ts_dv": [113, 187], "tsu_ts_n": [113, 187, 190, 203], "tsu_ts_vld": [190, 203], "ttarget": 170, "ttarget_": 170, "ttarget_myproc": 170, "ttext": 148, "ttx": 148, "tune": [163, 170], "tunnig": 170, "turn": [6, 71, 111, 115, 194], "tuser": [121, 128, 143], "tuser_width": [3, 128, 143], "tutori": 207, "tvalid": 128, "twice": [61, 71, 194], "two": [3, 5, 6, 7, 16, 19, 23, 38, 41, 47, 49, 52, 53, 57, 58, 59, 61, 63, 65, 66, 67, 70, 71, 72, 73, 74, 75, 76, 79, 80, 83, 84, 85, 89, 90, 92, 93, 98, 100, 102, 104, 108, 113, 114, 117, 122, 125, 126, 128, 129, 132, 133, 134, 135, 137, 139, 140, 141, 142, 143, 145, 146, 147, 148, 149, 150, 153, 155, 156, 160, 163, 165, 168, 170, 174, 184, 186, 190, 191, 193, 194, 199], "tx": [0, 3, 9, 24, 35, 46, 48, 50, 52, 53, 57, 60, 61, 62, 63, 64, 65, 66, 67, 68, 70, 71, 72, 73, 74, 75, 77, 78, 80, 82, 84, 91, 93, 94, 95, 96, 98, 100, 102, 103, 105, 106, 113, 127, 128, 132, 133, 137, 138, 139, 140, 143, 146, 147, 148, 149, 151, 163, 170, 187, 190, 194, 196, 201, 202, 204], "tx0_mfb_data": [72, 73], "tx0_mfb_dst_rdy": [72, 73], "tx0_mfb_eof": [72, 73], "tx0_mfb_eof_po": [72, 73], "tx0_mfb_meta": 73, "tx0_mfb_sof": [72, 73], "tx0_mfb_sof_po": [72, 73], "tx0_mfb_src_rdy": [72, 73], "tx0_mvb_dst_rdy": 72, "tx0_mvb_hdr": 72, "tx0_mvb_meta": 72, "tx0_mvb_payload": 72, "tx0_mvb_src_rdy": 72, "tx0_mvb_vld": 72, "tx1_mfb_data": [72, 73], "tx1_mfb_dst_rdy": [72, 73], "tx1_mfb_eof": [72, 73], "tx1_mfb_eof_po": [72, 73], "tx1_mfb_meta": 73, "tx1_mfb_sof": [72, 73], "tx1_mfb_sof_po": [72, 73], "tx1_mfb_src_rdy": [72, 73], "tx1_mvb_dst_rdy": 72, "tx1_mvb_hdr": 72, "tx1_mvb_meta": 72, "tx1_mvb_payload": 72, "tx1_mvb_src_rdy": 72, "tx1_mvb_vld": 72, "tx_": 194, "tx_addr": [88, 92], "tx_aempti": 80, "tx_ardi": [88, 92], "tx_axis_tdata": 3, "tx_axis_tkeep": 3, "tx_axis_tlast": 3, "tx_axis_treadi": 3, "tx_axis_tus": 3, "tx_axis_tvalid": 3, "tx_be": 92, "tx_block_siz": [35, 71, 113, 115], "tx_block_vld": [62, 76], "tx_channel": [48, 93], "tx_channel_b": 62, "tx_chsum_bypass": 77, "tx_clk": [3, 78, 80, 84, 113, 115, 170], "tx_compar": 148, "tx_compare_": 148, "tx_data": [8, 9, 49, 55, 58, 59, 60, 61, 63, 69, 71, 75, 76, 80, 82, 84, 93, 94, 95, 96, 97, 98, 100, 102, 103, 105, 106, 109], "tx_data0": 98, "tx_data1": 98, "tx_data_in": 64, "tx_data_out": 64, "tx_dbg_core_base_addr": 170, "tx_dma_calypt": 47, "tx_dma_chan_start_stop_ctrl": 42, "tx_dma_channel": [50, 187], "tx_dma_metadata_extractor": 43, "tx_dma_pcie_trans_buff": 45, "tx_dma_pkt_dispatch": 44, "tx_dma_sw_manag": [42, 46], "tx_drd": [88, 92], "tx_drdy": [88, 92], "tx_dst_rdy": [8, 9, 49, 55, 58, 59, 61, 63, 69, 71, 75, 76, 80, 82, 84, 93, 94, 95, 96, 97, 98, 100, 102, 103, 105, 109, 114], "tx_dst_rdy_in": 64, "tx_dst_rdy_out": 64, "tx_dwr": [88, 92], "tx_enabl": 60, "tx_env": 148, "tx_env_": 148, "tx_env_bas": 148, "tx_eof": [55, 58, 59, 60, 69, 71, 76, 80, 82, 84, 114], "tx_eof_in": 64, "tx_eof_mask": 61, "tx_eof_one_hot": 62, "tx_eof_origin": 61, "tx_eof_out": 64, "tx_eof_po": [55, 58, 59, 60, 61, 69, 71, 76, 80, 82, 84, 114], "tx_eof_pos_in": 64, "tx_eof_pos_out": 64, "tx_eof_unmask": 61, "tx_eop": [49, 75], "tx_eop_po": [49, 75], "tx_fifo_aempti": 3, "tx_fifo_statu": 3, "tx_gen_en": 48, "tx_input": 148, "tx_input_data": 148, "tx_input_meta": 148, "tx_item": 106, "tx_item_vld": 76, "tx_item_width": [35, 71, 98, 113, 115], "tx_length": 63, "tx_link_up": 187, "tx_mac_lit": [115, 192, 194], "tx_mask": 61, "tx_meta": [55, 58, 59, 60, 61, 63, 69, 71, 75, 76, 80, 82, 84, 97], "tx_meta_in": 64, "tx_meta_out": 64, "tx_metadata": 9, "tx_mfb": 148, "tx_mfb_": 194, "tx_mfb_data": [35, 38, 40, 51, 52, 53, 57, 62, 63, 65, 66, 67, 68, 70, 72, 73, 74, 78, 81, 104, 113, 114, 115, 187], "tx_mfb_dst_rdy": [35, 38, 40, 51, 52, 53, 57, 62, 63, 65, 66, 67, 68, 70, 72, 73, 74, 78, 81, 104, 113, 114, 115, 187], "tx_mfb_eof": [35, 38, 40, 51, 52, 53, 57, 62, 63, 65, 66, 67, 68, 70, 72, 73, 74, 78, 81, 104, 113, 114, 115, 187], "tx_mfb_eof_po": [35, 38, 40, 51, 52, 53, 57, 62, 63, 65, 66, 67, 68, 70, 72, 73, 74, 78, 81, 104, 113, 114, 115, 187], "tx_mfb_meta": [35, 51, 52, 63, 65, 66, 67, 68, 70, 73, 74, 78, 81, 104], "tx_mfb_meta_new": 67, "tx_mfb_sof": [35, 38, 40, 51, 52, 53, 57, 62, 63, 65, 66, 67, 68, 70, 72, 73, 74, 78, 81, 104, 113, 114, 115, 187], "tx_mfb_sof_po": [35, 38, 40, 51, 52, 53, 57, 62, 63, 65, 66, 67, 68, 70, 72, 73, 74, 78, 81, 104, 113, 114, 115, 187], "tx_mfb_src_rdy": [35, 38, 40, 51, 52, 53, 57, 62, 63, 65, 66, 67, 68, 70, 72, 73, 74, 78, 81, 104, 113, 114, 115, 187], "tx_mfb_usermeta": 53, "tx_mvb_": 194, "tx_mvb_channel": [62, 81], "tx_mvb_data": [63, 72, 77, 113, 187], "tx_mvb_discard": 62, "tx_mvb_dst_rdy": [53, 57, 62, 63, 65, 72, 77, 81, 110, 113, 187], "tx_mvb_hdr": 65, "tx_mvb_hdr_meta": [62, 81], "tx_mvb_len": [62, 81], "tx_mvb_lut_addr": 110, "tx_mvb_lut_data": 110, "tx_mvb_meta": 77, "tx_mvb_metadata": 110, "tx_mvb_payload": [65, 72], "tx_mvb_src_rdy": [53, 57, 62, 63, 65, 72, 77, 81, 110, 113, 187], "tx_mvb_usermeta": [53, 57], "tx_mvb_vld": [53, 57, 62, 63, 65, 72, 77, 81, 110, 113, 187], "tx_mwr": 92, "tx_new_sof": 63, "tx_next": 106, "tx_offset": 63, "tx_old_sof": 63, "tx_op": 105, "tx_op_data": 105, "tx_op_data_consum": 105, "tx_op_dst_rdi": 105, "tx_op_src_rdi": 105, "tx_op_vld": 105, "tx_out": 148, "tx_out_": 148, "tx_output": 148, "tx_path_40g": 111, "tx_pkt_lng": 62, "tx_ptr_width": 48, "tx_rd": [88, 92], "tx_region": [35, 71, 75, 113, 115], "tx_region_s": [35, 71, 113, 115], "tx_region_shar": 76, "tx_region_vld": 76, "tx_reset": [3, 78, 80, 84, 113, 115], "tx_respons": 105, "tx_response_vld": 105, "tx_sel_channel": 48, "tx_sof": [55, 58, 59, 60, 69, 71, 76, 80, 82, 84, 114], "tx_sof_in": 64, "tx_sof_mask": [61, 63], "tx_sof_one_hot": 62, "tx_sof_origin": 61, "tx_sof_out": 64, "tx_sof_po": [55, 58, 59, 60, 61, 69, 71, 76, 80, 82, 84], "tx_sof_pos_b": 62, "tx_sof_pos_in": 64, "tx_sof_pos_out": 64, "tx_sof_unmask": 61, "tx_sop": [49, 75], "tx_sop_po": [49, 75], "tx_src_rdy": [8, 9, 49, 55, 58, 59, 60, 61, 63, 69, 71, 75, 76, 80, 82, 84, 93, 94, 95, 96, 97, 98, 100, 102, 103, 105, 109, 114], "tx_src_rdy_in": 64, "tx_src_rdy_origin": 61, "tx_src_rdy_out": 64, "tx_src_rdy_unmask": 61, "tx_statu": 80, "tx_valid": 97, "tx_vld": [93, 94, 95, 96, 98, 100, 102, 103, 105, 106, 109], "tx_word": 63, "tx_wr": [88, 92], "txmac": [0, 192], "txmac0": [0, 192], "txmac1": [0, 192], "txn": 111, "txp": 111, "txpolar": 111, "txt": 185, "type": [3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 20, 22, 24, 26, 27, 28, 30, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 50, 51, 52, 53, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 84, 85, 86, 87, 88, 89, 92, 93, 94, 95, 96, 97, 98, 99, 100, 102, 103, 104, 105, 106, 108, 109, 110, 111, 113, 114, 115, 117, 118, 119, 120, 121, 123, 124, 125, 126, 129, 132, 133, 134, 135, 139, 140, 141, 142, 143, 145, 146, 147, 148, 152, 153, 160, 165, 170, 175, 186, 187, 190, 192, 194, 197, 199, 201], "type_id": [129, 132, 135, 141, 142, 143, 146, 147, 148, 154, 156], "type_item": 148, "typedef": 148, "typic": [2, 24, 116, 148, 157, 158, 161, 162, 166, 167, 169, 170, 190, 192, 195, 201, 204, 205], "u": [12, 20, 92, 148], "u200": 163, "u55c": 163, "u_array_t": 63, "uart": 184, "ucdb": 148, "udp": 77, "ug": [160, 165], "ug573": [160, 165], "ug574": [160, 165], "ultrascal": [3, 4, 5, 6, 7, 16, 18, 20, 30, 35, 36, 37, 41, 42, 43, 44, 45, 47, 48, 52, 53, 57, 61, 63, 64, 65, 67, 68, 71, 72, 73, 74, 77, 78, 80, 81, 82, 85, 93, 103, 105, 109, 113, 115, 117, 120, 121, 125, 160, 165, 170, 173, 194, 195, 197, 199, 204], "umii": [113, 115], "unabl": 86, "unalign": [25, 49, 71], "unansw": 191, "unchang": [13, 48, 70, 114, 170], "uncom": 6, "uncov": 148, "undef_behaw_when_wr_to_same_address": 16, "undefin": [7, 14, 16, 28, 30, 33, 36, 79, 90, 155, 190, 194], "under": [29, 47, 68, 70, 132, 137, 143, 146, 147, 148, 163, 178, 193, 195], "undergo": 114, "underli": [14, 191], "unders": 194, "underscor": 79, "understand": [70, 90], "understood": 108, "unexpect": [85, 120], "unfortun": [70, 148, 195], "unifi": 199, "uniform": [129, 141, 142, 148, 170], "uniqu": [24, 116, 122, 123, 148, 190, 192, 194], "unit": [12, 19, 20, 21, 23, 24, 27, 50, 62, 63, 65, 70, 78, 79, 83, 86, 91, 105, 108, 113, 115, 116, 122, 123, 124, 125, 148, 157, 164, 168, 194, 198, 200, 205], "unitid": 24, "univers": [5, 6, 11, 160, 164, 165, 195], "universalclass": 11, "unix": 203, "unless": [8, 148], "unlik": 79, "unlimit": 4, "unmask": [61, 187], "unnessesari": 71, "unpack": [148, 166], "unpacking_stag": 63, "unpaus": [68, 74], "unprocess": 114, "unregist": 29, "unreli": 170, "unselect": [74, 164], "unsign": [63, 129, 135, 148, 151, 154, 155], "unsort": 47, "unspecifi": 170, "unsuccess": 191, "unsupport": [184, 191, 199], "until": [19, 21, 23, 29, 31, 33, 34, 51, 60, 61, 62, 63, 70, 86, 90, 103, 123, 133, 148, 151, 155, 160, 196, 202], "untouch": 170, "unus": [9, 18, 49, 86, 125], "unuseful": 148, "unverifi": [47, 61], "unwant": 61, "up": [7, 19, 29, 41, 47, 49, 63, 71, 83, 111, 113, 114, 115, 116, 129, 133, 135, 139, 140, 141, 148, 153, 155, 163, 164, 170, 171, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 190, 193, 194, 195, 199, 201, 202], "upd_hdp_chan": 44, "upd_hdp_data": 44, "upd_hdp_en": 44, "upd_hhp_chan": 44, "upd_hhp_data": 44, "upd_hhp_en": 44, "updat": [12, 25, 31, 36, 37, 44, 63, 122, 127, 170, 192, 202], "update_cnt": 127, "update_cnt_width": 127, "upfront": 70, "upi": 176, "upload": [29, 196], "upon": 70, "upper": [41, 47, 113, 124], "upstream": [48, 122, 123], "upvar": 170, "uram": [6, 82, 105, 109, 160, 165], "us": [0, 1, 4, 5, 6, 7, 8, 9, 10, 11, 12, 14, 16, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 37, 39, 40, 41, 48, 49, 51, 53, 57, 60, 61, 62, 64, 65, 68, 70, 71, 74, 77, 78, 79, 80, 82, 83, 85, 86, 89, 90, 91, 93, 99, 100, 102, 106, 108, 110, 111, 113, 114, 115, 116, 118, 120, 122, 123, 124, 125, 126, 128, 129, 130, 131, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 148, 149, 150, 151, 152, 153, 154, 155, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 186, 187, 191, 192, 193, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205], "usabl": [120, 153], "usag": [13, 22, 31, 33, 34, 62, 65, 122, 136, 164, 170, 175], "usb": [171, 172, 173, 174, 175, 176, 177, 179, 184], "use_clk2": 19, "use_clk_arb": 19, "use_dsp_cnt": 115, "use_dst_rdi": 69, "use_fifox_multi": 102, "use_mux_impl": 106, "use_outreg": [72, 89], "use_pacp_arch": 51, "use_pip": 61, "use_xpm_librari": 170, "used_in": 170, "useful": 43, "useless": 40, "user": [0, 3, 4, 7, 12, 16, 19, 20, 21, 23, 25, 26, 33, 41, 43, 44, 47, 48, 50, 51, 53, 55, 57, 61, 63, 70, 71, 74, 83, 90, 92, 93, 104, 117, 121, 133, 148, 150, 152, 155, 156, 160, 165, 170, 176, 177, 179, 180, 184, 186, 187, 190, 192, 193, 194, 197, 199, 200], "user_const": [170, 192], "user_env": 170, "user_rx_mfb_block_s": 41, "user_rx_mfb_data": 41, "user_rx_mfb_dst_rdi": 41, "user_rx_mfb_eof": 41, "user_rx_mfb_eof_po": 41, "user_rx_mfb_item_width": 41, "user_rx_mfb_meta_chan": 41, "user_rx_mfb_meta_hdr_meta": 41, "user_rx_mfb_region": 41, "user_rx_mfb_region_s": 41, "user_rx_mfb_sof": 41, "user_rx_mfb_sof_po": 41, "user_rx_mfb_src_rdi": 41, "user_to_cor": 148, "usermeta_width": [53, 57], "usp": [120, 170, 171, 172, 173, 178, 181, 182, 199], "usr": 29, "usr_mfb": 42, "usr_mfb_": 42, "usr_mfb_block_s": 48, "usr_mfb_data": [42, 43, 44], "usr_mfb_dst_rdi": [42, 43, 44], "usr_mfb_eof": [42, 43, 44], "usr_mfb_eof_po": [42, 43, 44], "usr_mfb_item_width": 48, "usr_mfb_meta": [42, 43], "usr_mfb_meta_byte_en": 43, "usr_mfb_meta_chan": 44, "usr_mfb_meta_hdr_meta": 44, "usr_mfb_meta_pkt_s": 44, "usr_mfb_region": 48, "usr_mfb_region_s": 48, "usr_mfb_sof": [42, 43, 44], "usr_mfb_sof_po": [42, 43, 44], "usr_mfb_src_rdi": [42, 43, 44], "usr_pkt_size_max": 62, "usr_rx_mfb_data": 48, "usr_rx_mfb_dst_rdi": 48, "usr_rx_mfb_eof": 48, "usr_rx_mfb_eof_po": 48, "usr_rx_mfb_meta_chan": 48, "usr_rx_mfb_meta_hdr_meta": 48, "usr_rx_mfb_sof": 48, "usr_rx_mfb_sof_po": 48, "usr_rx_mfb_src_rdi": 48, "usr_rx_pkt_size_max": [48, 62], "usr_rx_pkt_size_min": 62, "usr_tx_": 47, "usr_tx_mfb": 47, "usr_tx_mfb_block_s": 47, "usr_tx_mfb_data": [47, 48], "usr_tx_mfb_dst_rdi": [47, 48], "usr_tx_mfb_eof": [47, 48], "usr_tx_mfb_eof_po": [47, 48], "usr_tx_mfb_item_width": 47, "usr_tx_mfb_meta_chan": [47, 48], "usr_tx_mfb_meta_hdr_meta": [47, 48], "usr_tx_mfb_meta_pkt_s": [47, 48], "usr_tx_mfb_region": 47, "usr_tx_mfb_region_s": 47, "usr_tx_mfb_sof": [47, 48], "usr_tx_mfb_sof_po": [47, 48], "usr_tx_mfb_src_rdi": [47, 48], "usr_tx_pkt_size_max": 48, "usual": [48, 90, 114, 148, 170, 180], "util": [20, 52, 90, 175, 192, 194, 196], "uvm": [106, 126, 132, 136, 143, 146, 147, 150, 151, 163, 202], "uvm_act": [132, 143, 146, 147], "uvm_active_passive_enum": 137, "uvm_ag": 148, "uvm_analysis_": 148, "uvm_analysis_export": 148, "uvm_analysis_imp_data": 148, "uvm_analysis_imp_decl": 148, "uvm_analysis_imp_export": 135, "uvm_analysis_imp_meta": 148, "uvm_analysis_imp_reset": 148, "uvm_analysis_port": 148, "uvm_app_cor": 135, "uvm_avmm": 126, "uvm_avst_crdt": 127, "uvm_barri": 148, "uvm_bitstream_t": 148, "uvm_common": [135, 138, 148], "uvm_compon": [132, 135, 143, 146, 147, 148], "uvm_component_param_util": [135, 148], "uvm_component_util": [135, 148], "uvm_components_": 148, "uvm_components_util": 148, "uvm_componet_util": [132, 143, 146, 147], "uvm_config_db": [132, 143, 146, 147, 148], "uvm_debug": 148, "uvm_declare_p_sequenc": 148, "uvm_do": 148, "uvm_do_on": 148, "uvm_do_with": [148, 156], "uvm_driv": 148, "uvm_env": 148, "uvm_ev": [148, 154], "uvm_event_callback": 154, "uvm_ful": 148, "uvm_high": 148, "uvm_info": 156, "uvm_intel_mac_seg": 137, "uvm_lbu": 138, "uvm_logic_vector": [135, 148], "uvm_logic_vector_arrai": [137, 148], "uvm_logic_vector_array_intel_mac_seg": 137, "uvm_low": [47, 148], "uvm_max_quit_count": 148, "uvm_medium": [148, 156], "uvm_mfb": 47, "uvm_mi": 156, "uvm_no_act": 148, "uvm_non": 148, "uvm_object": [148, 154], "uvm_object_": 148, "uvm_object_param_util": [148, 154], "uvm_object_util": [135, 148], "uvm_packag": 148, "uvm_pass": [132, 143, 146, 147], "uvm_phas": [132, 135, 143, 146, 147, 148, 154], "uvm_pool": 148, "uvm_prob": 154, "uvm_root": 148, "uvm_scoreboard": [135, 148], "uvm_sequ": [135, 148], "uvm_sequenc": 148, "uvm_sequence_item": 148, "uvm_sequence_librari": 148, "uvm_sequence_library_util": 148, "uvm_sim": 156, "uvm_subscrib": 148, "uvm_test": [132, 143, 146, 147, 148], "uvm_testnam": 148, "uvm_tlm_analysis_": 148, "uvm_tlm_analysis_fifo": 135, "uvmcontrol": 148, "v": [27, 175], "v1": 192, "v_mfb_tx": 148, "v_tx_mfb": 148, "val": 148, "valekv": 191, "valid": [3, 5, 7, 18, 19, 20, 23, 24, 28, 31, 35, 36, 37, 43, 49, 52, 53, 55, 57, 58, 59, 60, 61, 62, 63, 65, 66, 67, 68, 70, 71, 73, 74, 76, 77, 78, 79, 80, 81, 83, 84, 86, 90, 91, 93, 95, 97, 98, 99, 100, 102, 104, 105, 106, 108, 111, 113, 115, 116, 117, 120, 121, 122, 125, 130, 131, 132, 134, 137, 139, 140, 146, 148, 150, 151, 153, 164, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 186, 187, 190, 191, 194, 196, 203], "valu": [3, 4, 5, 6, 7, 9, 10, 11, 12, 16, 18, 19, 20, 22, 23, 24, 27, 28, 33, 37, 39, 41, 44, 47, 48, 49, 51, 55, 58, 60, 61, 62, 63, 65, 68, 69, 70, 71, 72, 74, 77, 78, 79, 80, 82, 86, 90, 91, 92, 93, 94, 103, 104, 106, 110, 113, 114, 115, 116, 117, 120, 121, 122, 125, 127, 130, 131, 134, 135, 148, 150, 151, 152, 155, 156, 163, 164, 169, 170, 180, 186, 187, 190, 192, 193, 195, 196, 199, 202], "value_0": 27, "value_1": 27, "value_2": 27, "value_cnt": 27, "value_en": 27, "value_vld_0": 27, "value_vld_1": 27, "value_vld_2": 27, "value_width": 27, "valuecmd": 27, "values_vld": 27, "varabl": 170, "vari": [12, 47, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 196, 199], "variabl": [12, 33, 128, 129, 132, 137, 141, 142, 143, 146, 147, 148, 149, 150, 151, 155, 164, 191], "variable_nam": 154, "variant": [1, 65, 113, 115, 117, 127, 157, 164, 182, 192, 194, 196, 199], "variou": [48, 113, 114, 115, 116, 148, 160, 170, 191, 195], "vast": 90, "vcover": 148, "vcu118": 163, "vector": [20, 46, 52, 62, 77, 79, 87, 105, 108, 132, 136, 143, 146, 150, 151, 164, 168], "vendor": [116, 163, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 191, 192, 199], "ver": 148, "ver_bas": 148, "verbos": [47, 148], "veri": [8, 19, 71, 74, 90, 132, 143, 146, 147, 148, 170, 191, 197], "verif": [70, 106, 126, 134, 135, 136, 139, 140, 150, 155, 156, 163, 170, 202], "verifi": [6, 47, 61, 65, 133, 148], "verilog": 170, "versa": [42, 113, 199], "versatil": 79, "version": [34, 52, 62, 70, 80, 94, 115, 116, 160, 163, 165, 170, 172, 174, 175, 180, 183, 184, 191, 192, 195, 196], "vfid": 24, "vhd": [11, 33, 148, 170, 191, 192, 198], "vhdl": [12, 116, 170, 192, 198, 199], "vhdl2008": 170, "vhdl98": 170, "vhdl_dut_u": 154, "vhdlpkgbool": 191, "vhdlpkggen": 191, "vhdlpkghexvector": 191, "vhdlpkgint": 191, "vhdlpkgstring": 191, "vhld": 148, "via": [27, 29, 31, 33, 70, 74, 79, 108, 111, 125, 152, 168, 170, 175, 179, 187, 190, 191, 194, 198, 199, 200, 202, 205], "viabl": 170, "vice": [42, 113, 199], "view": 20, "vif": 148, "vif_nam": 148, "violat": 85, "virtex": [165, 173], "virtex7": [117, 170], "virtual": [24, 62, 79, 121, 132, 135, 143, 146, 147, 150, 154, 156, 194], "virtual_debug_en": 29, "visibl": [61, 191], "visit": [148, 191], "visual": 61, "viti": 178, "vitistm": 178, "vivado": [124, 170, 171, 172, 173, 178, 181, 182, 195, 196], "vivado_ip_xact": 170, "vivado_set_properti": 170, "vld": [34, 99, 148, 151], "void": [132, 135, 143, 146, 147, 148, 154, 156], "voltag": [25, 168], "volunt": 202, "vsec": [116, 192, 199], "vsim": [148, 170], "vu9p": [163, 171], "w": [0, 31, 32, 33, 34, 41, 47, 70, 113, 115, 190, 196, 198], "wa": [0, 12, 27, 33, 48, 61, 62, 70, 71, 90, 92, 105, 113, 122, 148, 155, 156, 164, 166, 169, 184, 186, 191, 194], "wai": [0, 12, 13, 19, 20, 21, 62, 63, 67, 70, 71, 83, 91, 92, 113, 114, 122, 123, 129, 134, 139, 140, 141, 153, 156, 170, 191, 192, 194], "wait": [20, 29, 31, 33, 34, 61, 66, 78, 86, 103, 105, 114, 115, 122, 123, 135, 148, 151, 154, 196], "waitrequest": [25, 86], "waitrequestallow": 86, "want": [9, 12, 20, 61, 70, 71, 90, 91, 92, 103, 113, 126, 148, 152, 156, 186, 194, 196, 202], "warn": [7, 19, 62, 71, 78, 148, 180, 187], "wast": 49, "watchdog": 135, "waveform": 108, "wclk": 17, "we": [12, 17, 20, 23, 53, 70, 71, 83, 90, 91, 92, 148, 190, 192, 194, 196, 199, 201, 202], "websit": [171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184], "well": [8, 9, 19, 21, 44, 47, 48, 50, 60, 62, 79, 83, 90, 91, 92, 148, 170, 191, 192, 194, 197], "were": [6, 15, 29, 47, 61, 90, 113, 115, 135, 148, 191], "what": [6, 82, 92, 105, 109, 132, 148, 156, 163], "whatev": 70, "when": [4, 5, 6, 7, 8, 9, 10, 12, 13, 14, 15, 16, 18, 19, 20, 21, 22, 23, 27, 28, 29, 31, 33, 35, 37, 42, 46, 49, 50, 53, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 70, 71, 74, 76, 78, 79, 81, 82, 83, 85, 86, 90, 91, 92, 94, 96, 97, 99, 100, 102, 105, 106, 108, 109, 113, 114, 115, 116, 122, 123, 129, 130, 131, 132, 133, 134, 135, 137, 139, 140, 141, 142, 146, 148, 150, 151, 153, 154, 155, 164, 170, 186, 190, 191, 192, 194, 202], "whenev": [33, 61, 73, 148], "where": [5, 7, 9, 23, 25, 29, 47, 53, 61, 63, 64, 70, 74, 78, 79, 83, 99, 110, 114, 115, 122, 125, 148, 150, 155, 156, 164, 170, 174, 176, 177, 184, 190, 191, 192, 196, 197, 201, 202], "wherea": [79, 108, 148], "whether": [12, 18, 22, 52, 63, 68, 70, 74, 79, 83, 90, 113, 115, 125, 137, 148, 150, 152], "whic": 37, "which": [0, 1, 4, 8, 11, 12, 16, 19, 20, 21, 23, 27, 29, 33, 34, 37, 38, 40, 41, 42, 44, 45, 46, 47, 49, 51, 52, 58, 60, 61, 62, 63, 68, 70, 71, 74, 78, 79, 83, 88, 90, 92, 94, 96, 102, 103, 105, 108, 113, 114, 115, 122, 123, 126, 128, 129, 130, 131, 132, 134, 135, 137, 139, 140, 141, 142, 143, 146, 147, 148, 149, 150, 151, 153, 155, 156, 160, 163, 165, 168, 170, 184, 186, 190, 191, 192, 194, 195, 198, 199, 201], "while": [12, 20, 29, 71, 83, 90, 113, 114, 135, 155, 163, 168, 170], "whole": [0, 9, 13, 20, 31, 32, 33, 35, 38, 40, 43, 47, 62, 63, 70, 71, 79, 91, 105, 108, 113, 115, 120, 125, 129, 133, 139, 140, 141, 142, 148, 153, 180, 190, 194, 198], "whose": [108, 164], "why": [12, 90, 148, 155], "wide": [19, 20, 49, 71, 89, 90, 92, 116, 163], "wider": [52, 71, 91], "width": [3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 16, 18, 19, 20, 23, 26, 27, 28, 29, 30, 31, 32, 33, 36, 37, 39, 41, 45, 46, 47, 48, 49, 50, 51, 52, 53, 57, 58, 63, 65, 67, 68, 70, 72, 73, 74, 77, 78, 79, 80, 81, 82, 85, 86, 87, 88, 90, 91, 92, 93, 95, 98, 99, 100, 102, 103, 104, 105, 106, 109, 113, 115, 117, 120, 121, 123, 125, 134, 139, 140, 148, 150, 151, 153, 154, 160, 164, 187, 190, 192, 194, 196, 199, 203], "wip": [113, 115], "wire": [6, 86, 89, 109, 120, 148, 154, 164, 168, 190, 198], "wish": [70, 148], "wit": 16, "within": [0, 19, 39, 41, 42, 45, 46, 47, 52, 62, 63, 68, 70, 71, 74, 77, 78, 79, 108, 135, 139, 140, 163, 170, 192, 195], "withing": 63, "withnout": 112, "without": [3, 5, 21, 29, 49, 61, 63, 67, 79, 80, 85, 105, 108, 113, 114, 115, 117, 121, 133, 148, 164, 170, 175, 190, 192, 193, 194, 195, 199, 202], "withouth": 61, "wo": [70, 125], "won": 70, "word": [0, 3, 5, 6, 7, 16, 18, 19, 21, 24, 25, 26, 31, 32, 33, 34, 35, 38, 40, 49, 50, 51, 52, 60, 61, 62, 63, 64, 65, 66, 68, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 91, 93, 95, 98, 100, 102, 105, 108, 109, 113, 114, 115, 117, 120, 121, 128, 132, 133, 134, 135, 139, 140, 143, 146, 147, 148, 149, 153, 156, 190, 195, 199], "word_siz": 148, "word_width": [79, 108, 151], "work": [7, 12, 15, 16, 19, 21, 25, 26, 27, 28, 30, 36, 42, 51, 70, 71, 83, 90, 92, 93, 99, 113, 115, 123, 126, 132, 135, 143, 145, 146, 150, 155, 170, 175, 187, 190, 192, 194, 195, 202], "workaround": 70, "workflow": 33, "world": [114, 148], "wors": 7, "worst": [12, 21, 62], "would": [0, 20, 52, 61, 71, 92, 113, 114, 148, 150, 191, 201], "wr": [6, 7, 33, 78, 83, 90, 150, 154], "wr_addr": [14, 16, 18, 81], "wr_addr_clear": 15, "wr_aful": [5, 80], "wr_and_rd_en_in": 154, "wr_be": [16, 18], "wr_clear": 15, "wr_clk": [5, 18], "wr_data": [5, 14, 16, 18, 81], "wr_en": [5, 14, 16, 18, 81, 154], "wr_full": 5, "wr_ie": 81, "wr_rst": [5, 18], "wr_statu": 5, "wrap": [26, 33, 133, 165], "wrapper": [0, 18, 20, 29, 89, 136, 168, 193], "write": [0, 5, 6, 12, 14, 16, 18, 19, 20, 21, 23, 24, 25, 26, 27, 28, 31, 32, 33, 34, 39, 41, 45, 46, 47, 51, 70, 74, 81, 85, 86, 88, 90, 93, 111, 113, 115, 116, 120, 121, 122, 123, 125, 126, 130, 131, 134, 135, 139, 140, 148, 150, 151, 153, 154, 156, 160, 164, 165, 170, 174, 175, 176, 177, 178, 184, 191, 192, 195, 198, 199, 202], "write_data": 148, "write_j": [174, 184], "write_meta": 148, "write_pof": [176, 177], "write_port": [7, 14, 16, 17], "write_reset": 148, "writedata": 126, "writeresponsevalid": 86, "written": [5, 6, 7, 18, 32, 34, 44, 45, 61, 70, 79, 81, 88, 90, 113, 148, 150, 180, 187, 207], "wrong": 148, "www": [11, 178], "x": [11, 27, 33, 61, 70, 73, 79, 120, 134, 135, 148, 194, 199], "x16": [48, 171, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 196, 199], "x8": [48, 199], "x8x8": [184, 199], "xanosecond": 125, "xci": 170, "xcku15p": 181, "xcu200": 171, "xcu55c": 172, "xcv": 199, "xcvr": 194, "xcvu7p": 170, "xcvu9p": [173, 182], "xczu19eg": 178, "xdc": 170, "xgmii": [113, 115], "xilinx": [5, 6, 14, 69, 82, 89, 105, 109, 111, 113, 115, 117, 123, 138, 160, 164, 165, 168, 170, 171, 172, 173, 178, 181, 182, 191, 194, 195, 196, 197, 199], "xlgmii": [111, 113, 115], "xlgmii_clk": 111, "xlgmii_rxc": 111, "xlgmii_rxd": 111, "xlgmii_txc": 111, "xlgmii_txd": 111, "xmii": 133, "xml": 34, "xnor": 164, "xor": 164, "xor48": 164, "xp": 70, "xpm_cdc": 170, "xpm_fifo": 170, "xpm_memori": 170, "xpm_memory_sdpram": 165, "xpresssx": 163, "xscn": 70, "xvc_enabl": 199, "xx": 90, "xxx_root_directori": 0, "xz": [116, 192], "y": 27, "yaml": 152, "ye": [150, 172, 174, 180, 181, 182, 183, 184, 195], "yet": [5, 86, 90, 163, 175, 193, 194, 202, 203], "you": [0, 7, 9, 12, 16, 19, 21, 22, 25, 26, 27, 29, 33, 53, 70, 71, 74, 88, 90, 92, 93, 99, 113, 115, 126, 128, 132, 135, 143, 146, 147, 148, 149, 152, 155, 156, 158, 163, 164, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 186, 190, 191, 192, 193, 194, 195, 196, 199, 202], "your": [0, 12, 26, 29, 33, 70, 74, 99, 126, 135, 156, 163, 175, 184, 185, 190, 191, 195, 196, 202], "your_card": 196, "your_design": 184, "your_ndk_firmwar": 196, "yourself": [12, 21], "yourselv": 92, "yum": 33, "z": 27, "zero": [0, 12, 16, 30, 33, 49, 51, 113, 148, 153], "zeroth": 79, "zynqmp_fsbl": 178}, "titles": ["Minimal NDK application", "Asynchronous modules", "Basic Tools", "AXIS_ASFIFOX", "DSP Comparator", "ASFIFOX", "FIFOX", "FIFOX Multi", "Register FIFO", "Barrel Shifter", "Multi MEMx Counter", "H3 Class Hash", "N_LOOP_OP", "Synchronous SR latch", "Live value table memory", "Memory clear", "Multi-port BRAM", "NP LUT RAM", "Simple dual-port BRAM", "CrossbarX", "Event Counter", "Packet Planner", "Pulse short", "Transaction Sorter", "Packages", "SDM CLIENT", "Mem logger", "Data logger", "Histogramer", "JTAG-over-protocol Client", "Latency meter", "AMM_GEN", "AMM_PROBE", "DDR4 Memory Tester", "MEM_TESTER Software", "Header Insertor", "Address Manager", "Header Manager", "Input Buffer", "Software Manager", "Transaction Buffer", "RX DMA Calypte", "Channel Start/stop control", "Metadata Extractor", "Packet Dispatcher", "Transaction buffer", "Software Manager", "TX DMA Calypte", "DMA Calypte", "FLU bus specification", "Gen Loop Switch (GLS)", "MFB Generator", "MFB MVB Appender", "MFB FRAME EXTENDER", "The verification of this component will be designed and implemented as part of the bachelor\u2019s thesis.", "MFB FRAME TRIMMER", "The verification of this component will be designed and implemented as part of the bachelor\u2019s thesis.", "CROSSBARX STREAM2", "MFB Cutter Simple", "MFB Dropper", "MFB Enabler", "MFB Frame Masker", "Frame Packer", "Frame Unpacker", "MFB Loopback", "MFB Merger", "MFB Merger Simple", "Metadata Insertor", "Packet Delayer", "MFB PIPE", "Rate Limiter", "MFB Reconfigurator", "MFB Splitter", "MFB Splitter Simple", "Timestamp Limiter", "MFB Trasformer", "MFB Auxiliary Signals", "Checksum Calculator", "CrossbarX Stream", "MFB specification", "MFB ASFIFOX", "Crossbarx Output Buffer", "MFB FIFOX", "MFB Packet Discard ASFIFO", "MFB PD ASFIFO SIMPLE", "MI ASYNC", "MI2AVMM", "MI2AXI4", "MI indirect access", "MI Pipe", "MI bus specification", "MI Reconfigurator", "MI Splitter Plus Gen", "MVB Channel Router", "MVB DEMUX", "MVB DISCARD", "MVB Gate", "MVB Item Collision Resolver", "MVB Merge Items", "SHAKEDOWN", "MVB Merge Streams", "The verification of this component will be designed and implemented as part of the bachelor\u2019s thesis.", "MVB Merge Streams Ordered", "MVB MUX", "MVB2MFB", "MVB Operation", "MVB Shakedown", "The verification of this component will be designed and implemented as part of the bachelor\u2019s thesis.", "MVB Specification", "MVB FIFOX", "MVB Lookup Table", "40GE Ethernet PHY for Ultrascale+ FPGAs", "BUFFER", "RX MAC LITE", "MFB -> LBUS reconfigurator (TX LBUS)", "TX MAC LITE", "PCI_EXT_CAP", "PCIE CONVERSION UNITS", "PCIE Byte Count", "PCIe Byte Enable Decoder", "MTC (MI Transaction Controller)", "PCIE Header parsing/deparsing", "PTC Tag Manager", "PTC (PCIe Transaction Controller)", "TSU Format to ns Convertor", "TSU GEN", "AVMM Agent", "AVST CRDT Agent", "AXI Agent", "Byte Array agent", "Byte Array to LII convert enviroment", "Byte Array to LII convert enviroment", "Byte_array_mfb environment", "Byte array to MII transitional environment", "Byte array to pma convert enviroment", "Common package", "Components", "Intel MAC SEG", "LBUS Agent", "LII agent", "LII agent", "Logic vector agent", "Logic Vector Array agent", "logic_vector_array_axi environment", "byte array to MAC SEG", "LOGIC VECTOR ARRAY LBUS Environment", "logic_vector_array_mfb environment", "logic_vector_mvb environment", "SystemVerilog and UVM tutorial", "MFB Agent", "MI agent", "MVB agent", "FlowTest Sequence", "PMA agent", "probe agent", "RESET agent", "UVM simulation", "Controllers & TSU", "Debug Tools", "DSP components", "FIFO components", "FL Tools", "FLU Tools", "Overview", "Basic logic elements", "Memory modules", "MFB Tools", "MI Tools", "Miscellaneous", "MVB Components", "Build System", "AMD Alveo U200", "AMD Alveo U55C", "AMD VCU118@VU9P", "Bittware IA-420F", "Bittware IA-440I", "Intel Stratix 10 DX FPGA DK", "Intel Agilex I-Series FPGA DK", "iWave G35P", "PRO DESIGN Falcon", "ReflexCES XpressSX AGI-FH400G", "Silicom fb2CGhh@KU15P", "Silicom fb4CGg3@VU9P", "Silicom N6010", "Terasic A2700", "Cocotb toplevel simulation core", "F-Tile Multirate IP", "NETWORK MODULE", "BUFFER", "CRDT Agent", "The Application", "Configuration files and parameters", "Device Tree", "The DMA module", "The Network Module", "Frequently Asked Questions", "How to start", "The Memory Controller", "The MI bus interconnect", "The PCIe module", "NDK Architecture", "NDK Terminology", "NDK testing", "Time Stamp Unit", "Network Tools", "PCIe Tools", "Shift registers", "UVM Verification"], "titleterms": {"": [54, 56, 101, 107], "1": [41, 47, 61, 79, 88, 92, 108, 114, 170, 186, 194], "10": 176, "2": [47, 79, 92, 108, 114, 170, 194], "3": [92, 114, 170, 194], "4": [114, 170, 194], "40ge": 111, "420f": 174, "440i": 175, "5": 114, "6": 114, "A": 90, "The": [0, 54, 56, 101, 107, 170, 190, 193, 194, 197, 198, 199], "a2700": 184, "ab": 92, "access": [88, 190, 194, 202], "ad": 191, "adapt": [113, 115], "add": 170, "addinputfil": 170, "addit": [12, 21, 83], "addr_bas": 92, "address": [27, 29, 36, 70, 88, 92, 111, 116, 198], "advanc": 170, "agent": [126, 127, 128, 129, 138, 139, 140, 141, 142, 148, 149, 150, 151, 153, 154, 155, 189], "agi": 180, "agilex": 177, "alloc": 198, "also": 195, "alveo": [171, 172], "amd": [171, 172, 173], "amm_gen": 31, "amm_prob": 32, "an": [195, 196], "app_conf": 191, "append": 52, "applic": [0, 163, 190, 191, 194, 195, 196], "ar": [195, 196], "architectur": [19, 20, 21, 23, 31, 33, 62, 71, 83, 91, 92, 111, 113, 115, 123, 200], "arcitectur": 63, "arrai": [129, 130, 131, 133, 134, 141, 142, 144, 145, 170], "asfifo": [83, 84], "asfifox": [5, 80], "ask": 195, "async": 85, "asynchron": [1, 160], "author": 191, "auxiliari": 76, "avail": 195, "avmm": 126, "avst": 127, "axi": 128, "axis_asfifox": 3, "bachelor": [54, 56, 101, 107], "barrel": 9, "base": 196, "basic": [2, 148, 164], "batch": 170, "behavior": 7, "between": 195, "bind": 154, "bit": 92, "bittwar": [174, 175], "block": [5, 6, 7, 12, 19, 25, 49, 63, 68, 74, 78, 122, 123], "board": 180, "boot": [174, 175, 176, 177, 178, 179, 184], "bram": [16, 18], "bu": [31, 32, 33, 49, 90, 139, 140, 153, 163, 198], "buffer": [38, 40, 45, 81, 112, 188], "build": [170, 186, 191, 192, 195, 196], "byte": [18, 118, 119, 129, 130, 131, 133, 134, 144], "byte_array_mfb": 132, "byte_array_port": 148, "c": 34, "calcul": 77, "callback": 154, "calypt": [41, 47, 48], "can": [191, 195], "captur": 20, "card": [116, 163, 191, 192, 195, 196], "card_conf": 191, "card_const": 191, "card_nam": 191, "ce_gener": 133, "channel": [42, 93, 201], "channel_align": 133, "check": 196, "checksum": 77, "chip": [170, 195], "class": [11, 126, 135], "clear": 15, "client": [25, 29], "clock": [160, 195], "cocotb": 185, "code": [49, 148], "collis": 97, "common": [135, 148], "commun": 195, "comp": 170, "compar": [4, 135], "compon": [15, 26, 27, 28, 30, 33, 46, 54, 56, 61, 101, 107, 123, 136, 159, 160, 169, 170, 191, 192], "config": [137, 151], "configur": [48, 70, 79, 123, 129, 132, 141, 142, 143, 146, 147, 148, 152, 170, 187, 191, 199], "constant": 191, "constraint": 71, "contact": 191, "content": [2, 157, 158, 162, 166, 167, 169, 204, 205, 207], "control": [26, 27, 31, 32, 33, 41, 42, 47, 114, 120, 123, 157, 193, 195, 197, 199], "convers": 117, "convert": [130, 131, 134], "convertor": 124, "copi": 49, "core": [185, 186, 191, 194, 199], "core_bootstrap": 191, "core_conf": 191, "core_const": 191, "corundum": 195, "count": 118, "counter": [10, 20], "coverag": 148, "crdt": [127, 189], "creat": 148, "crossbarx": [19, 57, 78, 81], "cutter": 58, "data": [27, 71], "data_buff": 133, "ddr4": 33, "debug": [29, 158], "decod": 119, "delay": 68, "demux": 94, "depars": 121, "depend": [191, 196], "descript": [15, 26, 27, 28, 30, 33, 79, 90, 108, 139, 140, 153, 170, 191], "design": [54, 56, 101, 107, 170, 179, 191], "develop": [192, 195], "devic": [116, 170, 171, 172, 173, 192], "diagram": [5, 6, 7, 12, 19, 25, 49, 63, 68, 74, 78, 79, 90, 108, 122, 123], "differ": 195, "differnt": 92, "direct": 145, "discard": [83, 95], "dispatch": 44, "distribut": 51, "dk": [176, 177], "dma": [41, 47, 48, 190, 193, 195, 201], "do": [191, 195], "doc": 187, "doe": 195, "dr": 191, "driver": [139, 140, 148, 151, 153], "dropper": 59, "dsp": [4, 159], "dt": 192, "dtb": 192, "dual": [18, 160], "dx": 176, "effect": 71, "element": 164, "enabl": [18, 60, 119], "endpoint": 116, "entiti": [187, 199], "env": 133, "enviro": [130, 131, 134], "environ": [132, 133, 143, 145, 146, 147, 148], "eof_po": 79, "ethernet": [111, 190, 195, 201], "evalfil": 170, "event": 20, "exampl": [49, 51, 61, 79, 92, 108, 114, 148, 152, 156, 170, 192], "extend": 53, "extra": 116, "extractor": 43, "f": 186, "falcon": 179, "fb2cghh": 181, "fb4cgg3": 182, "fdo": 148, "featur": [12, 20, 21, 26, 27, 28, 30, 33, 83, 170], "few": 90, "fh400g": 180, "fifo": [8, 135, 148, 160], "fifox": [6, 7, 82, 109], "file": [170, 191], "final": 170, "firmwar": [171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 192, 195, 196], "fl": 161, "flow": 12, "flowtest": 152, "flu": [49, 162], "forc": 83, "format": [124, 203], "fpga": [111, 176, 177, 191, 192, 195, 196], "frame": [53, 55, 61, 62, 63], "frequenc": 195, "frequent": 195, "from": [190, 194], "full": 27, "function": [79, 148], "further": [191, 196], "g35p": 178, "gate": 96, "gen": [50, 66, 72, 73, 92, 125], "gener": [15, 19, 26, 27, 28, 30, 33, 34, 42, 43, 45, 46, 47, 49, 51, 79, 108, 109, 113, 115, 139, 140, 148, 152, 153, 190, 192], "given": 191, "gl": [50, 202], "guidelin": 49, "h3": 11, "hard": 199, "hash": 11, "have": 195, "header": [35, 37, 121], "hierarchi": 170, "high": 148, "histogram": 28, "host": 196, "how": [156, 190, 194, 196], "hw": 29, "i": [177, 191, 195], "ia": [174, 175], "id": 116, "idl": 114, "implemen": 170, "implement": [54, 56, 101, 107, 170, 186, 195], "implementdesign": 170, "includ": 191, "incomplet": 170, "index": 79, "indirect": 88, "init": 170, "initi": [174, 184], "inner": [132, 143, 146, 147], "input": [38, 148], "insertor": [35, 67], "instanc": [15, 26, 27, 28, 30], "instruct": [174, 175, 176, 177, 178, 179, 184], "integr": 192, "intel": [137, 176, 177], "interconnect": 198, "interfac": [7, 111, 139, 140, 148, 151, 153, 154, 190, 194, 195], "intern": [31, 33], "ip": [186, 193, 199], "ipg_gener": 133, "irrelev": 92, "iso": 195, "item": [97, 98, 126, 127, 129, 137, 138, 139, 140, 141, 142, 151, 153, 170], "iwav": 178, "jtag": 29, "jumbo": 195, "kei": [26, 27, 28, 30, 33], "kit": 195, "ku15p": 181, "lane": 201, "latch": 13, "latenc": 30, "layer": 148, "lbu": [114, 138, 145], "level": [143, 148], "librari": [138, 148, 163], "lii": [130, 131, 139, 140], "limit": [70, 74], "list": [170, 196], "lite": [113, 115, 194], "live": 14, "load": 196, "local": [37, 41, 47, 48], "locat": 192, "logger": [26, 27], "logic": [126, 141, 142, 145, 164, 194], "logic_vector_array_axi": 143, "logic_vector_array_mfb": 146, "logic_vector_mvb": 147, "lookup": 110, "loop": 50, "loopback": 64, "low": [132, 143, 146, 147, 148], "lut": 17, "mac": [113, 115, 137, 144, 194], "machin": 114, "main": [148, 198], "make": 196, "makefil": [170, 191], "manag": [36, 37, 39, 46, 111, 122], "map": [92, 113, 115], "mask": 92, "masker": 61, "medusa": 193, "mem": 26, "mem_test": 34, "memori": [0, 14, 15, 33, 165, 197], "memory_model": 126, "memx": 10, "merg": [98, 100, 102], "merge_n_to_m": 99, "merger": [65, 66], "meta": 156, "metadata": [43, 67], "meter": 30, "methodologi": 148, "mfb": [51, 52, 53, 55, 58, 59, 60, 61, 64, 65, 66, 69, 71, 72, 73, 75, 76, 79, 80, 82, 83, 84, 114, 149, 156, 166], "mi": [0, 27, 31, 32, 33, 85, 88, 89, 90, 91, 92, 120, 150, 156, 167, 198], "mi2avmm": 86, "mi2axi4": 87, "mii": 133, "minim": 0, "miscellan": 168, "mk": 191, "mod": 170, "model": 148, "modul": [1, 148, 163, 165, 170, 187, 190, 191, 193, 194, 199, 202], "monitor": [129, 130, 131, 133, 134, 139, 140, 141, 142, 148, 151, 153], "more": 25, "mtc": 120, "multi": [7, 10, 16], "multir": 186, "mux": 103, "mvb": [52, 93, 94, 95, 96, 97, 98, 100, 102, 103, 105, 106, 108, 109, 110, 151, 156, 169], "mvb2mfb": 104, "n": 124, "n6010": 183, "n_loop_op": 12, "ndk": [0, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 191, 195, 196, 200, 201, 202], "need": [191, 195, 196], "netfpga": 195, "network": [187, 194, 195, 204], "node": 170, "note": [70, 126, 148, 152, 193], "np": 17, "object": 148, "obtain": 170, "offset": 0, "ofm": 148, "one": 192, "op": 146, "open": 195, "openn": 195, "oper": [12, 70, 78, 79, 105, 108, 114], "order": 102, "osi": 195, "other": [123, 148, 170], "output": 81, "over": 29, "overview": 163, "packag": [24, 135, 148, 191], "packer": 62, "packet": [21, 44, 68, 83, 190, 194, 195], "paramet": [79, 108, 152, 191, 196], "parametr": [148, 191], "pars": 121, "part": [29, 54, 56, 101, 107], "pass": 191, "past": 49, "pc": [111, 196], "pci_ext_cap": 116, "pcie": [48, 117, 118, 119, 121, 123, 199, 205], "pcie_cor": 199, "pcie_ctrl": 199, "pd": 84, "pdf": 33, "phase": 170, "phy": 111, "pipe": [69, 89], "pkt_end": 114, "pkt_halt": 114, "pkt_process": 114, "plan": [41, 47, 61, 112, 187, 188], "planner": 21, "platform_tag": 170, "plu": 92, "pma": [111, 134, 153], "port": [15, 16, 18, 19, 26, 27, 28, 30, 33, 79, 92, 108, 113, 115, 139, 140, 153, 190, 201], "prepar": 196, "prioriti": 170, "pro": 179, "probe": 154, "profil": [152, 186], "program": [34, 171, 172, 173], "properti": [148, 170], "protocol": [29, 195], "ptc": [122, 123], "puls": 22, "py": 34, "pytest": [33, 34], "quartu": 191, "question": 195, "quick": [12, 185], "r": 202, "ram": 17, "random": 135, "rate": 70, "read": [7, 190], "realign": 114, "receiv": [190, 194], "reconfigur": [71, 91, 114, 194], "refer": [1, 19, 21, 25, 33, 62, 123, 160, 165], "reflexc": 180, "regist": [8, 41, 47, 113, 115, 190, 202, 206], "report": [33, 34, 148], "report_gen": 34, "repositori": 148, "request": 148, "request_item": 126, "request_subscrib": 126, "requir": 192, "reset": [12, 148, 155], "resolv": 97, "respons": [126, 148], "response_item": 126, "reusabl": 163, "revis": 180, "router": 93, "run": 148, "rx": [41, 111, 113, 132, 143, 145, 146, 147], "savedesign": 170, "scenario": [79, 108, 114], "scoreboard": 148, "scratch": 202, "script": [148, 180], "sdm": 25, "seg": [137, 144], "select": [193, 199], "sequenc": [126, 127, 129, 130, 131, 132, 133, 134, 137, 138, 139, 140, 141, 142, 143, 145, 146, 147, 148, 151, 152, 153], "sequence_item": [128, 149, 150], "sequence_item_request": 126, "sequence_item_respons": 126, "sequence_rx": 133, "sequence_rx_bas": 133, "sequence_tx": 133, "sequence_tx_bas": 133, "seri": 177, "set": 92, "setupdesign": 170, "shakedown": [99, 106], "shift": [71, 206], "shifter": 9, "short": 22, "side": 71, "signal": [49, 76, 108, 203], "silicom": [181, 182, 183], "simpl": [18, 26, 27, 58, 66, 73, 84, 155], "simul": [156, 185], "singl": 160, "situat": 12, "size": 29, "sof_po": 79, "softwar": [34, 39, 46], "solut": 12, "sorter": 23, "sourc": 195, "space": [27, 29, 70, 88, 111, 116, 198], "specif": [25, 49, 61, 79, 86, 90, 108, 148, 163, 191], "splitter": [72, 73, 92], "sr": 13, "stack": 195, "stamp": 203, "standard": 195, "start": [42, 185, 196], "state": 114, "statu": [41, 47], "stop": 42, "stratix": 176, "stream": [78, 100, 102, 201], "stream2": 57, "sub": 33, "subcompon": [37, 41, 42, 43, 45, 47, 48, 63, 109], "support": [48, 163, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 195, 199], "sv": 133, "sv_lib": 170, "sw": [26, 27, 29, 33, 190, 194, 195], "switch": [50, 186], "sychron": 155, "synchron": [13, 148], "synth_flag": 170, "synthesi": 170, "synthesizeproject": 170, "synthetizedesign": 170, "system": [170, 191, 192], "systemverilog": 148, "tab": [41, 47, 61, 88, 92, 186, 194], "tabl": [14, 49, 110], "tag": 122, "target": 170, "tcl": [148, 170, 191], "templat": [15, 26, 27, 28, 30], "teras": 184, "terminologi": 201, "test": [148, 180, 202], "testbench": 148, "tester": [0, 33, 34], "thesi": [54, 56, 101, 107], "thi": [54, 56, 101, 107], "through": 191, "tile": 186, "tile_multir": 186, "time": [49, 79, 90, 108, 203], "timestamp": [74, 203], "tip": 186, "tl": 191, "tool": [2, 158, 161, 162, 166, 167, 204, 205], "top": [132, 143, 147], "toplevel": 185, "transact": [23, 40, 45, 120, 123], "transit": 133, "transmit": [190, 194], "trasform": 75, "tree": [116, 170, 192], "trimmer": 55, "tsu": [124, 125, 157], "tutori": [148, 202], "tx": [47, 111, 114, 115, 145], "type": 191, "typic": 187, "u200": 171, "u55c": 172, "ultrascal": 111, "unit": [117, 199, 203], "unpack": 63, "up": 92, "us": [92, 156, 170, 190, 194], "usag": [26, 27, 49, 70, 88, 133, 148], "user": [191, 195], "uvm": [41, 47, 148, 156, 207], "uvm_error": 148, "uvm_fat": 148, "uvm_info": 148, "v": 148, "valu": [14, 108, 191], "variabl": 170, "variant": 186, "variou": 108, "vcu118": 173, "vector": [141, 142, 145], "verif": [6, 41, 47, 54, 56, 61, 101, 107, 112, 148, 187, 188, 207], "vhdl": 191, "virtual": 148, "vivado": 191, "vld": 108, "vu9p": [173, 182], "w": 202, "warn": 152, "warp": 27, "what": [191, 195, 196], "word_realign": 114, "work": [191, 196], "wrapper": 133, "write": [7, 190], "xpresssx": 180}})
\ No newline at end of file