From 828ae486511dcdd98c4548f7413ee971d52b4bd6 Mon Sep 17 00:00:00 2001 From: Jakub Cabal Date: Mon, 18 Nov 2024 10:27:28 +0100 Subject: [PATCH 1/2] style(mvb_gate): edit entity description for better compatibility with sphinx-vhdl tool --- comp/mvb_tools/flow/gate/mvb_gate.vhd | 5 +++-- 1 file changed, 3 insertions(+), 2 deletions(-) diff --git a/comp/mvb_tools/flow/gate/mvb_gate.vhd b/comp/mvb_tools/flow/gate/mvb_gate.vhd index e8f9fc97f..c0f399d2b 100644 --- a/comp/mvb_tools/flow/gate/mvb_gate.vhd +++ b/comp/mvb_tools/flow/gate/mvb_gate.vhd @@ -29,7 +29,6 @@ entity MVB_GATE is RX_VLD : in std_logic_vector(ITEMS-1 downto 0); RX_SRC_RDY : in std_logic; RX_DST_RDY : out std_logic; - -- =============================================== -- =============================================== -- TX MVB interface @@ -38,8 +37,10 @@ entity MVB_GATE is TX_VLD : out std_logic_vector(ITEMS-1 downto 0); TX_SRC_RDY : out std_logic; TX_DST_RDY : in std_logic; - -- =============================================== + -- =============================================== + -- Control interface + -- =============================================== -- When this signal is asserted, transmission from RX -> TX -- is disabled. STOP_EN : in std_logic From 4522905ea7956c1d988aaebc34ce0a76b79b9124 Mon Sep 17 00:00:00 2001 From: Jakub Cabal Date: Mon, 18 Nov 2024 10:29:50 +0100 Subject: [PATCH 2/2] chore(DMA): update DMA Medusa submodule --- extra/dma-medusa | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/extra/dma-medusa b/extra/dma-medusa index b064f1a1c..cf51f3dcd 160000 --- a/extra/dma-medusa +++ b/extra/dma-medusa @@ -1 +1 @@ -Subproject commit b064f1a1c6890746d3f5e01355f1d20745ea888b +Subproject commit cf51f3dcdc18bbf0e622db7e4333fded158b81c2