From 010367342d692879d14e7b742bed23a884500aa7 Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Fri, 7 Jun 2024 16:20:51 -0500 Subject: [PATCH 01/97] initial vertex calcs for splats --- .../engine/Source/Shaders/Model/ModelVS.glsl | 54 ++++++++++++++++++- 1 file changed, 53 insertions(+), 1 deletion(-) diff --git a/packages/engine/Source/Shaders/Model/ModelVS.glsl b/packages/engine/Source/Shaders/Model/ModelVS.glsl index b95b329f59ff..daca48cad43b 100644 --- a/packages/engine/Source/Shaders/Model/ModelVS.glsl +++ b/packages/engine/Source/Shaders/Model/ModelVS.glsl @@ -140,8 +140,60 @@ void main() gl_PointSize = pointCloudPointSizeStylingStage(attributes, metadata); #else gl_PointSize = 1.0; - #endif + //convert gaussian scale and rot to covariance matrix + vec3 vscale = attributes.scale; + + uvec4 centerWorldPos = uvec4(attributes.positionMC.x, attributes.positionMC.y, attributes.positionMC.z, 0); + vec4 cam = czm_view * vec4(uintBitsToFloat(centerWorldPos.xyz), 1); + vec4 pos2d = czm_projection * cam; + + float clip = 1.2 * pos2d.w; + if (pos2d.z < -clip || pos2d.x < -clip || pos2d.x > clip || pos2d.y < -clip || pos2d.y > clip) { + gl_Position = vec4(0.0, 0.0, 2.0, 1.0); + return; + } + + //calc matrix from scale and rot + mat3 ms = mat3( + vscale.x, 0., 0., + 0., vscale.y, 0., + 0., 0., vscale.z + ); + float x = attributes.rotation.x; + float y = attributes.rotation.y; + float z = attributes.rotation.z; + float w = attributes.rotation.w; + mat3 mr = mat3( + 1.-2.*(y*y + z*z), 2.*(x*y - w*z), 2.*(x*z + w*y), + 2.*(x*y + w*z), 1.-2.*(x*x + z*z), 2.*(y*z - w*x), + 2.*(x*z - w*y), 2.*(y*z + w*x), 1.-2.*(x*x + y*y) + ); + mat3 Mrs = mr * ms; + + mat3 J = mat3( + czm_viewerPositionWC.x / cam.z, 0., -(czm_viewerPositionWC.x * cam.x) / (cam.z * cam.z), + 0., -czm_viewerPositionWC.y / cam.z, (czm_viewerPositionWC.y * cam.y) / (cam.z * cam.z), + 0., 0., 0. + ); + + mat3 T = transpose(mat3(czm_view)) * J; + mat3 cov2d = transpose(T) * Mrs * T; + + // decompose covariance + float mid = (cov2d[0][0] + cov2d[1][1]) / 2.0; + float radius = length(vec2((cov2d[0][0] - cov2d[1][1]) / 2.0, cov2d[0][1])); + float lambda1 = mid + radius, lambda2 = mid - radius; + + if(lambda2 < 0.0) return; + vec2 diagonalVector = normalize(vec2(cov2d[0][1], lambda1 - cov2d[0][0])); + vec2 majorAxis = min(sqrt(2.0 * lambda1), 1024.0) * diagonalVector; + vec2 minorAxis = min(sqrt(2.0 * lambda2), 1024.0) * vec2(diagonalVector.y, -diagonalVector.x); + + gl_PointSize *= majorAxis.x; + + + #endif gl_PointSize *= show; #endif From ea539620ea43643a3d65867c25c1c49418dda247 Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Sat, 8 Jun 2024 17:12:48 -0500 Subject: [PATCH 02/97] removed early returns. we seem to hit them all the time interesting scaling decomposed covariance --- packages/engine/Source/Shaders/Model/ModelVS.glsl | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/packages/engine/Source/Shaders/Model/ModelVS.glsl b/packages/engine/Source/Shaders/Model/ModelVS.glsl index daca48cad43b..b19a484cbb8d 100644 --- a/packages/engine/Source/Shaders/Model/ModelVS.glsl +++ b/packages/engine/Source/Shaders/Model/ModelVS.glsl @@ -139,7 +139,7 @@ void main() #elif defined(HAS_POINT_CLOUD_POINT_SIZE_STYLE) || defined(HAS_POINT_CLOUD_ATTENUATION) gl_PointSize = pointCloudPointSizeStylingStage(attributes, metadata); #else - gl_PointSize = 1.0; + //gl_PointSize = 1.0; //convert gaussian scale and rot to covariance matrix vec3 vscale = attributes.scale; @@ -149,11 +149,12 @@ void main() vec4 pos2d = czm_projection * cam; float clip = 1.2 * pos2d.w; + /* if (pos2d.z < -clip || pos2d.x < -clip || pos2d.x > clip || pos2d.y < -clip || pos2d.y > clip) { gl_Position = vec4(0.0, 0.0, 2.0, 1.0); return; } - +*/ //calc matrix from scale and rot mat3 ms = mat3( vscale.x, 0., 0., @@ -185,13 +186,12 @@ void main() float radius = length(vec2((cov2d[0][0] - cov2d[1][1]) / 2.0, cov2d[0][1])); float lambda1 = mid + radius, lambda2 = mid - radius; - if(lambda2 < 0.0) return; + //if(lambda2 < 0.0) return; vec2 diagonalVector = normalize(vec2(cov2d[0][1], lambda1 - cov2d[0][0])); vec2 majorAxis = min(sqrt(2.0 * lambda1), 1024.0) * diagonalVector; vec2 minorAxis = min(sqrt(2.0 * lambda2), 1024.0) * vec2(diagonalVector.y, -diagonalVector.x); - gl_PointSize *= majorAxis.x; - + gl_PointSize = sqrt(2.0 * lambda1); #endif gl_PointSize *= show; From 60022f4ee1746c489493f6b2af43041e264e3347 Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Wed, 12 Jun 2024 14:33:26 -0500 Subject: [PATCH 03/97] covariance fixes fragment shader impl --- .../Model/PointCloudStylingPipelineStage.js | 7 + .../engine/Source/Shaders/Model/ModelFS.glsl | 22 +- .../engine/Source/Shaders/Model/ModelVS.glsl | 207 +++++++++++++----- .../Model/PointCloudStylingStageVS.glsl | 2 +- 4 files changed, 176 insertions(+), 62 deletions(-) diff --git a/packages/engine/Source/Scene/Model/PointCloudStylingPipelineStage.js b/packages/engine/Source/Scene/Model/PointCloudStylingPipelineStage.js index 9abb174126d3..fff25ac30aa7 100644 --- a/packages/engine/Source/Scene/Model/PointCloudStylingPipelineStage.js +++ b/packages/engine/Source/Scene/Model/PointCloudStylingPipelineStage.js @@ -348,6 +348,13 @@ function addShaderFunctionsAndDefines(shaderBuilder, shaderFunctionInfo) { ); shaderBuilder.addVertexLines(pointSizeStyleFunction); } + + //temp hack. Will need to check glTF for splat extension + shaderBuilder.addDefine( + "HAS_POINT_CLOUD_SPLAT", + undefined, + ShaderDestination.BOTH + ); } /** diff --git a/packages/engine/Source/Shaders/Model/ModelFS.glsl b/packages/engine/Source/Shaders/Model/ModelFS.glsl index 9756cbe5cda3..7fd9a9f19de3 100644 --- a/packages/engine/Source/Shaders/Model/ModelFS.glsl +++ b/packages/engine/Source/Shaders/Model/ModelFS.glsl @@ -1,5 +1,14 @@ precision highp float; + + +in float depth; +in vec3 con; +in vec2 xy; +in vec2 pixf; +in vec4 vPosition; + + czm_modelMaterial defaultModelMaterial() { czm_modelMaterial material; @@ -24,6 +33,11 @@ vec4 handleAlpha(vec3 color, float alpha) return vec4(color, alpha); } +vec3 depth_palette(float x) { + x = min(1., x); + return vec3( sin(x*6.28/4.), x*x, mix(sin(x*6.28),x,.6) ); +} + SelectedFeature selectedFeature; void main() @@ -89,5 +103,11 @@ void main() atmosphereStage(color, attributes); #endif - out_FragColor = color; + // #ifdef HAS_POINT_CLOUD_SPLAT + float A = -dot(xy, xy); + // if (A < -4.0) discard; + float B = exp(A) * material.alpha; + out_FragColor = vec4(material.diffuse, B); + + // #endif } diff --git a/packages/engine/Source/Shaders/Model/ModelVS.glsl b/packages/engine/Source/Shaders/Model/ModelVS.glsl index b19a484cbb8d..d7d8572ece7c 100644 --- a/packages/engine/Source/Shaders/Model/ModelVS.glsl +++ b/packages/engine/Source/Shaders/Model/ModelVS.glsl @@ -1,5 +1,12 @@ precision highp float; + +out float depth; +out vec3 con; +out vec2 xy; +out vec2 pixf; +out vec4 vPosition; + czm_modelVertexOutput defaultVertexOutput(vec3 positionMC) { czm_modelVertexOutput vsOutput; vsOutput.positionMC = positionMC; @@ -134,67 +141,147 @@ void main() #endif #ifdef PRIMITIVE_TYPE_POINTS - #ifdef HAS_CUSTOM_VERTEX_SHADER - gl_PointSize = vsOutput.pointSize; - #elif defined(HAS_POINT_CLOUD_POINT_SIZE_STYLE) || defined(HAS_POINT_CLOUD_ATTENUATION) - gl_PointSize = pointCloudPointSizeStylingStage(attributes, metadata); - #else - //gl_PointSize = 1.0; - - //convert gaussian scale and rot to covariance matrix - vec3 vscale = attributes.scale; - - uvec4 centerWorldPos = uvec4(attributes.positionMC.x, attributes.positionMC.y, attributes.positionMC.z, 0); - vec4 cam = czm_view * vec4(uintBitsToFloat(centerWorldPos.xyz), 1); - vec4 pos2d = czm_projection * cam; - - float clip = 1.2 * pos2d.w; - /* - if (pos2d.z < -clip || pos2d.x < -clip || pos2d.x > clip || pos2d.y < -clip || pos2d.y > clip) { - gl_Position = vec4(0.0, 0.0, 2.0, 1.0); - return; - } -*/ - //calc matrix from scale and rot - mat3 ms = mat3( - vscale.x, 0., 0., - 0., vscale.y, 0., - 0., 0., vscale.z - ); - float x = attributes.rotation.x; - float y = attributes.rotation.y; - float z = attributes.rotation.z; - float w = attributes.rotation.w; - mat3 mr = mat3( - 1.-2.*(y*y + z*z), 2.*(x*y - w*z), 2.*(x*z + w*y), - 2.*(x*y + w*z), 1.-2.*(x*x + z*z), 2.*(y*z - w*x), - 2.*(x*z - w*y), 2.*(y*z + w*x), 1.-2.*(x*x + y*y) - ); - mat3 Mrs = mr * ms; - - mat3 J = mat3( - czm_viewerPositionWC.x / cam.z, 0., -(czm_viewerPositionWC.x * cam.x) / (cam.z * cam.z), - 0., -czm_viewerPositionWC.y / cam.z, (czm_viewerPositionWC.y * cam.y) / (cam.z * cam.z), - 0., 0., 0. - ); - - mat3 T = transpose(mat3(czm_view)) * J; - mat3 cov2d = transpose(T) * Mrs * T; - - // decompose covariance - float mid = (cov2d[0][0] + cov2d[1][1]) / 2.0; - float radius = length(vec2((cov2d[0][0] - cov2d[1][1]) / 2.0, cov2d[0][1])); - float lambda1 = mid + radius, lambda2 = mid - radius; - - //if(lambda2 < 0.0) return; - vec2 diagonalVector = normalize(vec2(cov2d[0][1], lambda1 - cov2d[0][0])); - vec2 majorAxis = min(sqrt(2.0 * lambda1), 1024.0) * diagonalVector; - vec2 minorAxis = min(sqrt(2.0 * lambda2), 1024.0) * vec2(diagonalVector.y, -diagonalVector.x); - - gl_PointSize = sqrt(2.0 * lambda1); - #endif - gl_PointSize *= show; + #ifdef HAS_CUSTOM_VERTEX_SHADER + gl_PointSize = vsOutput.pointSize; + #elif defined(HAS_POINT_CLOUD_POINT_SIZE_STYLE) || defined(HAS_POINT_CLOUD_ATTENUATION) + gl_PointSize = pointCloudPointSizeStylingStage(attributes, metadata); + #else + // gl_PointSize = 1.0; + + //convert gaussian scale and rot to covariance matrix + vec3 vscale = attributes.scale; + mat4 viewMatrix = czm_view; + vec3 viewPos = (viewMatrix * vec4(attributes.positionMC, 1)).xyz; + + // this is needed in order for splats that are visible in view but clipped "quite a lot" to work + float aspect = czm_projection[0][0] / czm_projection[1][1]; + float tanFovX = 1./czm_projection[0][0]; + float tanFovY = 1./czm_projection[1][1] * aspect; + float limX = 1.3 * tanFovX; + float limY = 1.3 * tanFovY; + viewPos.x = clamp(viewPos.x / viewPos.z, -limX, limX) * viewPos.z; + viewPos.y = clamp(viewPos.y / viewPos.z, -limY, limY) * viewPos.z; + + //we must calc the focal point of our camera + float focal = czm_viewport.x * czm_projection[0][0] / 2.0; + + vec3 p_orig = attributes.positionMC; + + // Transform point by projecting + vec4 p_hom = czm_projection * vec4(p_orig, 1); + float p_w = 1. / (p_hom.w + 1e-7); + vec3 p_proj = p_hom.xyz * p_w; + + // Perform near culling, quit if outside. + vec4 p_view = czm_view * vec4(p_orig, 1); + if (p_view.z <= .4) { + gl_Position = vec4(0, 0, 0, 1); + return; + } + //matrix from rot & scale + mat3 ms = mat3( + vscale.x, 0., 0., + 0., vscale.y, 0., + 0., 0., vscale.z + ); + float x = attributes.rotation.x; + float y = attributes.rotation.y; + float z = attributes.rotation.z; + float w = attributes.rotation.w; + mat3 mr = mat3( + 1.-2.*(y*y + z*z), 2.*(x*y - w*z), 2.*(x*z + w*y), + 2.*(x*y + w*z), 1.-2.*(x*x + z*z), 2.*(y*z - w*x), + 2.*(x*z - w*y), 2.*(y*z + w*x), 1.-2.*(x*x + y*y) + ); + mat3 Mrs = mr * ms; + + //covariance 3D + mat3 sigma = Mrs * transpose(Mrs); + vec3 sig1 = vec3(sigma[0][0], sigma[0][1], sigma[0][2]); + vec3 sig2 = vec3(sigma[1][0], sigma[1][1], sigma[1][2]); + + mat3 J = mat3( + focal / viewPos.z, 0., -(focal * viewPos.x) / (viewPos.z * viewPos.z), + 0., focal / viewPos.z, -(focal * viewPos.y) / (viewPos.z * viewPos.z), + 0., 0., 0. + ); + + mat3 V = mat3( + sig1.x, sig1.y, sig1.z, + sig1.y, sig2.x, sig2.y, + sig1.z, sig2.y, sig2.z + ); + + //covariance2D + mat3 T = mat3(czm_view) * J; + mat3 cov2d = T * (V * transpose(T)); + + cov2d[0][0] += 0.3; + cov2d[1][1] += 0.3; + + // Invert covariance (EWA algorithm) + float det = (cov2d[0][0] * cov2d[1][1] - cov2d[0][1] * cov2d[0][1]); + if (det == 0.) { + gl_Position = vec4(0, 0, 0, 1); + return; + } + //may not need these + float det_inv = 1. / det; + vec3 conic = vec3(cov2d[1][1], -cov2d[0][1], cov2d[0][0]) * det_inv; + + //decompose covariance + float diag1 = cov2d[0][0]; + float diag2 = cov2d[1][1]; + float offDiag = cov2d[0][1]; + + float mid = (diag1 + diag2) / 2.0; + float radius = length(vec2((diag1 - diag2) / 2.0, offDiag)); + float lambda1 = mid + radius; + float lambda2 = max(mid - radius, 0.1); + + //if(lambda2 < 0.0) return; + vec2 diagonalVector = normalize(vec2(offDiag, lambda1 - diag1)); + vec2 majorAxis = min(sqrt(2.0 * lambda1), 4096.0) * diagonalVector; + vec2 minorAxis = min(sqrt(2.0 * lambda2), 4096.0) * vec2(diagonalVector.y, -diagonalVector.x); + + + // float mid = 0.5 * (cov2d[0][0] + cov2d[1][1]); + // float lambda1 = mid + sqrt(max(0.1, mid * mid - det)); + // float lambda2 = mid - sqrt(max(0.1, mid * mid - det)); + // float my_radius = ceil(3. * sqrt(max(lambda1, lambda2))); + // vec2 point_image = vec2(ndc2Pix(p_proj.x, czm_projection[0][0] ), ndc2Pix(p_proj.y, czm_projection[1][1] )); + + gl_PointSize = 10.0; + + // // (Webgl-specific) Convert gl_VertexID from [0,1,2,3] to [-1,-1],[1,-1],[-1,1],[1,1] + // vec2 corner = vec2((gl_VertexID << 1) & 2, gl_VertexID & 2) - 1.; + // // Vertex position in screen space + // vec2 screen_pos = point_image + my_radius * corner; + + // // Store some useful helper data for the fragment stage + // con = conic; + // xy = a_position; + // pixf = screen_pos; + // depth = p_view.z; + + vec2 quadPos = vec2((gl_VertexID << 1) & 2, gl_VertexID & 2) - 1.; + quadPos *= 2.; + + xy = quadPos; + + vec2 deltaScreenPos = (quadPos.x * majorAxis + quadPos.y * minorAxis) * 2. / czm_viewport.xy; + vPosition = gl_Position; + gl_Position.xy += deltaScreenPos * gl_Position.w; + + // (Webgl-specific) Convert from screen-space to clip-space + // vec2 clip_pos = screen_pos / vec2(W, H) * 2. - 1.; + + //screen space calc is already done in geometryStage above + // gl_Position = vec4(clip_pos, 0, 1); + + #endif + gl_PointSize *= show; #endif gl_Position = show * positionClip; diff --git a/packages/engine/Source/Shaders/Model/PointCloudStylingStageVS.glsl b/packages/engine/Source/Shaders/Model/PointCloudStylingStageVS.glsl index e3fd4cfc0f9f..0d46fb382963 100644 --- a/packages/engine/Source/Shaders/Model/PointCloudStylingStageVS.glsl +++ b/packages/engine/Source/Shaders/Model/PointCloudStylingStageVS.glsl @@ -42,4 +42,4 @@ float pointCloudBackFaceCullingStage() { return 1.0; #endif } -#endif \ No newline at end of file +#endif From ba77e31b13d0f807e8edc4d288a6bda52d0ba550 Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Thu, 13 Jun 2024 15:44:40 -0500 Subject: [PATCH 04/97] hack to switch primitive type points to triangle_strips --- packages/engine/Source/Renderer/Context.js | 8 +++- .../Scene/Model/GeometryPipelineStage.js | 7 ++++ .../Model/PointCloudStylingPipelineStage.js | 7 ---- packages/engine/Source/Scene/PointCloud.js | 2 +- .../engine/Source/Shaders/Model/ModelFS.glsl | 38 +++++++++++++------ .../engine/Source/Shaders/Model/ModelVS.glsl | 36 ++++++++---------- 6 files changed, 58 insertions(+), 40 deletions(-) diff --git a/packages/engine/Source/Renderer/Context.js b/packages/engine/Source/Renderer/Context.js index 5996db8eb4ef..1282953e89fb 100644 --- a/packages/engine/Source/Renderer/Context.js +++ b/packages/engine/Source/Renderer/Context.js @@ -1368,7 +1368,13 @@ function continueDraw(context, drawCommand, shaderProgram, uniformMap) { count = va.numberOfVertices; } if (instanceCount === 0) { - context._gl.drawArrays(primitiveType, offset, count); + context._gl.drawArrays( + primitiveType === PrimitiveType.POINTS + ? PrimitiveType.TRIANGLE_STRIP + : primitiveType, + offset, + count + ); } else { context.glDrawArraysInstanced( primitiveType, diff --git a/packages/engine/Source/Scene/Model/GeometryPipelineStage.js b/packages/engine/Source/Scene/Model/GeometryPipelineStage.js index 28b769a07c96..cf564c80a024 100644 --- a/packages/engine/Source/Scene/Model/GeometryPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GeometryPipelineStage.js @@ -187,7 +187,14 @@ GeometryPipelineStage.process = function ( handleBitangents(shaderBuilder, primitive.attributes); if (primitive.primitiveType === PrimitiveType.POINTS) { + //if splats dont set points shaderBuilder.addDefine("PRIMITIVE_TYPE_POINTS"); + //temp hack. Will need to check glTF for splat extension + shaderBuilder.addDefine( + "HAS_POINT_CLOUD_SPLAT", + undefined, + ShaderDestination.BOTH + ); } shaderBuilder.addVertexLines(GeometryStageVS); diff --git a/packages/engine/Source/Scene/Model/PointCloudStylingPipelineStage.js b/packages/engine/Source/Scene/Model/PointCloudStylingPipelineStage.js index fff25ac30aa7..9abb174126d3 100644 --- a/packages/engine/Source/Scene/Model/PointCloudStylingPipelineStage.js +++ b/packages/engine/Source/Scene/Model/PointCloudStylingPipelineStage.js @@ -348,13 +348,6 @@ function addShaderFunctionsAndDefines(shaderBuilder, shaderFunctionInfo) { ); shaderBuilder.addVertexLines(pointSizeStyleFunction); } - - //temp hack. Will need to check glTF for splat extension - shaderBuilder.addDefine( - "HAS_POINT_CLOUD_SPLAT", - undefined, - ShaderDestination.BOTH - ); } /** diff --git a/packages/engine/Source/Scene/PointCloud.js b/packages/engine/Source/Scene/PointCloud.js index c2a0d363cd3b..0dc44523cd53 100644 --- a/packages/engine/Source/Scene/PointCloud.js +++ b/packages/engine/Source/Scene/PointCloud.js @@ -1110,7 +1110,7 @@ function createShaders(pointCloud, frameState, style) { if (hasClippedContent) { fs += - "uniform highp sampler2D u_clippingPlanes; \n" + + "uniform highp sampler2D u_clippingPlanes; \nff" + "uniform mat4 u_clippingPlanesMatrix; \n" + "uniform vec4 u_clippingPlanesEdgeStyle; \n"; fs += "\n"; diff --git a/packages/engine/Source/Shaders/Model/ModelFS.glsl b/packages/engine/Source/Shaders/Model/ModelFS.glsl index 7fd9a9f19de3..c50c03dd0bd4 100644 --- a/packages/engine/Source/Shaders/Model/ModelFS.glsl +++ b/packages/engine/Source/Shaders/Model/ModelFS.glsl @@ -33,11 +33,6 @@ vec4 handleAlpha(vec3 color, float alpha) return vec4(color, alpha); } -vec3 depth_palette(float x) { - x = min(1., x); - return vec3( sin(x*6.28/4.), x*x, mix(sin(x*6.28),x,.6) ); -} - SelectedFeature selectedFeature; void main() @@ -104,10 +99,31 @@ void main() #endif // #ifdef HAS_POINT_CLOUD_SPLAT - float A = -dot(xy, xy); - // if (A < -4.0) discard; - float B = exp(A) * material.alpha; - out_FragColor = vec4(material.diffuse, B); - - // #endif + // float A = -dot(xy, xy); + // float B = exp(A) * material.alpha; + // // if (B < 1./255.) { + // // discard; + // // } + // out_FragColor = vec4(material.diffuse * B, B); + // Resample using conic matrix (cf. "Surface + // Splatting" by Zwicker et al., 2001) + vec2 d = xy - pixf; + float power = -0.5 * (con.x * d.x * d.x + con.z * d.y * d.y) - con.y * d.x * d.y; + + // if (power > 0.) { + // discard; + // } + + // (Custom) As the covariance matrix is calculated in a one-time operation on CPU in this implementation, + // we need to apply the scale modifier differently to still allow for real-time scaling of the splats. + // power *= 2.; + + // Eq. (2) from 3D Gaussian splatting paper. + float alpha = min(.99f, material.alpha * exp(power)); + // if (alpha < 1./255.) { + // discard; + // } + + out_FragColor = vec4(material.diffuse * alpha, alpha); + // #endif } diff --git a/packages/engine/Source/Shaders/Model/ModelVS.glsl b/packages/engine/Source/Shaders/Model/ModelVS.glsl index d7d8572ece7c..ed93643d2130 100644 --- a/packages/engine/Source/Shaders/Model/ModelVS.glsl +++ b/packages/engine/Source/Shaders/Model/ModelVS.glsl @@ -147,7 +147,12 @@ void main() #elif defined(HAS_POINT_CLOUD_POINT_SIZE_STYLE) || defined(HAS_POINT_CLOUD_ATTENUATION) gl_PointSize = pointCloudPointSizeStylingStage(attributes, metadata); #else - // gl_PointSize = 1.0; + gl_PointSize = 1.0; + #endif + gl_PointSize *= show; + #endif + + #ifdef HAS_POINT_CLOUD_SPLAT //convert gaussian scale and rot to covariance matrix vec3 vscale = attributes.scale; @@ -164,7 +169,8 @@ void main() viewPos.y = clamp(viewPos.y / viewPos.z, -limY, limY) * viewPos.z; //we must calc the focal point of our camera - float focal = czm_viewport.x * czm_projection[0][0] / 2.0; + float focal_x = czm_viewport.x * czm_projection[0][0] / 2.0; + float focal_y = czm_viewport.y * czm_projection[1][1] / 2.0; vec3 p_orig = attributes.positionMC; @@ -202,8 +208,8 @@ void main() vec3 sig2 = vec3(sigma[1][0], sigma[1][1], sigma[1][2]); mat3 J = mat3( - focal / viewPos.z, 0., -(focal * viewPos.x) / (viewPos.z * viewPos.z), - 0., focal / viewPos.z, -(focal * viewPos.y) / (viewPos.z * viewPos.z), + focal_x / viewPos.z, 0., -(focal_x * viewPos.x) / (viewPos.z * viewPos.z), + 0., focal_y / viewPos.z, -(focal_y * viewPos.y) / (viewPos.z * viewPos.z), 0., 0., 0. ); @@ -245,34 +251,27 @@ void main() vec2 majorAxis = min(sqrt(2.0 * lambda1), 4096.0) * diagonalVector; vec2 minorAxis = min(sqrt(2.0 * lambda2), 4096.0) * vec2(diagonalVector.y, -diagonalVector.x); - - // float mid = 0.5 * (cov2d[0][0] + cov2d[1][1]); - // float lambda1 = mid + sqrt(max(0.1, mid * mid - det)); - // float lambda2 = mid - sqrt(max(0.1, mid * mid - det)); - // float my_radius = ceil(3. * sqrt(max(lambda1, lambda2))); - // vec2 point_image = vec2(ndc2Pix(p_proj.x, czm_projection[0][0] ), ndc2Pix(p_proj.y, czm_projection[1][1] )); - - gl_PointSize = 10.0; - // // (Webgl-specific) Convert gl_VertexID from [0,1,2,3] to [-1,-1],[1,-1],[-1,1],[1,1] // vec2 corner = vec2((gl_VertexID << 1) & 2, gl_VertexID & 2) - 1.; // // Vertex position in screen space // vec2 screen_pos = point_image + my_radius * corner; // // Store some useful helper data for the fragment stage - // con = conic; + con = conic; // xy = a_position; // pixf = screen_pos; // depth = p_view.z; - vec2 quadPos = vec2((gl_VertexID << 1) & 2, gl_VertexID & 2) - 1.; + vec2 quadPos = vec2(gl_VertexID&1, (gl_VertexID>>1)&1) * 2.0 - 1.0; quadPos *= 2.; xy = quadPos; vec2 deltaScreenPos = (quadPos.x * majorAxis + quadPos.y * minorAxis) * 2. / czm_viewport.xy; + pixf = deltaScreenPos; vPosition = gl_Position; - gl_Position.xy += deltaScreenPos * gl_Position.w; + gl_Position = show * positionClip; + gl_Position.xy += deltaScreenPos * gl_Position.w; // (Webgl-specific) Convert from screen-space to clip-space // vec2 clip_pos = screen_pos / vec2(W, H) * 2. - 1.; @@ -281,8 +280,5 @@ void main() // gl_Position = vec4(clip_pos, 0, 1); #endif - gl_PointSize *= show; - #endif - - gl_Position = show * positionClip; +gl_Position = show * positionClip; } From 8d67853df0f5c2788a7ced161a4059c362040da0 Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Mon, 17 Jun 2024 14:08:11 -0500 Subject: [PATCH 05/97] refactor, use inverse matrices --- packages/engine/Source/Renderer/Context.js | 10 +- .../engine/Source/Shaders/Model/ModelFS.glsl | 67 +++- .../engine/Source/Shaders/Model/ModelVS.glsl | 309 +++++++++++------- 3 files changed, 234 insertions(+), 152 deletions(-) diff --git a/packages/engine/Source/Renderer/Context.js b/packages/engine/Source/Renderer/Context.js index 1282953e89fb..4122dc804e1f 100644 --- a/packages/engine/Source/Renderer/Context.js +++ b/packages/engine/Source/Renderer/Context.js @@ -51,7 +51,7 @@ function Context(canvas, options) { } = defaultValue(options, {}); // Override select WebGL defaults - webglOptions.alpha = defaultValue(webglOptions.alpha, false); // WebGL default is true + webglOptions.alpha = defaultValue(webglOptions.alpha, true); // WebGL default is true webglOptions.stencil = defaultValue(webglOptions.stencil, true); // WebGL default is false webglOptions.powerPreference = defaultValue( webglOptions.powerPreference, @@ -1368,13 +1368,7 @@ function continueDraw(context, drawCommand, shaderProgram, uniformMap) { count = va.numberOfVertices; } if (instanceCount === 0) { - context._gl.drawArrays( - primitiveType === PrimitiveType.POINTS - ? PrimitiveType.TRIANGLE_STRIP - : primitiveType, - offset, - count - ); + context._gl.drawArrays(primitiveType, offset, count); } else { context.glDrawArraysInstanced( primitiveType, diff --git a/packages/engine/Source/Shaders/Model/ModelFS.glsl b/packages/engine/Source/Shaders/Model/ModelFS.glsl index c50c03dd0bd4..07e517b3c233 100644 --- a/packages/engine/Source/Shaders/Model/ModelFS.glsl +++ b/packages/engine/Source/Shaders/Model/ModelFS.glsl @@ -6,8 +6,13 @@ in float depth; in vec3 con; in vec2 xy; in vec2 pixf; -in vec4 vPosition; +in vec4 v_position; +in vec3 v_cov2d; +in vec2 v_eigen1; +in vec2 v_eigen2; +in float v_lambda1; +in float v_lambda2; czm_modelMaterial defaultModelMaterial() { @@ -98,32 +103,60 @@ void main() atmosphereStage(color, attributes); #endif - // #ifdef HAS_POINT_CLOUD_SPLAT - // float A = -dot(xy, xy); - // float B = exp(A) * material.alpha; - // // if (B < 1./255.) { - // // discard; - // // } - // out_FragColor = vec4(material.diffuse * B, B); + #ifdef HAS_POINT_CLOUD_SPLAT + // Resample using conic matrix (cf. "Surface // Splatting" by Zwicker et al., 2001) vec2 d = xy - pixf; float power = -0.5 * (con.x * d.x * d.x + con.z * d.y * d.y) - con.y * d.x * d.y; - // if (power > 0.) { - // discard; - // } + if (power > 0.) { + discard; + } // (Custom) As the covariance matrix is calculated in a one-time operation on CPU in this implementation, // we need to apply the scale modifier differently to still allow for real-time scaling of the splats. - // power *= 2.; + //power *= scale_modif; // Eq. (2) from 3D Gaussian splatting paper. float alpha = min(.99f, material.alpha * exp(power)); - // if (alpha < 1./255.) { - // discard; - // } - out_FragColor = vec4(material.diffuse * alpha, alpha); - // #endif + // (Custom) Colorize with depth value instead of color (z-buffer visualization) + vec3 clr = material.diffuse; + + if (alpha < 1./255.) { + discard; + } + + // Eq. (3) from 3D Gaussian splatting paper. + out_FragColor = vec4(clr * alpha, alpha); + +// // Rescale gl_PointCoord from [0,1] to [-1,1] +// vec2 p = gl_PointCoord * 2.0 - 1.0; + + +// // Transform the fragment coordinates by the eigenvectors +// vec2 pTransformed = vec2(dot(p, v_eigen1), dot(p, v_eigen2)); + +// // Compute the Mahalanobis distance in the transformed space +// float m = (pTransformed.x * pTransformed.x) / max(v_lambda1, 1e-5) + +// (pTransformed.y * pTransformed.y) / max(v_lambda2, 1e-5); + +// // Discard fragments outside the ellipse +// if (m > 9.0) { // Discard fragments outside 3 standard deviations +// discard; +// } + +// // Gaussian function +// float gaussian = exp(-5.0 * m); + +// // Discard fragments far from center +// if (gaussian < 0.001) { +// discard; +// } + +// // Output color (you may want to make this an attribute or uniform) +// out_FragColor = vec4(material.diffuse * gaussian, gaussian); + #endif } + diff --git a/packages/engine/Source/Shaders/Model/ModelVS.glsl b/packages/engine/Source/Shaders/Model/ModelVS.glsl index ed93643d2130..f410374678c7 100644 --- a/packages/engine/Source/Shaders/Model/ModelVS.glsl +++ b/packages/engine/Source/Shaders/Model/ModelVS.glsl @@ -1,11 +1,16 @@ precision highp float; - out float depth; out vec3 con; out vec2 xy; out vec2 pixf; -out vec4 vPosition; +out vec4 v_position; +out vec3 v_cov2d; + +out vec2 v_eigen1; +out vec2 v_eigen2; +out float v_lambda1; +out float v_lambda2; czm_modelVertexOutput defaultVertexOutput(vec3 positionMC) { czm_modelVertexOutput vsOutput; @@ -14,6 +19,71 @@ czm_modelVertexOutput defaultVertexOutput(vec3 positionMC) { return vsOutput; } +void calcCov3D(vec3 scale, vec4 rot, float mod, out float[6] cov3D) +{ + mat3 S = mat3( + mod * scale[0], 0, 0, + 0, mod * scale[1], 0, + 0, 0, mod * scale[2] + ); + + float x = rot.x; + float y = rot.y; + float z = rot.z; + float w = rot.w; + mat3 R = mat3( + 1.-2.*(y*y + z*z), 2.*(x*y - w*z), 2.*(x*z + w*y), + 2.*(x*y + w*z), 1.-2.*(x*x + z*z), 2.*(y*z - w*x), + 2.*(x*z - w*y), 2.*(y*z + w*x), 1.-2.*(x*x + y*y) + ); + + mat3 M = S * R; + mat3 Sigma = transpose(M) * M; + + //we only need part of it, symmetric + cov3D = float[6]( + Sigma[0][0], Sigma[0][1], Sigma[0][2], + Sigma[1][1], Sigma[1][2], Sigma[2][2] + ); + +} + +vec3 calcCov2D(vec3 posEC, float focal_x, float focal_y, float tan_fovx, float tan_fovy, float[6] cov3D, mat4 viewmatrix) { + vec4 t = viewmatrix * vec4(posEC, 1.0); + mat3 J = mat3( + focal_x / t.z, 0, -(focal_x * t.x) / (t.z * t.z), + 0, focal_y / t.z, -(focal_y * t.y) / (t.z * t.z), + 0, 0, 0 + ); + + mat3 W = mat3( + viewmatrix[0][0], viewmatrix[1][0], viewmatrix[2][0], + viewmatrix[0][1], viewmatrix[1][1], viewmatrix[2][1], + viewmatrix[0][2], viewmatrix[1][2], viewmatrix[2][2] + ); + + mat3 T = W * J; + + mat3 Vrk = mat3( + cov3D[0], cov3D[1], cov3D[2], + cov3D[1], cov3D[3], cov3D[4], + cov3D[2], cov3D[4], cov3D[5] + ); + + mat3 cov = transpose(T) * transpose(Vrk) * T; + + cov[0][0] += .3; + cov[1][1] += .3; + return vec3(cov[0][0], cov[0][1], cov[1][1]); +} + +float ndc2Pix(float v, float S) { + return ((v + 1.) * S - 1.) * .5; +} + + + + void main() { // Initialize the attributes struct with all @@ -154,131 +224,116 @@ void main() #ifdef HAS_POINT_CLOUD_SPLAT - //convert gaussian scale and rot to covariance matrix - vec3 vscale = attributes.scale; - mat4 viewMatrix = czm_view; - vec3 viewPos = (viewMatrix * vec4(attributes.positionMC, 1)).xyz; - - // this is needed in order for splats that are visible in view but clipped "quite a lot" to work - float aspect = czm_projection[0][0] / czm_projection[1][1]; - float tanFovX = 1./czm_projection[0][0]; - float tanFovY = 1./czm_projection[1][1] * aspect; - float limX = 1.3 * tanFovX; - float limY = 1.3 * tanFovY; - viewPos.x = clamp(viewPos.x / viewPos.z, -limX, limX) * viewPos.z; - viewPos.y = clamp(viewPos.y / viewPos.z, -limY, limY) * viewPos.z; - - //we must calc the focal point of our camera - float focal_x = czm_viewport.x * czm_projection[0][0] / 2.0; - float focal_y = czm_viewport.y * czm_projection[1][1] / 2.0; - - vec3 p_orig = attributes.positionMC; - - // Transform point by projecting - vec4 p_hom = czm_projection * vec4(p_orig, 1); - float p_w = 1. / (p_hom.w + 1e-7); - vec3 p_proj = p_hom.xyz * p_w; - - // Perform near culling, quit if outside. - vec4 p_view = czm_view * vec4(p_orig, 1); - if (p_view.z <= .4) { - gl_Position = vec4(0, 0, 0, 1); - return; - } - //matrix from rot & scale - mat3 ms = mat3( - vscale.x, 0., 0., - 0., vscale.y, 0., - 0., 0., vscale.z - ); - float x = attributes.rotation.x; - float y = attributes.rotation.y; - float z = attributes.rotation.z; - float w = attributes.rotation.w; - mat3 mr = mat3( - 1.-2.*(y*y + z*z), 2.*(x*y - w*z), 2.*(x*z + w*y), - 2.*(x*y + w*z), 1.-2.*(x*x + z*z), 2.*(y*z - w*x), - 2.*(x*z - w*y), 2.*(y*z + w*x), 1.-2.*(x*x + y*y) - ); - mat3 Mrs = mr * ms; - - //covariance 3D - mat3 sigma = Mrs * transpose(Mrs); - vec3 sig1 = vec3(sigma[0][0], sigma[0][1], sigma[0][2]); - vec3 sig2 = vec3(sigma[1][0], sigma[1][1], sigma[1][2]); - - mat3 J = mat3( - focal_x / viewPos.z, 0., -(focal_x * viewPos.x) / (viewPos.z * viewPos.z), - 0., focal_y / viewPos.z, -(focal_y * viewPos.y) / (viewPos.z * viewPos.z), - 0., 0., 0. - ); - - mat3 V = mat3( - sig1.x, sig1.y, sig1.z, - sig1.y, sig2.x, sig2.y, - sig1.z, sig2.y, sig2.z - ); - - //covariance2D - mat3 T = mat3(czm_view) * J; - mat3 cov2d = T * (V * transpose(T)); - - cov2d[0][0] += 0.3; - cov2d[1][1] += 0.3; - - // Invert covariance (EWA algorithm) - float det = (cov2d[0][0] * cov2d[1][1] - cov2d[0][1] * cov2d[0][1]); - if (det == 0.) { - gl_Position = vec4(0, 0, 0, 1); - return; - } - //may not need these - float det_inv = 1. / det; - vec3 conic = vec3(cov2d[1][1], -cov2d[0][1], cov2d[0][0]) * det_inv; - - //decompose covariance - float diag1 = cov2d[0][0]; - float diag2 = cov2d[1][1]; - float offDiag = cov2d[0][1]; - - float mid = (diag1 + diag2) / 2.0; - float radius = length(vec2((diag1 - diag2) / 2.0, offDiag)); - float lambda1 = mid + radius; - float lambda2 = max(mid - radius, 0.1); - - //if(lambda2 < 0.0) return; - vec2 diagonalVector = normalize(vec2(offDiag, lambda1 - diag1)); - vec2 majorAxis = min(sqrt(2.0 * lambda1), 4096.0) * diagonalVector; - vec2 minorAxis = min(sqrt(2.0 * lambda2), 4096.0) * vec2(diagonalVector.y, -diagonalVector.x); - - // // (Webgl-specific) Convert gl_VertexID from [0,1,2,3] to [-1,-1],[1,-1],[-1,1],[1,1] - // vec2 corner = vec2((gl_VertexID << 1) & 2, gl_VertexID & 2) - 1.; - // // Vertex position in screen space - // vec2 screen_pos = point_image + my_radius * corner; - - // // Store some useful helper data for the fragment stage - con = conic; - // xy = a_position; - // pixf = screen_pos; - // depth = p_view.z; - - vec2 quadPos = vec2(gl_VertexID&1, (gl_VertexID>>1)&1) * 2.0 - 1.0; - quadPos *= 2.; - - xy = quadPos; - - vec2 deltaScreenPos = (quadPos.x * majorAxis + quadPos.y * minorAxis) * 2. / czm_viewport.xy; - pixf = deltaScreenPos; - vPosition = gl_Position; - gl_Position = show * positionClip; - gl_Position.xy += deltaScreenPos * gl_Position.w; - - // (Webgl-specific) Convert from screen-space to clip-space - // vec2 clip_pos = screen_pos / vec2(W, H) * 2. - 1.; - - //screen space calc is already done in geometryStage above - // gl_Position = vec4(clip_pos, 0, 1); + //convert gaussian scale and rot to covariance matrix + float[6] cov3D; + calcCov3D(attributes.scale, attributes.rotation, 1.0, cov3D); - #endif + float aspect = czm_projection[0][0] / czm_projection[1][1]; + float tan_fovx = 1./czm_projection[0][0]; + float tan_fovy = 1./czm_projection[1][1] * aspect; + float lim_x = 1.3 * tan_fovx; + float lim_y = 1.3 * tan_fovy; + float focal_x = czm_viewport.x * czm_projection[0][0] / 2.0; + float focal_y = czm_viewport.y * czm_projection[1][1] / 2.0; + + mat4 viewMatrix = czm_inverseModelView; + + //vec3 calcCov2D(vec3 posEC, float focal_x, float focal_y, float tan_fovx, float tan_fovy, float[6] cov3D, mat4 viewmatrix) + vec3 cov2d = calcCov2D(attributes.positionMC, focal_x, focal_y, tan_fovx, tan_fovy, cov3D, viewMatrix); + + vec4 eyeCoord = viewMatrix * vec4(attributes.positionMC, 1.0); + + v_cov2d = cov2d; + + mat4 adjVP = czm_inverseViewProjection; + vec4 p_hom = adjVP * vec4(attributes.positionMC, 1.0); + float p_w = 1. / (p_hom.w + 1e-7); + vec3 p_proj = p_hom.xyz * p_w; + + // Invert covariance (EWA algorithm) + float det = (cov2d.x * cov2d.z - cov2d.y * cov2d.y); + if (det == 0.) { + gl_Position = vec4(0, 0, 0, 1); + return; + } + //may not need these + float det_inv = 1. / det; + vec3 conic = vec3(cov2d.z, -cov2d.y, cov2d.x) * det_inv; + + + // Compute extent in screen space (by finding eigenvalues of + // 2D covariance matrix). Use extent to compute the bounding + // rectangle of the splat in screen space. + + float mid = 0.5 * (cov2d.x + cov2d.z); + float lambda1 = mid + sqrt(max(0.1, mid * mid - det)); + float lambda2 = mid - sqrt(max(0.1, mid * mid - det)); + float my_radius = ceil(3. * sqrt(max(lambda1, lambda2))); + vec2 point_image = vec2(ndc2Pix(p_proj.x, czm_projection[0][0]), ndc2Pix(p_proj.y, czm_projection[1][1])); + + // (Webgl-specific) As the covariance matrix is calculated as a one-time operation on CPU in this implementation, + // we need to apply the scale modifier differently to still allow for real-time scaling of the splats. + + // (Webgl-specific) Convert gl_VertexID from [0,1,2,3] to [-1,-1],[1,-1],[-1,1],[1,1] + vec2 corner = vec2((gl_VertexID << 1) & 2, gl_VertexID & 2) - 1.; + // Vertex position in screen space + vec2 screen_pos = point_image + my_radius * corner; + + // Store some useful helper data for the fragment stage + con = conic; + xy = point_image; + pixf = screen_pos; + depth = eyeCoord.z; + + // (Webgl-specific) Convert from screen-space to clip-space + vec2 clip_pos = screen_pos / vec2(czm_projection[0][0], czm_projection[1][1]) * 2. - 1.; + + // gl_Position = vec4(clip_pos, 0.0, 1.0); gl_Position = show * positionClip; + gl_PointSize = 20. * (sqrt(det) * 2048.) * (1.0 / -eyeCoord.z); + + // //decompose covariance + // float diag1 = cov2d[0][0]; + // float diag2 = cov2d[1][1]; + // float offDiag = cov2d[0][1]; + + // float mid = (diag1 + diag2) / 2.0; + // float radius = length(vec2((diag1 - diag2) / 2.0, offDiag)); + // v_lambda1 = mid + radius; + // v_lambda2 = max(mid - radius, 0.1); + + // //if(lambda2 < 0.0) return; + // vec2 diagonalVector = normalize(vec2(offDiag, v_lambda1 - diag1)); + // vec2 majorAxis = min(sqrt(2.0 * v_lambda1), 4096.0) * diagonalVector; + // vec2 minorAxis = min(sqrt(2.0 * v_lambda2), 4096.0) * vec2(diagonalVector.y, -diagonalVector.x); + + // v_eigen1 = diagonalVector; + // v_eigen2 = vec2(-v_eigen1.y, v_eigen1.x); + + // // // (Webgl-specific) Convert gl_VertexID from [0,1,2,3] to [-1,-1],[1,-1],[-1,1],[1,1] + // // vec2 corner = vec2((gl_VertexID << 1) & 2, gl_VertexID & 2) - 1.; + // // // Vertex position in screen space + // // vec2 screen_pos = point_image + my_radius * corner; + + // // // Store some useful helper data for the fragment stage + // con = conic; + // // xy = a_position; + // // pixf = screen_pos; + // // depth = p_view.z; + + // vec2 quadPos = vec2(gl_VertexID&1, (gl_VertexID>>1)&1) * 2.0 - 1.0; + // quadPos *= 2.; + + // xy = quadPos; + + // vec2 deltaScreenPos = (quadPos.x * majorAxis + quadPos.y * minorAxis) * 2. / czm_viewport.xy; + // pixf = deltaScreenPos; + // v_position = gl_Position; + + // gl_Position = czm_projection * viewPos; + + // gl_PointSize = 15.;//(sqrt(det) * 2048.) * (1.0/ -viewPos.z); + + #endif + // gl_Position = show * positionClip; } From 9aaf292661ccd805c0055f1a3f96d5038d0cd1ea Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Tue, 18 Jun 2024 08:09:21 -0500 Subject: [PATCH 06/97] final point primitive experiment. moving to quads --- .../engine/Source/Shaders/Model/ModelFS.glsl | 89 +++++------ .../engine/Source/Shaders/Model/ModelVS.glsl | 151 +++++++----------- 2 files changed, 92 insertions(+), 148 deletions(-) diff --git a/packages/engine/Source/Shaders/Model/ModelFS.glsl b/packages/engine/Source/Shaders/Model/ModelFS.glsl index 07e517b3c233..d3585f21917e 100644 --- a/packages/engine/Source/Shaders/Model/ModelFS.glsl +++ b/packages/engine/Source/Shaders/Model/ModelFS.glsl @@ -1,18 +1,15 @@ precision highp float; - -in float depth; -in vec3 con; -in vec2 xy; -in vec2 pixf; in vec4 v_position; -in vec3 v_cov2d; +in float v_anisotropy; +in vec2 vEigenVector1; +in vec2 vEigenVector2; +in float vEigenValue1; +in float vEigenValue2; +in mat2 vCovarianceMatrix; -in vec2 v_eigen1; -in vec2 v_eigen2; -in float v_lambda1; -in float v_lambda2; +in vec2 v_cov2d; czm_modelMaterial defaultModelMaterial() { @@ -104,59 +101,45 @@ void main() #endif #ifdef HAS_POINT_CLOUD_SPLAT + // vec2 pos = gl_PointCoord * 2.0 - 1.0; - // Resample using conic matrix (cf. "Surface - // Splatting" by Zwicker et al., 2001) - vec2 d = xy - pixf; - float power = -0.5 * (con.x * d.x * d.x + con.z * d.y * d.y) - con.y * d.x * d.y; - - if (power > 0.) { - discard; - } - - // (Custom) As the covariance matrix is calculated in a one-time operation on CPU in this implementation, - // we need to apply the scale modifier differently to still allow for real-time scaling of the splats. - //power *= scale_modif; - - // Eq. (2) from 3D Gaussian splatting paper. - float alpha = min(.99f, material.alpha * exp(power)); + // // Calculate the Mahalanobis distance using the covariance matrix + // float mahalanobisDistance = dot(pos, vCovarianceMatrix * pos); - // (Custom) Colorize with depth value instead of color (z-buffer visualization) - vec3 clr = material.diffuse; + // // Discard fragments outside the ellipse + // if (mahalanobisDistance > 1.0) { + // discard; + // } - if (alpha < 1./255.) { - discard; - } - - // Eq. (3) from 3D Gaussian splatting paper. - out_FragColor = vec4(clr * alpha, alpha); + // // Calculate the Gaussian falloff based on the Mahalanobis distance + // float gaussianFalloff = exp(-0.5 * mahalanobisDistance); -// // Rescale gl_PointCoord from [0,1] to [-1,1] -// vec2 p = gl_PointCoord * 2.0 - 1.0; + vec2 coord = gl_PointCoord; -// // Transform the fragment coordinates by the eigenvectors -// vec2 pTransformed = vec2(dot(p, v_eigen1), dot(p, v_eigen2)); + // Calculate the inverse of the covariance matrix + float det = v_cov2d.x * v_cov2d.y; + float invDet = 1.0 / det; + mat2 invCov = mat2( + v_cov2d.y * invDet, 0.0, + 0.0, v_cov2d.x * invDet + ); -// // Compute the Mahalanobis distance in the transformed space -// float m = (pTransformed.x * pTransformed.x) / max(v_lambda1, 1e-5) + -// (pTransformed.y * pTransformed.y) / max(v_lambda2, 1e-5); + // Transform the coordinates using the inverse covariance matrix + vec2 transformedCoord = invCov * (coord - 0.5); -// // Discard fragments outside the ellipse -// if (m > 9.0) { // Discard fragments outside 3 standard deviations -// discard; -// } + // Calculate the Mahalanobis distance + float mahalanobisDist = dot(transformedCoord, transformedCoord); -// // Gaussian function -// float gaussian = exp(-5.0 * m); + // Perform Gaussian falloff + float gaussian = exp(-0.5 * mahalanobisDist); -// // Discard fragments far from center -// if (gaussian < 0.001) { -// discard; -// } - -// // Output color (you may want to make this an attribute or uniform) -// out_FragColor = vec4(material.diffuse * gaussian, gaussian); + // Discard fragments outside the splat radius + if (mahalanobisDist > 1.0) { + discard; + } + // Apply the Gaussian falloff and alpha to the color + out_FragColor = vec4(material.diffuse * gaussian, material.alpha * gaussian); #endif } diff --git a/packages/engine/Source/Shaders/Model/ModelVS.glsl b/packages/engine/Source/Shaders/Model/ModelVS.glsl index f410374678c7..21bfbdb07c02 100644 --- a/packages/engine/Source/Shaders/Model/ModelVS.glsl +++ b/packages/engine/Source/Shaders/Model/ModelVS.glsl @@ -1,16 +1,14 @@ precision highp float; -out float depth; -out vec3 con; -out vec2 xy; -out vec2 pixf; -out vec4 v_position; -out vec3 v_cov2d; -out vec2 v_eigen1; -out vec2 v_eigen2; -out float v_lambda1; -out float v_lambda2; +out vec4 v_position; +out float v_anisotropy; +out vec2 vEigenVector1; +out vec2 vEigenVector2; +out float vEigenValue1; +out float vEigenValue2; +out mat2 vCovarianceMatrix; +out vec2 v_cov2d; czm_modelVertexOutput defaultVertexOutput(vec3 positionMC) { czm_modelVertexOutput vsOutput; @@ -52,15 +50,16 @@ vec3 calcCov2D(vec3 posEC, float focal_x, float focal_y, float tan_fovx, float t vec4 t = viewmatrix * vec4(posEC, 1.0); mat3 J = mat3( focal_x / t.z, 0, -(focal_x * t.x) / (t.z * t.z), - 0, focal_y / t.z, -(focal_y * t.y) / (t.z * t.z), + 0, -focal_y / t.z, (focal_y * t.y) / (t.z * t.z), 0, 0, 0 ); - mat3 W = mat3( - viewmatrix[0][0], viewmatrix[1][0], viewmatrix[2][0], - viewmatrix[0][1], viewmatrix[1][1], viewmatrix[2][1], - viewmatrix[0][2], viewmatrix[1][2], viewmatrix[2][2] - ); + // mat3 W = mat3( + // viewmatrix[0][0], viewmatrix[1][0], viewmatrix[2][0], + // viewmatrix[0][1], viewmatrix[1][1], viewmatrix[2][1], + // viewmatrix[0][2], viewmatrix[1][2], viewmatrix[2][2] + // ); + mat3 W = transpose(mat3(viewmatrix)); mat3 T = W * J; @@ -236,103 +235,65 @@ void main() float focal_x = czm_viewport.x * czm_projection[0][0] / 2.0; float focal_y = czm_viewport.y * czm_projection[1][1] / 2.0; - mat4 viewMatrix = czm_inverseModelView; + mat4 viewMatrix = czm_inverseView; //vec3 calcCov2D(vec3 posEC, float focal_x, float focal_y, float tan_fovx, float tan_fovy, float[6] cov3D, mat4 viewmatrix) vec3 cov2d = calcCov2D(attributes.positionMC, focal_x, focal_y, tan_fovx, tan_fovy, cov3D, viewMatrix); + //this is computed in the geometryStageVS, but lets be explicit for now vec4 eyeCoord = viewMatrix * vec4(attributes.positionMC, 1.0); + vec4 pos2d = czm_projection * eyeCoord; - v_cov2d = cov2d; - - mat4 adjVP = czm_inverseViewProjection; - vec4 p_hom = adjVP * vec4(attributes.positionMC, 1.0); - float p_w = 1. / (p_hom.w + 1e-7); - vec3 p_proj = p_hom.xyz * p_w; - - // Invert covariance (EWA algorithm) - float det = (cov2d.x * cov2d.z - cov2d.y * cov2d.y); - if (det == 0.) { - gl_Position = vec4(0, 0, 0, 1); - return; - } - //may not need these - float det_inv = 1. / det; - vec3 conic = vec3(cov2d.z, -cov2d.y, cov2d.x) * det_inv; - - - // Compute extent in screen space (by finding eigenvalues of - // 2D covariance matrix). Use extent to compute the bounding - // rectangle of the splat in screen space. + // float mid = (cov2d.x + cov2d.z) / 2.0; + // float radius = length(vec2((cov2d.x - cov2d.z) / 2.0, cov2d.y)); + // float lambda1 = mid + radius, lambda2 = mid - radius; - float mid = 0.5 * (cov2d.x + cov2d.z); - float lambda1 = mid + sqrt(max(0.1, mid * mid - det)); - float lambda2 = mid - sqrt(max(0.1, mid * mid - det)); - float my_radius = ceil(3. * sqrt(max(lambda1, lambda2))); - vec2 point_image = vec2(ndc2Pix(p_proj.x, czm_projection[0][0]), ndc2Pix(p_proj.y, czm_projection[1][1])); + // if(lambda2 < 0.0) return; + // vec2 diagonalVector = normalize(vec2(cov2d.y, lambda1 - cov2d.x)); + // vec2 majorAxis = min(sqrt(2.0 * lambda1), 1024.0) * diagonalVector; + // vec2 minorAxis = min(sqrt(2.0 * lambda2), 1024.0) * vec2(diagonalVector.y, -diagonalVector.x); - // (Webgl-specific) As the covariance matrix is calculated as a one-time operation on CPU in this implementation, - // we need to apply the scale modifier differently to still allow for real-time scaling of the splats. - // (Webgl-specific) Convert gl_VertexID from [0,1,2,3] to [-1,-1],[1,-1],[-1,1],[1,1] - vec2 corner = vec2((gl_VertexID << 1) & 2, gl_VertexID & 2) - 1.; - // Vertex position in screen space - vec2 screen_pos = point_image + my_radius * corner; + // Calculate the eigenvalues of the 2D covariance matrix + float a = cov2d.x; + float b = cov2d.y; + float c = cov2d.z; + float trace = a + c; + float det = a * c - b * b; + float delta = sqrt(max(0.0, trace * trace - 4.0 * det)); + float lambda1 = (trace + delta) / 2.0; + float lambda2 = (trace - delta) / 2.0; - // Store some useful helper data for the fragment stage - con = conic; - xy = point_image; - pixf = screen_pos; - depth = eyeCoord.z; + // Calculate the eigenvectors and eigenvalues + vec2 eigenVector1 = normalize(vec2(lambda1 - c, b)); + vec2 eigenVector2 = vec2(-eigenVector1.y, eigenVector1.x); - // (Webgl-specific) Convert from screen-space to clip-space - vec2 clip_pos = screen_pos / vec2(czm_projection[0][0], czm_projection[1][1]) * 2. - 1.; - // gl_Position = vec4(clip_pos, 0.0, 1.0); -gl_Position = show * positionClip; - gl_PointSize = 20. * (sqrt(det) * 2048.) * (1.0 / -eyeCoord.z); + float anisotropy = lambda1 / max(lambda2, 1e-5); - // //decompose covariance - // float diag1 = cov2d[0][0]; - // float diag2 = cov2d[1][1]; - // float offDiag = cov2d[0][1]; + // Calculate the point size based on the eigenvalues and anisotropy factor + float baseSize = 5.0; // Adjust this value to control the base size of the points + float scaleFactor = 2048.0; // Adjust this value to control the scaling based on anisotropy + float pointSize = baseSize * pow(anisotropy, scaleFactor); - // float mid = (diag1 + diag2) / 2.0; - // float radius = length(vec2((diag1 - diag2) / 2.0, offDiag)); - // v_lambda1 = mid + radius; - // v_lambda2 = max(mid - radius, 0.1); - // //if(lambda2 < 0.0) return; - // vec2 diagonalVector = normalize(vec2(offDiag, v_lambda1 - diag1)); - // vec2 majorAxis = min(sqrt(2.0 * v_lambda1), 4096.0) * diagonalVector; - // vec2 minorAxis = min(sqrt(2.0 * v_lambda2), 4096.0) * vec2(diagonalVector.y, -diagonalVector.x); + gl_Position = show * positionClip; + gl_PointSize = pointSize; - // v_eigen1 = diagonalVector; - // v_eigen2 = vec2(-v_eigen1.y, v_eigen1.x); - - // // // (Webgl-specific) Convert gl_VertexID from [0,1,2,3] to [-1,-1],[1,-1],[-1,1],[1,1] - // // vec2 corner = vec2((gl_VertexID << 1) & 2, gl_VertexID & 2) - 1.; - // // // Vertex position in screen space - // // vec2 screen_pos = point_image + my_radius * corner; - - // // // Store some useful helper data for the fragment stage - // con = conic; - // // xy = a_position; - // // pixf = screen_pos; - // // depth = p_view.z; - - // vec2 quadPos = vec2(gl_VertexID&1, (gl_VertexID>>1)&1) * 2.0 - 1.0; - // quadPos *= 2.; - - // xy = quadPos; - - // vec2 deltaScreenPos = (quadPos.x * majorAxis + quadPos.y * minorAxis) * 2. / czm_viewport.xy; - // pixf = deltaScreenPos; - // v_position = gl_Position; + // Calculate the 2D covariance matrix + mat2 covarianceMatrix = mat2( + cov2d.x, cov2d.y, + cov2d.y, cov2d.z + ); +v_cov2d = vec2(cov2d.x, cov2d.z); + vCovarianceMatrix = inverse(covarianceMatrix); - // gl_Position = czm_projection * viewPos; - // gl_PointSize = 15.;//(sqrt(det) * 2048.) * (1.0/ -viewPos.z); + v_anisotropy = anisotropy; + vEigenVector1 = eigenVector1; + vEigenVector2 = eigenVector2; + vEigenValue1 = lambda1; + vEigenValue2 = lambda2; #endif // gl_Position = show * positionClip; From 8c3aea4ee489ff6ec0a6e02fcefc464450ab4612 Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Wed, 19 Jun 2024 17:36:56 -0500 Subject: [PATCH 07/97] quad drawing. need to get instanced drawarrays working --- packages/engine/Source/Renderer/Context.js | 10 +- .../Scene/Model/GeometryPipelineStage.js | 34 ++++ .../engine/Source/Shaders/Model/ModelFS.glsl | 53 +----- .../engine/Source/Shaders/Model/ModelVS.glsl | 156 ++++++++++-------- 4 files changed, 132 insertions(+), 121 deletions(-) diff --git a/packages/engine/Source/Renderer/Context.js b/packages/engine/Source/Renderer/Context.js index 4122dc804e1f..96b1551c40fc 100644 --- a/packages/engine/Source/Renderer/Context.js +++ b/packages/engine/Source/Renderer/Context.js @@ -1367,7 +1367,15 @@ function continueDraw(context, drawCommand, shaderProgram, uniformMap) { } else { count = va.numberOfVertices; } - if (instanceCount === 0) { + + if (primitiveType === PrimitiveType.POINTS) { + // context._gl.drawArraysInstanced(PrimitiveType.TRIANGLE_FAN, + // 0, + // 4, + // count + // ); + context._gl.drawArrays(PrimitiveType.TRIANGLE_STRIP, offset, count * 4); + } else if (instanceCount === 0) { context._gl.drawArrays(primitiveType, offset, count); } else { context.glDrawArraysInstanced( diff --git a/packages/engine/Source/Scene/Model/GeometryPipelineStage.js b/packages/engine/Source/Scene/Model/GeometryPipelineStage.js index cf564c80a024..044cf1ac86d5 100644 --- a/packages/engine/Source/Scene/Model/GeometryPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GeometryPipelineStage.js @@ -12,6 +12,9 @@ import ModelUtility from "./ModelUtility.js"; import SelectedFeatureIdPipelineStage from "./SelectedFeatureIdPipelineStage.js"; import VertexAttributeSemantic from "../VertexAttributeSemantic.js"; +import Buffer from "../../Renderer/Buffer.js"; +import BufferUsage from "../../Renderer/BufferUsage.js"; + /** * The geometry pipeline stage processes the vertex attributes of a primitive. * @@ -195,6 +198,37 @@ GeometryPipelineStage.process = function ( undefined, ShaderDestination.BOTH ); + + const vPositionLoc = shaderBuilder.addAttribute("vec2", "v_position"); + + const vertexBuffer = Buffer.createVertexBuffer({ + context: frameState.context, + typedArray: new Float32Array([ + -5.0, + -5.0, + 5.0, + -5.0, + 5.0, + 5.0, + -5.0, + 5.0, + ]), + usage: BufferUsage.STATIC_DRAW, + }); + + frameState.context._gl.enableVertexAttribArray(vPositionLoc); + frameState.context._gl.bindBuffer( + frameState.context._gl.ARRAY_BUFFER, + vertexBuffer._buffer + ); + frameState.context._gl.vertexAttribPointer( + vPositionLoc, + 2, + frameState.context._gl.FLOAT, + false, + 0, + 0 + ); } shaderBuilder.addVertexLines(GeometryStageVS); diff --git a/packages/engine/Source/Shaders/Model/ModelFS.glsl b/packages/engine/Source/Shaders/Model/ModelFS.glsl index d3585f21917e..7abb2a407347 100644 --- a/packages/engine/Source/Shaders/Model/ModelFS.glsl +++ b/packages/engine/Source/Shaders/Model/ModelFS.glsl @@ -1,15 +1,9 @@ precision highp float; -in vec4 v_position; -in float v_anisotropy; -in vec2 vEigenVector1; -in vec2 vEigenVector2; -in float vEigenValue1; -in float vEigenValue2; -in mat2 vCovarianceMatrix; +in vec2 fsPosition; + -in vec2 v_cov2d; czm_modelMaterial defaultModelMaterial() { @@ -101,45 +95,10 @@ void main() #endif #ifdef HAS_POINT_CLOUD_SPLAT - // vec2 pos = gl_PointCoord * 2.0 - 1.0; - - // // Calculate the Mahalanobis distance using the covariance matrix - // float mahalanobisDistance = dot(pos, vCovarianceMatrix * pos); - - // // Discard fragments outside the ellipse - // if (mahalanobisDistance > 1.0) { - // discard; - // } - - // // Calculate the Gaussian falloff based on the Mahalanobis distance - // float gaussianFalloff = exp(-0.5 * mahalanobisDistance); - - - vec2 coord = gl_PointCoord; - - // Calculate the inverse of the covariance matrix - float det = v_cov2d.x * v_cov2d.y; - float invDet = 1.0 / det; - mat2 invCov = mat2( - v_cov2d.y * invDet, 0.0, - 0.0, v_cov2d.x * invDet - ); - - // Transform the coordinates using the inverse covariance matrix - vec2 transformedCoord = invCov * (coord - 0.5); - - // Calculate the Mahalanobis distance - float mahalanobisDist = dot(transformedCoord, transformedCoord); - - // Perform Gaussian falloff - float gaussian = exp(-0.5 * mahalanobisDist); - - // Discard fragments outside the splat radius - if (mahalanobisDist > 1.0) { - discard; - } - // Apply the Gaussian falloff and alpha to the color - out_FragColor = vec4(material.diffuse * gaussian, material.alpha * gaussian); + float A = -dot(fsPosition, fsPosition); + if (A < -4.0) discard; + float B = exp(A) * material.alpha; + out_FragColor = vec4(B * material.diffuse, B); #endif } diff --git a/packages/engine/Source/Shaders/Model/ModelVS.glsl b/packages/engine/Source/Shaders/Model/ModelVS.glsl index 21bfbdb07c02..dcebd1f963fe 100644 --- a/packages/engine/Source/Shaders/Model/ModelVS.glsl +++ b/packages/engine/Source/Shaders/Model/ModelVS.glsl @@ -1,14 +1,8 @@ precision highp float; -out vec4 v_position; -out float v_anisotropy; -out vec2 vEigenVector1; -out vec2 vEigenVector2; -out float vEigenValue1; -out float vEigenValue2; -out mat2 vCovarianceMatrix; -out vec2 v_cov2d; +//in vec2 v_position; +out vec2 fsPosition; czm_modelVertexOutput defaultVertexOutput(vec3 positionMC) { czm_modelVertexOutput vsOutput; @@ -48,18 +42,26 @@ void calcCov3D(vec3 scale, vec4 rot, float mod, out float[6] cov3D) vec3 calcCov2D(vec3 posEC, float focal_x, float focal_y, float tan_fovx, float tan_fovy, float[6] cov3D, mat4 viewmatrix) { vec4 t = viewmatrix * vec4(posEC, 1.0); + + float limx = 1.3 * tan_fovx; + float limy = 1.3 * tan_fovy; + float txtz = t.x / t.z; + float tytz = t.y / t.z; + t.x = min(limx, max(-limx, txtz)) * t.z; + t.y = min(limy, max(-limy, tytz)) * t.z; + mat3 J = mat3( focal_x / t.z, 0, -(focal_x * t.x) / (t.z * t.z), - 0, -focal_y / t.z, (focal_y * t.y) / (t.z * t.z), + 0, focal_y / t.z, -(focal_y * t.y) / (t.z * t.z), 0, 0, 0 ); - // mat3 W = mat3( - // viewmatrix[0][0], viewmatrix[1][0], viewmatrix[2][0], - // viewmatrix[0][1], viewmatrix[1][1], viewmatrix[2][1], - // viewmatrix[0][2], viewmatrix[1][2], viewmatrix[2][2] - // ); - mat3 W = transpose(mat3(viewmatrix)); + mat3 W = mat3( + viewmatrix[0][0], viewmatrix[1][0], viewmatrix[2][0], + viewmatrix[0][1], viewmatrix[1][1], viewmatrix[2][1], + viewmatrix[0][2], viewmatrix[1][2], viewmatrix[2][2] + ); + // mat3 W = transpose(mat3(viewmatrix)); mat3 T = W * J; @@ -81,6 +83,44 @@ float ndc2Pix(float v, float S) { } +vec4 addScreenSpaceOffset(vec4 positionEC, vec2 imageSize, float scale, vec2 direction, vec2 origin, vec2 translate, vec2 pixelOffset, vec3 alignedAxis, bool validAlignedAxis, float rotation, bool sizeInMeters, out mat2 rotationMatrix, out float mpp) +{ + // Note the halfSize cannot be computed in JavaScript because it is sent via + // compressed vertex attributes that coerce it to an integer. + vec2 halfSize = imageSize * scale * 0.5; + halfSize *= ((direction * 2.0) - 1.0); + + vec2 originTranslate = origin * abs(halfSize); + +#if defined(ROTATION) || defined(ALIGNED_AXIS) + if (validAlignedAxis || rotation != 0.0) + { + float angle = rotation; + if (validAlignedAxis) + { + vec4 projectedAlignedAxis = czm_modelView3D * vec4(alignedAxis, 0.0); + angle += sign(-projectedAlignedAxis.x) * acos(sign(projectedAlignedAxis.y) * (projectedAlignedAxis.y * projectedAlignedAxis.y) / + (projectedAlignedAxis.x * projectedAlignedAxis.x + projectedAlignedAxis.y * projectedAlignedAxis.y)); + } + + float cosTheta = cos(angle); + float sinTheta = sin(angle); + rotationMatrix = mat2(cosTheta, sinTheta, -sinTheta, cosTheta); + halfSize = rotationMatrix * halfSize; + } + else + { + rotationMatrix = mat2(1.0, 0.0, 0.0, 1.0); + } +#endif + + mpp = czm_metersPerPixel(positionEC); + positionEC.xy += (originTranslate + halfSize) * czm_branchFreeTernary(sizeInMeters, 1.0, mpp); + positionEC.xy += (translate + pixelOffset) * mpp; + + return positionEC; +} + void main() @@ -227,73 +267,43 @@ void main() float[6] cov3D; calcCov3D(attributes.scale, attributes.rotation, 1.0, cov3D); - float aspect = czm_projection[0][0] / czm_projection[1][1]; - float tan_fovx = 1./czm_projection[0][0]; - float tan_fovy = 1./czm_projection[1][1] * aspect; - float lim_x = 1.3 * tan_fovx; - float lim_y = 1.3 * tan_fovy; - float focal_x = czm_viewport.x * czm_projection[0][0] / 2.0; - float focal_y = czm_viewport.y * czm_projection[1][1] / 2.0; - - mat4 viewMatrix = czm_inverseView; + float aspect = czm_viewport.z / czm_viewport.w; + float fovx = 2.0 * atan(aspect / czm_projection[0][0]);//1./czm_projection[0][0]; + float fovy = 2.0 * atan(1.0 / czm_projection[1][1]);//1./czm_projection[1][1] * aspect; + float tan_fovx = tan(fovx / 2.0); + float tan_fovy = tan(fovy / 2.0); + float focal_y = czm_viewport.w / (2.0 * tan_fovy); + float focal_x = czm_viewport.z / (2.0 * tan_fovx); - //vec3 calcCov2D(vec3 posEC, float focal_x, float focal_y, float tan_fovx, float tan_fovy, float[6] cov3D, mat4 viewmatrix) - vec3 cov2d = calcCov2D(attributes.positionMC, focal_x, focal_y, tan_fovx, tan_fovy, cov3D, viewMatrix); + mat4 viewMatrix = czm_modelView; + vec3 cov2d = calcCov2D(attributes.positionMC, focal_x, focal_y, tan_fovx, tan_fovy, cov3D, viewMatrix); - //this is computed in the geometryStageVS, but lets be explicit for now - vec4 eyeCoord = viewMatrix * vec4(attributes.positionMC, 1.0); - vec4 pos2d = czm_projection * eyeCoord; + vec4 pos2d = czm_modelViewProjection * vec4(v_positionMC,1.0); - // float mid = (cov2d.x + cov2d.z) / 2.0; - // float radius = length(vec2((cov2d.x - cov2d.z) / 2.0, cov2d.y)); - // float lambda1 = mid + radius, lambda2 = mid - radius; + float clip = 1.2 * pos2d.w; + if (pos2d.z < -clip || pos2d.x < -clip || pos2d.x > clip || pos2d.y < -clip || pos2d.y > clip) { + gl_Position = vec4(0.0, 0.0, 2.0, 1.0); + return; + } - // if(lambda2 < 0.0) return; - // vec2 diagonalVector = normalize(vec2(cov2d.y, lambda1 - cov2d.x)); - // vec2 majorAxis = min(sqrt(2.0 * lambda1), 1024.0) * diagonalVector; - // vec2 minorAxis = min(sqrt(2.0 * lambda2), 1024.0) * vec2(diagonalVector.y, -diagonalVector.x); + float mid = (cov2d.x + cov2d.z) / 2.0; + float radius = length(vec2((cov2d.x - cov2d.z) / 2.0, cov2d.y)); + float lambda1 = mid + radius, lambda2 = mid - radius; + if(lambda2 < 0.0) return; + vec2 diagonalVector = normalize(vec2(cov2d.y, lambda1 - cov2d.x)); + vec2 majorAxis = min(sqrt(2.0 * lambda1), 1024.0) * diagonalVector; + vec2 minorAxis = min(sqrt(2.0 * lambda2), 1024.0) * vec2(diagonalVector.y, -diagonalVector.x); - // Calculate the eigenvalues of the 2D covariance matrix - float a = cov2d.x; - float b = cov2d.y; - float c = cov2d.z; - float trace = a + c; - float det = a * c - b * b; - float delta = sqrt(max(0.0, trace * trace - 4.0 * det)); - float lambda1 = (trace + delta) / 2.0; - float lambda2 = (trace - delta) / 2.0; + vec3 vCenter = pos2d.xyz / pos2d.w; - // Calculate the eigenvectors and eigenvalues - vec2 eigenVector1 = normalize(vec2(lambda1 - c, b)); - vec2 eigenVector2 = vec2(-eigenVector1.y, eigenVector1.x); - - - float anisotropy = lambda1 / max(lambda2, 1e-5); - - // Calculate the point size based on the eigenvalues and anisotropy factor - float baseSize = 5.0; // Adjust this value to control the base size of the points - float scaleFactor = 2048.0; // Adjust this value to control the scaling based on anisotropy - float pointSize = baseSize * pow(anisotropy, scaleFactor); - - - gl_Position = show * positionClip; - gl_PointSize = pointSize; - - // Calculate the 2D covariance matrix - mat2 covarianceMatrix = mat2( - cov2d.x, cov2d.y, - cov2d.y, cov2d.z - ); -v_cov2d = vec2(cov2d.x, cov2d.z); - vCovarianceMatrix = inverse(covarianceMatrix); + gl_Position = vec4( + vCenter.xy + + v_position.x * majorAxis / czm_viewport.z + + v_position.y * minorAxis / czm_viewport.w, 0.0, 1.0);; - v_anisotropy = anisotropy; - vEigenVector1 = eigenVector1; - vEigenVector2 = eigenVector2; - vEigenValue1 = lambda1; - vEigenValue2 = lambda2; + fsPosition = v_position; #endif // gl_Position = show * positionClip; From 7a3f47286ee8388c18ce1f475538381807a1c18f Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Fri, 21 Jun 2024 11:18:57 -0500 Subject: [PATCH 08/97] new splat pipeline files --- packages/engine/Source/Renderer/Context.js | 13 ++-- .../Scene/Model/GaussianSplatPipelineStage.js | 48 +++++++++++++ .../Scene/Model/GeometryPipelineStage.js | 41 ----------- .../Scene/Model/ModelRuntimePrimitive.js | 5 ++ .../Source/Shaders/Model/GaussianSplatFS.glsl | 6 ++ .../Source/Shaders/Model/GaussianSplatVS.glsl | 70 +++++++++++++++++++ .../engine/Source/Shaders/Model/ModelFS.glsl | 11 +-- .../engine/Source/Shaders/Model/ModelVS.glsl | 12 +++- .../engine/Source/Workers/gaussianSort.js | 0 9 files changed, 148 insertions(+), 58 deletions(-) create mode 100644 packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js create mode 100644 packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl create mode 100644 packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl create mode 100644 packages/engine/Source/Workers/gaussianSort.js diff --git a/packages/engine/Source/Renderer/Context.js b/packages/engine/Source/Renderer/Context.js index 96b1551c40fc..048032e302ea 100644 --- a/packages/engine/Source/Renderer/Context.js +++ b/packages/engine/Source/Renderer/Context.js @@ -1369,12 +1369,13 @@ function continueDraw(context, drawCommand, shaderProgram, uniformMap) { } if (primitiveType === PrimitiveType.POINTS) { - // context._gl.drawArraysInstanced(PrimitiveType.TRIANGLE_FAN, - // 0, - // 4, - // count - // ); - context._gl.drawArrays(PrimitiveType.TRIANGLE_STRIP, offset, count * 4); + context._gl.drawArraysInstanced( + PrimitiveType.TRIANGLE_STRIP, + 0, + 4, + count + ); + // context._gl.drawArrays(PrimitiveType.TRIANGLES, offset, count * 4); } else if (instanceCount === 0) { context._gl.drawArrays(primitiveType, offset, count); } else { diff --git a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js new file mode 100644 index 000000000000..c0c14b851e5f --- /dev/null +++ b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js @@ -0,0 +1,48 @@ +import Buffer from "../../Renderer/Buffer.js"; +import BufferUsage from "../../Renderer/BufferUsage.js"; +import ComponentDatatype from "../../Core/ComponentDatatype.js"; +import ShaderDestination from "../../Renderer/ShaderDestination.js"; +import GaussianSplatVS from "../../Shaders/Model/GaussianSplatVS.js"; +import GaussianSplatFS from "../../Shaders/Model/GaussianSplatFS.js"; + +const GaussianSplatPipelineStage = { + name: "GaussianSplatPipelineStage", +}; + +GaussianSplatPipelineStage.process = function ( + renderResources, + primitive, + frameState +) { + const { shaderBuilder } = renderResources; + + const vertexBuffer = Buffer.createVertexBuffer({ + context: frameState.context, + typedArray: new Float32Array([-2.0, -2.0, 2.0, -2.0, 2.0, 2.0, -2.0, 2.0]), + usage: BufferUsage.STATIC_DRAW, + }); + + vertexBuffer.vertexArrayDestroyable = false; + + shaderBuilder.addDefine( + "HAS_POINT_CLOUD_SPLAT", + undefined, + ShaderDestination.BOTH + ); + + const vPositionLoc = shaderBuilder.addVarying("vec2", "v_splatPosition"); + + const attr = { + index: vPositionLoc, + vertexBuffer: vertexBuffer, + componentDatatype: ComponentDatatype.FLOAT, + componentsPerAttribute: 2, + }; + + renderResources.attributes.push(attr); + + shaderBuilder.addVertexLines(GaussianSplatVS); + shaderBuilder.addFragmentLines(GaussianSplatFS); +}; + +export default GaussianSplatPipelineStage; diff --git a/packages/engine/Source/Scene/Model/GeometryPipelineStage.js b/packages/engine/Source/Scene/Model/GeometryPipelineStage.js index 044cf1ac86d5..28b769a07c96 100644 --- a/packages/engine/Source/Scene/Model/GeometryPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GeometryPipelineStage.js @@ -12,9 +12,6 @@ import ModelUtility from "./ModelUtility.js"; import SelectedFeatureIdPipelineStage from "./SelectedFeatureIdPipelineStage.js"; import VertexAttributeSemantic from "../VertexAttributeSemantic.js"; -import Buffer from "../../Renderer/Buffer.js"; -import BufferUsage from "../../Renderer/BufferUsage.js"; - /** * The geometry pipeline stage processes the vertex attributes of a primitive. * @@ -190,45 +187,7 @@ GeometryPipelineStage.process = function ( handleBitangents(shaderBuilder, primitive.attributes); if (primitive.primitiveType === PrimitiveType.POINTS) { - //if splats dont set points shaderBuilder.addDefine("PRIMITIVE_TYPE_POINTS"); - //temp hack. Will need to check glTF for splat extension - shaderBuilder.addDefine( - "HAS_POINT_CLOUD_SPLAT", - undefined, - ShaderDestination.BOTH - ); - - const vPositionLoc = shaderBuilder.addAttribute("vec2", "v_position"); - - const vertexBuffer = Buffer.createVertexBuffer({ - context: frameState.context, - typedArray: new Float32Array([ - -5.0, - -5.0, - 5.0, - -5.0, - 5.0, - 5.0, - -5.0, - 5.0, - ]), - usage: BufferUsage.STATIC_DRAW, - }); - - frameState.context._gl.enableVertexAttribArray(vPositionLoc); - frameState.context._gl.bindBuffer( - frameState.context._gl.ARRAY_BUFFER, - vertexBuffer._buffer - ); - frameState.context._gl.vertexAttribPointer( - vPositionLoc, - 2, - frameState.context._gl.FLOAT, - false, - 0, - 0 - ); } shaderBuilder.addVertexLines(GeometryStageVS); diff --git a/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js b/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js index ed82ca255cf4..d394f02060b7 100644 --- a/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js +++ b/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js @@ -26,6 +26,7 @@ import SelectedFeatureIdPipelineStage from "./SelectedFeatureIdPipelineStage.js" import SkinningPipelineStage from "./SkinningPipelineStage.js"; import VerticalExaggerationPipelineStage from "./VerticalExaggerationPipelineStage.js"; import WireframePipelineStage from "./WireframePipelineStage.js"; +import GaussianSplatPipelineStage from "./GaussianSplatPipelineStage.js"; /** * In memory representation of a single primitive, that is, a primitive @@ -301,6 +302,10 @@ ModelRuntimePrimitive.prototype.configurePipeline = function (frameState) { pipelineStages.push(PrimitiveOutlinePipelineStage); } + //if(hasGaussianSplats) { + pipelineStages.push(GaussianSplatPipelineStage); + //} + pipelineStages.push(AlphaPipelineStage); pipelineStages.push(PrimitiveStatisticsPipelineStage); diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl new file mode 100644 index 000000000000..b9bb239caa7f --- /dev/null +++ b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl @@ -0,0 +1,6 @@ +vec4 gaussianSplatStage(inout vec4 color, vec4 position) { + float A = -dot(position, position); + if (A < -4.0) discard; + float B = exp(A) * color.w; + color = vec4(B * color.xyz, B); +} diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl new file mode 100644 index 000000000000..1f51916580d5 --- /dev/null +++ b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl @@ -0,0 +1,70 @@ +void calcCov3D(vec3 scale, vec4 rot, float mod, out float[6] cov3D) +{ + mat3 S = mat3( + mod * scale[0], 0, 0, + 0, mod * scale[1], 0, + 0, 0, mod * scale[2] + ); + + float x = rot.x; + float y = rot.y; + float z = rot.z; + float w = rot.w; + mat3 R = mat3( + 1.-2.*(y*y + z*z), 2.*(x*y - w*z), 2.*(x*z + w*y), + 2.*(x*y + w*z), 1.-2.*(x*x + z*z), 2.*(y*z - w*x), + 2.*(x*z - w*y), 2.*(y*z + w*x), 1.-2.*(x*x + y*y) + ); + + mat3 M = S * R; + mat3 Sigma = transpose(M) * M; + + //we only need part of it, symmetric + cov3D = float[6]( + Sigma[0][0], Sigma[0][1], Sigma[0][2], + Sigma[1][1], Sigma[1][2], Sigma[2][2] + ); + +} + +vec3 calcCov2D(vec3 posEC, float focal_x, float focal_y, float tan_fovx, float tan_fovy, float[6] cov3D, mat4 viewmatrix) { + vec4 t = viewmatrix * vec4(posEC, 1.0); + + float limx = 1.3 * tan_fovx; + float limy = 1.3 * tan_fovy; + float txtz = t.x / t.z; + float tytz = t.y / t.z; + t.x = min(limx, max(-limx, txtz)) * t.z; + t.y = min(limy, max(-limy, tytz)) * t.z; + + mat3 J = mat3( + focal_x / t.z, 0, -(focal_x * t.x) / (t.z * t.z), + 0, focal_y / t.z, -(focal_y * t.y) / (t.z * t.z), + 0, 0, 0 + ); + + mat3 W = mat3( + viewmatrix[0][0], viewmatrix[1][0], viewmatrix[2][0], + viewmatrix[0][1], viewmatrix[1][1], viewmatrix[2][1], + viewmatrix[0][2], viewmatrix[1][2], viewmatrix[2][2] + ); + // mat3 W = transpose(mat3(viewmatrix)); + + mat3 T = W * J; + + mat3 Vrk = mat3( + cov3D[0], cov3D[1], cov3D[2], + cov3D[1], cov3D[3], cov3D[4], + cov3D[2], cov3D[4], cov3D[5] + ); + + mat3 cov = transpose(T) * transpose(Vrk) * T; + + cov[0][0] += .3; + cov[1][1] += .3; + return vec3(cov[0][0], cov[0][1], cov[1][1]); +} + +float ndc2Pix(float v, float S) { + return ((v + 1.) * S - 1.) * .5; +} diff --git a/packages/engine/Source/Shaders/Model/ModelFS.glsl b/packages/engine/Source/Shaders/Model/ModelFS.glsl index 7abb2a407347..4b43a36b75ca 100644 --- a/packages/engine/Source/Shaders/Model/ModelFS.glsl +++ b/packages/engine/Source/Shaders/Model/ModelFS.glsl @@ -1,10 +1,6 @@ precision highp float; -in vec2 fsPosition; - - - czm_modelMaterial defaultModelMaterial() { czm_modelMaterial material; @@ -95,10 +91,9 @@ void main() #endif #ifdef HAS_POINT_CLOUD_SPLAT - float A = -dot(fsPosition, fsPosition); - if (A < -4.0) discard; - float B = exp(A) * material.alpha; - out_FragColor = vec4(B * material.diffuse, B); + gaussianSplatStage(color, v_splatPosition); #endif + + out_FragColor = color; } diff --git a/packages/engine/Source/Shaders/Model/ModelVS.glsl b/packages/engine/Source/Shaders/Model/ModelVS.glsl index dcebd1f963fe..3ee79f3c6394 100644 --- a/packages/engine/Source/Shaders/Model/ModelVS.glsl +++ b/packages/engine/Source/Shaders/Model/ModelVS.glsl @@ -3,6 +3,7 @@ precision highp float; //in vec2 v_position; out vec2 fsPosition; +out float vColorMod; czm_modelVertexOutput defaultVertexOutput(vec3 positionMC) { czm_modelVertexOutput vsOutput; @@ -295,15 +296,20 @@ void main() vec2 majorAxis = min(sqrt(2.0 * lambda1), 1024.0) * diagonalVector; vec2 minorAxis = min(sqrt(2.0 * lambda2), 1024.0) * vec2(diagonalVector.y, -diagonalVector.x); + vec2 corner = vec2((gl_VertexID << 1) & 2, gl_VertexID & 2) - 1.; + corner *= 2.; + vec3 vCenter = pos2d.xyz / pos2d.w; + vColorMod = clamp(pos2d.z/pos2d.w+1.0, 0.0, 1.0); + gl_Position = vec4( vCenter.xy - + v_position.x * majorAxis / czm_viewport.z - + v_position.y * minorAxis / czm_viewport.w, 0.0, 1.0);; + + corner.x * majorAxis / czm_viewport.z + + corner.y * minorAxis / czm_viewport.w, 0.0, 1.0); - fsPosition = v_position; + fsPosition = corner; #endif // gl_Position = show * positionClip; diff --git a/packages/engine/Source/Workers/gaussianSort.js b/packages/engine/Source/Workers/gaussianSort.js new file mode 100644 index 000000000000..e69de29bb2d1 From 4f9c886bb358e1f95c4827e8f22d48699bad77e3 Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Fri, 21 Jun 2024 12:31:33 -0500 Subject: [PATCH 09/97] shader org --- .../Scene/Model/GaussianSplatPipelineStage.js | 5 +- .../Scene/Model/ModelRuntimePrimitive.js | 8 +- .../Source/Shaders/Model/GaussianSplatFS.glsl | 2 +- .../Source/Shaders/Model/GaussianSplatVS.glsl | 48 +++++ .../engine/Source/Shaders/Model/ModelVS.glsl | 168 +----------------- 5 files changed, 58 insertions(+), 173 deletions(-) diff --git a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js index c0c14b851e5f..95760a788343 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js @@ -30,10 +30,11 @@ GaussianSplatPipelineStage.process = function ( ShaderDestination.BOTH ); - const vPositionLoc = shaderBuilder.addVarying("vec2", "v_splatPosition"); + const aPositionLoc = shaderBuilder.addAttribute("vec2", "a_splatPosition"); + shaderBuilder.addVarying("vec2", "v_splatPosition"); const attr = { - index: vPositionLoc, + index: aPositionLoc, vertexBuffer: vertexBuffer, componentDatatype: ComponentDatatype.FLOAT, componentsPerAttribute: 2, diff --git a/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js b/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js index d394f02060b7..320088d7a0e2 100644 --- a/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js +++ b/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js @@ -265,6 +265,10 @@ ModelRuntimePrimitive.prototype.configurePipeline = function (frameState) { pipelineStages.push(PointCloudStylingPipelineStage); } + //if(hasGaussianSplats) { + pipelineStages.push(GaussianSplatPipelineStage); + //} + if (hasQuantization) { pipelineStages.push(DequantizationPipelineStage); } @@ -302,10 +306,6 @@ ModelRuntimePrimitive.prototype.configurePipeline = function (frameState) { pipelineStages.push(PrimitiveOutlinePipelineStage); } - //if(hasGaussianSplats) { - pipelineStages.push(GaussianSplatPipelineStage); - //} - pipelineStages.push(AlphaPipelineStage); pipelineStages.push(PrimitiveStatisticsPipelineStage); diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl index b9bb239caa7f..1a9a6acce8dc 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl @@ -1,4 +1,4 @@ -vec4 gaussianSplatStage(inout vec4 color, vec4 position) { +void gaussianSplatStage(inout vec4 color, vec2 position) { float A = -dot(position, position); if (A < -4.0) discard; float B = exp(A) * color.w; diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl index 1f51916580d5..8c75b5ad4da8 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl @@ -68,3 +68,51 @@ vec3 calcCov2D(vec3 posEC, float focal_x, float focal_y, float tan_fovx, float t float ndc2Pix(float v, float S) { return ((v + 1.) * S - 1.) * .5; } + +void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) { + //convert gaussian scale and rot to covariance matrix + float[6] cov3D; + calcCov3D(attributes.scale, attributes.rotation, 1.0, cov3D); + + float aspect = czm_viewport.z / czm_viewport.w; + float fovx = 2.0 * atan(aspect / czm_projection[0][0]);//1./czm_projection[0][0]; + float fovy = 2.0 * atan(1.0 / czm_projection[1][1]);//1./czm_projection[1][1] * aspect; + float tan_fovx = tan(fovx / 2.0); + float tan_fovy = tan(fovy / 2.0); + float focal_y = czm_viewport.w / (2.0 * tan_fovy); + float focal_x = czm_viewport.z / (2.0 * tan_fovx); + + mat4 viewMatrix = czm_modelView; + vec3 cov2d = calcCov2D(attributes.positionMC, focal_x, focal_y, tan_fovx, tan_fovy, cov3D, viewMatrix); + + vec4 pos2d = czm_modelViewProjection * vec4(v_positionMC,1.0); + + float clip = 1.2 * pos2d.w; + if (pos2d.z < -clip || pos2d.x < -clip || pos2d.x > clip || pos2d.y < -clip || pos2d.y > clip) { + positionClip = vec4(0.0, 0.0, 2.0, 1.0); + return; + } + + float mid = (cov2d.x + cov2d.z) / 2.0; + float radius = length(vec2((cov2d.x - cov2d.z) / 2.0, cov2d.y)); + float lambda1 = mid + radius, lambda2 = mid - radius; + + if(lambda2 < 0.0) return; + vec2 diagonalVector = normalize(vec2(cov2d.y, lambda1 - cov2d.x)); + vec2 majorAxis = min(sqrt(2.0 * lambda1), 1024.0) * diagonalVector; + vec2 minorAxis = min(sqrt(2.0 * lambda2), 1024.0) * vec2(diagonalVector.y, -diagonalVector.x); + + vec2 corner = vec2((gl_VertexID << 1) & 2, gl_VertexID & 2) - 1.; + corner *= 2.; + + vec3 vCenter = pos2d.xyz / pos2d.w; + + // vColorMod = clamp(pos2d.z/pos2d.w+1.0, 0.0, 1.0); + + positionClip = vec4( + vCenter.xy + + corner.x * majorAxis / czm_viewport.z + + corner.y * minorAxis / czm_viewport.w, 0.0, 1.0); + + v_splatPosition = a_splatPosition; +} diff --git a/packages/engine/Source/Shaders/Model/ModelVS.glsl b/packages/engine/Source/Shaders/Model/ModelVS.glsl index 3ee79f3c6394..84ad843102b9 100644 --- a/packages/engine/Source/Shaders/Model/ModelVS.glsl +++ b/packages/engine/Source/Shaders/Model/ModelVS.glsl @@ -1,10 +1,5 @@ precision highp float; - -//in vec2 v_position; -out vec2 fsPosition; -out float vColorMod; - czm_modelVertexOutput defaultVertexOutput(vec3 positionMC) { czm_modelVertexOutput vsOutput; vsOutput.positionMC = positionMC; @@ -12,118 +7,6 @@ czm_modelVertexOutput defaultVertexOutput(vec3 positionMC) { return vsOutput; } -void calcCov3D(vec3 scale, vec4 rot, float mod, out float[6] cov3D) -{ - mat3 S = mat3( - mod * scale[0], 0, 0, - 0, mod * scale[1], 0, - 0, 0, mod * scale[2] - ); - - float x = rot.x; - float y = rot.y; - float z = rot.z; - float w = rot.w; - mat3 R = mat3( - 1.-2.*(y*y + z*z), 2.*(x*y - w*z), 2.*(x*z + w*y), - 2.*(x*y + w*z), 1.-2.*(x*x + z*z), 2.*(y*z - w*x), - 2.*(x*z - w*y), 2.*(y*z + w*x), 1.-2.*(x*x + y*y) - ); - - mat3 M = S * R; - mat3 Sigma = transpose(M) * M; - - //we only need part of it, symmetric - cov3D = float[6]( - Sigma[0][0], Sigma[0][1], Sigma[0][2], - Sigma[1][1], Sigma[1][2], Sigma[2][2] - ); - -} - -vec3 calcCov2D(vec3 posEC, float focal_x, float focal_y, float tan_fovx, float tan_fovy, float[6] cov3D, mat4 viewmatrix) { - vec4 t = viewmatrix * vec4(posEC, 1.0); - - float limx = 1.3 * tan_fovx; - float limy = 1.3 * tan_fovy; - float txtz = t.x / t.z; - float tytz = t.y / t.z; - t.x = min(limx, max(-limx, txtz)) * t.z; - t.y = min(limy, max(-limy, tytz)) * t.z; - - mat3 J = mat3( - focal_x / t.z, 0, -(focal_x * t.x) / (t.z * t.z), - 0, focal_y / t.z, -(focal_y * t.y) / (t.z * t.z), - 0, 0, 0 - ); - - mat3 W = mat3( - viewmatrix[0][0], viewmatrix[1][0], viewmatrix[2][0], - viewmatrix[0][1], viewmatrix[1][1], viewmatrix[2][1], - viewmatrix[0][2], viewmatrix[1][2], viewmatrix[2][2] - ); - // mat3 W = transpose(mat3(viewmatrix)); - - mat3 T = W * J; - - mat3 Vrk = mat3( - cov3D[0], cov3D[1], cov3D[2], - cov3D[1], cov3D[3], cov3D[4], - cov3D[2], cov3D[4], cov3D[5] - ); - - mat3 cov = transpose(T) * transpose(Vrk) * T; - - cov[0][0] += .3; - cov[1][1] += .3; - return vec3(cov[0][0], cov[0][1], cov[1][1]); -} - -float ndc2Pix(float v, float S) { - return ((v + 1.) * S - 1.) * .5; -} - - -vec4 addScreenSpaceOffset(vec4 positionEC, vec2 imageSize, float scale, vec2 direction, vec2 origin, vec2 translate, vec2 pixelOffset, vec3 alignedAxis, bool validAlignedAxis, float rotation, bool sizeInMeters, out mat2 rotationMatrix, out float mpp) -{ - // Note the halfSize cannot be computed in JavaScript because it is sent via - // compressed vertex attributes that coerce it to an integer. - vec2 halfSize = imageSize * scale * 0.5; - halfSize *= ((direction * 2.0) - 1.0); - - vec2 originTranslate = origin * abs(halfSize); - -#if defined(ROTATION) || defined(ALIGNED_AXIS) - if (validAlignedAxis || rotation != 0.0) - { - float angle = rotation; - if (validAlignedAxis) - { - vec4 projectedAlignedAxis = czm_modelView3D * vec4(alignedAxis, 0.0); - angle += sign(-projectedAlignedAxis.x) * acos(sign(projectedAlignedAxis.y) * (projectedAlignedAxis.y * projectedAlignedAxis.y) / - (projectedAlignedAxis.x * projectedAlignedAxis.x + projectedAlignedAxis.y * projectedAlignedAxis.y)); - } - - float cosTheta = cos(angle); - float sinTheta = sin(angle); - rotationMatrix = mat2(cosTheta, sinTheta, -sinTheta, cosTheta); - halfSize = rotationMatrix * halfSize; - } - else - { - rotationMatrix = mat2(1.0, 0.0, 0.0, 1.0); - } -#endif - - mpp = czm_metersPerPixel(positionEC); - positionEC.xy += (originTranslate + halfSize) * czm_branchFreeTernary(sizeInMeters, 1.0, mpp); - positionEC.xy += (translate + pixelOffset) * mpp; - - return positionEC; -} - - - void main() { // Initialize the attributes struct with all @@ -263,54 +146,7 @@ void main() #endif #ifdef HAS_POINT_CLOUD_SPLAT - - //convert gaussian scale and rot to covariance matrix - float[6] cov3D; - calcCov3D(attributes.scale, attributes.rotation, 1.0, cov3D); - - float aspect = czm_viewport.z / czm_viewport.w; - float fovx = 2.0 * atan(aspect / czm_projection[0][0]);//1./czm_projection[0][0]; - float fovy = 2.0 * atan(1.0 / czm_projection[1][1]);//1./czm_projection[1][1] * aspect; - float tan_fovx = tan(fovx / 2.0); - float tan_fovy = tan(fovy / 2.0); - float focal_y = czm_viewport.w / (2.0 * tan_fovy); - float focal_x = czm_viewport.z / (2.0 * tan_fovx); - - mat4 viewMatrix = czm_modelView; - vec3 cov2d = calcCov2D(attributes.positionMC, focal_x, focal_y, tan_fovx, tan_fovy, cov3D, viewMatrix); - - vec4 pos2d = czm_modelViewProjection * vec4(v_positionMC,1.0); - - float clip = 1.2 * pos2d.w; - if (pos2d.z < -clip || pos2d.x < -clip || pos2d.x > clip || pos2d.y < -clip || pos2d.y > clip) { - gl_Position = vec4(0.0, 0.0, 2.0, 1.0); - return; - } - - float mid = (cov2d.x + cov2d.z) / 2.0; - float radius = length(vec2((cov2d.x - cov2d.z) / 2.0, cov2d.y)); - float lambda1 = mid + radius, lambda2 = mid - radius; - - if(lambda2 < 0.0) return; - vec2 diagonalVector = normalize(vec2(cov2d.y, lambda1 - cov2d.x)); - vec2 majorAxis = min(sqrt(2.0 * lambda1), 1024.0) * diagonalVector; - vec2 minorAxis = min(sqrt(2.0 * lambda2), 1024.0) * vec2(diagonalVector.y, -diagonalVector.x); - - vec2 corner = vec2((gl_VertexID << 1) & 2, gl_VertexID & 2) - 1.; - corner *= 2.; - - vec3 vCenter = pos2d.xyz / pos2d.w; - - vColorMod = clamp(pos2d.z/pos2d.w+1.0, 0.0, 1.0); - - gl_Position = vec4( - vCenter.xy - + corner.x * majorAxis / czm_viewport.z - + corner.y * minorAxis / czm_viewport.w, 0.0, 1.0); - - - fsPosition = corner; - + gaussianSplatStage(attributes, positionClip); #endif - // gl_Position = show * positionClip; + gl_Position = show * positionClip; } From b235c0990fc81f7349f9e2326e3c74b6a1a705a7 Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Fri, 21 Jun 2024 14:51:29 -0500 Subject: [PATCH 10/97] switched rendering to not need vertex buffer attr --- packages/engine/Source/Renderer/Context.js | 19 ++-- .../Scene/Model/GaussianSplatPipelineStage.js | 26 ++---- .../Source/Shaders/Model/GaussianSplatFS.glsl | 29 +++++- .../Source/Shaders/Model/GaussianSplatVS.glsl | 90 ++++++++++++++----- 4 files changed, 111 insertions(+), 53 deletions(-) diff --git a/packages/engine/Source/Renderer/Context.js b/packages/engine/Source/Renderer/Context.js index 048032e302ea..f50f9bd7ccff 100644 --- a/packages/engine/Source/Renderer/Context.js +++ b/packages/engine/Source/Renderer/Context.js @@ -1368,15 +1368,16 @@ function continueDraw(context, drawCommand, shaderProgram, uniformMap) { count = va.numberOfVertices; } - if (primitiveType === PrimitiveType.POINTS) { - context._gl.drawArraysInstanced( - PrimitiveType.TRIANGLE_STRIP, - 0, - 4, - count - ); - // context._gl.drawArrays(PrimitiveType.TRIANGLES, offset, count * 4); - } else if (instanceCount === 0) { + // if (primitiveType === PrimitiveType.POINTS) { + // context._gl.drawArraysInstanced( + // PrimitiveType.TRIANGLE_STRIP, + // 0, + // 4, + // count + // ); + // // context._gl.drawArrays(PrimitiveType.TRIANGLES, offset, count * 4); + // } else + if (instanceCount === 0) { context._gl.drawArrays(primitiveType, offset, count); } else { context.glDrawArraysInstanced( diff --git a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js index 95760a788343..3060d4bd35aa 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js @@ -1,9 +1,7 @@ -import Buffer from "../../Renderer/Buffer.js"; -import BufferUsage from "../../Renderer/BufferUsage.js"; -import ComponentDatatype from "../../Core/ComponentDatatype.js"; import ShaderDestination from "../../Renderer/ShaderDestination.js"; import GaussianSplatVS from "../../Shaders/Model/GaussianSplatVS.js"; import GaussianSplatFS from "../../Shaders/Model/GaussianSplatFS.js"; +import PrimitiveType from "../../Core/PrimitiveType.js"; const GaussianSplatPipelineStage = { name: "GaussianSplatPipelineStage", @@ -16,31 +14,19 @@ GaussianSplatPipelineStage.process = function ( ) { const { shaderBuilder } = renderResources; - const vertexBuffer = Buffer.createVertexBuffer({ - context: frameState.context, - typedArray: new Float32Array([-2.0, -2.0, 2.0, -2.0, 2.0, 2.0, -2.0, 2.0]), - usage: BufferUsage.STATIC_DRAW, - }); - - vertexBuffer.vertexArrayDestroyable = false; - shaderBuilder.addDefine( "HAS_POINT_CLOUD_SPLAT", undefined, ShaderDestination.BOTH ); - const aPositionLoc = shaderBuilder.addAttribute("vec2", "a_splatPosition"); shaderBuilder.addVarying("vec2", "v_splatPosition"); + shaderBuilder.addVarying("vec3", "v_conic"); + shaderBuilder.addVarying("vec2", "v_splatVertexPos"); - const attr = { - index: aPositionLoc, - vertexBuffer: vertexBuffer, - componentDatatype: ComponentDatatype.FLOAT, - componentsPerAttribute: 2, - }; - - renderResources.attributes.push(attr); + renderResources.instanceCount = renderResources.count; + renderResources.count = 4; + renderResources.primitiveType = PrimitiveType.TRIANGLE_STRIP; shaderBuilder.addVertexLines(GaussianSplatVS); shaderBuilder.addFragmentLines(GaussianSplatFS); diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl index 1a9a6acce8dc..8de634cc55fd 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl @@ -1,6 +1,27 @@ +// void gaussianSplatStage(inout vec4 color, vec2 position) { +// float A = -dot(position, position); +// if (A < -4.0) discard; +// float B = exp(A) * color.w; +// color = vec4(B * color.xyz, B); +// } + void gaussianSplatStage(inout vec4 color, vec2 position) { - float A = -dot(position, position); - if (A < -4.0) discard; - float B = exp(A) * color.w; - color = vec4(B * color.xyz, B); + // Resample using conic matrix (cf. "Surface + // Splatting" by Zwicker et al., 2001) + vec2 d = v_splatPosition - v_splatVertexPos; + float power = -0.5 * (v_conic.x * d.x * d.x + v_conic.z * d.y * d.y) - v_conic.y * d.x * d.y; + + if (power > 0.) { + discard; + } + + // Eq. (2) from 3D Gaussian splatting paper. + float alpha = min(.99f, color.w * exp(power)); + + if (alpha < 1./255.) { + discard; + } + + // Eq. (3) from 3D Gaussian splatting paper. + color = vec4(color.xyz * alpha, alpha); } diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl index 8c75b5ad4da8..b3516c57526f 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl @@ -85,34 +85,84 @@ void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) mat4 viewMatrix = czm_modelView; vec3 cov2d = calcCov2D(attributes.positionMC, focal_x, focal_y, tan_fovx, tan_fovy, cov3D, viewMatrix); - vec4 pos2d = czm_modelViewProjection * vec4(v_positionMC,1.0); + // vec4 pos2d = czm_modelViewProjection * vec4(v_positionMC,1.0); - float clip = 1.2 * pos2d.w; - if (pos2d.z < -clip || pos2d.x < -clip || pos2d.x > clip || pos2d.y < -clip || pos2d.y > clip) { - positionClip = vec4(0.0, 0.0, 2.0, 1.0); + // float clip = 1.2 * pos2d.w; + // if (pos2d.z < -clip || pos2d.x < -clip || pos2d.x > clip || pos2d.y < -clip || pos2d.y > clip) { + // positionClip = vec4(0.0, 0.0, 2.0, 1.0); + // return; + // } + + // float mid = (cov2d.x + cov2d.z) / 2.0; + // float radius = length(vec2((cov2d.x - cov2d.z) / 2.0, cov2d.y)); + // float lambda1 = mid + radius, lambda2 = mid - radius; + + // if(lambda2 < 0.0) return; + // vec2 diagonalVector = normalize(vec2(cov2d.y, lambda1 - cov2d.x)); + // vec2 majorAxis = min(sqrt(2.0 * lambda1), 1024.0) * diagonalVector; + // vec2 minorAxis = min(sqrt(2.0 * lambda2), 1024.0) * vec2(diagonalVector.y, -diagonalVector.x); + + // vec2 corner = vec2((gl_VertexID << 1) & 2, gl_VertexID & 2) - 1.; + // corner *= 2.; + + // vec3 vCenter = pos2d.xyz / pos2d.w; + + // // vColorMod = clamp(pos2d.z/pos2d.w+1.0, 0.0, 1.0); + + // positionClip = vec4( + // vCenter.xy + // + corner.x * majorAxis / czm_viewport.z + // + corner.y * minorAxis / czm_viewport.w, 0.0, 1.0); + + // v_splatPosition = corner; + + //////////////////////////////////////////////////////////////////////// + + float W = czm_viewport.z; + float H = czm_viewport.w; + + vec4 p_hom = czm_viewProjection * vec4(v_positionMC, 1); + float p_w = 1. / (p_hom.w + 1e-7); + vec3 p_proj = p_hom.xyz * p_w; + + // Perform near culling, quit if outside. + vec4 p_view = viewMatrix * vec4(v_positionMC, 1); + if (p_view.z <= .4) { + gl_Position = vec4(0, 0, 0, 1); return; } - float mid = (cov2d.x + cov2d.z) / 2.0; - float radius = length(vec2((cov2d.x - cov2d.z) / 2.0, cov2d.y)); - float lambda1 = mid + radius, lambda2 = mid - radius; + // Invert covariance (EWA algorithm) + float det = (cov2d.x * cov2d.z - cov2d.y * cov2d.y); + if (det == 0.) { + positionClip = vec4(0, 0, 0, 1); + return; + } + float det_inv = 1. / det; + vec3 conic = vec3(cov2d.z, -cov2d.y, cov2d.x) * det_inv; - if(lambda2 < 0.0) return; - vec2 diagonalVector = normalize(vec2(cov2d.y, lambda1 - cov2d.x)); - vec2 majorAxis = min(sqrt(2.0 * lambda1), 1024.0) * diagonalVector; - vec2 minorAxis = min(sqrt(2.0 * lambda2), 1024.0) * vec2(diagonalVector.y, -diagonalVector.x); + // Compute extent in screen space (by finding eigenvalues of + // 2D covariance matrix). Use extent to compute the bounding + // rectangle of the splat in screen space. - vec2 corner = vec2((gl_VertexID << 1) & 2, gl_VertexID & 2) - 1.; - corner *= 2.; + float mid = 0.5 * (cov2d.x + cov2d.z); + float lambda1 = mid + sqrt(max(0.1, mid * mid - det)); + float lambda2 = mid - sqrt(max(0.1, mid * mid - det)); + float my_radius = ceil(3. * sqrt(max(lambda1, lambda2))); + vec2 point_image = vec2(ndc2Pix(p_proj.x, W), ndc2Pix(p_proj.y, H)); - vec3 vCenter = pos2d.xyz / pos2d.w; + // (Webgl-specific) Convert gl_VertexID from [0,1,2,3] to [-1,-1],[1,-1],[-1,1],[1,1] + vec2 corner = vec2((gl_VertexID << 1) & 2, gl_VertexID & 2) - 1.; + // Vertex position in screen space + vec2 screen_pos = point_image + my_radius * corner; - // vColorMod = clamp(pos2d.z/pos2d.w+1.0, 0.0, 1.0); + // Store some useful helper data for the fragment stage + v_conic = conic; + v_splatPosition = point_image; + v_splatVertexPos = screen_pos; - positionClip = vec4( - vCenter.xy - + corner.x * majorAxis / czm_viewport.z - + corner.y * minorAxis / czm_viewport.w, 0.0, 1.0); + // (Webgl-specific) Convert from screen-space to clip-space + vec2 clip_pos = screen_pos / vec2(W, H) * 2. - 1.; - v_splatPosition = a_splatPosition; + positionClip = vec4(clip_pos, 0, 1); } From df281961a6cf33d9c7576f20062b6894079d5008 Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Fri, 21 Jun 2024 15:55:36 -0500 Subject: [PATCH 11/97] tweaks --- packages/engine/Source/Renderer/Pass.js | 5 +++-- .../Scene/Model/GaussianSplatPipelineStage.js | 11 ++++++++++- .../Source/Shaders/Model/GaussianSplatVS.glsl | 14 +++++++------- 3 files changed, 20 insertions(+), 10 deletions(-) diff --git a/packages/engine/Source/Renderer/Pass.js b/packages/engine/Source/Renderer/Pass.js index bdfe61dc1564..6a8a37d3d213 100644 --- a/packages/engine/Source/Renderer/Pass.js +++ b/packages/engine/Source/Renderer/Pass.js @@ -21,7 +21,8 @@ const Pass = { OPAQUE: 7, TRANSLUCENT: 8, VOXELS: 9, - OVERLAY: 10, - NUMBER_OF_PASSES: 11, + GAUSSIAN_SPLATS: 10, + OVERLAY: 11, + NUMBER_OF_PASSES: 12, }; export default Object.freeze(Pass); diff --git a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js index 3060d4bd35aa..b0d14214455f 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js @@ -2,7 +2,8 @@ import ShaderDestination from "../../Renderer/ShaderDestination.js"; import GaussianSplatVS from "../../Shaders/Model/GaussianSplatVS.js"; import GaussianSplatFS from "../../Shaders/Model/GaussianSplatFS.js"; import PrimitiveType from "../../Core/PrimitiveType.js"; - +import Pass from "../../Renderer/Pass.js"; +import BlendingState from "../BlendingState.js"; const GaussianSplatPipelineStage = { name: "GaussianSplatPipelineStage", }; @@ -14,6 +15,14 @@ GaussianSplatPipelineStage.process = function ( ) { const { shaderBuilder } = renderResources; + const renderStateOptions = renderResources.renderStateOptions; + renderStateOptions.cull.enabled = false; + renderStateOptions.depthMask = false; + renderStateOptions.blending = BlendingState.PRE_MULTIPLIED_ALPHA_BLEND; + + //use the voxel pass to isolate ourselves for now + renderResources.alphaOptions.pass = Pass.VOXELS; + shaderBuilder.addDefine( "HAS_POINT_CLOUD_SPLAT", undefined, diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl index b3516c57526f..0c8818f2f8d2 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl @@ -121,23 +121,23 @@ void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) float W = czm_viewport.z; float H = czm_viewport.w; - vec4 p_hom = czm_viewProjection * vec4(v_positionMC, 1); + vec4 p_hom = czm_viewProjection * vec4(a_positionMC, 1); float p_w = 1. / (p_hom.w + 1e-7); vec3 p_proj = p_hom.xyz * p_w; // Perform near culling, quit if outside. - vec4 p_view = viewMatrix * vec4(v_positionMC, 1); + vec4 p_view = viewMatrix * vec4(a_positionMC, 1); if (p_view.z <= .4) { - gl_Position = vec4(0, 0, 0, 1); + positionClip = vec4(0, 0, 0, 1); return; } // Invert covariance (EWA algorithm) float det = (cov2d.x * cov2d.z - cov2d.y * cov2d.y); - if (det == 0.) { - positionClip = vec4(0, 0, 0, 1); - return; - } + // if (det == 0.) { + // positionClip = vec4(0, 0, 0, 1); + // return; + // } float det_inv = 1. / det; vec3 conic = vec3(cov2d.z, -cov2d.y, cov2d.x) * det_inv; From bdf4eb57786d2a3fe7546e1866d0d6a080220bac Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Wed, 26 Jun 2024 13:58:19 -0500 Subject: [PATCH 12/97] detect KHR_gaussian_splatting extension and set props and prime for post processing --- packages/engine/Source/Renderer/Context.js | 9 --- packages/engine/Source/Renderer/Pass.js | 1 - .../engine/Source/Scene/Cesium3DTileset.js | 4 + packages/engine/Source/Scene/GltfLoader.js | 10 +++ .../Scene/Model/GaussianSplatPipelineStage.js | 20 +++-- packages/engine/Source/Scene/Model/Model.js | 10 +++ .../Source/Scene/Model/Model3DTileContent.js | 2 + .../Scene/Model/ModelRuntimePrimitive.js | 8 +- .../engine/Source/Scene/Model/ModelUtility.js | 1 + .../engine/Source/Scene/PrimitiveLoadPlan.js | 12 +++ .../Source/Shaders/Model/GaussianSplatFS.glsl | 5 +- .../Source/Shaders/Model/GaussianSplatVS.glsl | 78 ++++++++++++++----- .../engine/Source/Shaders/Model/ModelFS.glsl | 2 +- .../engine/Source/Shaders/Model/ModelVS.glsl | 1 + 14 files changed, 118 insertions(+), 45 deletions(-) diff --git a/packages/engine/Source/Renderer/Context.js b/packages/engine/Source/Renderer/Context.js index f50f9bd7ccff..334ae131049d 100644 --- a/packages/engine/Source/Renderer/Context.js +++ b/packages/engine/Source/Renderer/Context.js @@ -1368,15 +1368,6 @@ function continueDraw(context, drawCommand, shaderProgram, uniformMap) { count = va.numberOfVertices; } - // if (primitiveType === PrimitiveType.POINTS) { - // context._gl.drawArraysInstanced( - // PrimitiveType.TRIANGLE_STRIP, - // 0, - // 4, - // count - // ); - // // context._gl.drawArrays(PrimitiveType.TRIANGLES, offset, count * 4); - // } else if (instanceCount === 0) { context._gl.drawArrays(primitiveType, offset, count); } else { diff --git a/packages/engine/Source/Renderer/Pass.js b/packages/engine/Source/Renderer/Pass.js index 6a8a37d3d213..d22396d2b3cf 100644 --- a/packages/engine/Source/Renderer/Pass.js +++ b/packages/engine/Source/Renderer/Pass.js @@ -21,7 +21,6 @@ const Pass = { OPAQUE: 7, TRANSLUCENT: 8, VOXELS: 9, - GAUSSIAN_SPLATS: 10, OVERLAY: 11, NUMBER_OF_PASSES: 12, }; diff --git a/packages/engine/Source/Scene/Cesium3DTileset.js b/packages/engine/Source/Scene/Cesium3DTileset.js index abcb2071b8b6..bedff25d7f0b 100644 --- a/packages/engine/Source/Scene/Cesium3DTileset.js +++ b/packages/engine/Source/Scene/Cesium3DTileset.js @@ -869,6 +869,10 @@ function Cesium3DTileset(options) { */ this.outlineColor = defaultValue(options.outlineColor, Color.BLACK); + this.showGaussianSplatting = defaultValue( + options.showGaussianSplatting, + true + ); /** * The {@link SplitDirection} to apply to this tileset. * diff --git a/packages/engine/Source/Scene/GltfLoader.js b/packages/engine/Source/Scene/GltfLoader.js index 18f825a6ae4e..eef97f2340bb 100644 --- a/packages/engine/Source/Scene/GltfLoader.js +++ b/packages/engine/Source/Scene/GltfLoader.js @@ -208,6 +208,7 @@ function GltfLoader(options) { loadPrimitiveOutline = true, loadForClassification = false, renameBatchIdSemantic = false, + loadGaussianSplatting = true, } = options; //>>includeStart('debug', pragmas.debug); @@ -232,6 +233,7 @@ function GltfLoader(options) { this._loadPrimitiveOutline = loadPrimitiveOutline; this._loadForClassification = loadForClassification; this._renameBatchIdSemantic = renameBatchIdSemantic; + this._loadGaussianSplatting = loadGaussianSplatting; // When loading EXT_feature_metadata, the feature tables and textures // are now stored as arrays like the newer EXT_structural_metadata extension. @@ -1918,6 +1920,14 @@ function loadPrimitive(loader, gltfPrimitive, hasInstances, frameState) { ); } + const gaussianSplattingExtension = loader.gltfJson.extensionsUsed.includes( + "KHR_gaussian_splatting" + ); + if (loader._loadGaussianSplatting && defined(gaussianSplattingExtension)) { + needsPostProcessing = true; + primitivePlan.hasGaussianSplats = true; + } + const loadForClassification = loader._loadForClassification; const draco = extensions.KHR_draco_mesh_compression; diff --git a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js index b0d14214455f..02ee547789a0 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js @@ -1,8 +1,8 @@ import ShaderDestination from "../../Renderer/ShaderDestination.js"; import GaussianSplatVS from "../../Shaders/Model/GaussianSplatVS.js"; import GaussianSplatFS from "../../Shaders/Model/GaussianSplatFS.js"; -import PrimitiveType from "../../Core/PrimitiveType.js"; import Pass from "../../Renderer/Pass.js"; + import BlendingState from "../BlendingState.js"; const GaussianSplatPipelineStage = { name: "GaussianSplatPipelineStage", @@ -21,7 +21,7 @@ GaussianSplatPipelineStage.process = function ( renderStateOptions.blending = BlendingState.PRE_MULTIPLIED_ALPHA_BLEND; //use the voxel pass to isolate ourselves for now - renderResources.alphaOptions.pass = Pass.VOXELS; + renderResources.alphaOptions.pass = Pass.TRANSLUCENT; shaderBuilder.addDefine( "HAS_POINT_CLOUD_SPLAT", @@ -29,13 +29,19 @@ GaussianSplatPipelineStage.process = function ( ShaderDestination.BOTH ); - shaderBuilder.addVarying("vec2", "v_splatPosition"); + shaderBuilder.addVarying("vec2", "v_splatCenter"); shaderBuilder.addVarying("vec3", "v_conic"); shaderBuilder.addVarying("vec2", "v_splatVertexPos"); - - renderResources.instanceCount = renderResources.count; - renderResources.count = 4; - renderResources.primitiveType = PrimitiveType.TRIANGLE_STRIP; + shaderBuilder.addVarying("vec4", "v_splatBounds"); + shaderBuilder.addVarying("vec2", "v_eigen1"); //out vec2 v_eigen1; + shaderBuilder.addVarying("vec2", "v_eigen2"); //out vec2 v_eigen2; + shaderBuilder.addVarying("float", "v_lambda1"); //out float v_lambda1; + shaderBuilder.addVarying("float", "v_lambda2"); //out float v_lambda2; + shaderBuilder.addVarying("float", "v_depth"); //out float v_depth; + + // renderResources.instanceCount = renderResources.count; + // renderResources.count = 4; + // renderResources.primitiveType = PrimitiveType.TRIANGLE_STRIP; shaderBuilder.addVertexLines(GaussianSplatVS); shaderBuilder.addFragmentLines(GaussianSplatFS); diff --git a/packages/engine/Source/Scene/Model/Model.js b/packages/engine/Source/Scene/Model/Model.js index 32b66a706500..f53eae46e134 100644 --- a/packages/engine/Source/Scene/Model/Model.js +++ b/packages/engine/Source/Scene/Model/Model.js @@ -460,6 +460,15 @@ function Model(options) { */ this.showOutline = defaultValue(options.showOutline, true); + this.enableShowGaussianSplatting = defaultValue( + options.loader.gltfJson.extensionsUsed.includes("KHR_gaussian_splatting"), + false + ); + this.showGaussianSplatting = defaultValue( + options.showGaussianSplatting, + true + ); + /** * The color to use when rendering outlines. * @@ -3152,6 +3161,7 @@ function makeModelOptions(loader, modelType, options) { pointCloudShading: options.pointCloudShading, classificationType: options.classificationType, pickObject: options.pickObject, + showGaussianSplatting: options.showGaussianSplatting, }; } diff --git a/packages/engine/Source/Scene/Model/Model3DTileContent.js b/packages/engine/Source/Scene/Model/Model3DTileContent.js index a32206d3dba6..cc50dc5297f4 100644 --- a/packages/engine/Source/Scene/Model/Model3DTileContent.js +++ b/packages/engine/Source/Scene/Model/Model3DTileContent.js @@ -232,6 +232,7 @@ Model3DTileContent.prototype.update = function (tileset, frameState) { model.showOutline = tileset.showOutline; model.outlineColor = tileset.outlineColor; model.pointCloudShading = tileset.pointCloudShading; + model.showGaussianSplatting = tileset.showGaussianSplatting; // Updating clipping planes requires more effort because of ownership checks const tilesetClippingPlanes = tileset.clippingPlanes; @@ -497,6 +498,7 @@ function makeModelOptions(tileset, tile, content, additionalOptions) { enableShowOutline: tileset._enableShowOutline, showOutline: tileset.showOutline, outlineColor: tileset.outlineColor, + showGaussianSplatting: tileset.showGaussianSplatting, }; return combine(additionalOptions, mainOptions); diff --git a/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js b/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js index 320088d7a0e2..c90718d9e0cb 100644 --- a/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js +++ b/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js @@ -265,10 +265,6 @@ ModelRuntimePrimitive.prototype.configurePipeline = function (frameState) { pipelineStages.push(PointCloudStylingPipelineStage); } - //if(hasGaussianSplats) { - pipelineStages.push(GaussianSplatPipelineStage); - //} - if (hasQuantization) { pipelineStages.push(DequantizationPipelineStage); } @@ -310,6 +306,10 @@ ModelRuntimePrimitive.prototype.configurePipeline = function (frameState) { pipelineStages.push(PrimitiveStatisticsPipelineStage); + //if(hasGaussianSplats) { + pipelineStages.push(GaussianSplatPipelineStage); + //} + return; }; diff --git a/packages/engine/Source/Scene/Model/ModelUtility.js b/packages/engine/Source/Scene/Model/ModelUtility.js index 3e24e068b6c6..0c35a187d34b 100644 --- a/packages/engine/Source/Scene/Model/ModelUtility.js +++ b/packages/engine/Source/Scene/Model/ModelUtility.js @@ -364,6 +364,7 @@ ModelUtility.supportedExtensions = { KHR_mesh_quantization: true, KHR_texture_basisu: true, KHR_texture_transform: true, + KHR_gaussian_splatting: true, WEB3D_quantized_attributes: true, }; diff --git a/packages/engine/Source/Scene/PrimitiveLoadPlan.js b/packages/engine/Source/Scene/PrimitiveLoadPlan.js index 31d1f5928f5f..3c656ee9a276 100644 --- a/packages/engine/Source/Scene/PrimitiveLoadPlan.js +++ b/packages/engine/Source/Scene/PrimitiveLoadPlan.js @@ -157,6 +157,15 @@ function PrimitiveLoadPlan(primitive) { * @private */ this.outlineIndices = undefined; + + /** + * Set this true to indicate that the primitive has the + * KHR_gaussian_splatting extension and needs to be post-processed + * + * @type {boolean} + * @private + */ + this.needsGaussianSplats = false; } /** @@ -174,6 +183,9 @@ PrimitiveLoadPlan.prototype.postProcess = function (context) { generateOutlines(this); generateBuffers(this, context); } + + //handle splat post-processing for point primitives + //if(this.hasGaussianSplatting) }; function generateOutlines(loadPlan) { diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl index 8de634cc55fd..449d5ac7bd84 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl @@ -8,7 +8,7 @@ void gaussianSplatStage(inout vec4 color, vec2 position) { // Resample using conic matrix (cf. "Surface // Splatting" by Zwicker et al., 2001) - vec2 d = v_splatPosition - v_splatVertexPos; + vec2 d = v_splatCenter - v_splatVertexPos; float power = -0.5 * (v_conic.x * d.x * d.x + v_conic.z * d.y * d.y) - v_conic.y * d.x * d.y; if (power > 0.) { @@ -22,6 +22,5 @@ void gaussianSplatStage(inout vec4 color, vec2 position) { discard; } - // Eq. (3) from 3D Gaussian splatting paper. - color = vec4(color.xyz * alpha, alpha); + color = vec4(color.xyz * color.w, color.w); } diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl index 0c8818f2f8d2..e6cd08212880 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl @@ -121,48 +121,86 @@ void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) float W = czm_viewport.z; float H = czm_viewport.w; - vec4 p_hom = czm_viewProjection * vec4(a_positionMC, 1); + vec4 p_hom = czm_modelViewProjection * vec4(a_positionMC, 1); float p_w = 1. / (p_hom.w + 1e-7); vec3 p_proj = p_hom.xyz * p_w; // Perform near culling, quit if outside. vec4 p_view = viewMatrix * vec4(a_positionMC, 1); - if (p_view.z <= .4) { - positionClip = vec4(0, 0, 0, 1); - return; - } + // if (p_view.z <= .4) { + // positionClip = vec4(0, 0, 0, 1); + // return; + // } // Invert covariance (EWA algorithm) - float det = (cov2d.x * cov2d.z - cov2d.y * cov2d.y); + // float det = (cov2d.x * cov2d.z - cov2d.y * cov2d.y); // if (det == 0.) { // positionClip = vec4(0, 0, 0, 1); // return; // } - float det_inv = 1. / det; - vec3 conic = vec3(cov2d.z, -cov2d.y, cov2d.x) * det_inv; + // float det_inv = 1. / det; + // vec3 conic = vec3(cov2d.z, -cov2d.y, cov2d.x) * det_inv; // Compute extent in screen space (by finding eigenvalues of // 2D covariance matrix). Use extent to compute the bounding // rectangle of the splat in screen space. - float mid = 0.5 * (cov2d.x + cov2d.z); - float lambda1 = mid + sqrt(max(0.1, mid * mid - det)); - float lambda2 = mid - sqrt(max(0.1, mid * mid - det)); - float my_radius = ceil(3. * sqrt(max(lambda1, lambda2))); - vec2 point_image = vec2(ndc2Pix(p_proj.x, W), ndc2Pix(p_proj.y, H)); + // float mid = 0.5 * (cov2d.x + cov2d.z); + // float lambda1 = mid + sqrt(max(0.1, mid * mid - det)); + // float lambda2 = mid - sqrt(max(0.1, mid * mid - det)); + // float my_radius = ceil(3. * sqrt(max(lambda1, lambda2))); + vec2 point_image = vec2(ndc2Pix(p_proj.x, W), ndc2Pix(p_proj.y, H)); + +// Calculate eigenvalues and eigenvectors +float a = cov2d.x; +float b = cov2d.y; +float c = cov2d.z; +float trace = a + c; +float det = max(0.0, a * c - b * b); // Ensure non-negative +float gap = sqrt(max(0.0, trace * trace / 4.0 - det)); +v_lambda1 = max(1e-5, (trace / 2.0) + gap); +v_lambda2 = max(1e-5, (trace / 2.0) - gap); + +// Ensure lambda1 is the larger eigenvalue +if (v_lambda2 > v_lambda1) { + float temp = v_lambda1; + v_lambda1 = v_lambda2; + v_lambda2 = temp; +} + +v_eigen1 = normalize(vec2(b, v_lambda1 - a)); +v_eigen2 = vec2(-v_eigen1.y, v_eigen1.x); + + // Calculate splat radius + float radius = ceil(3.0 * sqrt(max(v_lambda1, v_lambda2))); // (Webgl-specific) Convert gl_VertexID from [0,1,2,3] to [-1,-1],[1,-1],[-1,1],[1,1] - vec2 corner = vec2((gl_VertexID << 1) & 2, gl_VertexID & 2) - 1.; + //vec2 corner = vec2((gl_VertexID << 1) & 2, gl_VertexID & 2) - 1.; // Vertex position in screen space - vec2 screen_pos = point_image + my_radius * corner; + //vec2 screen_pos = point_image + my_radius * corner; + + // Calculate splat bounds in screen space + vec4 bounds = vec4( + point_image.x - radius, + point_image.y - radius, + point_image.x + radius, + point_image.y + radius + ); + // Pass data to fragment shader + v_splatBounds = bounds; // Store some useful helper data for the fragment stage - v_conic = conic; - v_splatPosition = point_image; - v_splatVertexPos = screen_pos; + // v_conic = conic; + v_splatCenter = p_proj.xy; + // v_splatVertexPos = screen_pos; // (Webgl-specific) Convert from screen-space to clip-space - vec2 clip_pos = screen_pos / vec2(W, H) * 2. - 1.; + vec2 clip_pos = point_image / vec2(W, H) * 2. - 1.; + + positionClip = vec4(p_proj, 1.0);//vec4(clip_pos, 0, 1); + + float distanceScale = 1.0 / max(1.0, -p_view.z); - positionClip = vec4(clip_pos, 0, 1); + // Set point size, scaled by distance and viewport height + gl_PointSize = 40.; } diff --git a/packages/engine/Source/Shaders/Model/ModelFS.glsl b/packages/engine/Source/Shaders/Model/ModelFS.glsl index 4b43a36b75ca..7565f6b6a355 100644 --- a/packages/engine/Source/Shaders/Model/ModelFS.glsl +++ b/packages/engine/Source/Shaders/Model/ModelFS.glsl @@ -91,7 +91,7 @@ void main() #endif #ifdef HAS_POINT_CLOUD_SPLAT - gaussianSplatStage(color, v_splatPosition); + gaussianSplatStage(color, v_splatCenter); #endif out_FragColor = color; diff --git a/packages/engine/Source/Shaders/Model/ModelVS.glsl b/packages/engine/Source/Shaders/Model/ModelVS.glsl index 84ad843102b9..077a4a6068ff 100644 --- a/packages/engine/Source/Shaders/Model/ModelVS.glsl +++ b/packages/engine/Source/Shaders/Model/ModelVS.glsl @@ -148,5 +148,6 @@ void main() #ifdef HAS_POINT_CLOUD_SPLAT gaussianSplatStage(attributes, positionClip); #endif + gl_Position = show * positionClip; } From 7a4c864c38e3ad5513767a2699d9fdf4aab6bfe5 Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Fri, 28 Jun 2024 15:40:28 -0500 Subject: [PATCH 13/97] Buffer rework. inject attribute buffer for screen space quad at gltf loading. Setup for instanced rendering of quads --- packages/engine/Source/Scene/GltfLoader.js | 8 +- .../Scene/Model/GaussianSplatPipelineStage.js | 22 ++- packages/engine/Source/Scene/Model/Model.js | 2 + .../Source/Scene/Model/buildDrawCommand.js | 39 +++++- .../engine/Source/Scene/ModelComponents.js | 9 ++ .../engine/Source/Scene/PrimitiveLoadPlan.js | 42 +++++- .../Source/Shaders/Model/GaussianSplatFS.glsl | 30 +--- .../Source/Shaders/Model/GaussianSplatVS.glsl | 131 +++--------------- .../engine/Source/Shaders/Model/ModelFS.glsl | 2 +- 9 files changed, 128 insertions(+), 157 deletions(-) diff --git a/packages/engine/Source/Scene/GltfLoader.js b/packages/engine/Source/Scene/GltfLoader.js index eef97f2340bb..c518d7a6719e 100644 --- a/packages/engine/Source/Scene/GltfLoader.js +++ b/packages/engine/Source/Scene/GltfLoader.js @@ -487,7 +487,7 @@ function postProcessGeometry(loader, context) { const loadPlan = loadPlans[i]; loadPlan.postProcess(context); - if (loadPlan.needsOutlines) { + if (loadPlan.needsOutlines || loadPlan.needsGaussianSplats) { // The glTF loader takes ownership of any buffers generated in the // post-process stage since they were created after the geometry loaders // finished. This way they can be destroyed when the loader is destroyed. @@ -506,6 +506,10 @@ function gatherPostProcessBuffers(loader, primitiveLoadPlan) { buffers.push(outlineCoordinates.buffer); } + if (defined(primitive.gaussianSplattingQuad)) { + buffers.push(primitive.gaussianSplattingQuad.buffer); + } + // to do post-processing, all the attributes are loaded as typed arrays // so if a buffer exists, it was newly generated const attributes = primitive.attributes; @@ -1925,7 +1929,7 @@ function loadPrimitive(loader, gltfPrimitive, hasInstances, frameState) { ); if (loader._loadGaussianSplatting && defined(gaussianSplattingExtension)) { needsPostProcessing = true; - primitivePlan.hasGaussianSplats = true; + primitivePlan.needsGaussianSplats = true; } const loadForClassification = loader._loadForClassification; diff --git a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js index 02ee547789a0..a592dd7f3b5d 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js @@ -2,8 +2,9 @@ import ShaderDestination from "../../Renderer/ShaderDestination.js"; import GaussianSplatVS from "../../Shaders/Model/GaussianSplatVS.js"; import GaussianSplatFS from "../../Shaders/Model/GaussianSplatFS.js"; import Pass from "../../Renderer/Pass.js"; - +import PrimitiveType from "../../Core/PrimitiveType.js"; import BlendingState from "../BlendingState.js"; + const GaussianSplatPipelineStage = { name: "GaussianSplatPipelineStage", }; @@ -29,19 +30,12 @@ GaussianSplatPipelineStage.process = function ( ShaderDestination.BOTH ); - shaderBuilder.addVarying("vec2", "v_splatCenter"); - shaderBuilder.addVarying("vec3", "v_conic"); - shaderBuilder.addVarying("vec2", "v_splatVertexPos"); - shaderBuilder.addVarying("vec4", "v_splatBounds"); - shaderBuilder.addVarying("vec2", "v_eigen1"); //out vec2 v_eigen1; - shaderBuilder.addVarying("vec2", "v_eigen2"); //out vec2 v_eigen2; - shaderBuilder.addVarying("float", "v_lambda1"); //out float v_lambda1; - shaderBuilder.addVarying("float", "v_lambda2"); //out float v_lambda2; - shaderBuilder.addVarying("float", "v_depth"); //out float v_depth; - - // renderResources.instanceCount = renderResources.count; - // renderResources.count = 4; - // renderResources.primitiveType = PrimitiveType.TRIANGLE_STRIP; + shaderBuilder.addUniform("vec2", "v_splatPosition"); + shaderBuilder.addVarying("vec2", "v_splatVertexPos"); //we may not need to pass this if v_positionMC suffices + + renderResources.instanceCount = renderResources.count; + renderResources.count = 4; + renderResources.primitiveType = PrimitiveType.TRIANGLE_STRIP; shaderBuilder.addVertexLines(GaussianSplatVS); shaderBuilder.addFragmentLines(GaussianSplatFS); diff --git a/packages/engine/Source/Scene/Model/Model.js b/packages/engine/Source/Scene/Model/Model.js index f53eae46e134..41af36a612c1 100644 --- a/packages/engine/Source/Scene/Model/Model.js +++ b/packages/engine/Source/Scene/Model/Model.js @@ -461,6 +461,7 @@ function Model(options) { this.showOutline = defaultValue(options.showOutline, true); this.enableShowGaussianSplatting = defaultValue( + //false, options.loader.gltfJson.extensionsUsed.includes("KHR_gaussian_splatting"), false ); @@ -2891,6 +2892,7 @@ Model.fromGltfAsync = async function (options) { loadIndicesForWireframe: options.enableDebugWireframe, loadPrimitiveOutline: options.enableShowOutline, loadForClassification: defined(options.classificationType), + loadGaussianSplatting: options.enableShowGaussianSplatting, }; const basePath = defaultValue(options.basePath, ""); diff --git a/packages/engine/Source/Scene/Model/buildDrawCommand.js b/packages/engine/Source/Scene/Model/buildDrawCommand.js index f23b712f06d4..a57cf748df21 100644 --- a/packages/engine/Source/Scene/Model/buildDrawCommand.js +++ b/packages/engine/Source/Scene/Model/buildDrawCommand.js @@ -14,6 +14,11 @@ import ClassificationModelDrawCommand from "./ClassificationModelDrawCommand.js" import ModelUtility from "./ModelUtility.js"; import ModelDrawCommand from "./ModelDrawCommand.js"; +// import Geometry from "../../Core/Geometry.js"; +// import GeometryAttribute from "../../Core/GeometryAttribute.js"; +// import ComponentDatatype from "../../Core/ComponentDatatype.js"; +// import PrimitiveType from "../../Core/PrimitiveType.js"; +// import BufferUsage from "../../Renderer/BufferUsage.js"; /** * Builds the {@link ModelDrawCommand} for a {@link ModelRuntimePrimitive} * using its render resources. If the model classifies another asset, it @@ -32,7 +37,39 @@ function buildDrawCommand(primitiveRenderResources, frameState) { shaderBuilder.addFragmentLines(ModelFS); const indexBuffer = getIndexBuffer(primitiveRenderResources); - + //////////////// + /////////////// + + // const splatQuadAttrLocations = { + // position: 0, + // '0': 1, + // '1': 2, + // '2': 3, + // '3': 4 + // }; + + // const geometry = new Geometry({ + // attributes: { + // position: new GeometryAttribute({ + // componentDatatype: ComponentDatatype.FLOAT, + // componentsPerAttribute: 2, + // values: [-2, -2, 2, -2, 2, 2, -2, 2], + // }), + + // ...primitiveRenderResources.runtimePrimitive.primitive.attributes + // }, + // // Workaround Internet Explorer 11.0.8 lack of TRIANGLE_FAN + // // indices: new Uint16Array([0, 1, 2, 0, 2, 3]), + // primitiveType: PrimitiveType.TRIANGLE_STRIP, + // }); + + // const vertexArray = VertexArray.fromGeometry({ + // context: frameState.context, + // geometry: geometry, + // attributeLocations: splatQuadAttrLocations, + // bufferUsage: BufferUsage.STATIC_DRAW, + // interleave: false, + // }); const vertexArray = new VertexArray({ context: frameState.context, indexBuffer: indexBuffer, diff --git a/packages/engine/Source/Scene/ModelComponents.js b/packages/engine/Source/Scene/ModelComponents.js index c81792038073..c8fbfb978177 100644 --- a/packages/engine/Source/Scene/ModelComponents.js +++ b/packages/engine/Source/Scene/ModelComponents.js @@ -620,6 +620,15 @@ function Primitive() { * @private */ this.outlineCoordinates = undefined; + + /** + * If the KHR_gaussian_splatting glTF extension is used, this property + * stores an additional attribute storing a screen space quad + * + * @type {Attribute} + * @private + */ + this.gaussianSplattingQuad = undefined; } /** diff --git a/packages/engine/Source/Scene/PrimitiveLoadPlan.js b/packages/engine/Source/Scene/PrimitiveLoadPlan.js index 3c656ee9a276..a2053fdb505a 100644 --- a/packages/engine/Source/Scene/PrimitiveLoadPlan.js +++ b/packages/engine/Source/Scene/PrimitiveLoadPlan.js @@ -7,7 +7,6 @@ import BufferUsage from "../Renderer/BufferUsage.js"; import AttributeType from "./AttributeType.js"; import ModelComponents from "./ModelComponents.js"; import PrimitiveOutlineGenerator from "./Model/PrimitiveOutlineGenerator.js"; - /** * Simple struct for tracking whether an attribute will be loaded as a buffer * or typed array after post-processing. @@ -185,7 +184,10 @@ PrimitiveLoadPlan.prototype.postProcess = function (context) { } //handle splat post-processing for point primitives - //if(this.hasGaussianSplatting) + if (this.needsGaussianSplats) { + generateSplatBuffers(this, context); + generateBuffers(this, context); + } }; function generateOutlines(loadPlan) { @@ -238,6 +240,42 @@ function makeOutlineCoordinatesAttribute(outlineCoordinatesTypedArray) { return attribute; } +function generateSplatBuffers(loadPlan, context) { + const primitive = loadPlan.primitive; + + const attributePlans = loadPlan.attributePlans; + const attrLen = attributePlans.length; + for (let i = 0; i < attrLen; i++) { + const attributePlan = attributePlans[i]; + const attribute = attributePlan.attribute; + //attribute.buffer = quadBuffer; + attribute.instanceDivisor = 1; + + if (attribute.name === "POSITION") { + //we don't want this position to attach to the semantic + attribute.name = "GS_POSITION"; + attribute.semantic = undefined; + } + } + + //build our new position buffer + const newAttribute = new ModelComponents.Attribute(); + newAttribute.name = "POSITION"; + newAttribute.typedArray = new Float32Array([-2, -2, 2, -2, 2, 2, -2, 2]); + newAttribute.componentDatatype = ComponentDatatype.FLOAT; + newAttribute.type = AttributeType.VEC2; + newAttribute.semantic = "POSITION"; + newAttribute.count = 4; + newAttribute.normalized = false; + + const newAttributePlan = new AttributeLoadPlan(newAttribute); + newAttributePlan.loadBuffer = true; + newAttributePlan.loadTypedArray = false; + loadPlan.attributePlans.push(newAttributePlan); + //primitive.gaussianSplattingQuad = newAttribute; + primitive.attributes.push(newAttribute); +} + function generateBuffers(loadPlan, context) { generateAttributeBuffers(loadPlan.attributePlans, context); diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl index 449d5ac7bd84..ab18d360d638 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl @@ -1,26 +1,6 @@ -// void gaussianSplatStage(inout vec4 color, vec2 position) { -// float A = -dot(position, position); -// if (A < -4.0) discard; -// float B = exp(A) * color.w; -// color = vec4(B * color.xyz, B); -// } - -void gaussianSplatStage(inout vec4 color, vec2 position) { - // Resample using conic matrix (cf. "Surface - // Splatting" by Zwicker et al., 2001) - vec2 d = v_splatCenter - v_splatVertexPos; - float power = -0.5 * (v_conic.x * d.x * d.x + v_conic.z * d.y * d.y) - v_conic.y * d.x * d.y; - - if (power > 0.) { - discard; - } - - // Eq. (2) from 3D Gaussian splatting paper. - float alpha = min(.99f, color.w * exp(power)); - - if (alpha < 1./255.) { - discard; - } - - color = vec4(color.xyz * color.w, color.w); +void gaussianSplatStage(inout vec4 color, in ProcessedAttributes attributes) { + float A = -dot(position, position); + if (A < -4.0) discard; + float B = exp(A) * color.w; + color = vec4(B * color.xyz, B); } diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl index e6cd08212880..2e3a5ad4b8e0 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl @@ -85,122 +85,29 @@ void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) mat4 viewMatrix = czm_modelView; vec3 cov2d = calcCov2D(attributes.positionMC, focal_x, focal_y, tan_fovx, tan_fovy, cov3D, viewMatrix); - // vec4 pos2d = czm_modelViewProjection * vec4(v_positionMC,1.0); - - // float clip = 1.2 * pos2d.w; - // if (pos2d.z < -clip || pos2d.x < -clip || pos2d.x > clip || pos2d.y < -clip || pos2d.y > clip) { - // positionClip = vec4(0.0, 0.0, 2.0, 1.0); - // return; - // } - - // float mid = (cov2d.x + cov2d.z) / 2.0; - // float radius = length(vec2((cov2d.x - cov2d.z) / 2.0, cov2d.y)); - // float lambda1 = mid + radius, lambda2 = mid - radius; - - // if(lambda2 < 0.0) return; - // vec2 diagonalVector = normalize(vec2(cov2d.y, lambda1 - cov2d.x)); - // vec2 majorAxis = min(sqrt(2.0 * lambda1), 1024.0) * diagonalVector; - // vec2 minorAxis = min(sqrt(2.0 * lambda2), 1024.0) * vec2(diagonalVector.y, -diagonalVector.x); - - // vec2 corner = vec2((gl_VertexID << 1) & 2, gl_VertexID & 2) - 1.; - // corner *= 2.; - - // vec3 vCenter = pos2d.xyz / pos2d.w; - - // // vColorMod = clamp(pos2d.z/pos2d.w+1.0, 0.0, 1.0); - - // positionClip = vec4( - // vCenter.xy - // + corner.x * majorAxis / czm_viewport.z - // + corner.y * minorAxis / czm_viewport.w, 0.0, 1.0); - - // v_splatPosition = corner; - - //////////////////////////////////////////////////////////////////////// - - float W = czm_viewport.z; - float H = czm_viewport.w; - - vec4 p_hom = czm_modelViewProjection * vec4(a_positionMC, 1); - float p_w = 1. / (p_hom.w + 1e-7); - vec3 p_proj = p_hom.xyz * p_w; - - // Perform near culling, quit if outside. - vec4 p_view = viewMatrix * vec4(a_positionMC, 1); - // if (p_view.z <= .4) { - // positionClip = vec4(0, 0, 0, 1); - // return; - // } - - // Invert covariance (EWA algorithm) - // float det = (cov2d.x * cov2d.z - cov2d.y * cov2d.y); - // if (det == 0.) { - // positionClip = vec4(0, 0, 0, 1); - // return; - // } - // float det_inv = 1. / det; - // vec3 conic = vec3(cov2d.z, -cov2d.y, cov2d.x) * det_inv; - - // Compute extent in screen space (by finding eigenvalues of - // 2D covariance matrix). Use extent to compute the bounding - // rectangle of the splat in screen space. - - // float mid = 0.5 * (cov2d.x + cov2d.z); - // float lambda1 = mid + sqrt(max(0.1, mid * mid - det)); - // float lambda2 = mid - sqrt(max(0.1, mid * mid - det)); - // float my_radius = ceil(3. * sqrt(max(lambda1, lambda2))); - vec2 point_image = vec2(ndc2Pix(p_proj.x, W), ndc2Pix(p_proj.y, H)); - -// Calculate eigenvalues and eigenvectors -float a = cov2d.x; -float b = cov2d.y; -float c = cov2d.z; -float trace = a + c; -float det = max(0.0, a * c - b * b); // Ensure non-negative -float gap = sqrt(max(0.0, trace * trace / 4.0 - det)); -v_lambda1 = max(1e-5, (trace / 2.0) + gap); -v_lambda2 = max(1e-5, (trace / 2.0) - gap); - -// Ensure lambda1 is the larger eigenvalue -if (v_lambda2 > v_lambda1) { - float temp = v_lambda1; - v_lambda1 = v_lambda2; - v_lambda2 = temp; -} - -v_eigen1 = normalize(vec2(b, v_lambda1 - a)); -v_eigen2 = vec2(-v_eigen1.y, v_eigen1.x); - - // Calculate splat radius - float radius = ceil(3.0 * sqrt(max(v_lambda1, v_lambda2))); + vec4 pos2d = czm_modelViewProjection * vec4(v_positionMC,1.0); - // (Webgl-specific) Convert gl_VertexID from [0,1,2,3] to [-1,-1],[1,-1],[-1,1],[1,1] - //vec2 corner = vec2((gl_VertexID << 1) & 2, gl_VertexID & 2) - 1.; - // Vertex position in screen space - //vec2 screen_pos = point_image + my_radius * corner; - - // Calculate splat bounds in screen space - vec4 bounds = vec4( - point_image.x - radius, - point_image.y - radius, - point_image.x + radius, - point_image.y + radius - ); + float clip = 1.2 * pos2d.w; + if (pos2d.z < -clip || pos2d.x < -clip || pos2d.x > clip || pos2d.y < -clip || pos2d.y > clip) { + positionClip = vec4(0.0, 0.0, 2.0, 1.0); + return; + } - // Pass data to fragment shader - v_splatBounds = bounds; - // Store some useful helper data for the fragment stage - // v_conic = conic; - v_splatCenter = p_proj.xy; - // v_splatVertexPos = screen_pos; + float mid = (cov2d.x + cov2d.z) / 2.0; + float radius = length(vec2((cov2d.x - cov2d.z) / 2.0, cov2d.y)); + float lambda1 = mid + radius, lambda2 = mid - radius; - // (Webgl-specific) Convert from screen-space to clip-space - vec2 clip_pos = point_image / vec2(W, H) * 2. - 1.; + if(lambda2 < 0.0) return; + vec2 diagonalVector = normalize(vec2(cov2d.y, lambda1 - cov2d.x)); + vec2 majorAxis = min(sqrt(2.0 * lambda1), 1024.0) * diagonalVector; + vec2 minorAxis = min(sqrt(2.0 * lambda2), 1024.0) * vec2(diagonalVector.y, -diagonalVector.x); - positionClip = vec4(p_proj, 1.0);//vec4(clip_pos, 0, 1); + vec3 vCenter = pos2d.xyz / pos2d.w; + v_splatPosition = attributes.position; + positionClip = vec4( + vCenter.xy + + attributes.positionMC.x * majorAxis / czm_viewport.z + + attributes.positionMC.y * minorAxis / czm_viewport.w, 0.0, 1.0); - float distanceScale = 1.0 / max(1.0, -p_view.z); - // Set point size, scaled by distance and viewport height - gl_PointSize = 40.; } diff --git a/packages/engine/Source/Shaders/Model/ModelFS.glsl b/packages/engine/Source/Shaders/Model/ModelFS.glsl index 7565f6b6a355..4a234df02496 100644 --- a/packages/engine/Source/Shaders/Model/ModelFS.glsl +++ b/packages/engine/Source/Shaders/Model/ModelFS.glsl @@ -91,7 +91,7 @@ void main() #endif #ifdef HAS_POINT_CLOUD_SPLAT - gaussianSplatStage(color, v_splatCenter); + gaussianSplatStage(color, attributes); #endif out_FragColor = color; From c6b8d25428e79fe86e56d8203307a17435ada384 Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Mon, 1 Jul 2024 01:49:58 -0500 Subject: [PATCH 14/97] splats but bad projection --- .../engine/Source/Renderer/VertexArray.js | 68 ++++++--- .../Scene/Model/GaussianSplatPipelineStage.js | 8 +- .../Scene/Model/GeometryPipelineStage.js | 5 +- .../Source/Scene/Model/buildDrawCommand.js | 76 +++++----- .../engine/Source/Scene/PrimitiveLoadPlan.js | 35 ++--- .../Source/Shaders/Model/GaussianSplatFS.glsl | 22 ++- .../Source/Shaders/Model/GaussianSplatVS.glsl | 134 +++++++++++++++--- 7 files changed, 238 insertions(+), 110 deletions(-) diff --git a/packages/engine/Source/Renderer/VertexArray.js b/packages/engine/Source/Renderer/VertexArray.js index ed35bd1b76c2..f586b7350bb7 100644 --- a/packages/engine/Source/Renderer/VertexArray.js +++ b/packages/engine/Source/Renderer/VertexArray.js @@ -11,6 +11,7 @@ import RuntimeError from "../Core/RuntimeError.js"; import Buffer from "./Buffer.js"; import BufferUsage from "./BufferUsage.js"; import ContextLimits from "./ContextLimits.js"; +import AttributeType from "../Scene/AttributeType.js"; function addAttribute(attributes, attribute, index, context) { const hasVertexBuffer = defined(attribute.vertexBuffer); @@ -75,15 +76,15 @@ function addAttribute(attributes, attribute, index, context) { "attribute cannot have have an instanceDivisor if it is not backed by a buffer" ); } - if ( - defined(attribute.instanceDivisor) && - attribute.instanceDivisor > 0 && - attribute.index === 0 - ) { - throw new DeveloperError( - "attribute zero cannot have an instanceDivisor greater than 0" - ); - } + // if ( + // defined(attribute.instanceDivisor) && + // attribute.instanceDivisor > 0 && + // attribute.index === 0 + // ) { + // throw new DeveloperError( + // "attribute zero cannot have an instanceDivisor greater than 0" + // ); + // } //>>includeEnd('debug'); // Shallow copy the attribute; we do not want to copy the vertex buffer. @@ -648,6 +649,7 @@ VertexArray.fromGeometry = function (options) { componentDatatype = ComponentDatatype.FLOAT; } + let attrProps = {}; vertexBuffer = undefined; if (defined(attribute.values)) { vertexBuffer = Buffer.createVertexBuffer({ @@ -658,16 +660,48 @@ VertexArray.fromGeometry = function (options) { ), usage: bufferUsage, }); + + attrProps = { + index: attributeLocations[name], + vertexBuffer: vertexBuffer, + value: attribute.value, + componentDatatype: componentDatatype, + componentsPerAttribute: attribute.componentsPerAttribute, + normalize: attribute.normalize, + }; } - vaAttributes.push({ - index: attributeLocations[name], - vertexBuffer: vertexBuffer, - value: attribute.value, - componentDatatype: componentDatatype, - componentsPerAttribute: attribute.componentsPerAttribute, - normalize: attribute.normalize, - }); + //if we already have a typedArray lets use it + if (defined(attribute.typedArray)) { + vertexBuffer = Buffer.createVertexBuffer({ + context: context, + typedArray: attribute.typedArray, + usage: bufferUsage, + }); + + attrProps = { + index: attributeLocations[name], + vertexBuffer: vertexBuffer, + value: undefined, + componentDatatype: componentDatatype, + componentsPerAttribute: AttributeType.getNumberOfComponents( + attribute.type + ), + normalize: attribute.normalized, + instanceDivisor: attribute.instanceDivisor, + }; + } + + vaAttributes.push(attrProps); + + // vaAttributes.push({ + // index: attributeLocations[name], + // vertexBuffer: vertexBuffer, + // value: attribute.value, + // componentDatatype: componentDatatype, + // componentsPerAttribute: attribute.componentsPerAttribute, + // normalize: attribute.normalize, + // }); } } } diff --git a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js index a592dd7f3b5d..313df53548bd 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js @@ -22,7 +22,7 @@ GaussianSplatPipelineStage.process = function ( renderStateOptions.blending = BlendingState.PRE_MULTIPLIED_ALPHA_BLEND; //use the voxel pass to isolate ourselves for now - renderResources.alphaOptions.pass = Pass.TRANSLUCENT; + renderResources.alphaOptions.pass = Pass.VOXELS; shaderBuilder.addDefine( "HAS_POINT_CLOUD_SPLAT", @@ -30,9 +30,13 @@ GaussianSplatPipelineStage.process = function ( ShaderDestination.BOTH ); - shaderBuilder.addUniform("vec2", "v_splatPosition"); + shaderBuilder.addVarying("vec2", "v_screenQuadPosition"); shaderBuilder.addVarying("vec2", "v_splatVertexPos"); //we may not need to pass this if v_positionMC suffices + shaderBuilder.addVarying("vec3", "v_conic"); + shaderBuilder.addVarying("vec2", "v_screen_xy"); + shaderBuilder.addVarying("vec2", "v_pixf"); + renderResources.instanceCount = renderResources.count; renderResources.count = 4; renderResources.primitiveType = PrimitiveType.TRIANGLE_STRIP; diff --git a/packages/engine/Source/Scene/Model/GeometryPipelineStage.js b/packages/engine/Source/Scene/Model/GeometryPipelineStage.js index 28b769a07c96..f2220420e6e4 100644 --- a/packages/engine/Source/Scene/Model/GeometryPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GeometryPipelineStage.js @@ -186,7 +186,10 @@ GeometryPipelineStage.process = function ( handleBitangents(shaderBuilder, primitive.attributes); - if (primitive.primitiveType === PrimitiveType.POINTS) { + if ( + primitive.primitiveType === PrimitiveType.POINTS && + !model.enableShowGaussianSplatting + ) { shaderBuilder.addDefine("PRIMITIVE_TYPE_POINTS"); } diff --git a/packages/engine/Source/Scene/Model/buildDrawCommand.js b/packages/engine/Source/Scene/Model/buildDrawCommand.js index a57cf748df21..1ac5b2353e96 100644 --- a/packages/engine/Source/Scene/Model/buildDrawCommand.js +++ b/packages/engine/Source/Scene/Model/buildDrawCommand.js @@ -14,11 +14,11 @@ import ClassificationModelDrawCommand from "./ClassificationModelDrawCommand.js" import ModelUtility from "./ModelUtility.js"; import ModelDrawCommand from "./ModelDrawCommand.js"; -// import Geometry from "../../Core/Geometry.js"; -// import GeometryAttribute from "../../Core/GeometryAttribute.js"; -// import ComponentDatatype from "../../Core/ComponentDatatype.js"; -// import PrimitiveType from "../../Core/PrimitiveType.js"; -// import BufferUsage from "../../Renderer/BufferUsage.js"; +import Geometry from "../../Core/Geometry.js"; +import GeometryAttribute from "../../Core/GeometryAttribute.js"; +import ComponentDatatype from "../../Core/ComponentDatatype.js"; +import PrimitiveType from "../../Core/PrimitiveType.js"; +import BufferUsage from "../../Renderer/BufferUsage.js"; /** * Builds the {@link ModelDrawCommand} for a {@link ModelRuntimePrimitive} * using its render resources. If the model classifies another asset, it @@ -37,43 +37,45 @@ function buildDrawCommand(primitiveRenderResources, frameState) { shaderBuilder.addFragmentLines(ModelFS); const indexBuffer = getIndexBuffer(primitiveRenderResources); + + // const vertexArray = new VertexArray({ + // context: frameState.context, + // indexBuffer: indexBuffer, + // attributes: primitiveRenderResources.runtimePrimitive.primitive.attributes, + // }); //////////////// /////////////// - // const splatQuadAttrLocations = { - // position: 0, - // '0': 1, - // '1': 2, - // '2': 3, - // '3': 4 - // }; - - // const geometry = new Geometry({ - // attributes: { - // position: new GeometryAttribute({ - // componentDatatype: ComponentDatatype.FLOAT, - // componentsPerAttribute: 2, - // values: [-2, -2, 2, -2, 2, 2, -2, 2], - // }), - - // ...primitiveRenderResources.runtimePrimitive.primitive.attributes - // }, - // // Workaround Internet Explorer 11.0.8 lack of TRIANGLE_FAN - // // indices: new Uint16Array([0, 1, 2, 0, 2, 3]), - // primitiveType: PrimitiveType.TRIANGLE_STRIP, - // }); + const splatQuadAttrLocations = { + screenQuadPosition: 4, + 0: 0, + 1: 1, + 2: 2, + 3: 3, + }; + + const geometry = new Geometry({ + attributes: { + screenQuadPosition: new GeometryAttribute({ + componentDatatype: ComponentDatatype.FLOAT, + componentsPerAttribute: 2, + values: [-2, -2, 2, -2, 2, 2, -2, 2], + name: "_SCREEN_QUAD_POS", + variableName: "screenQuadPos", + }), + ...primitiveRenderResources.runtimePrimitive.primitive.attributes, + }, + indices: + indexBuffer === undefined ? new Uint16Array([0, 1, 2, 3]) : indexBuffer, + primitiveType: PrimitiveType.TRIANGLE_STRIP, + }); - // const vertexArray = VertexArray.fromGeometry({ - // context: frameState.context, - // geometry: geometry, - // attributeLocations: splatQuadAttrLocations, - // bufferUsage: BufferUsage.STATIC_DRAW, - // interleave: false, - // }); - const vertexArray = new VertexArray({ + const vertexArray = VertexArray.fromGeometry({ context: frameState.context, - indexBuffer: indexBuffer, - attributes: primitiveRenderResources.attributes, + geometry: geometry, + attributeLocations: splatQuadAttrLocations, + bufferUsage: BufferUsage.STATIC_DRAW, + interleave: false, }); const model = primitiveRenderResources.model; diff --git a/packages/engine/Source/Scene/PrimitiveLoadPlan.js b/packages/engine/Source/Scene/PrimitiveLoadPlan.js index a2053fdb505a..c271f65a2ec4 100644 --- a/packages/engine/Source/Scene/PrimitiveLoadPlan.js +++ b/packages/engine/Source/Scene/PrimitiveLoadPlan.js @@ -186,7 +186,7 @@ PrimitiveLoadPlan.prototype.postProcess = function (context) { //handle splat post-processing for point primitives if (this.needsGaussianSplats) { generateSplatBuffers(this, context); - generateBuffers(this, context); + // generateBuffers(this, context); } }; @@ -241,8 +241,6 @@ function makeOutlineCoordinatesAttribute(outlineCoordinatesTypedArray) { } function generateSplatBuffers(loadPlan, context) { - const primitive = loadPlan.primitive; - const attributePlans = loadPlan.attributePlans; const attrLen = attributePlans.length; for (let i = 0; i < attrLen; i++) { @@ -250,30 +248,15 @@ function generateSplatBuffers(loadPlan, context) { const attribute = attributePlan.attribute; //attribute.buffer = quadBuffer; attribute.instanceDivisor = 1; - - if (attribute.name === "POSITION") { - //we don't want this position to attach to the semantic - attribute.name = "GS_POSITION"; - attribute.semantic = undefined; - } + // if (attribute.name === "POSITION") { + // //we don't want this position to attach to the semantic + // attribute.name = "GS_POSITION"; + // attribute.semantic = undefined; + // } + //defer til much later into the pipeline + attributePlan.loadBuffer = false; + attributePlan.loadTypedArray = true; } - - //build our new position buffer - const newAttribute = new ModelComponents.Attribute(); - newAttribute.name = "POSITION"; - newAttribute.typedArray = new Float32Array([-2, -2, 2, -2, 2, 2, -2, 2]); - newAttribute.componentDatatype = ComponentDatatype.FLOAT; - newAttribute.type = AttributeType.VEC2; - newAttribute.semantic = "POSITION"; - newAttribute.count = 4; - newAttribute.normalized = false; - - const newAttributePlan = new AttributeLoadPlan(newAttribute); - newAttributePlan.loadBuffer = true; - newAttributePlan.loadTypedArray = false; - loadPlan.attributePlans.push(newAttributePlan); - //primitive.gaussianSplattingQuad = newAttribute; - primitive.attributes.push(newAttribute); } function generateBuffers(loadPlan, context) { diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl index ab18d360d638..537208681ea9 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl @@ -1,6 +1,20 @@ void gaussianSplatStage(inout vec4 color, in ProcessedAttributes attributes) { - float A = -dot(position, position); - if (A < -4.0) discard; - float B = exp(A) * color.w; - color = vec4(B * color.xyz, B); + + // Resample using conic matrix (cf. "Surface + // Splatting" by Zwicker et al., 2001) + vec2 d = v_screen_xy - v_pixf; + float power = -0.5 * (v_conic.x * d.x * d.x + v_conic.z * d.y * d.y) - v_conic.y * d.x * d.y; + + if (power > 0.) { + discard; + } + + // Eq. (2) from 3D Gaussian splatting paper. + float alpha = min(.99f, color.w * exp(power)); + if (alpha < 1./255.) { + discard; + } + + // Eq. (3) from 3D Gaussian splatting paper. + color = vec4(color.xyz * alpha, alpha); } diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl index 2e3a5ad4b8e0..6193c5cfbb94 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl @@ -69,45 +69,133 @@ float ndc2Pix(float v, float S) { return ((v + 1.) * S - 1.) * .5; } +// void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) { +// //convert gaussian scale and rot to covariance matrix +// float[6] cov3D; +// calcCov3D(attributes.scale, attributes.rotation, 1.0, cov3D); + +// float aspect = czm_viewport.z / czm_viewport.w; +// float fovx = 2.0 * atan(aspect / czm_projection[0][0]);//1./czm_projection[0][0]; +// float fovy = 2.0 * atan(1.0 / czm_projection[1][1]);//1./czm_projection[1][1] * aspect; +// float tan_fovx = tan(fovx / 2.0); +// float tan_fovy = tan(fovy / 2.0); +// float focal_y = czm_viewport.w / (2.0 * tan_fovy); +// float focal_x = czm_viewport.z / (2.0 * tan_fovx); + +// mat4 viewMatrix = czm_modelView; +// vec3 cov2d = calcCov2D(a_positionMC, focal_x, focal_y, tan_fovx, tan_fovy, cov3D, viewMatrix); +// vec4 pos2d = czm_modelViewProjection * vec4(a_positionMC,1.0); + +// float clip = 1.2 * pos2d.w; +// if (pos2d.z < -clip || pos2d.x < -clip || pos2d.x > clip || pos2d.y < -clip || pos2d.y > clip) { +// positionClip = vec4(0.0, 0.0, 2.0, 1.0); +// return; +// } + +// float mid = (cov2d.x + cov2d.z) / 2.0; +// float radius = length(vec2((cov2d.x - cov2d.z) / 2.0, cov2d.y)); +// float lambda1 = mid + radius, lambda2 = mid - radius; + +// if(lambda2 < 0.0) return; +// vec2 diagonalVector = normalize(vec2(cov2d.y, lambda1 - cov2d.x)); +// vec2 majorAxis = min(sqrt(2.0 * lambda1), 1024.0) * diagonalVector; +// vec2 minorAxis = min(sqrt(2.0 * lambda2), 1024.0) * vec2(diagonalVector.y, -diagonalVector.x); + +// vec2 corner = vec2((gl_VertexID << 1) & 2, gl_VertexID & 2) - 1.; +// vec3 vCenter = pos2d.xyz / pos2d.w; +// v_screenQuadPosition = corner; + +// positionClip = vec4( +// vCenter.xy +// + corner.x * majorAxis / czm_viewport.zw +// + corner.y * minorAxis / czm_viewport.zw, 0.0, 1.0); + + +// } + +void invertMatrixRow4x4(mat4 matrix, int row) +{ + matrix[0 + row] = -matrix[0 + row]; + matrix[4 + row] = -matrix[4 + row]; + matrix[8 + row] = -matrix[8 + row]; + matrix[12 + row] = -matrix[12 + row]; +} + void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) { - //convert gaussian scale and rot to covariance matrix + vec3 p_orig = a_positionMC; + + mat4 viewMatrix = czm_view; + mat4 projMatrix = czm_projection; + + invertMatrixRow4x4(viewMatrix, 1); + invertMatrixRow4x4(viewMatrix, 2); + invertMatrixRow4x4(projMatrix, 1); + invertMatrixRow4x4(viewMatrix, 0); + invertMatrixRow4x4(projMatrix, 0); + + vec4 q = czm_modelViewProjection * vec4(p_orig, 1.0); // model to clip coordinates + q.xyz /= q.w; // clip to normalized device coordinates (ndc) + // Transform point by projecting + // vec4 p_hom = projMatrix * vec4(p_orig, 1); + // float p_w = 1. / (p_hom.w + 1e-7); + vec3 p_proj = q.xyz;//p_hom.xyz * p_w; + + // Perform near culling, quit if outside. + vec4 p_view = viewMatrix * vec4(p_orig, 1); + if (p_view.z <= .4) { + positionClip = vec4(0, 0, 0, 1); + return; + } + float[6] cov3D; calcCov3D(attributes.scale, attributes.rotation, 1.0, cov3D); float aspect = czm_viewport.z / czm_viewport.w; - float fovx = 2.0 * atan(aspect / czm_projection[0][0]);//1./czm_projection[0][0]; - float fovy = 2.0 * atan(1.0 / czm_projection[1][1]);//1./czm_projection[1][1] * aspect; + float fovx = 2.0 * atan(aspect / projMatrix[0][0]);//1./projMatrix[0][0]; + float fovy = 2.0 * atan(1.0 / projMatrix[1][1]);//1./projMatrix[1][1] * aspect; float tan_fovx = tan(fovx / 2.0); float tan_fovy = tan(fovy / 2.0); float focal_y = czm_viewport.w / (2.0 * tan_fovy); float focal_x = czm_viewport.z / (2.0 * tan_fovx); - mat4 viewMatrix = czm_modelView; - vec3 cov2d = calcCov2D(attributes.positionMC, focal_x, focal_y, tan_fovx, tan_fovy, cov3D, viewMatrix); - - vec4 pos2d = czm_modelViewProjection * vec4(v_positionMC,1.0); + // Compute 2D screen-space covariance matrix + vec3 cov = calcCov2D(p_orig, focal_x, focal_y, tan_fovx, tan_fovy, cov3D, viewMatrix); - float clip = 1.2 * pos2d.w; - if (pos2d.z < -clip || pos2d.x < -clip || pos2d.x > clip || pos2d.y < -clip || pos2d.y > clip) { - positionClip = vec4(0.0, 0.0, 2.0, 1.0); + // Invert covariance (EWA algorithm) + float det = (cov.x * cov.z - cov.y * cov.y); + if (det == 0.) { + positionClip = vec4(0, 0, 0, 1); return; } + float det_inv = 1. / det; + v_conic = vec3(cov.z, -cov.y, cov.x) * det_inv; + + // Compute extent in screen space (by finding eigenvalues of + // 2D covariance matrix). Use extent to compute the bounding + // rectangle of the splat in screen space. + + float mid = 0.5 * (cov.x + cov.z); + float lambda1 = mid + sqrt(max(0.1, mid * mid - det)); + float lambda2 = mid - sqrt(max(0.1, mid * mid - det)); + float radius = length(vec2((cov.x - cov.z) / 2.0, cov.y)); + float my_radius = ceil(3. * sqrt(max(lambda1, lambda2))); + vec2 point_image = vec2(ndc2Pix(p_proj.x, czm_viewport.z), ndc2Pix(p_proj.y, czm_viewport.w)); + + my_radius *= .15 + 1. * .85; - float mid = (cov2d.x + cov2d.z) / 2.0; - float radius = length(vec2((cov2d.x - cov2d.z) / 2.0, cov2d.y)); - float lambda1 = mid + radius, lambda2 = mid - radius; + // (Webgl-specific) Convert gl_VertexID from [0,1,2,3] to [-1,-1],[1,-1],[-1,1],[1,1] + vec2 corner = vec2((gl_VertexID << 1) & 2, gl_VertexID & 2) - 1.; - if(lambda2 < 0.0) return; - vec2 diagonalVector = normalize(vec2(cov2d.y, lambda1 - cov2d.x)); - vec2 majorAxis = min(sqrt(2.0 * lambda1), 1024.0) * diagonalVector; - vec2 minorAxis = min(sqrt(2.0 * lambda2), 1024.0) * vec2(diagonalVector.y, -diagonalVector.x); + // Vertex position in screen space + vec4 czmScreenPos = czm_modelToWindowCoordinates(vec4(a_positionMC,1.0)); + vec2 screen_pos = czmScreenPos.xy + radius * corner; - vec3 vCenter = pos2d.xyz / pos2d.w; - v_splatPosition = attributes.position; - positionClip = vec4( - vCenter.xy - + attributes.positionMC.x * majorAxis / czm_viewport.z - + attributes.positionMC.y * minorAxis / czm_viewport.w, 0.0, 1.0); + // Store some useful helper data for the fragment stage + v_screen_xy = czmScreenPos.xy; + v_pixf = screen_pos; + // (Webgl-specific) Convert from screen-space to clip-space + vec2 clip_pos = screen_pos / vec2(czm_viewport.z, czm_viewport.w) * 2. - 1.; + positionClip = vec4(clip_pos, 0, 1); } From a03abdd28f7239b3374da5394f69f367b830e275 Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Mon, 1 Jul 2024 04:12:05 -0500 Subject: [PATCH 15/97] shader cleanup, simplification --- packages/engine/Source/Renderer/Context.js | 3 +- .../Scene/Model/GaussianSplatPipelineStage.js | 6 +- .../Source/Shaders/Model/GaussianSplatFS.glsl | 21 +-- .../Source/Shaders/Model/GaussianSplatVS.glsl | 137 +++--------------- 4 files changed, 30 insertions(+), 137 deletions(-) diff --git a/packages/engine/Source/Renderer/Context.js b/packages/engine/Source/Renderer/Context.js index 334ae131049d..9cc6ddc4eba9 100644 --- a/packages/engine/Source/Renderer/Context.js +++ b/packages/engine/Source/Renderer/Context.js @@ -1358,7 +1358,8 @@ function continueDraw(context, drawCommand, shaderProgram, uniformMap) { count, indexBuffer.indexDatatype, offset, - instanceCount + 100 + //instanceCount ); } } else { diff --git a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js index 313df53548bd..9b22966b43ad 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js @@ -31,11 +31,7 @@ GaussianSplatPipelineStage.process = function ( ); shaderBuilder.addVarying("vec2", "v_screenQuadPosition"); - shaderBuilder.addVarying("vec2", "v_splatVertexPos"); //we may not need to pass this if v_positionMC suffices - - shaderBuilder.addVarying("vec3", "v_conic"); - shaderBuilder.addVarying("vec2", "v_screen_xy"); - shaderBuilder.addVarying("vec2", "v_pixf"); + shaderBuilder.addVarying("vec2", "v_vertPos"); renderResources.instanceCount = renderResources.count; renderResources.count = 4; diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl index 537208681ea9..5df4a8a34e99 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl @@ -1,20 +1,7 @@ void gaussianSplatStage(inout vec4 color, in ProcessedAttributes attributes) { - - // Resample using conic matrix (cf. "Surface - // Splatting" by Zwicker et al., 2001) - vec2 d = v_screen_xy - v_pixf; - float power = -0.5 * (v_conic.x * d.x * d.x + v_conic.z * d.y * d.y) - v_conic.y * d.x * d.y; - - if (power > 0.) { + float A = -dot(v_vertPos, v_vertPos); + if(A < -4.0) discard; - } - - // Eq. (2) from 3D Gaussian splatting paper. - float alpha = min(.99f, color.w * exp(power)); - if (alpha < 1./255.) { - discard; - } - - // Eq. (3) from 3D Gaussian splatting paper. - color = vec4(color.xyz * alpha, alpha); + float B = exp(A) * color.w; + color = vec4(color.xyz * B, B); } diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl index 6193c5cfbb94..41fa9bdd717d 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl @@ -27,8 +27,8 @@ void calcCov3D(vec3 scale, vec4 rot, float mod, out float[6] cov3D) } -vec3 calcCov2D(vec3 posEC, float focal_x, float focal_y, float tan_fovx, float tan_fovy, float[6] cov3D, mat4 viewmatrix) { - vec4 t = viewmatrix * vec4(posEC, 1.0); +vec3 calcCov2D(vec3 worldPos, float focal_x, float focal_y, float tan_fovx, float tan_fovy, float[6] cov3D, mat4 viewmatrix) { + vec4 t = viewmatrix * vec4(worldPos, 1.0); float limx = 1.3 * tan_fovx; float limy = 1.3 * tan_fovy; @@ -43,15 +43,8 @@ vec3 calcCov2D(vec3 posEC, float focal_x, float focal_y, float tan_fovx, float t 0, 0, 0 ); - mat3 W = mat3( - viewmatrix[0][0], viewmatrix[1][0], viewmatrix[2][0], - viewmatrix[0][1], viewmatrix[1][1], viewmatrix[2][1], - viewmatrix[0][2], viewmatrix[1][2], viewmatrix[2][2] - ); - // mat3 W = transpose(mat3(viewmatrix)); - + mat3 W = mat3(viewmatrix); mat3 T = W * J; - mat3 Vrk = mat3( cov3D[0], cov3D[1], cov3D[2], cov3D[1], cov3D[3], cov3D[4], @@ -69,61 +62,15 @@ float ndc2Pix(float v, float S) { return ((v + 1.) * S - 1.) * .5; } -// void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) { -// //convert gaussian scale and rot to covariance matrix -// float[6] cov3D; -// calcCov3D(attributes.scale, attributes.rotation, 1.0, cov3D); - -// float aspect = czm_viewport.z / czm_viewport.w; -// float fovx = 2.0 * atan(aspect / czm_projection[0][0]);//1./czm_projection[0][0]; -// float fovy = 2.0 * atan(1.0 / czm_projection[1][1]);//1./czm_projection[1][1] * aspect; -// float tan_fovx = tan(fovx / 2.0); -// float tan_fovy = tan(fovy / 2.0); -// float focal_y = czm_viewport.w / (2.0 * tan_fovy); -// float focal_x = czm_viewport.z / (2.0 * tan_fovx); - -// mat4 viewMatrix = czm_modelView; -// vec3 cov2d = calcCov2D(a_positionMC, focal_x, focal_y, tan_fovx, tan_fovy, cov3D, viewMatrix); -// vec4 pos2d = czm_modelViewProjection * vec4(a_positionMC,1.0); - -// float clip = 1.2 * pos2d.w; -// if (pos2d.z < -clip || pos2d.x < -clip || pos2d.x > clip || pos2d.y < -clip || pos2d.y > clip) { -// positionClip = vec4(0.0, 0.0, 2.0, 1.0); -// return; -// } - -// float mid = (cov2d.x + cov2d.z) / 2.0; -// float radius = length(vec2((cov2d.x - cov2d.z) / 2.0, cov2d.y)); -// float lambda1 = mid + radius, lambda2 = mid - radius; - -// if(lambda2 < 0.0) return; -// vec2 diagonalVector = normalize(vec2(cov2d.y, lambda1 - cov2d.x)); -// vec2 majorAxis = min(sqrt(2.0 * lambda1), 1024.0) * diagonalVector; -// vec2 minorAxis = min(sqrt(2.0 * lambda2), 1024.0) * vec2(diagonalVector.y, -diagonalVector.x); - -// vec2 corner = vec2((gl_VertexID << 1) & 2, gl_VertexID & 2) - 1.; -// vec3 vCenter = pos2d.xyz / pos2d.w; -// v_screenQuadPosition = corner; - -// positionClip = vec4( -// vCenter.xy -// + corner.x * majorAxis / czm_viewport.zw -// + corner.y * minorAxis / czm_viewport.zw, 0.0, 1.0); - - -// } - void invertMatrixRow4x4(mat4 matrix, int row) { - matrix[0 + row] = -matrix[0 + row]; - matrix[4 + row] = -matrix[4 + row]; - matrix[8 + row] = -matrix[8 + row]; - matrix[12 + row] = -matrix[12 + row]; + matrix[0][row] = -matrix[0][row]; + matrix[1][row] = -matrix[1][row]; + matrix[2][row] = -matrix[2][row]; + matrix[3][row] = -matrix[3][row]; } void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) { - vec3 p_orig = a_positionMC; - mat4 viewMatrix = czm_view; mat4 projMatrix = czm_projection; @@ -133,69 +80,31 @@ void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) invertMatrixRow4x4(viewMatrix, 0); invertMatrixRow4x4(projMatrix, 0); - vec4 q = czm_modelViewProjection * vec4(p_orig, 1.0); // model to clip coordinates - q.xyz /= q.w; // clip to normalized device coordinates (ndc) - // Transform point by projecting - // vec4 p_hom = projMatrix * vec4(p_orig, 1); - // float p_w = 1. / (p_hom.w + 1e-7); - vec3 p_proj = q.xyz;//p_hom.xyz * p_w; - - // Perform near culling, quit if outside. - vec4 p_view = viewMatrix * vec4(p_orig, 1); - if (p_view.z <= .4) { - positionClip = vec4(0, 0, 0, 1); - return; - } - float[6] cov3D; calcCov3D(attributes.scale, attributes.rotation, 1.0, cov3D); float aspect = czm_viewport.z / czm_viewport.w; - float fovx = 2.0 * atan(aspect / projMatrix[0][0]);//1./projMatrix[0][0]; - float fovy = 2.0 * atan(1.0 / projMatrix[1][1]);//1./projMatrix[1][1] * aspect; - float tan_fovx = tan(fovx / 2.0); - float tan_fovy = tan(fovy / 2.0); - float focal_y = czm_viewport.w / (2.0 * tan_fovy); - float focal_x = czm_viewport.z / (2.0 * tan_fovx); + float tan_fovx = 1./projMatrix[0][0]; + float tan_fovy = 1./(projMatrix[1][1] * aspect); + float focal_y = czm_viewport.w * projMatrix[1][1] / 2.; + float focal_x = czm_viewport.z *projMatrix[0][0] / 2.; // Compute 2D screen-space covariance matrix - vec3 cov = calcCov2D(p_orig, focal_x, focal_y, tan_fovx, tan_fovy, cov3D, viewMatrix); - - // Invert covariance (EWA algorithm) - float det = (cov.x * cov.z - cov.y * cov.y); - if (det == 0.) { - positionClip = vec4(0, 0, 0, 1); - return; - } - float det_inv = 1. / det; - v_conic = vec3(cov.z, -cov.y, cov.x) * det_inv; - - // Compute extent in screen space (by finding eigenvalues of - // 2D covariance matrix). Use extent to compute the bounding - // rectangle of the splat in screen space. - - float mid = 0.5 * (cov.x + cov.z); - float lambda1 = mid + sqrt(max(0.1, mid * mid - det)); - float lambda2 = mid - sqrt(max(0.1, mid * mid - det)); + vec3 cov = calcCov2D(attribute.positionMC, focal_x, focal_y, tan_fovx, tan_fovy, cov3D, viewMatrix); + + float mid = (cov.x + cov.z) / 2.0; float radius = length(vec2((cov.x - cov.z) / 2.0, cov.y)); - float my_radius = ceil(3. * sqrt(max(lambda1, lambda2))); - vec2 point_image = vec2(ndc2Pix(p_proj.x, czm_viewport.z), ndc2Pix(p_proj.y, czm_viewport.w)); + float lambda1 = mid + radius, lambda2 = mid - radius; - my_radius *= .15 + 1. * .85; + if(lambda2 < 0.0) return; + vec2 diagonalVector = normalize(vec2(cov.y, lambda1 - cov.x)); + vec2 majorAxis = min(sqrt(2.0 * lambda1), 1024.0) * diagonalVector; + vec2 minorAxis = min(sqrt(2.0 * lambda2), 1024.0) * vec2(diagonalVector.y, -diagonalVector.x); - // (Webgl-specific) Convert gl_VertexID from [0,1,2,3] to [-1,-1],[1,-1],[-1,1],[1,1] vec2 corner = vec2((gl_VertexID << 1) & 2, gl_VertexID & 2) - 1.; + corner *= 2.0; - // Vertex position in screen space - vec4 czmScreenPos = czm_modelToWindowCoordinates(vec4(a_positionMC,1.0)); - vec2 screen_pos = czmScreenPos.xy + radius * corner; - - // Store some useful helper data for the fragment stage - v_screen_xy = czmScreenPos.xy; - v_pixf = screen_pos; - - // (Webgl-specific) Convert from screen-space to clip-space - vec2 clip_pos = screen_pos / vec2(czm_viewport.z, czm_viewport.w) * 2. - 1.; - - positionClip = vec4(clip_pos, 0, 1); + vec2 deltaScreenPos = (corner.x * majorAxis + corner.y * minorAxis) * 2.0 / czm_viewport.zw; + positionClip.xy += deltaScreenPos * positionClip.w; + v_vertPos = corner; } From 7d5b09aad3297fcce4043b10f01f3de00cb90e37 Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Mon, 1 Jul 2024 04:16:12 -0500 Subject: [PATCH 16/97] minor tweaks --- packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl index 41fa9bdd717d..9b3f451b3aaa 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl @@ -86,11 +86,11 @@ void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) float aspect = czm_viewport.z / czm_viewport.w; float tan_fovx = 1./projMatrix[0][0]; float tan_fovy = 1./(projMatrix[1][1] * aspect); - float focal_y = czm_viewport.w * projMatrix[1][1] / 2.; - float focal_x = czm_viewport.z *projMatrix[0][0] / 2.; + //float focal_y = czm_viewport.w * projMatrix[1][1] / 2.; + float focal_x = czm_viewport.z * projMatrix[0][0] / 2.; // Compute 2D screen-space covariance matrix - vec3 cov = calcCov2D(attribute.positionMC, focal_x, focal_y, tan_fovx, tan_fovy, cov3D, viewMatrix); + vec3 cov = calcCov2D(attributes.positionMC, focal_x, focal_x, tan_fovx, tan_fovy, cov3D, viewMatrix); float mid = (cov.x + cov.z) / 2.0; float radius = length(vec2((cov.x - cov.z) / 2.0, cov.y)); From 0620dca1c186475456a3397a863fb174c5c19b8e Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Mon, 1 Jul 2024 11:44:06 -0500 Subject: [PATCH 17/97] tweaks --- packages/engine/Source/Renderer/Context.js | 3 +- .../Scene/Model/GaussianSplatPipelineStage.js | 4 +- .../Source/Scene/Model/buildDrawCommand.js | 15 ++++--- .../Source/Shaders/Model/GaussianSplatFS.glsl | 4 +- .../Source/Shaders/Model/GaussianSplatVS.glsl | 42 +++++++------------ 5 files changed, 30 insertions(+), 38 deletions(-) diff --git a/packages/engine/Source/Renderer/Context.js b/packages/engine/Source/Renderer/Context.js index 9cc6ddc4eba9..334ae131049d 100644 --- a/packages/engine/Source/Renderer/Context.js +++ b/packages/engine/Source/Renderer/Context.js @@ -1358,8 +1358,7 @@ function continueDraw(context, drawCommand, shaderProgram, uniformMap) { count, indexBuffer.indexDatatype, offset, - 100 - //instanceCount + instanceCount ); } } else { diff --git a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js index 9b22966b43ad..5c544d814da1 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js @@ -30,7 +30,9 @@ GaussianSplatPipelineStage.process = function ( ShaderDestination.BOTH ); - shaderBuilder.addVarying("vec2", "v_screenQuadPosition"); + shaderBuilder.addAttribute("vec2", "v_screenQuadPosition"); + shaderBuilder.addAttribute("vec3", "a_splatPosition"); + shaderBuilder.addVarying("vec2", "v_vertPos"); renderResources.instanceCount = renderResources.count; diff --git a/packages/engine/Source/Scene/Model/buildDrawCommand.js b/packages/engine/Source/Scene/Model/buildDrawCommand.js index 1ac5b2353e96..09659b365093 100644 --- a/packages/engine/Source/Scene/Model/buildDrawCommand.js +++ b/packages/engine/Source/Scene/Model/buildDrawCommand.js @@ -47,11 +47,12 @@ function buildDrawCommand(primitiveRenderResources, frameState) { /////////////// const splatQuadAttrLocations = { - screenQuadPosition: 4, - 0: 0, + screenQuadPosition: 0, + 0: 4, 1: 1, 2: 2, 3: 3, + splatPosition: 5, }; const geometry = new Geometry({ @@ -64,10 +65,14 @@ function buildDrawCommand(primitiveRenderResources, frameState) { variableName: "screenQuadPos", }), ...primitiveRenderResources.runtimePrimitive.primitive.attributes, + splatPosition: { + ...primitiveRenderResources.runtimePrimitive.primitive.attributes[0], + name: "_SPLAT_POSITION", + variableName: "splatPosition", + }, }, - indices: - indexBuffer === undefined ? new Uint16Array([0, 1, 2, 3]) : indexBuffer, - primitiveType: PrimitiveType.TRIANGLE_STRIP, + indices: indexBuffer, + primitiveType: PrimitiveType.TRIANGLES, }); const vertexArray = VertexArray.fromGeometry({ diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl index 5df4a8a34e99..2256ef12fb9d 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl @@ -1,7 +1,7 @@ void gaussianSplatStage(inout vec4 color, in ProcessedAttributes attributes) { - float A = -dot(v_vertPos, v_vertPos); + float A = -dot(v_vertPos, v_vertPos); if(A < -4.0) discard; float B = exp(A) * color.w; - color = vec4(color.xyz * B, B); + color = vec4(color.xyz * B , B); } diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl index 9b3f451b3aaa..78b9a98435b7 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl @@ -6,14 +6,16 @@ void calcCov3D(vec3 scale, vec4 rot, float mod, out float[6] cov3D) 0, 0, mod * scale[2] ); - float x = rot.x; - float y = rot.y; - float z = rot.z; - float w = rot.w; + float r = rot.x; + float x = rot.y; + float y = rot.z; + float z = rot.w; + + // Compute rotation matrix from quaternion mat3 R = mat3( - 1.-2.*(y*y + z*z), 2.*(x*y - w*z), 2.*(x*z + w*y), - 2.*(x*y + w*z), 1.-2.*(x*x + z*z), 2.*(y*z - w*x), - 2.*(x*z - w*y), 2.*(y*z + w*x), 1.-2.*(x*x + y*y) + 1. - 2. * (y * y + z * z), 2. * (x * y - r * z), 2. * (x * z + r * y), + 2. * (x * y + r * z), 1. - 2. * (x * x + z * z), 2. * (y * z - r * x), + 2. * (x * z - r * y), 2. * (y * z + r * x), 1. - 2. * (x * x + y * y) ); mat3 M = S * R; @@ -58,39 +60,23 @@ vec3 calcCov2D(vec3 worldPos, float focal_x, float focal_y, float tan_fovx, floa return vec3(cov[0][0], cov[0][1], cov[1][1]); } -float ndc2Pix(float v, float S) { - return ((v + 1.) * S - 1.) * .5; -} - -void invertMatrixRow4x4(mat4 matrix, int row) -{ - matrix[0][row] = -matrix[0][row]; - matrix[1][row] = -matrix[1][row]; - matrix[2][row] = -matrix[2][row]; - matrix[3][row] = -matrix[3][row]; -} - void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) { - mat4 viewMatrix = czm_view; + mat4 viewMatrix = czm_inverseView; mat4 projMatrix = czm_projection; - invertMatrixRow4x4(viewMatrix, 1); - invertMatrixRow4x4(viewMatrix, 2); - invertMatrixRow4x4(projMatrix, 1); - invertMatrixRow4x4(viewMatrix, 0); - invertMatrixRow4x4(projMatrix, 0); + vec4 clipPosition = czm_modelViewProjection * vec4(a_splatPosition,1.0); + positionClip = clipPosition; float[6] cov3D; - calcCov3D(attributes.scale, attributes.rotation, 1.0, cov3D); + calcCov3D(attributes.scale, attributes.rotation, 5.0, cov3D); float aspect = czm_viewport.z / czm_viewport.w; float tan_fovx = 1./projMatrix[0][0]; float tan_fovy = 1./(projMatrix[1][1] * aspect); - //float focal_y = czm_viewport.w * projMatrix[1][1] / 2.; float focal_x = czm_viewport.z * projMatrix[0][0] / 2.; // Compute 2D screen-space covariance matrix - vec3 cov = calcCov2D(attributes.positionMC, focal_x, focal_x, tan_fovx, tan_fovy, cov3D, viewMatrix); + vec3 cov = calcCov2D(a_splatPosition, focal_x, focal_x, tan_fovx, tan_fovy, cov3D, viewMatrix); float mid = (cov.x + cov.z) / 2.0; float radius = length(vec2((cov.x - cov.z) / 2.0, cov.y)); From fe340a43aebf2b2ecc09fc38b22654d4e957183a Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Mon, 1 Jul 2024 15:55:52 -0500 Subject: [PATCH 18/97] Fixed position and color buffers Attempting to fix splat scaling --- .../Scene/Model/GaussianSplatPipelineStage.js | 6 ++++-- .../Source/Scene/Model/buildDrawCommand.js | 14 +++++++++---- .../Source/Shaders/Model/GaussianSplatFS.glsl | 4 ++-- .../Source/Shaders/Model/GaussianSplatVS.glsl | 20 +++++++++---------- 4 files changed, 26 insertions(+), 18 deletions(-) diff --git a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js index 5c544d814da1..45268bd17c49 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js @@ -30,14 +30,16 @@ GaussianSplatPipelineStage.process = function ( ShaderDestination.BOTH ); - shaderBuilder.addAttribute("vec2", "v_screenQuadPosition"); + shaderBuilder.addAttribute("vec2", "a_screenQuadPosition"); shaderBuilder.addAttribute("vec3", "a_splatPosition"); + shaderBuilder.addAttribute("vec4", "a_splatColor"); + shaderBuilder.addVarying("vec4", "v_splatColor"); shaderBuilder.addVarying("vec2", "v_vertPos"); renderResources.instanceCount = renderResources.count; renderResources.count = 4; - renderResources.primitiveType = PrimitiveType.TRIANGLE_STRIP; + renderResources.primitiveType = PrimitiveType.TRIANGLE_FAN; shaderBuilder.addVertexLines(GaussianSplatVS); shaderBuilder.addFragmentLines(GaussianSplatFS); diff --git a/packages/engine/Source/Scene/Model/buildDrawCommand.js b/packages/engine/Source/Scene/Model/buildDrawCommand.js index 09659b365093..cc2d22b76a8a 100644 --- a/packages/engine/Source/Scene/Model/buildDrawCommand.js +++ b/packages/engine/Source/Scene/Model/buildDrawCommand.js @@ -47,12 +47,13 @@ function buildDrawCommand(primitiveRenderResources, frameState) { /////////////// const splatQuadAttrLocations = { - screenQuadPosition: 0, - 0: 4, + screenQuadPosition: 4, + 0: 0, 1: 1, 2: 2, 3: 3, splatPosition: 5, + splatColor: 6, }; const geometry = new Geometry({ @@ -66,13 +67,18 @@ function buildDrawCommand(primitiveRenderResources, frameState) { }), ...primitiveRenderResources.runtimePrimitive.primitive.attributes, splatPosition: { - ...primitiveRenderResources.runtimePrimitive.primitive.attributes[0], + ...primitiveRenderResources.runtimePrimitive.primitive.attributes[1], name: "_SPLAT_POSITION", variableName: "splatPosition", }, + splatColor: { + ...primitiveRenderResources.runtimePrimitive.primitive.attributes[0], + name: "_SPLAT_COLOR", + variableName: "splatColor", + }, }, indices: indexBuffer, - primitiveType: PrimitiveType.TRIANGLES, + primitiveType: PrimitiveType.TRIANGLE_FAN, }); const vertexArray = VertexArray.fromGeometry({ diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl index 2256ef12fb9d..cdda3cc529f3 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl @@ -2,6 +2,6 @@ void gaussianSplatStage(inout vec4 color, in ProcessedAttributes attributes) { float A = -dot(v_vertPos, v_vertPos); if(A < -4.0) discard; - float B = exp(A) * color.w; - color = vec4(color.xyz * B , B); + float B = exp(A) * v_splatColor.a; + color = vec4(v_splatColor.rgb * B , B); } diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl index 78b9a98435b7..3750730a35f8 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl @@ -6,10 +6,10 @@ void calcCov3D(vec3 scale, vec4 rot, float mod, out float[6] cov3D) 0, 0, mod * scale[2] ); - float r = rot.x; - float x = rot.y; - float y = rot.z; - float z = rot.w; + float r = rot.w; + float x = rot.x; + float y = rot.y; + float z = rot.z; // Compute rotation matrix from quaternion mat3 R = mat3( @@ -61,21 +61,20 @@ vec3 calcCov2D(vec3 worldPos, float focal_x, float focal_y, float tan_fovx, floa } void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) { - mat4 viewMatrix = czm_inverseView; + mat4 viewMatrix = czm_view; mat4 projMatrix = czm_projection; vec4 clipPosition = czm_modelViewProjection * vec4(a_splatPosition,1.0); positionClip = clipPosition; float[6] cov3D; - calcCov3D(attributes.scale, attributes.rotation, 5.0, cov3D); + calcCov3D(attributes.scale, attributes.rotation, 512.0, cov3D); - float aspect = czm_viewport.z / czm_viewport.w; + float aspect = projMatrix[0][0] / projMatrix[1][1]; float tan_fovx = 1./projMatrix[0][0]; float tan_fovy = 1./(projMatrix[1][1] * aspect); float focal_x = czm_viewport.z * projMatrix[0][0] / 2.; - // Compute 2D screen-space covariance matrix vec3 cov = calcCov2D(a_splatPosition, focal_x, focal_x, tan_fovx, tan_fovy, cov3D, viewMatrix); float mid = (cov.x + cov.z) / 2.0; @@ -84,8 +83,8 @@ void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) if(lambda2 < 0.0) return; vec2 diagonalVector = normalize(vec2(cov.y, lambda1 - cov.x)); - vec2 majorAxis = min(sqrt(2.0 * lambda1), 1024.0) * diagonalVector; - vec2 minorAxis = min(sqrt(2.0 * lambda2), 1024.0) * vec2(diagonalVector.y, -diagonalVector.x); + vec2 majorAxis = min(sqrt(2.0 * lambda1), 4096.0) * diagonalVector; + vec2 minorAxis = min(sqrt(2.0 * lambda2), 4096.0) * vec2(diagonalVector.y, -diagonalVector.x); vec2 corner = vec2((gl_VertexID << 1) & 2, gl_VertexID & 2) - 1.; corner *= 2.0; @@ -93,4 +92,5 @@ void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) vec2 deltaScreenPos = (corner.x * majorAxis + corner.y * minorAxis) * 2.0 / czm_viewport.zw; positionClip.xy += deltaScreenPos * positionClip.w; v_vertPos = corner; + v_splatColor = a_splatColor; } From e352ca2426a77f57f69317f269c65e6b8d554e81 Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Mon, 1 Jul 2024 16:33:33 -0500 Subject: [PATCH 19/97] scaling? --- packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl index 3750730a35f8..46033550152a 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl @@ -61,14 +61,14 @@ vec3 calcCov2D(vec3 worldPos, float focal_x, float focal_y, float tan_fovx, floa } void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) { - mat4 viewMatrix = czm_view; + mat4 viewMatrix = czm_modelView; mat4 projMatrix = czm_projection; vec4 clipPosition = czm_modelViewProjection * vec4(a_splatPosition,1.0); positionClip = clipPosition; float[6] cov3D; - calcCov3D(attributes.scale, attributes.rotation, 512.0, cov3D); + calcCov3D(attributes.scale, attributes.rotation, .5, cov3D); float aspect = projMatrix[0][0] / projMatrix[1][1]; float tan_fovx = 1./projMatrix[0][0]; From 3e74c7471bedbcaea7c7512d71fd45afa2c14b80 Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Tue, 2 Jul 2024 11:23:58 -0500 Subject: [PATCH 20/97] added new GAUSSIAN_SPLAT pass --- packages/engine/Source/Renderer/Pass.js | 1 + .../Shaders/Builtin/Constants/passGaussianSplats.glsl | 9 +++++++++ .../Source/Shaders/Builtin/Constants/passOverlay.glsl | 2 +- 3 files changed, 11 insertions(+), 1 deletion(-) create mode 100644 packages/engine/Source/Shaders/Builtin/Constants/passGaussianSplats.glsl diff --git a/packages/engine/Source/Renderer/Pass.js b/packages/engine/Source/Renderer/Pass.js index d22396d2b3cf..6a8a37d3d213 100644 --- a/packages/engine/Source/Renderer/Pass.js +++ b/packages/engine/Source/Renderer/Pass.js @@ -21,6 +21,7 @@ const Pass = { OPAQUE: 7, TRANSLUCENT: 8, VOXELS: 9, + GAUSSIAN_SPLATS: 10, OVERLAY: 11, NUMBER_OF_PASSES: 12, }; diff --git a/packages/engine/Source/Shaders/Builtin/Constants/passGaussianSplats.glsl b/packages/engine/Source/Shaders/Builtin/Constants/passGaussianSplats.glsl new file mode 100644 index 000000000000..e064b7c2a669 --- /dev/null +++ b/packages/engine/Source/Shaders/Builtin/Constants/passGaussianSplats.glsl @@ -0,0 +1,9 @@ +/** + * The automatic GLSL constant for {@link Pass#GAUSSIAN_SPLATS} + * + * @name czm_passGaussianSplats + * @glslConstant + * + * @see czm_pass + */ +const float czm_passGaussianSplats = 10.0; diff --git a/packages/engine/Source/Shaders/Builtin/Constants/passOverlay.glsl b/packages/engine/Source/Shaders/Builtin/Constants/passOverlay.glsl index 6aea11eb1ee0..b7c391e0165a 100644 --- a/packages/engine/Source/Shaders/Builtin/Constants/passOverlay.glsl +++ b/packages/engine/Source/Shaders/Builtin/Constants/passOverlay.glsl @@ -6,4 +6,4 @@ * * @see czm_pass */ -const float czm_passOverlay = 10.0; +const float czm_passOverlay = 11.0; From d5f4069c003e94b9a7960e9a08f03135fa258904 Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Tue, 2 Jul 2024 14:30:15 -0500 Subject: [PATCH 21/97] pipeline execution for splats new uniforms for camera data, no more computing in vertex shader renamed splat stage define --- .../Scene/Model/GaussianSplatPipelineStage.js | 50 +++++++++++++++++-- packages/engine/Source/Scene/Scene.js | 23 +++++++++ .../Source/Shaders/Model/GaussianSplatVS.glsl | 11 ++-- .../engine/Source/Shaders/Model/ModelFS.glsl | 2 +- .../engine/Source/Shaders/Model/ModelVS.glsl | 2 +- 5 files changed, 78 insertions(+), 10 deletions(-) diff --git a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js index 45268bd17c49..f6595b11c168 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js @@ -21,11 +21,10 @@ GaussianSplatPipelineStage.process = function ( renderStateOptions.depthMask = false; renderStateOptions.blending = BlendingState.PRE_MULTIPLIED_ALPHA_BLEND; - //use the voxel pass to isolate ourselves for now - renderResources.alphaOptions.pass = Pass.VOXELS; + renderResources.alphaOptions.pass = Pass.GAUSSIAN_SPLATS; shaderBuilder.addDefine( - "HAS_POINT_CLOUD_SPLAT", + "HAS_GAUSSIAN_SPLATS", undefined, ShaderDestination.BOTH ); @@ -37,6 +36,51 @@ GaussianSplatPipelineStage.process = function ( shaderBuilder.addVarying("vec4", "v_splatColor"); shaderBuilder.addVarying("vec2", "v_vertPos"); + shaderBuilder.addUniform("float", "u_aspectRatio"); + shaderBuilder.addUniform("float", "u_tan_fovX"); + shaderBuilder.addUniform("float", "u_tan_fovY"); + shaderBuilder.addUniform("float", "u_focalX"); + shaderBuilder.addUniform("float", "u_focalY"); + + const uniformMap = renderResources.uniformMap; + const cam = frameState.camera; + const model = renderResources.model; + + const projMatrix = cam.frustum.projectionMatrix; + const aspect = projMatrix[0][0] / projMatrix[1][1]; + const tan_fovx = 1 / projMatrix[0][0]; + const tan_fovy = 1 / (projMatrix[1][1] * aspect); + const focal_x = (model.scene.viewport.width * projMatrix[0][0]) / 2; + const focal_y = (model.scene.viewport.height * projMatrix[1][1]) / 2; + + uniformMap.u_aspectRatio = function () { + return aspect; + }; + + uniformMap.u_tan_fovX = function () { + return tan_fovx; + }; + + uniformMap.u_tan_fovY = function () { + return tan_fovy; + }; + + uniformMap.u_focalX = function () { + return focal_x; + }; + + uniformMap.u_focalY = function () { + return focal_y; + }; + + // const countSort = (gaussians, viewMatrix) => { + // let maxDepth = Number.Infinity; + // let minDepth = -Number.Infinity; + + // let sizeList = new Int32Array(gaussians.length); + + // }; + renderResources.instanceCount = renderResources.count; renderResources.count = 4; renderResources.primitiveType = PrimitiveType.TRIANGLE_FAN; diff --git a/packages/engine/Source/Scene/Scene.js b/packages/engine/Source/Scene/Scene.js index 02acfe64cbd3..a288d5b02a2c 100644 --- a/packages/engine/Source/Scene/Scene.js +++ b/packages/engine/Source/Scene/Scene.js @@ -2282,6 +2282,23 @@ function executeVoxelCommands(scene, executeFunction, passState, commands) { } } +function executeGaussianSplatCommands( + scene, + executeFunction, + passState, + commands +) { + const context = scene.context; + + //still necessary? + mergeSort(commands, backToFront, scene.camera.positionWC); + + const length = commands.length; + for (let i = 0; i < length; ++i) { + executeFunction(commands[i], scene, context, passState); + } +} + const scratchPerspectiveFrustum = new PerspectiveFrustum(); const scratchPerspectiveOffCenterFrustum = new PerspectiveOffCenterFrustum(); const scratchOrthographicFrustum = new OrthographicFrustum(); @@ -2624,6 +2641,12 @@ function executeCommands(scene, passState) { commands.length = length; executeVoxelCommands(scene, executeCommand, passState, commands); + uniformState.updatePass(Pass.GAUSSIAN_SPLATS); + commands = frustumCommands.commands[Pass.GAUSSIAN_SPLATS]; + length = frustumCommands.indices[Pass.GAUSSIAN_SPLATS]; + commands.length = length; + executeGaussianSplatCommands(scene, executeCommand, passState, commands); + uniformState.updatePass(Pass.OPAQUE); commands = frustumCommands.commands[Pass.OPAQUE]; length = frustumCommands.indices[Pass.OPAQUE]; diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl index 46033550152a..6e70ffc5ca59 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl @@ -70,12 +70,13 @@ void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) float[6] cov3D; calcCov3D(attributes.scale, attributes.rotation, .5, cov3D); - float aspect = projMatrix[0][0] / projMatrix[1][1]; - float tan_fovx = 1./projMatrix[0][0]; - float tan_fovy = 1./(projMatrix[1][1] * aspect); - float focal_x = czm_viewport.z * projMatrix[0][0] / 2.; + //move to invars + // float aspect = projMatrix[0][0] / projMatrix[1][1]; + // float tan_fovx = 1./projMatrix[0][0]; + // float tan_fovy = 1./(projMatrix[1][1] * aspect); + // float focal_x = czm_viewport.z * projMatrix[0][0] / 2.; - vec3 cov = calcCov2D(a_splatPosition, focal_x, focal_x, tan_fovx, tan_fovy, cov3D, viewMatrix); + vec3 cov = calcCov2D(a_splatPosition, u_focalX, u_focalY, u_tan_fovX, u_tan_fovY, cov3D, viewMatrix); float mid = (cov.x + cov.z) / 2.0; float radius = length(vec2((cov.x - cov.z) / 2.0, cov.y)); diff --git a/packages/engine/Source/Shaders/Model/ModelFS.glsl b/packages/engine/Source/Shaders/Model/ModelFS.glsl index 4a234df02496..8a3f1362a35f 100644 --- a/packages/engine/Source/Shaders/Model/ModelFS.glsl +++ b/packages/engine/Source/Shaders/Model/ModelFS.glsl @@ -90,7 +90,7 @@ void main() atmosphereStage(color, attributes); #endif - #ifdef HAS_POINT_CLOUD_SPLAT + #ifdef HAS_GAUSSIAN_SPLATS gaussianSplatStage(color, attributes); #endif diff --git a/packages/engine/Source/Shaders/Model/ModelVS.glsl b/packages/engine/Source/Shaders/Model/ModelVS.glsl index 077a4a6068ff..a055a69e830c 100644 --- a/packages/engine/Source/Shaders/Model/ModelVS.glsl +++ b/packages/engine/Source/Shaders/Model/ModelVS.glsl @@ -145,7 +145,7 @@ void main() gl_PointSize *= show; #endif - #ifdef HAS_POINT_CLOUD_SPLAT + #ifdef HAS_GAUSSIAN_SPLATS gaussianSplatStage(attributes, positionClip); #endif From 13dfa7863567d58731ded9dd2d1e2f8166b6f03a Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Tue, 2 Jul 2024 18:32:20 -0500 Subject: [PATCH 22/97] splats sorted via countSort some clean up --- packages/engine/Source/Scene/GltfLoader.js | 4 - .../Scene/Model/GaussianSplatPipelineStage.js | 114 ++++++++++++++---- .../Source/Scene/Model/buildDrawCommand.js | 4 +- .../engine/Source/Scene/ModelComponents.js | 9 -- .../engine/Source/Scene/PrimitiveLoadPlan.js | 11 +- .../Source/Shaders/Model/GaussianSplatVS.glsl | 9 +- 6 files changed, 96 insertions(+), 55 deletions(-) diff --git a/packages/engine/Source/Scene/GltfLoader.js b/packages/engine/Source/Scene/GltfLoader.js index c518d7a6719e..725d72d8a1e7 100644 --- a/packages/engine/Source/Scene/GltfLoader.js +++ b/packages/engine/Source/Scene/GltfLoader.js @@ -506,10 +506,6 @@ function gatherPostProcessBuffers(loader, primitiveLoadPlan) { buffers.push(outlineCoordinates.buffer); } - if (defined(primitive.gaussianSplattingQuad)) { - buffers.push(primitive.gaussianSplattingQuad.buffer); - } - // to do post-processing, all the attributes are loaded as typed arrays // so if a buffer exists, it was newly generated const attributes = primitive.attributes; diff --git a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js index f6595b11c168..941e49307b32 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js @@ -36,26 +36,21 @@ GaussianSplatPipelineStage.process = function ( shaderBuilder.addVarying("vec4", "v_splatColor"); shaderBuilder.addVarying("vec2", "v_vertPos"); - shaderBuilder.addUniform("float", "u_aspectRatio"); - shaderBuilder.addUniform("float", "u_tan_fovX"); - shaderBuilder.addUniform("float", "u_tan_fovY"); - shaderBuilder.addUniform("float", "u_focalX"); - shaderBuilder.addUniform("float", "u_focalY"); + shaderBuilder.addUniform("float", "u_aspectRatio", ShaderDestination.VERTEX); + shaderBuilder.addUniform("float", "u_tan_fovX", ShaderDestination.VERTEX); + shaderBuilder.addUniform("float", "u_tan_fovY", ShaderDestination.VERTEX); + shaderBuilder.addUniform("float", "u_focalX", ShaderDestination.VERTEX); + shaderBuilder.addUniform("float", "u_focalY", ShaderDestination.VERTEX); const uniformMap = renderResources.uniformMap; const cam = frameState.camera; - const model = renderResources.model; + const width = frameState.context.drawingBufferWidth; + const height = frameState.context.drawingBufferHeight; - const projMatrix = cam.frustum.projectionMatrix; - const aspect = projMatrix[0][0] / projMatrix[1][1]; - const tan_fovx = 1 / projMatrix[0][0]; - const tan_fovy = 1 / (projMatrix[1][1] * aspect); - const focal_x = (model.scene.viewport.width * projMatrix[0][0]) / 2; - const focal_y = (model.scene.viewport.height * projMatrix[1][1]) / 2; - - uniformMap.u_aspectRatio = function () { - return aspect; - }; + const tan_fovx = Math.tan(cam.frustum.fov * 0.5); + const tan_fovy = Math.tan(cam.frustum.fovy * 0.5); + const focal_x = width / (tan_fovx * 2); + const focal_y = height / (tan_fovy * 2); uniformMap.u_tan_fovX = function () { return tan_fovx; @@ -73,17 +68,90 @@ GaussianSplatPipelineStage.process = function ( return focal_y; }; - // const countSort = (gaussians, viewMatrix) => { - // let maxDepth = Number.Infinity; - // let minDepth = -Number.Infinity; - - // let sizeList = new Int32Array(gaussians.length); + const countSort = () => { + const attributes = primitive.attributes; + const viewMatrix = cam.viewMatrix; + + const posAttr = attributes.find((a) => a.name === "POSITION"); + const scaleAttr = attributes.find((a) => a.name === "_SCALE"); + const rotAttr = attributes.find((a) => a.name === "_ROTATION"); + const clrAttr = attributes.find((a) => a.name === "COLOR_0"); + + const posArray = posAttr.typedArray; + const scaleArray = scaleAttr.typedArray; + const rotArray = rotAttr.typedArray; + const clrArray = clrAttr.typedArray; + + const newPosArray = new posArray.constructor(posArray.length); + const newScaleArray = new scaleArray.constructor(scaleArray.length); + const newRotArray = new rotArray.constructor(rotArray.length); + const newClrArray = new clrArray.constructor(clrArray.length); + + const calcDepth = (i) => + posArray[i * 3] * viewMatrix[2] + + posArray[i * 3 + 1] * viewMatrix[6] + + posArray[i * 3 + 2] * viewMatrix[10]; + + let maxDepth = -Infinity; + let minDepth = Infinity; + + const sizeList = new Int32Array(renderResources.count); + for (let i = 0; i < renderResources.count; i++) { + const depth = (calcDepth(i) * 4096) | 0; + + sizeList[i] = depth; + maxDepth = Math.max(maxDepth, depth); + minDepth = Math.min(minDepth, depth); + } + + const depthInv = (256 * 256) / (maxDepth - minDepth); + const counts0 = new Uint32Array(256 * 256); + for (let i = 0; i < renderResources.count; i++) { + sizeList[i] = ((sizeList[i] - minDepth) * depthInv) | 0; + counts0[sizeList[i]]++; + } + const starts0 = new Uint32Array(256 * 256); + for (let i = 1; i < 256 * 256; i++) { + starts0[i] = starts0[i - 1] + counts0[i - 1]; + } + + const depthIndex = new Uint32Array(renderResources.count); + for (let i = 0; i < renderResources.count; i++) { + depthIndex[starts0[sizeList[i]]++] = i; + } + + for (let i = 0; i < renderResources.count; i++) { + const j = depthIndex[i]; + + newPosArray[j * 3] = posArray[i * 3]; + newPosArray[j * 3 + 1] = posArray[i * 3 + 1]; + newPosArray[j * 3 + 2] = posArray[i * 3 + 2]; + + newScaleArray[j * 3] = scaleArray[i * 3]; + newScaleArray[j * 3 + 1] = scaleArray[i * 3 + 1]; + newScaleArray[j * 3 + 2] = scaleArray[i * 3 + 2]; + + newRotArray[j * 4] = rotArray[i * 4]; + newRotArray[j * 4 + 1] = rotArray[i * 4 + 1]; + newRotArray[j * 4 + 2] = rotArray[i * 4 + 2]; + newRotArray[j * 4 + 3] = rotArray[i * 4 + 3]; + + newClrArray[j * 3] = clrArray[i * 3]; + newClrArray[j * 3 + 1] = clrArray[i * 3 + 1]; + newClrArray[j * 3 + 2] = clrArray[i * 3 + 2]; + } + + posAttr.typedArray = newPosArray; + scaleAttr.typedArray = newScaleArray; + rotAttr.typedArray = newRotArray; + clrAttr.typedArray = newClrArray; + }; - // }; + countSort(); renderResources.instanceCount = renderResources.count; renderResources.count = 4; - renderResources.primitiveType = PrimitiveType.TRIANGLE_FAN; + renderResources.primitiveType = PrimitiveType.TRIANGLE_STRIP; shaderBuilder.addVertexLines(GaussianSplatVS); shaderBuilder.addFragmentLines(GaussianSplatFS); diff --git a/packages/engine/Source/Scene/Model/buildDrawCommand.js b/packages/engine/Source/Scene/Model/buildDrawCommand.js index cc2d22b76a8a..79e1c688edb5 100644 --- a/packages/engine/Source/Scene/Model/buildDrawCommand.js +++ b/packages/engine/Source/Scene/Model/buildDrawCommand.js @@ -47,11 +47,11 @@ function buildDrawCommand(primitiveRenderResources, frameState) { /////////////// const splatQuadAttrLocations = { - screenQuadPosition: 4, 0: 0, 1: 1, 2: 2, 3: 3, + screenQuadPosition: 4, splatPosition: 5, splatColor: 6, }; @@ -78,7 +78,7 @@ function buildDrawCommand(primitiveRenderResources, frameState) { }, }, indices: indexBuffer, - primitiveType: PrimitiveType.TRIANGLE_FAN, + primitiveType: PrimitiveType.TRIANGLE_STRIP, }); const vertexArray = VertexArray.fromGeometry({ diff --git a/packages/engine/Source/Scene/ModelComponents.js b/packages/engine/Source/Scene/ModelComponents.js index c8fbfb978177..c81792038073 100644 --- a/packages/engine/Source/Scene/ModelComponents.js +++ b/packages/engine/Source/Scene/ModelComponents.js @@ -620,15 +620,6 @@ function Primitive() { * @private */ this.outlineCoordinates = undefined; - - /** - * If the KHR_gaussian_splatting glTF extension is used, this property - * stores an additional attribute storing a screen space quad - * - * @type {Attribute} - * @private - */ - this.gaussianSplattingQuad = undefined; } /** diff --git a/packages/engine/Source/Scene/PrimitiveLoadPlan.js b/packages/engine/Source/Scene/PrimitiveLoadPlan.js index c271f65a2ec4..60041f2900bd 100644 --- a/packages/engine/Source/Scene/PrimitiveLoadPlan.js +++ b/packages/engine/Source/Scene/PrimitiveLoadPlan.js @@ -185,8 +185,7 @@ PrimitiveLoadPlan.prototype.postProcess = function (context) { //handle splat post-processing for point primitives if (this.needsGaussianSplats) { - generateSplatBuffers(this, context); - // generateBuffers(this, context); + setupGaussianSplatBuffers(this, context); } }; @@ -240,19 +239,13 @@ function makeOutlineCoordinatesAttribute(outlineCoordinatesTypedArray) { return attribute; } -function generateSplatBuffers(loadPlan, context) { +function setupGaussianSplatBuffers(loadPlan, context) { const attributePlans = loadPlan.attributePlans; const attrLen = attributePlans.length; for (let i = 0; i < attrLen; i++) { const attributePlan = attributePlans[i]; const attribute = attributePlan.attribute; - //attribute.buffer = quadBuffer; attribute.instanceDivisor = 1; - // if (attribute.name === "POSITION") { - // //we don't want this position to attach to the semantic - // attribute.name = "GS_POSITION"; - // attribute.semantic = undefined; - // } //defer til much later into the pipeline attributePlan.loadBuffer = false; attributePlan.loadTypedArray = true; diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl index 6e70ffc5ca59..f8a65b4b83eb 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl @@ -68,14 +68,7 @@ void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) positionClip = clipPosition; float[6] cov3D; - calcCov3D(attributes.scale, attributes.rotation, .5, cov3D); - - //move to invars - // float aspect = projMatrix[0][0] / projMatrix[1][1]; - // float tan_fovx = 1./projMatrix[0][0]; - // float tan_fovy = 1./(projMatrix[1][1] * aspect); - // float focal_x = czm_viewport.z * projMatrix[0][0] / 2.; - + calcCov3D(attributes.scale, attributes.rotation, 3., cov3D); vec3 cov = calcCov2D(a_splatPosition, u_focalX, u_focalY, u_tan_fovX, u_tan_fovY, cov3D, viewMatrix); float mid = (cov.x + cov.z) / 2.0; From 31e8d132a55c8b730576bd519044a2399ee39cdf Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Tue, 2 Jul 2024 21:41:02 -0500 Subject: [PATCH 23/97] invalidates splat commands when camera moves correctly uses viewProjection when sorting --- .../Scene/Model/GaussianSplatPipelineStage.js | 11 +++--- packages/engine/Source/Scene/Model/Model.js | 34 ++++++++++++++++++- 2 files changed, 39 insertions(+), 6 deletions(-) diff --git a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js index 941e49307b32..68cbc6096b08 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js @@ -4,6 +4,7 @@ import GaussianSplatFS from "../../Shaders/Model/GaussianSplatFS.js"; import Pass from "../../Renderer/Pass.js"; import PrimitiveType from "../../Core/PrimitiveType.js"; import BlendingState from "../BlendingState.js"; +import Matrix4 from "../../Core/Matrix4.js"; const GaussianSplatPipelineStage = { name: "GaussianSplatPipelineStage", @@ -36,7 +37,6 @@ GaussianSplatPipelineStage.process = function ( shaderBuilder.addVarying("vec4", "v_splatColor"); shaderBuilder.addVarying("vec2", "v_vertPos"); - shaderBuilder.addUniform("float", "u_aspectRatio", ShaderDestination.VERTEX); shaderBuilder.addUniform("float", "u_tan_fovX", ShaderDestination.VERTEX); shaderBuilder.addUniform("float", "u_tan_fovY", ShaderDestination.VERTEX); shaderBuilder.addUniform("float", "u_focalX", ShaderDestination.VERTEX); @@ -70,7 +70,8 @@ GaussianSplatPipelineStage.process = function ( const countSort = () => { const attributes = primitive.attributes; - const viewMatrix = cam.viewMatrix; + const viewProj = new Matrix4(); + Matrix4.multiply(cam.frustum.projectionMatrix, cam.viewMatrix, viewProj); const posAttr = attributes.find((a) => a.name === "POSITION"); const scaleAttr = attributes.find((a) => a.name === "_SCALE"); @@ -88,9 +89,9 @@ GaussianSplatPipelineStage.process = function ( const newClrArray = new clrArray.constructor(clrArray.length); const calcDepth = (i) => - posArray[i * 3] * viewMatrix[2] + - posArray[i * 3 + 1] * viewMatrix[6] + - posArray[i * 3 + 2] * viewMatrix[10]; + posArray[i * 3] * viewProj[2] + + posArray[i * 3 + 1] * viewProj[6] + + posArray[i * 3 + 2] * viewProj[10]; let maxDepth = -Infinity; let minDepth = Infinity; diff --git a/packages/engine/Source/Scene/Model/Model.js b/packages/engine/Source/Scene/Model/Model.js index 41af36a612c1..a943839ee418 100644 --- a/packages/engine/Source/Scene/Model/Model.js +++ b/packages/engine/Source/Scene/Model/Model.js @@ -15,6 +15,7 @@ import Matrix3 from "../../Core/Matrix3.js"; import Matrix4 from "../../Core/Matrix4.js"; import Resource from "../../Core/Resource.js"; import RuntimeError from "../../Core/RuntimeError.js"; +import CesiumMath from "../../Core/Math.js"; import Pass from "../../Renderer/Pass.js"; import ClippingPlaneCollection from "../ClippingPlaneCollection.js"; import ClippingPolygonCollection from "../ClippingPolygonCollection.js"; @@ -460,16 +461,20 @@ function Model(options) { */ this.showOutline = defaultValue(options.showOutline, true); - this.enableShowGaussianSplatting = defaultValue( + this._enableShowGaussianSplatting = defaultValue( //false, options.loader.gltfJson.extensionsUsed.includes("KHR_gaussian_splatting"), false ); + this.showGaussianSplatting = defaultValue( options.showGaussianSplatting, true ); + //track last camera view to determine if gaussian splats need to be re-sorted + this._previousViewProj = undefined; + /** * The color to use when rendering outlines. * @@ -1851,6 +1856,7 @@ Model.prototype.update = function (frameState) { updateSceneMode(this, frameState); updateFog(this, frameState); updateVerticalExaggeration(this, frameState); + updateGaussianSplatting(this, frameState); this._defaultTexture = frameState.context.defaultTexture; @@ -1999,6 +2005,32 @@ function updatePointCloudShading(model) { } } +function updateGaussianSplatting(model, frameState) { + //if the camera has moved enough, update commands + const viewProj = new Matrix4(); + Matrix4.multiply( + frameState.camera.frustum.projectionMatrix, + frameState.camera.viewMatrix, + viewProj + ); + + if (model._previousViewProj === undefined) { + model._previousViewProj = viewProj; + return; + } + + const dot = + model._previousViewProj[2] * viewProj[2] + + model._previousViewProj[6] * viewProj[6] + + model._previousViewProj[10] * viewProj[10]; + + if (Math.abs(dot - 1) < CesiumMath.EPSILON2) { + model.resetDrawCommands(); + } + + model._previousViewProj = viewProj; +} + function updateSilhouette(model, frameState) { if (model._silhouetteDirty) { // Only rebuild draw commands if silhouettes are supported in the first place. From 947d9e027d5fae4fcfafe48358616111ac363a55 Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Tue, 2 Jul 2024 22:48:05 -0500 Subject: [PATCH 24/97] gaurded splat vertex setup in builddrawcommand prop cleanup --- .../engine/Source/Scene/Cesium3DTileset.js | 4 - packages/engine/Source/Scene/Model/Model.js | 32 ++++++ .../Source/Scene/Model/Model3DTileContent.js | 6 +- .../Source/Scene/Model/buildDrawCommand.js | 108 +++++++++--------- 4 files changed, 93 insertions(+), 57 deletions(-) diff --git a/packages/engine/Source/Scene/Cesium3DTileset.js b/packages/engine/Source/Scene/Cesium3DTileset.js index bedff25d7f0b..abcb2071b8b6 100644 --- a/packages/engine/Source/Scene/Cesium3DTileset.js +++ b/packages/engine/Source/Scene/Cesium3DTileset.js @@ -869,10 +869,6 @@ function Cesium3DTileset(options) { */ this.outlineColor = defaultValue(options.outlineColor, Color.BLACK); - this.showGaussianSplatting = defaultValue( - options.showGaussianSplatting, - true - ); /** * The {@link SplitDirection} to apply to this tileset. * diff --git a/packages/engine/Source/Scene/Model/Model.js b/packages/engine/Source/Scene/Model/Model.js index a943839ee418..264f29b714a9 100644 --- a/packages/engine/Source/Scene/Model/Model.js +++ b/packages/engine/Source/Scene/Model/Model.js @@ -806,6 +806,38 @@ Object.defineProperties(Model.prototype, { }, }, + /** + * + * {@link Cesium3DTileset}. + * + * @memberof Model.prototype + * + * @type {PointCloudShading} + */ + enableShowGaussianSplatting: { + get: function () { + return this._enableShowGaussianSplatting; + }, + set: function (value) { + if (value !== this._enableShowGaussianSplatting) { + this.resetDrawCommands(); + } + this._enableShowGaussianSplatting = value; + // Warning for improper setup of gaussian splatting + if ( + (this._enableShowGaussianSplatting === true && + this._loader.extensionsUsed.includes("KHR_gaussian_splatting") === + false) || + this.type !== ModelType.GLTF + ) { + oneTimeWarning( + "model-enable-show-gaussian-splatting-ignored", + "enableShowGaussian splatting must be used with a glTF model that has the KHR_gaussian_splatting extension" + ); + } + }, + }, + /** * The model's custom shader, if it exists. Using custom shaders with a {@link Cesium3DTileStyle} * may lead to undefined behavior. diff --git a/packages/engine/Source/Scene/Model/Model3DTileContent.js b/packages/engine/Source/Scene/Model/Model3DTileContent.js index cc50dc5297f4..e09aed527e0d 100644 --- a/packages/engine/Source/Scene/Model/Model3DTileContent.js +++ b/packages/engine/Source/Scene/Model/Model3DTileContent.js @@ -232,7 +232,6 @@ Model3DTileContent.prototype.update = function (tileset, frameState) { model.showOutline = tileset.showOutline; model.outlineColor = tileset.outlineColor; model.pointCloudShading = tileset.pointCloudShading; - model.showGaussianSplatting = tileset.showGaussianSplatting; // Updating clipping planes requires more effort because of ownership checks const tilesetClippingPlanes = tileset.clippingPlanes; @@ -319,6 +318,10 @@ Model3DTileContent.fromGltf = async function (tileset, tile, resource, gltf) { modelOptions.classificationType = classificationType; + modelOptions.enableShowGaussianSplatting = gltf.extensionsUsed.includes( + "KHR_gaussian_splatting" + ); + const model = await Model.fromGltfAsync(modelOptions); content._model = model; @@ -498,7 +501,6 @@ function makeModelOptions(tileset, tile, content, additionalOptions) { enableShowOutline: tileset._enableShowOutline, showOutline: tileset.showOutline, outlineColor: tileset.outlineColor, - showGaussianSplatting: tileset.showGaussianSplatting, }; return combine(additionalOptions, mainOptions); diff --git a/packages/engine/Source/Scene/Model/buildDrawCommand.js b/packages/engine/Source/Scene/Model/buildDrawCommand.js index 79e1c688edb5..0e254fcdd86b 100644 --- a/packages/engine/Source/Scene/Model/buildDrawCommand.js +++ b/packages/engine/Source/Scene/Model/buildDrawCommand.js @@ -37,59 +37,65 @@ function buildDrawCommand(primitiveRenderResources, frameState) { shaderBuilder.addFragmentLines(ModelFS); const indexBuffer = getIndexBuffer(primitiveRenderResources); + const model = primitiveRenderResources.model; - // const vertexArray = new VertexArray({ - // context: frameState.context, - // indexBuffer: indexBuffer, - // attributes: primitiveRenderResources.runtimePrimitive.primitive.attributes, - // }); - //////////////// - /////////////// - - const splatQuadAttrLocations = { - 0: 0, - 1: 1, - 2: 2, - 3: 3, - screenQuadPosition: 4, - splatPosition: 5, - splatColor: 6, - }; - - const geometry = new Geometry({ - attributes: { - screenQuadPosition: new GeometryAttribute({ - componentDatatype: ComponentDatatype.FLOAT, - componentsPerAttribute: 2, - values: [-2, -2, 2, -2, 2, 2, -2, 2], - name: "_SCREEN_QUAD_POS", - variableName: "screenQuadPos", - }), - ...primitiveRenderResources.runtimePrimitive.primitive.attributes, - splatPosition: { - ...primitiveRenderResources.runtimePrimitive.primitive.attributes[1], - name: "_SPLAT_POSITION", - variableName: "splatPosition", - }, - splatColor: { - ...primitiveRenderResources.runtimePrimitive.primitive.attributes[0], - name: "_SPLAT_COLOR", - variableName: "splatColor", - }, - }, - indices: indexBuffer, - primitiveType: PrimitiveType.TRIANGLE_STRIP, - }); - - const vertexArray = VertexArray.fromGeometry({ - context: frameState.context, - geometry: geometry, - attributeLocations: splatQuadAttrLocations, - bufferUsage: BufferUsage.STATIC_DRAW, - interleave: false, - }); + const vertexArray = (() => { + if (model.enableShowGaussianSplatting) { + const splatQuadAttrLocations = { + 0: 0, + 1: 1, + 2: 2, + 3: 3, + screenQuadPosition: 4, + splatPosition: 5, + splatColor: 6, + }; + const geometry = new Geometry({ + attributes: { + screenQuadPosition: new GeometryAttribute({ + componentDatatype: ComponentDatatype.FLOAT, + componentsPerAttribute: 2, + values: [-2, -2, 2, -2, 2, 2, -2, 2], + name: "_SCREEN_QUAD_POS", + variableName: "screenQuadPos", + }), + ...primitiveRenderResources.runtimePrimitive.primitive.attributes, + splatPosition: { + ...primitiveRenderResources.runtimePrimitive.primitive.attributes.find( + (a) => a.name === "POSITION" + ), + name: "_SPLAT_POSITION", + variableName: "splatPosition", + }, + splatColor: { + ...primitiveRenderResources.runtimePrimitive.primitive.attributes.find( + (a) => a.name === "COLOR_0" + ), + name: "_SPLAT_COLOR", + variableName: "splatColor", + }, + }, + indices: indexBuffer, + primitiveType: PrimitiveType.TRIANGLE_STRIP, + }); + + return VertexArray.fromGeometry({ + context: frameState.context, + geometry: geometry, + attributeLocations: splatQuadAttrLocations, + bufferUsage: BufferUsage.STATIC_DRAW, + interleave: false, + }); + } + + return new VertexArray({ + context: frameState.context, + indexBuffer: indexBuffer, + attributes: + primitiveRenderResources.runtimePrimitive.primitive.attributes, + }); + })(); - const model = primitiveRenderResources.model; model._pipelineResources.push(vertexArray); const shaderProgram = shaderBuilder.buildShaderProgram(frameState.context); From 9862eeb7e60c3a573bb6e3a85902952fb2faa375 Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Tue, 2 Jul 2024 22:57:05 -0500 Subject: [PATCH 25/97] command rebuild under control --- packages/engine/Source/Scene/Model/Model.js | 7 +++---- 1 file changed, 3 insertions(+), 4 deletions(-) diff --git a/packages/engine/Source/Scene/Model/Model.js b/packages/engine/Source/Scene/Model/Model.js index 264f29b714a9..0152a07f9198 100644 --- a/packages/engine/Source/Scene/Model/Model.js +++ b/packages/engine/Source/Scene/Model/Model.js @@ -2038,7 +2038,7 @@ function updatePointCloudShading(model) { } function updateGaussianSplatting(model, frameState) { - //if the camera has moved enough, update commands + //if the camera has rotated enough, update commands const viewProj = new Matrix4(); Matrix4.multiply( frameState.camera.frustum.projectionMatrix, @@ -2056,11 +2056,10 @@ function updateGaussianSplatting(model, frameState) { model._previousViewProj[6] * viewProj[6] + model._previousViewProj[10] * viewProj[10]; - if (Math.abs(dot - 1) < CesiumMath.EPSILON2) { + if (Math.abs(dot - 1) > CesiumMath.EPSILON2) { model.resetDrawCommands(); + model._previousViewProj = viewProj; } - - model._previousViewProj = viewProj; } function updateSilhouette(model, frameState) { From 00691142d6cecfb7e54555981300e8b02031bf10 Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Wed, 3 Jul 2024 00:03:42 -0500 Subject: [PATCH 26/97] guard gaussian pipeline stage --- packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js b/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js index c90718d9e0cb..062d2bd23cee 100644 --- a/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js +++ b/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js @@ -306,9 +306,9 @@ ModelRuntimePrimitive.prototype.configurePipeline = function (frameState) { pipelineStages.push(PrimitiveStatisticsPipelineStage); - //if(hasGaussianSplats) { - pipelineStages.push(GaussianSplatPipelineStage); - //} + if (model.enableShowGaussianSplatting) { + pipelineStages.push(GaussianSplatPipelineStage); + } return; }; From 427d4901aefc72ab3735b8f0f1c92e084bec4251 Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Wed, 3 Jul 2024 21:20:34 -0500 Subject: [PATCH 27/97] sorting fixes shader tweaks --- .../Scene/Model/GaussianSplatPipelineStage.js | 37 ++++++++++--------- .../Scene/Model/GeometryPipelineStage.js | 2 +- .../Source/Shaders/Model/GaussianSplatVS.glsl | 28 ++++++++------ 3 files changed, 37 insertions(+), 30 deletions(-) diff --git a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js index 68cbc6096b08..f1a1e2fc4316 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js @@ -70,8 +70,9 @@ GaussianSplatPipelineStage.process = function ( const countSort = () => { const attributes = primitive.attributes; - const viewProj = new Matrix4(); - Matrix4.multiply(cam.frustum.projectionMatrix, cam.viewMatrix, viewProj); + const modelView = new Matrix4(); + const modelMat = renderResources.model.modelMatrix; + Matrix4.multiply(cam.viewMatrix, modelMat, modelView); const posAttr = attributes.find((a) => a.name === "POSITION"); const scaleAttr = attributes.find((a) => a.name === "_SCALE"); @@ -89,9 +90,9 @@ GaussianSplatPipelineStage.process = function ( const newClrArray = new clrArray.constructor(clrArray.length); const calcDepth = (i) => - posArray[i * 3] * viewProj[2] + - posArray[i * 3 + 1] * viewProj[6] + - posArray[i * 3 + 2] * viewProj[10]; + posArray[i * 3] * modelView[2] + + posArray[i * 3 + 1] * modelView[6] + + posArray[i * 3 + 2] * modelView[10]; let maxDepth = -Infinity; let minDepth = Infinity; @@ -124,22 +125,22 @@ GaussianSplatPipelineStage.process = function ( for (let i = 0; i < renderResources.count; i++) { const j = depthIndex[i]; - newPosArray[j * 3] = posArray[i * 3]; - newPosArray[j * 3 + 1] = posArray[i * 3 + 1]; - newPosArray[j * 3 + 2] = posArray[i * 3 + 2]; + newPosArray[i * 3] = posArray[j * 3]; + newPosArray[i * 3 + 1] = posArray[j * 3 + 1]; + newPosArray[i * 3 + 2] = posArray[j * 3 + 2]; - newScaleArray[j * 3] = scaleArray[i * 3]; - newScaleArray[j * 3 + 1] = scaleArray[i * 3 + 1]; - newScaleArray[j * 3 + 2] = scaleArray[i * 3 + 2]; + newScaleArray[i * 3] = scaleArray[j * 3]; + newScaleArray[i * 3 + 1] = scaleArray[j * 3 + 1]; + newScaleArray[i * 3 + 2] = scaleArray[j * 3 + 2]; - newRotArray[j * 4] = rotArray[i * 4]; - newRotArray[j * 4 + 1] = rotArray[i * 4 + 1]; - newRotArray[j * 4 + 2] = rotArray[i * 4 + 2]; - newRotArray[j * 4 + 3] = rotArray[i * 4 + 3]; + newRotArray[i * 4] = rotArray[j * 4]; + newRotArray[i * 4 + 1] = rotArray[j * 4 + 1]; + newRotArray[i * 4 + 2] = rotArray[j * 4 + 2]; + newRotArray[i * 4 + 3] = rotArray[j * 4 + 3]; - newClrArray[j * 3] = clrArray[i * 3]; - newClrArray[j * 3 + 1] = clrArray[i * 3 + 1]; - newClrArray[j * 3 + 2] = clrArray[i * 3 + 2]; + newClrArray[i * 3] = clrArray[j * 3]; + newClrArray[i * 3 + 1] = clrArray[j * 3 + 1]; + newClrArray[i * 3 + 2] = clrArray[j * 3 + 2]; } posAttr.typedArray = newPosArray; diff --git a/packages/engine/Source/Scene/Model/GeometryPipelineStage.js b/packages/engine/Source/Scene/Model/GeometryPipelineStage.js index f2220420e6e4..a502d4c2569f 100644 --- a/packages/engine/Source/Scene/Model/GeometryPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GeometryPipelineStage.js @@ -124,7 +124,7 @@ GeometryPipelineStage.process = function ( ); // .pnts point clouds store sRGB color rather than linear color - if (model.type === ModelType.TILE_PNTS) { + if (model.type === ModelType.TILE_PNTS || model.enableShowGaussianSplatting) { shaderBuilder.addDefine( "HAS_SRGB_COLOR", undefined, diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl index f8a65b4b83eb..73faeb3dc7b4 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl @@ -41,11 +41,11 @@ vec3 calcCov2D(vec3 worldPos, float focal_x, float focal_y, float tan_fovx, floa mat3 J = mat3( focal_x / t.z, 0, -(focal_x * t.x) / (t.z * t.z), - 0, focal_y / t.z, -(focal_y * t.y) / (t.z * t.z), + 0, -focal_y / t.z, (focal_y * t.y) / (t.z * t.z), 0, 0, 0 ); - mat3 W = mat3(viewmatrix); + mat3 W = transpose(mat3(viewmatrix)); mat3 T = W * J; mat3 Vrk = mat3( cov3D[0], cov3D[1], cov3D[2], @@ -53,22 +53,28 @@ vec3 calcCov2D(vec3 worldPos, float focal_x, float focal_y, float tan_fovx, floa cov3D[2], cov3D[4], cov3D[5] ); - mat3 cov = transpose(T) * transpose(Vrk) * T; + mat3 cov = transpose(T) * Vrk * T;//transpose(T) * transpose(Vrk) * T; cov[0][0] += .3; cov[1][1] += .3; return vec3(cov[0][0], cov[0][1], cov[1][1]); } +void invertRow4x4(mat4 matrix, int row) +{ + matrix[0][row] = -matrix[0][row]; + matrix[1][row] = -matrix[1][row]; + matrix[2][row] = -matrix[2][row]; + matrix[3][row] = -matrix[3][row]; +} + void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) { mat4 viewMatrix = czm_modelView; - mat4 projMatrix = czm_projection; - vec4 clipPosition = czm_modelViewProjection * vec4(a_splatPosition,1.0); positionClip = clipPosition; float[6] cov3D; - calcCov3D(attributes.scale, attributes.rotation, 3., cov3D); + calcCov3D(attributes.scale, attributes.rotation, 1., cov3D); vec3 cov = calcCov2D(a_splatPosition, u_focalX, u_focalY, u_tan_fovX, u_tan_fovY, cov3D, viewMatrix); float mid = (cov.x + cov.z) / 2.0; @@ -77,14 +83,14 @@ void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) if(lambda2 < 0.0) return; vec2 diagonalVector = normalize(vec2(cov.y, lambda1 - cov.x)); - vec2 majorAxis = min(sqrt(2.0 * lambda1), 4096.0) * diagonalVector; - vec2 minorAxis = min(sqrt(2.0 * lambda2), 4096.0) * vec2(diagonalVector.y, -diagonalVector.x); + vec2 majorAxis = min(sqrt(2.0 * lambda1), 1024.0) * diagonalVector; + vec2 minorAxis = min(sqrt(2.0 * lambda2), 1024.0) * vec2(diagonalVector.y, -diagonalVector.x); vec2 corner = vec2((gl_VertexID << 1) & 2, gl_VertexID & 2) - 1.; corner *= 2.0; - vec2 deltaScreenPos = (corner.x * majorAxis + corner.y * minorAxis) * 2.0 / czm_viewport.zw; - positionClip.xy += deltaScreenPos * positionClip.w; - v_vertPos = corner; + vec2 deltaScreenPos = (corner.x * majorAxis + corner.y * minorAxis) * 2.0 / czm_viewport.zw; + positionClip.xy += deltaScreenPos * positionClip.w; + v_vertPos = corner;//a_screenQuadPosition; v_splatColor = a_splatColor; } From fcfc3df25e268422dcb64165b7c106bd4a8bf067 Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Mon, 8 Jul 2024 14:03:30 -0500 Subject: [PATCH 28/97] cleanup --- .../Source/Shaders/Model/GaussianSplatFS.glsl | 2 +- .../Source/Shaders/Model/GaussianSplatVS.glsl | 30 +++++++++---------- 2 files changed, 15 insertions(+), 17 deletions(-) diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl index cdda3cc529f3..215666dc6c84 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl @@ -1,6 +1,6 @@ void gaussianSplatStage(inout vec4 color, in ProcessedAttributes attributes) { float A = -dot(v_vertPos, v_vertPos); - if(A < -4.0) + if(A < -6.0) discard; float B = exp(A) * v_splatColor.a; color = vec4(v_splatColor.rgb * B , B); diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl index 73faeb3dc7b4..fcf104e42ee0 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl @@ -32,8 +32,8 @@ void calcCov3D(vec3 scale, vec4 rot, float mod, out float[6] cov3D) vec3 calcCov2D(vec3 worldPos, float focal_x, float focal_y, float tan_fovx, float tan_fovy, float[6] cov3D, mat4 viewmatrix) { vec4 t = viewmatrix * vec4(worldPos, 1.0); - float limx = 1.3 * tan_fovx; - float limy = 1.3 * tan_fovy; + float limx = 1.0 * tan_fovx; + float limy = 1.0 * tan_fovy; float txtz = t.x / t.z; float tytz = t.y / t.z; t.x = min(limx, max(-limx, txtz)) * t.z; @@ -41,11 +41,16 @@ vec3 calcCov2D(vec3 worldPos, float focal_x, float focal_y, float tan_fovx, floa mat3 J = mat3( focal_x / t.z, 0, -(focal_x * t.x) / (t.z * t.z), - 0, -focal_y / t.z, (focal_y * t.y) / (t.z * t.z), + 0, focal_x / t.z, -(focal_x * t.y) / (t.z * t.z), 0, 0, 0 ); - mat3 W = transpose(mat3(viewmatrix)); + // mat3 W = mat3(viewmatrix); + mat3 W = mat3( + viewmatrix[0][0], viewmatrix[1][0], viewmatrix[2][0], + viewmatrix[0][1], viewmatrix[1][1], viewmatrix[2][1], + viewmatrix[0][2], viewmatrix[1][2], viewmatrix[2][2] + ); mat3 T = W * J; mat3 Vrk = mat3( cov3D[0], cov3D[1], cov3D[2], @@ -53,23 +58,16 @@ vec3 calcCov2D(vec3 worldPos, float focal_x, float focal_y, float tan_fovx, floa cov3D[2], cov3D[4], cov3D[5] ); - mat3 cov = transpose(T) * Vrk * T;//transpose(T) * transpose(Vrk) * T; + mat3 cov = transpose(T) * transpose(Vrk) * T; cov[0][0] += .3; cov[1][1] += .3; return vec3(cov[0][0], cov[0][1], cov[1][1]); } -void invertRow4x4(mat4 matrix, int row) -{ - matrix[0][row] = -matrix[0][row]; - matrix[1][row] = -matrix[1][row]; - matrix[2][row] = -matrix[2][row]; - matrix[3][row] = -matrix[3][row]; -} - void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) { mat4 viewMatrix = czm_modelView; + vec4 clipPosition = czm_modelViewProjection * vec4(a_splatPosition,1.0); positionClip = clipPosition; @@ -89,8 +87,8 @@ void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) vec2 corner = vec2((gl_VertexID << 1) & 2, gl_VertexID & 2) - 1.; corner *= 2.0; - vec2 deltaScreenPos = (corner.x * majorAxis + corner.y * minorAxis) * 2.0 / czm_viewport.zw; - positionClip.xy += deltaScreenPos * positionClip.w; - v_vertPos = corner;//a_screenQuadPosition; + vec2 deltaScreenPos = (corner.x * majorAxis + corner.y * minorAxis) * 2.0 / czm_viewport.zw; + positionClip.xy += deltaScreenPos * positionClip.w; + v_vertPos = corner; v_splatColor = a_splatColor; } From f4657c6f597d7fcfdcda45f7f60ece413f4991dc Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Tue, 9 Jul 2024 14:31:36 -0500 Subject: [PATCH 29/97] opacity fix --- .../Scene/Model/GaussianSplatPipelineStage.js | 7 +++++++ .../engine/Source/Scene/Model/buildDrawCommand.js | 15 ++++++++++++--- .../Source/Shaders/Model/GaussianSplatFS.glsl | 5 +++-- .../Source/Shaders/Model/GaussianSplatVS.glsl | 4 +++- 4 files changed, 25 insertions(+), 6 deletions(-) diff --git a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js index f1a1e2fc4316..0f7effc9daea 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js @@ -33,9 +33,11 @@ GaussianSplatPipelineStage.process = function ( shaderBuilder.addAttribute("vec2", "a_screenQuadPosition"); shaderBuilder.addAttribute("vec3", "a_splatPosition"); shaderBuilder.addAttribute("vec4", "a_splatColor"); + shaderBuilder.addAttribute("float", "a_splatOpacity"); shaderBuilder.addVarying("vec4", "v_splatColor"); shaderBuilder.addVarying("vec2", "v_vertPos"); + shaderBuilder.addVarying("float", "v_splatOpacity"); shaderBuilder.addUniform("float", "u_tan_fovX", ShaderDestination.VERTEX); shaderBuilder.addUniform("float", "u_tan_fovY", ShaderDestination.VERTEX); @@ -78,16 +80,19 @@ GaussianSplatPipelineStage.process = function ( const scaleAttr = attributes.find((a) => a.name === "_SCALE"); const rotAttr = attributes.find((a) => a.name === "_ROTATION"); const clrAttr = attributes.find((a) => a.name === "COLOR_0"); + const opAttr = attributes.find((a) => a.name === "_OPACITY"); const posArray = posAttr.typedArray; const scaleArray = scaleAttr.typedArray; const rotArray = rotAttr.typedArray; const clrArray = clrAttr.typedArray; + const opArray = opAttr.typedArray; const newPosArray = new posArray.constructor(posArray.length); const newScaleArray = new scaleArray.constructor(scaleArray.length); const newRotArray = new rotArray.constructor(rotArray.length); const newClrArray = new clrArray.constructor(clrArray.length); + const newOpArray = new opArray.constructor(opArray.length); const calcDepth = (i) => posArray[i * 3] * modelView[2] + @@ -141,6 +146,8 @@ GaussianSplatPipelineStage.process = function ( newClrArray[i * 3] = clrArray[j * 3]; newClrArray[i * 3 + 1] = clrArray[j * 3 + 1]; newClrArray[i * 3 + 2] = clrArray[j * 3 + 2]; + + newOpArray[i] = opArray[j]; } posAttr.typedArray = newPosArray; diff --git a/packages/engine/Source/Scene/Model/buildDrawCommand.js b/packages/engine/Source/Scene/Model/buildDrawCommand.js index 0e254fcdd86b..a1a658b4f037 100644 --- a/packages/engine/Source/Scene/Model/buildDrawCommand.js +++ b/packages/engine/Source/Scene/Model/buildDrawCommand.js @@ -46,9 +46,11 @@ function buildDrawCommand(primitiveRenderResources, frameState) { 1: 1, 2: 2, 3: 3, - screenQuadPosition: 4, - splatPosition: 5, - splatColor: 6, + 4: 4, + screenQuadPosition: 5, + splatPosition: 6, + splatColor: 7, + splatOpacity: 8, }; const geometry = new Geometry({ attributes: { @@ -74,6 +76,13 @@ function buildDrawCommand(primitiveRenderResources, frameState) { name: "_SPLAT_COLOR", variableName: "splatColor", }, + splatOpacity: { + ...primitiveRenderResources.runtimePrimitive.primitive.attributes.find( + (a) => a.name === "_OPACITY" + ), + name: "_SPLAT_OPACITY", + variableName: "splatOpacity", + }, }, indices: indexBuffer, primitiveType: PrimitiveType.TRIANGLE_STRIP, diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl index 215666dc6c84..38c116ffdf1c 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl @@ -1,7 +1,8 @@ void gaussianSplatStage(inout vec4 color, in ProcessedAttributes attributes) { float A = -dot(v_vertPos, v_vertPos); - if(A < -6.0) + if(A < -4.0) discard; - float B = exp(A) * v_splatColor.a; + float B = exp(A) * v_splatOpacity; color = vec4(v_splatColor.rgb * B , B); } + diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl index fcf104e42ee0..a6223c34e875 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl @@ -41,7 +41,7 @@ vec3 calcCov2D(vec3 worldPos, float focal_x, float focal_y, float tan_fovx, floa mat3 J = mat3( focal_x / t.z, 0, -(focal_x * t.x) / (t.z * t.z), - 0, focal_x / t.z, -(focal_x * t.y) / (t.z * t.z), + 0, focal_y / t.z, -(focal_y * t.y) / (t.z * t.z), 0, 0, 0 ); @@ -91,4 +91,6 @@ void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) positionClip.xy += deltaScreenPos * positionClip.w; v_vertPos = corner; v_splatColor = a_splatColor; + v_splatOpacity = a_splatOpacity; } + From 2270daef0ff1bd7c8d435f6cca75a628eb74d6c2 Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Fri, 12 Jul 2024 09:30:39 -0500 Subject: [PATCH 30/97] small tweak to re-enable instanceDivisor check on attr 0 --- packages/engine/Source/Renderer/VertexArray.js | 18 +++++++++--------- .../Source/Scene/Model/buildDrawCommand.js | 4 ++-- 2 files changed, 11 insertions(+), 11 deletions(-) diff --git a/packages/engine/Source/Renderer/VertexArray.js b/packages/engine/Source/Renderer/VertexArray.js index f586b7350bb7..bdc2971f017a 100644 --- a/packages/engine/Source/Renderer/VertexArray.js +++ b/packages/engine/Source/Renderer/VertexArray.js @@ -76,15 +76,15 @@ function addAttribute(attributes, attribute, index, context) { "attribute cannot have have an instanceDivisor if it is not backed by a buffer" ); } - // if ( - // defined(attribute.instanceDivisor) && - // attribute.instanceDivisor > 0 && - // attribute.index === 0 - // ) { - // throw new DeveloperError( - // "attribute zero cannot have an instanceDivisor greater than 0" - // ); - // } + if ( + defined(attribute.instanceDivisor) && + attribute.instanceDivisor > 0 && + attribute.index === 0 + ) { + throw new DeveloperError( + "attribute zero cannot have an instanceDivisor greater than 0" + ); + } //>>includeEnd('debug'); // Shallow copy the attribute; we do not want to copy the vertex buffer. diff --git a/packages/engine/Source/Scene/Model/buildDrawCommand.js b/packages/engine/Source/Scene/Model/buildDrawCommand.js index a1a658b4f037..b2558d8d6177 100644 --- a/packages/engine/Source/Scene/Model/buildDrawCommand.js +++ b/packages/engine/Source/Scene/Model/buildDrawCommand.js @@ -42,12 +42,12 @@ function buildDrawCommand(primitiveRenderResources, frameState) { const vertexArray = (() => { if (model.enableShowGaussianSplatting) { const splatQuadAttrLocations = { - 0: 0, + 0: 5, 1: 1, 2: 2, 3: 3, 4: 4, - screenQuadPosition: 5, + screenQuadPosition: 0, splatPosition: 6, splatColor: 7, splatOpacity: 8, From fb180f600765411e02c9b5c39e33e0afc1a49940 Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Fri, 12 Jul 2024 12:31:03 -0500 Subject: [PATCH 31/97] splat scale now adjustable with 3DTile style --- packages/engine/Source/Scene/Cesium3DTileStyle.js | 13 +++++++++++++ .../Scene/Model/GaussianSplatPipelineStage.js | 6 ++++++ .../Source/Shaders/Model/GaussianSplatVS.glsl | 14 +++++++------- 3 files changed, 26 insertions(+), 7 deletions(-) diff --git a/packages/engine/Source/Scene/Cesium3DTileStyle.js b/packages/engine/Source/Scene/Cesium3DTileStyle.js index b5cd56c60d37..18513e20c906 100644 --- a/packages/engine/Source/Scene/Cesium3DTileStyle.js +++ b/packages/engine/Source/Scene/Cesium3DTileStyle.js @@ -73,6 +73,8 @@ function Cesium3DTileStyle(style) { this._labelVerticalOrigin = undefined; this._meta = undefined; + this._splatScale = undefined; + this._colorShaderFunction = undefined; this._showShaderFunction = undefined; this._pointSizeShaderFunction = undefined; @@ -116,6 +118,8 @@ function setup(that, styleJson) { that.labelHorizontalOrigin = styleJson.labelHorizontalOrigin; that.labelVerticalOrigin = styleJson.labelVerticalOrigin; + that.splatScale = styleJson.splatScale; + const meta = {}; if (defined(styleJson.meta)) { const defines = styleJson.defines; @@ -1308,6 +1312,15 @@ Object.defineProperties(Cesium3DTileStyle.prototype, { this._meta = value; }, }, + + splatScale: { + get: function () { + return this._splatScale; + }, + set: function (value) { + this._splatScale = value; + }, + }, }); /** diff --git a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js index 0f7effc9daea..490c4d9c530b 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js @@ -20,6 +20,7 @@ GaussianSplatPipelineStage.process = function ( const renderStateOptions = renderResources.renderStateOptions; renderStateOptions.cull.enabled = false; renderStateOptions.depthMask = false; + renderStateOptions.depthTest.enabled = false; renderStateOptions.blending = BlendingState.PRE_MULTIPLIED_ALPHA_BLEND; renderResources.alphaOptions.pass = Pass.GAUSSIAN_SPLATS; @@ -43,6 +44,7 @@ GaussianSplatPipelineStage.process = function ( shaderBuilder.addUniform("float", "u_tan_fovY", ShaderDestination.VERTEX); shaderBuilder.addUniform("float", "u_focalX", ShaderDestination.VERTEX); shaderBuilder.addUniform("float", "u_focalY", ShaderDestination.VERTEX); + shaderBuilder.addUniform("float", "u_splatScale", ShaderDestination.VERTEX); const uniformMap = renderResources.uniformMap; const cam = frameState.camera; @@ -70,6 +72,10 @@ GaussianSplatPipelineStage.process = function ( return focal_y; }; + uniformMap.u_splatScale = function () { + return renderResources.model?.style?.splatScale ?? 1.0; + }; + const countSort = () => { const attributes = primitive.attributes; const modelView = new Matrix4(); diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl index a6223c34e875..5e41c22b9259 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl @@ -1,9 +1,9 @@ -void calcCov3D(vec3 scale, vec4 rot, float mod, out float[6] cov3D) +void calcCov3D(vec3 scale, vec4 rot, out float[6] cov3D) { mat3 S = mat3( - mod * scale[0], 0, 0, - 0, mod * scale[1], 0, - 0, 0, mod * scale[2] + u_splatScale * scale[0], 0, 0, + 0, u_splatScale * scale[1], 0, + 0, 0, u_splatScale * scale[2] ); float r = rot.w; @@ -32,8 +32,8 @@ void calcCov3D(vec3 scale, vec4 rot, float mod, out float[6] cov3D) vec3 calcCov2D(vec3 worldPos, float focal_x, float focal_y, float tan_fovx, float tan_fovy, float[6] cov3D, mat4 viewmatrix) { vec4 t = viewmatrix * vec4(worldPos, 1.0); - float limx = 1.0 * tan_fovx; - float limy = 1.0 * tan_fovy; + float limx = 1.3 * tan_fovx; + float limy = 1.3 * tan_fovy; float txtz = t.x / t.z; float tytz = t.y / t.z; t.x = min(limx, max(-limx, txtz)) * t.z; @@ -72,7 +72,7 @@ void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) positionClip = clipPosition; float[6] cov3D; - calcCov3D(attributes.scale, attributes.rotation, 1., cov3D); + calcCov3D(attributes.scale, attributes.rotation, cov3D); vec3 cov = calcCov2D(a_splatPosition, u_focalX, u_focalY, u_tan_fovX, u_tan_fovY, cov3D, viewMatrix); float mid = (cov.x + cov.z) / 2.0; From 43c08cf661fac54f8ea834ad0647dbaf229402c4 Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Fri, 12 Jul 2024 16:46:11 -0500 Subject: [PATCH 32/97] toggle between points and splats at runtime --- .../engine/Source/Scene/Cesium3DTileStyle.js | 11 ++++ .../Scene/Model/GeometryPipelineStage.js | 57 ++++++++++++++++--- packages/engine/Source/Scene/Model/Model.js | 1 - .../Scene/Model/ModelRuntimePrimitive.js | 6 +- .../Source/Scene/Model/buildDrawCommand.js | 8 ++- .../engine/Source/Scene/PrimitiveLoadPlan.js | 2 - 6 files changed, 70 insertions(+), 15 deletions(-) diff --git a/packages/engine/Source/Scene/Cesium3DTileStyle.js b/packages/engine/Source/Scene/Cesium3DTileStyle.js index 18513e20c906..24c827f0f24b 100644 --- a/packages/engine/Source/Scene/Cesium3DTileStyle.js +++ b/packages/engine/Source/Scene/Cesium3DTileStyle.js @@ -74,6 +74,7 @@ function Cesium3DTileStyle(style) { this._meta = undefined; this._splatScale = undefined; + this._showGaussianSplatting = true; this._colorShaderFunction = undefined; this._showShaderFunction = undefined; @@ -119,6 +120,7 @@ function setup(that, styleJson) { that.labelVerticalOrigin = styleJson.labelVerticalOrigin; that.splatScale = styleJson.splatScale; + that.showGaussianSplatting = styleJson.showGaussianSplatting; const meta = {}; if (defined(styleJson.meta)) { @@ -1321,6 +1323,15 @@ Object.defineProperties(Cesium3DTileStyle.prototype, { this._splatScale = value; }, }, + + showGaussianSplatting: { + get: function () { + return this._showGaussianSplatting; + }, + set: function (value) { + this._showGaussianSplatting = value; + }, + }, }); /** diff --git a/packages/engine/Source/Scene/Model/GeometryPipelineStage.js b/packages/engine/Source/Scene/Model/GeometryPipelineStage.js index a502d4c2569f..fa00261b33dc 100644 --- a/packages/engine/Source/Scene/Model/GeometryPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GeometryPipelineStage.js @@ -12,6 +12,9 @@ import ModelUtility from "./ModelUtility.js"; import SelectedFeatureIdPipelineStage from "./SelectedFeatureIdPipelineStage.js"; import VertexAttributeSemantic from "../VertexAttributeSemantic.js"; +import Buffer from "../../Renderer/Buffer.js"; +import BufferUsage from "../../Renderer/BufferUsage.js"; + /** * The geometry pipeline stage processes the vertex attributes of a primitive. * @@ -124,7 +127,7 @@ GeometryPipelineStage.process = function ( ); // .pnts point clouds store sRGB color rather than linear color - if (model.type === ModelType.TILE_PNTS || model.enableShowGaussianSplatting) { + if (model.type === ModelType.TILE_PNTS) { shaderBuilder.addDefine( "HAS_SRGB_COLOR", undefined, @@ -132,6 +135,51 @@ GeometryPipelineStage.process = function ( ); } + if (primitive.primitiveType === PrimitiveType.POINTS) { + const gaussianSplatsEnabled = model.enableShowGaussianSplatting; + if (gaussianSplatsEnabled) { + const showSplats = model?.style?.showGaussianSplatting ?? true; + primitive.attributes.find( + (a) => a.name === "POSITION" + ).instanceDivisor = showSplats ? 1 : 0; + primitive.attributes.find( + (a) => a.name === "_SCALE" + ).instanceDivisor = showSplats ? 1 : 0; + primitive.attributes.find( + (a) => a.name === "_ROTATION" + ).instanceDivisor = showSplats ? 1 : 0; + primitive.attributes.find( + (a) => a.name === "COLOR_0" + ).instanceDivisor = showSplats ? 1 : 0; + primitive.attributes.find( + (a) => a.name === "_OPACITY" + ).instanceDivisor = showSplats ? 1 : 0; + + if (!showSplats) { + shaderBuilder.addDefine("PRIMITIVE_TYPE_POINTS"); + + for (const name in primitive.attributes) { + if ( + primitive.attributes.hasOwnProperty(name) && + defined(primitive.attributes[name]) + ) { + const attribute = primitive.attributes[name]; + const vertexBuffer = Buffer.createVertexBuffer({ + context: frameState.context, + typedArray: attribute.typedArray, + usage: BufferUsage.DYNAMIC_DRAW, + }); + + vertexBuffer.vertexArrayDestroyable = false; + attribute.buffer = vertexBuffer; + } + } + } + } else { + shaderBuilder.addDefine("PRIMITIVE_TYPE_POINTS"); + } + } + // Attributes, structs, and functions will need to be modified for 2D / CV. const use2D = frameState.mode !== SceneMode.SCENE3D && @@ -186,13 +234,6 @@ GeometryPipelineStage.process = function ( handleBitangents(shaderBuilder, primitive.attributes); - if ( - primitive.primitiveType === PrimitiveType.POINTS && - !model.enableShowGaussianSplatting - ) { - shaderBuilder.addDefine("PRIMITIVE_TYPE_POINTS"); - } - shaderBuilder.addVertexLines(GeometryStageVS); shaderBuilder.addFragmentLines(GeometryStageFS); }; diff --git a/packages/engine/Source/Scene/Model/Model.js b/packages/engine/Source/Scene/Model/Model.js index 0152a07f9198..e02ae136fa11 100644 --- a/packages/engine/Source/Scene/Model/Model.js +++ b/packages/engine/Source/Scene/Model/Model.js @@ -462,7 +462,6 @@ function Model(options) { this.showOutline = defaultValue(options.showOutline, true); this._enableShowGaussianSplatting = defaultValue( - //false, options.loader.gltfJson.extensionsUsed.includes("KHR_gaussian_splatting"), false ); diff --git a/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js b/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js index 062d2bd23cee..a93689c53937 100644 --- a/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js +++ b/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js @@ -238,6 +238,10 @@ ModelRuntimePrimitive.prototype.configurePipeline = function (frameState) { const hasClassification = defined(model.classificationType); + const hasGaussianSplats = + model.enableShowGaussianSplatting && + (model?.style?.showGaussianSplatting ?? true); + // Start of pipeline ----------------------------------------------------- if (use2D) { pipelineStages.push(SceneMode2DPipelineStage); @@ -306,7 +310,7 @@ ModelRuntimePrimitive.prototype.configurePipeline = function (frameState) { pipelineStages.push(PrimitiveStatisticsPipelineStage); - if (model.enableShowGaussianSplatting) { + if (hasGaussianSplats) { pipelineStages.push(GaussianSplatPipelineStage); } diff --git a/packages/engine/Source/Scene/Model/buildDrawCommand.js b/packages/engine/Source/Scene/Model/buildDrawCommand.js index b2558d8d6177..40a125701df2 100644 --- a/packages/engine/Source/Scene/Model/buildDrawCommand.js +++ b/packages/engine/Source/Scene/Model/buildDrawCommand.js @@ -40,7 +40,10 @@ function buildDrawCommand(primitiveRenderResources, frameState) { const model = primitiveRenderResources.model; const vertexArray = (() => { - if (model.enableShowGaussianSplatting) { + if ( + model.enableShowGaussianSplatting && + (model?.style?.showGaussianSplatting ?? true) + ) { const splatQuadAttrLocations = { 0: 5, 1: 1, @@ -100,8 +103,7 @@ function buildDrawCommand(primitiveRenderResources, frameState) { return new VertexArray({ context: frameState.context, indexBuffer: indexBuffer, - attributes: - primitiveRenderResources.runtimePrimitive.primitive.attributes, + attributes: primitiveRenderResources.attributes, }); })(); diff --git a/packages/engine/Source/Scene/PrimitiveLoadPlan.js b/packages/engine/Source/Scene/PrimitiveLoadPlan.js index 60041f2900bd..84be368ea3c2 100644 --- a/packages/engine/Source/Scene/PrimitiveLoadPlan.js +++ b/packages/engine/Source/Scene/PrimitiveLoadPlan.js @@ -244,8 +244,6 @@ function setupGaussianSplatBuffers(loadPlan, context) { const attrLen = attributePlans.length; for (let i = 0; i < attrLen; i++) { const attributePlan = attributePlans[i]; - const attribute = attributePlan.attribute; - attribute.instanceDivisor = 1; //defer til much later into the pipeline attributePlan.loadBuffer = false; attributePlan.loadTypedArray = true; From 52b3d618dd90a061a570544d2fd43c11699b0e13 Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Tue, 22 Oct 2024 10:57:31 -0500 Subject: [PATCH 33/97] fixes from latest merge updates to load meshopt splats --- package.json | 3 +- packages/engine/Source/Scene/GltfLoader.js | 205 +++++++------ .../Scene/Model/GaussianSplatPipelineStage.js | 12 +- .../Scene/Model/GeometryPipelineStage.js | 74 +++-- packages/engine/Source/Scene/Model/Model.js | 109 +++---- .../Source/Scene/Model/Model3DTileContent.js | 29 +- .../Source/Scene/Model/ModelDrawCommands.js | 39 +-- .../Scene/Model/ModelRuntimePrimitive.js | 7 +- .../engine/Source/Scene/PrimitiveLoadPlan.js | 5 +- packages/engine/Source/Scene/Scene.js | 285 +++++++++--------- .../Source/Shaders/Model/GaussianSplatFS.glsl | 2 +- .../engine/Source/Workers/gaussianSort.js | 0 12 files changed, 384 insertions(+), 386 deletions(-) delete mode 100644 packages/engine/Source/Workers/gaussianSort.js diff --git a/package.json b/package.json index 7be464bf072a..47aced895170 100644 --- a/package.json +++ b/package.json @@ -56,6 +56,7 @@ }, "devDependencies": { "@playwright/test": "^1.41.1", + "assemblyscript": "^0.27.30", "chokidar": "^4.0.1", "cloc": "^2.2.0-cloc", "compression": "^1.7.4", @@ -158,4 +159,4 @@ "packages/engine", "packages/widgets" ] -} \ No newline at end of file +} diff --git a/packages/engine/Source/Scene/GltfLoader.js b/packages/engine/Source/Scene/GltfLoader.js index 61d09d55b522..36b30df1ba92 100644 --- a/packages/engine/Source/Scene/GltfLoader.js +++ b/packages/engine/Source/Scene/GltfLoader.js @@ -487,7 +487,7 @@ function processLoaders(loader, frameState) { "The model defines both the 'EXT_structural_metadata' extension and the " + "'NGA_gpm_local' extension. The data from the 'EXT_structural_metadata' " + "extension will be replaced with the data from the 'NGA_gpm_local' extension, " + - "and will no longer be available for styling and picking." + "and will no longer be available for styling and picking.", ); } loader._components.structuralMetadata = @@ -690,7 +690,7 @@ function getVertexBufferLoader( draco, loadBuffer, loadTypedArray, - frameState + frameState, ) { const gltf = loader.gltfJson; const accessor = gltf.accessors[accessorId]; @@ -719,7 +719,7 @@ function getIndexBufferLoader( draco, loadBuffer, loadTypedArray, - frameState + frameState, ) { const indexBufferLoader = ResourceCache.getIndexBufferLoader({ gltf: loader.gltfJson, @@ -766,13 +766,13 @@ function getPackedTypedArray(gltf, accessor, bufferViewTypedArray) { componentType, bufferViewTypedArray.buffer, bufferViewTypedArray.byteOffset + byteOffset, - componentsLength + componentsLength, ); } const accessorTypedArray = ComponentDatatype.createTypedArray( componentType, - componentsLength + componentsLength, ); const dataView = new DataView(bufferViewTypedArray.buffer); @@ -786,7 +786,7 @@ function getPackedTypedArray(gltf, accessor, bufferViewTypedArray) { byteOffset, componentCount, componentByteLength, - components + components, ); for (let j = 0; j < componentCount; ++j) { accessorTypedArray[i * componentCount + j] = components[j]; @@ -821,9 +821,8 @@ function loadAccessorValues(accessor, typedArray, values, useQuaternion) { } } else { const MathType = AttributeType.getMathType(accessorType); - const numberOfComponents = AttributeType.getNumberOfComponents( - accessorType - ); + const numberOfComponents = + AttributeType.getNumberOfComponents(accessorType); for (let i = 0; i < accessorCount; i++) { values[i] = MathType.unpack(typedArray, i * numberOfComponents); @@ -838,7 +837,7 @@ async function loadAccessorBufferView( bufferViewLoader, accessor, useQuaternion, - values + values, ) { // Save a link to the gltfJson, which is removed after bufferViewLoader.load() const { gltfJson } = loader; @@ -851,7 +850,7 @@ async function loadAccessorBufferView( const typedArray = getPackedTypedArray( gltfJson, accessor, - bufferViewLoader.typedArray + bufferViewLoader.typedArray, ); useQuaternion = defaultValue(useQuaternion, false); @@ -869,7 +868,7 @@ function loadAccessor(loader, accessor, useQuaternion) { bufferViewLoader, accessor, useQuaternion, - values + values, ); loader._loaderPromises.push(promise); @@ -944,7 +943,7 @@ function dequantizeMinMax(attribute, VectorType) { function setQuantizationFromWeb3dQuantizedAttributes( extension, attribute, - MathType + MathType, ) { const decodeMatrix = extension.decodeMatrix; const decodedMin = fromArray(MathType, extension.decodedMin); @@ -965,35 +964,35 @@ function setQuantizationFromWeb3dQuantizedAttributes( } else if (decodeMatrix.length === 9) { quantization.quantizedVolumeOffset = new Cartesian2( decodeMatrix[6], - decodeMatrix[7] + decodeMatrix[7], ); quantization.quantizedVolumeStepSize = new Cartesian2( decodeMatrix[0], - decodeMatrix[4] + decodeMatrix[4], ); } else if (decodeMatrix.length === 16) { quantization.quantizedVolumeOffset = new Cartesian3( decodeMatrix[12], decodeMatrix[13], - decodeMatrix[14] + decodeMatrix[14], ); quantization.quantizedVolumeStepSize = new Cartesian3( decodeMatrix[0], decodeMatrix[5], - decodeMatrix[10] + decodeMatrix[10], ); } else if (decodeMatrix.length === 25) { quantization.quantizedVolumeOffset = new Cartesian4( decodeMatrix[20], decodeMatrix[21], decodeMatrix[22], - decodeMatrix[23] + decodeMatrix[23], ); quantization.quantizedVolumeStepSize = new Cartesian4( decodeMatrix[0], decodeMatrix[6], decodeMatrix[12], - decodeMatrix[18] + decodeMatrix[18], ); } @@ -1023,7 +1022,7 @@ function createAttribute(gltf, accessorId, name, semantic, setIndex) { setQuantizationFromWeb3dQuantizedAttributes( accessor.extensions.WEB3D_quantized_attributes, attribute, - MathType + MathType, ); } @@ -1037,7 +1036,7 @@ function createAttribute(gltf, accessorId, name, semantic, setIndex) { // However, for KHR_mesh_quantization, min and max must be dequantized for // normalized values, else the bounding sphere will be computed incorrectly. const hasKhrMeshQuantization = gltf.extensionsRequired?.includes( - "KHR_mesh_quantization" + "KHR_mesh_quantization", ); if (hasKhrMeshQuantization && normalized && isQuantizable) { @@ -1099,7 +1098,7 @@ function finalizeDracoAttribute( attribute, vertexBufferLoader, loadBuffer, - loadTypedArray + loadTypedArray, ) { // The accessor's byteOffset and byteStride should be ignored for draco. // Each attribute is tightly packed in its own buffer after decode. @@ -1118,7 +1117,7 @@ function finalizeDracoAttribute( attribute.typedArray = ComponentDatatype.createArrayBufferView( componentDatatype, - vertexBufferLoader.typedArray.buffer + vertexBufferLoader.typedArray.buffer, ); } } @@ -1129,7 +1128,7 @@ function finalizeAttribute( attribute, vertexBufferLoader, loadBuffer, - loadTypedArray + loadTypedArray, ) { if (loadBuffer) { attribute.buffer = vertexBufferLoader.buffer; @@ -1140,7 +1139,7 @@ function finalizeAttribute( attribute.typedArray = getPackedTypedArray( gltf, accessor, - bufferViewTypedArray + bufferViewTypedArray, ); if (!loadBuffer) { @@ -1160,7 +1159,7 @@ function loadAttribute( draco, loadBuffer, loadTypedArray, - frameState + frameState, ) { const gltf = loader.gltfJson; const accessor = gltf.accessors[accessorId]; @@ -1180,7 +1179,7 @@ function loadAttribute( accessorId, name, modelSemantic, - setIndex + setIndex, ); if (!defined(draco) && !defined(bufferViewId)) { @@ -1194,7 +1193,7 @@ function loadAttribute( draco, loadBuffer, loadTypedArray, - frameState + frameState, ); const index = loader._geometryLoaders.length; @@ -1214,7 +1213,7 @@ function loadAttribute( attribute, vertexBufferLoader, loadBuffer, - loadTypedArray + loadTypedArray, ); } else { finalizeAttribute( @@ -1223,7 +1222,7 @@ function loadAttribute( attribute, vertexBufferLoader, loadBuffer, - loadTypedArray + loadTypedArray, ); } }; @@ -1238,7 +1237,7 @@ function loadVertexAttribute( draco, hasInstances, needsPostProcessing, - frameState + frameState, ) { const modelSemantic = semanticInfo.modelSemantic; @@ -1284,7 +1283,7 @@ function loadVertexAttribute( draco, loadBuffer, loadTypedArray, - frameState + frameState, ); const attributePlan = new PrimitiveLoadPlan.AttributeLoadPlan(attribute); @@ -1299,7 +1298,7 @@ function loadInstancedAttribute( accessorId, attributes, gltfSemantic, - frameState + frameState, ) { const accessors = loader.gltfJson.accessors; const hasRotation = defined(attributes.ROTATION); @@ -1311,7 +1310,7 @@ function loadInstancedAttribute( const semanticInfo = getSemanticInfo( loader, InstanceAttributeSemantic, - gltfSemantic + gltfSemantic, ); const modelSemantic = semanticInfo.modelSemantic; @@ -1356,7 +1355,7 @@ function loadInstancedAttribute( undefined, loadBuffer, loadTypedArray, - frameState + frameState, ); } @@ -1366,7 +1365,7 @@ function loadIndices( draco, hasFeatureIds, needsPostProcessing, - frameState + frameState, ) { const accessor = loader.gltfJson.accessors[accessorId]; const bufferViewId = accessor.bufferView; @@ -1409,7 +1408,7 @@ function loadIndices( draco, loadBuffer, loadTypedArray, - frameState + frameState, ); const index = loader._geometryLoaders.length; @@ -1511,14 +1510,14 @@ function loadSpecularGlossiness(loader, specularGlossinessInfo, frameState) { specularGlossiness.diffuseTexture = loadTexture( loader, diffuseTexture, - frameState + frameState, ); } if (defined(specularGlossinessTexture)) { specularGlossiness.specularGlossinessTexture = loadTexture( loader, specularGlossinessTexture, - frameState + frameState, ); } specularGlossiness.diffuseFactor = fromArray(Cartesian4, diffuseFactor); @@ -1550,14 +1549,14 @@ function loadMetallicRoughness(loader, metallicRoughnessInfo, frameState) { metallicRoughness.baseColorTexture = loadTexture( loader, baseColorTexture, - frameState + frameState, ); } if (defined(metallicRoughnessTexture)) { metallicRoughness.metallicRoughnessTexture = loadTexture( loader, metallicRoughnessTexture, - frameState + frameState, ); } metallicRoughness.baseColorFactor = fromArray(Cartesian4, baseColorFactor); @@ -1583,7 +1582,7 @@ function loadSpecular(loader, specularInfo, frameState) { specular.specularColorTexture = loadTexture( loader, specularColorTexture, - frameState + frameState, ); } specular.specularFactor = specularFactor; @@ -1604,7 +1603,7 @@ function loadAnisotropy(loader, anisotropyInfo, frameState) { anisotropy.anisotropyTexture = loadTexture( loader, anisotropyTexture, - frameState + frameState, ); } anisotropy.anisotropyStrength = anisotropyStrength; @@ -1627,21 +1626,21 @@ function loadClearcoat(loader, clearcoatInfo, frameState) { clearcoat.clearcoatTexture = loadTexture( loader, clearcoatTexture, - frameState + frameState, ); } if (defined(clearcoatRoughnessTexture)) { clearcoat.clearcoatRoughnessTexture = loadTexture( loader, clearcoatRoughnessTexture, - frameState + frameState, ); } if (defined(clearcoatNormalTexture)) { clearcoat.clearcoatNormalTexture = loadTexture( loader, clearcoatNormalTexture, - frameState + frameState, ); } clearcoat.clearcoatFactor = clearcoatFactor; @@ -1664,7 +1663,7 @@ function loadMaterial(loader, gltfMaterial, frameState) { const extensions = defaultValue( gltfMaterial.extensions, - defaultValue.EMPTY_OBJECT + defaultValue.EMPTY_OBJECT, ); const pbrSpecularGlossiness = extensions.KHR_materials_pbrSpecularGlossiness; const pbrSpecular = extensions.KHR_materials_specular; @@ -1678,14 +1677,14 @@ function loadMaterial(loader, gltfMaterial, frameState) { material.specularGlossiness = loadSpecularGlossiness( loader, pbrSpecularGlossiness, - frameState + frameState, ); } else { if (defined(pbrMetallicRoughness)) { material.metallicRoughness = loadMetallicRoughness( loader, pbrMetallicRoughness, - frameState + frameState, ); } if (defined(pbrSpecular) && !material.unlit) { @@ -1704,7 +1703,7 @@ function loadMaterial(loader, gltfMaterial, frameState) { material.emissiveTexture = loadTexture( loader, gltfMaterial.emissiveTexture, - frameState + frameState, ); } // Normals aren't used for classification, so don't load the normal texture. @@ -1712,14 +1711,14 @@ function loadMaterial(loader, gltfMaterial, frameState) { material.normalTexture = loadTexture( loader, gltfMaterial.normalTexture, - frameState + frameState, ); } if (defined(gltfMaterial.occlusionTexture)) { material.occlusionTexture = loadTexture( loader, gltfMaterial.occlusionTexture, - frameState + frameState, ); } material.emissiveFactor = fromArray(Cartesian3, gltfMaterial.emissiveFactor); @@ -1747,7 +1746,7 @@ function loadFeatureIdAttributeLegacy( gltfFeatureIdAttribute, featureTableId, featureCount, - positionalLabel + positionalLabel, ) { const featureIdAttribute = new FeatureIdAttribute(); const featureIds = gltfFeatureIdAttribute.featureIds; @@ -1778,7 +1777,7 @@ function loadFeatureIdImplicitRangeLegacy( gltfFeatureIdAttribute, featureTableId, featureCount, - positionalLabel + positionalLabel, ) { const featureIdRange = new FeatureIdImplicitRange(); const featureIds = gltfFeatureIdAttribute.featureIds; @@ -1800,7 +1799,7 @@ function loadFeatureIdTexture( loader, gltfFeatureIdTexture, frameState, - positionalLabel + positionalLabel, ) { const featureIdTexture = new FeatureIdTexture(); @@ -1815,7 +1814,7 @@ function loadFeatureIdTexture( loader, textureInfo, frameState, - Sampler.NEAREST // Feature ID textures require nearest sampling + Sampler.NEAREST, // Feature ID textures require nearest sampling ); // Though the new channel index is more future-proof, this implementation @@ -1839,7 +1838,7 @@ function loadFeatureIdTextureLegacy( featureTableId, frameState, featureCount, - positionalLabel + positionalLabel, ) { const featureIdTexture = new FeatureIdTexture(); const featureIds = gltfFeatureIdTexture.featureIds; @@ -1850,7 +1849,7 @@ function loadFeatureIdTextureLegacy( loader, textureInfo, frameState, - Sampler.NEAREST // Feature ID textures require nearest sampling + Sampler.NEAREST, // Feature ID textures require nearest sampling ); featureIdTexture.textureReader.channels = featureIds.channels; @@ -1864,7 +1863,7 @@ function loadMorphTarget( target, needsPostProcessing, primitiveLoadPlan, - frameState + frameState, ) { const morphTarget = new MorphTarget(); @@ -1881,7 +1880,7 @@ function loadMorphTarget( const semanticInfo = getSemanticInfo( loader, VertexAttributeSemantic, - semantic + semantic, ); const attributePlan = loadVertexAttribute( @@ -1891,7 +1890,7 @@ function loadMorphTarget( draco, hasInstances, needsPostProcessing, - frameState + frameState, ); morphTarget.attributes.push(attributePlan.attribute); @@ -1922,13 +1921,13 @@ function loadPrimitive(loader, gltfPrimitive, hasInstances, frameState) { primitive.material = loadMaterial( loader, loader.gltfJson.materials[materialId], - frameState + frameState, ); } const extensions = defaultValue( gltfPrimitive.extensions, - defaultValue.EMPTY_OBJECT + defaultValue.EMPTY_OBJECT, ); let needsPostProcessing = false; @@ -1939,12 +1938,12 @@ function loadPrimitive(loader, gltfPrimitive, hasInstances, frameState) { primitivePlan.outlineIndices = loadPrimitiveOutline( loader, outlineExtension, - primitivePlan + primitivePlan, ); } - + //JASON TODO -- remove extensionsUsed Logic const gaussianSplattingExtension = loader.gltfJson.extensionsUsed.includes( - "KHR_gaussian_splatting" + "KHR_gaussian_splatting", ); if (loader._loadGaussianSplatting && defined(gaussianSplattingExtension)) { needsPostProcessing = true; @@ -1965,7 +1964,7 @@ function loadPrimitive(loader, gltfPrimitive, hasInstances, frameState) { const semanticInfo = getSemanticInfo( loader, VertexAttributeSemantic, - semantic + semantic, ); const modelSemantic = semanticInfo.modelSemantic; @@ -1984,7 +1983,7 @@ function loadPrimitive(loader, gltfPrimitive, hasInstances, frameState) { draco, hasInstances, needsPostProcessing, - frameState + frameState, ); primitivePlan.attributePlans.push(attributePlan); @@ -2002,8 +2001,8 @@ function loadPrimitive(loader, gltfPrimitive, hasInstances, frameState) { targets[i], needsPostProcessing, primitivePlan, - frameState - ) + frameState, + ), ); } } @@ -2016,7 +2015,7 @@ function loadPrimitive(loader, gltfPrimitive, hasInstances, frameState) { draco, hasFeatureIds, needsPostProcessing, - frameState + frameState, ); if (defined(indicesPlan)) { @@ -2042,7 +2041,7 @@ function loadPrimitive(loader, gltfPrimitive, hasInstances, frameState) { loader, primitive, featureMetadataLegacy, - frameState + frameState, ); } @@ -2056,7 +2055,7 @@ function loadPrimitive(loader, gltfPrimitive, hasInstances, frameState) { const primitiveType = gltfPrimitive.mode; if (loadForClassification && primitiveType !== PrimitiveType.TRIANGLES) { throw new RuntimeError( - "Only triangle meshes can be used for classification." + "Only triangle meshes can be used for classification.", ); } primitive.primitiveType = primitiveType; @@ -2076,7 +2075,7 @@ function loadPrimitiveFeatures( loader, primitive, meshFeaturesExtension, - frameState + frameState, ) { let featureIdsArray; if ( @@ -2098,7 +2097,7 @@ function loadPrimitiveFeatures( loader, featureIds, frameState, - label + label, ); } else if (defined(featureIds.attribute)) { featureIdComponent = loadFeatureIdAttribute(featureIds, label); @@ -2117,7 +2116,7 @@ function loadPrimitiveFeaturesLegacy( loader, primitive, metadataExtension, - frameState + frameState, ) { // For looking up the featureCount for each set of feature IDs const { featureTables } = loader.gltfJson.extensions.EXT_feature_metadata; @@ -2130,9 +2129,8 @@ function loadPrimitiveFeaturesLegacy( for (let i = 0; i < featureIdAttributes.length; ++i) { const featureIdAttribute = featureIdAttributes[i]; const featureTableId = featureIdAttribute.featureTable; - const propertyTableId = loader._sortedPropertyTableIds.indexOf( - featureTableId - ); + const propertyTableId = + loader._sortedPropertyTableIds.indexOf(featureTableId); const featureCount = featureTables[featureTableId].count; const label = `featureId_${nextFeatureIdIndex}`; nextFeatureIdIndex++; @@ -2143,14 +2141,14 @@ function loadPrimitiveFeaturesLegacy( featureIdAttribute, propertyTableId, featureCount, - label + label, ); } else { featureIdComponent = loadFeatureIdImplicitRangeLegacy( featureIdAttribute, propertyTableId, featureCount, - label + label, ); } primitive.featureIds.push(featureIdComponent); @@ -2163,9 +2161,8 @@ function loadPrimitiveFeaturesLegacy( for (let i = 0; i < featureIdTextures.length; ++i) { const featureIdTexture = featureIdTextures[i]; const featureTableId = featureIdTexture.featureTable; - const propertyTableId = loader._sortedPropertyTableIds.indexOf( - featureTableId - ); + const propertyTableId = + loader._sortedPropertyTableIds.indexOf(featureTableId); const featureCount = featureTables[featureTableId].count; const featureIdLabel = `featureId_${nextFeatureIdIndex}`; nextFeatureIdIndex++; @@ -2176,7 +2173,7 @@ function loadPrimitiveFeaturesLegacy( propertyTableId, frameState, featureCount, - featureIdLabel + featureIdLabel, ); // Feature ID textures are added after feature ID attributes in the list primitive.featureIds.push(featureIdComponent); @@ -2212,7 +2209,7 @@ function loadPrimitiveMetadataLegacy(loader, primitive, metadataExtension) { primitive.propertyTextureIds = metadataExtension.featureTextures.map( function (id) { return loader._sortedFeatureTextureIds.indexOf(id); - } + }, ); } } @@ -2234,15 +2231,15 @@ function loadInstances(loader, nodeExtensions, frameState) { accessorId, attributes, semantic, - frameState - ) + frameState, + ), ); } } const instancingExtExtensions = defaultValue( instancingExtension.extensions, - defaultValue.EMPTY_OBJECT + defaultValue.EMPTY_OBJECT, ); const instanceFeatures = nodeExtensions.EXT_instance_features; const featureMetadataLegacy = instancingExtExtensions.EXT_feature_metadata; @@ -2254,7 +2251,7 @@ function loadInstances(loader, nodeExtensions, frameState) { loader.gltfJson, instances, featureMetadataLegacy, - loader._sortedPropertyTableIds + loader._sortedPropertyTableIds, ); } @@ -2288,7 +2285,7 @@ function loadInstanceFeaturesLegacy( gltf, instances, metadataExtension, - sortedPropertyTableIds + sortedPropertyTableIds, ) { // For looking up the featureCount for each set of feature IDs const featureTables = gltf.extensions.EXT_feature_metadata.featureTables; @@ -2308,14 +2305,14 @@ function loadInstanceFeaturesLegacy( featureIdAttribute, propertyTableId, featureCount, - label + label, ); } else { featureIdComponent = loadFeatureIdImplicitRangeLegacy( featureIdAttribute, propertyTableId, featureCount, - label + label, ); } instances.featureIds.push(featureIdComponent); @@ -2343,7 +2340,7 @@ function loadNode(loader, gltfNode, frameState) { const nodeExtensions = defaultValue( gltfNode.extensions, - defaultValue.EMPTY_OBJECT + defaultValue.EMPTY_OBJECT, ); const instancingExtension = nodeExtensions.EXT_mesh_gpu_instancing; const articulationsExtension = nodeExtensions.AGI_articulations; @@ -2351,7 +2348,7 @@ function loadNode(loader, gltfNode, frameState) { if (defined(instancingExtension)) { if (loader._loadForClassification) { throw new RuntimeError( - "Models with the EXT_mesh_gpu_instancing extension cannot be used for classification." + "Models with the EXT_mesh_gpu_instancing extension cannot be used for classification.", ); } node.instances = loadInstances(loader, nodeExtensions, frameState); @@ -2371,8 +2368,8 @@ function loadNode(loader, gltfNode, frameState) { loader, primitives[i], defined(node.instances), - frameState - ) + frameState, + ), ); } @@ -2434,7 +2431,7 @@ function loadSkin(loader, gltfSkin, nodes) { skin.inverseBindMatrices = loadAccessor(loader, accessor); } else { skin.inverseBindMatrices = new Array(jointIds.length).fill( - Matrix4.IDENTITY + Matrix4.IDENTITY, ); } @@ -2470,7 +2467,7 @@ async function loadStructuralMetadata( loader, extension, extensionLegacy, - frameState + frameState, ) { const structuralMetadataLoader = new GltfStructuralMetadataLoader({ gltf: loader.gltfJson, @@ -2510,7 +2507,7 @@ function loadAnimationSampler(loader, gltfSampler) { const gltfInterpolation = gltfSampler.interpolation; animationSampler.interpolation = defaultValue( InterpolationType[gltfInterpolation], - InterpolationType.LINEAR + InterpolationType.LINEAR, ); const outputAccessor = accessors[gltfSampler.output]; @@ -2704,11 +2701,11 @@ function parse(loader, frameState) { const center = Cartesian3.fromArray( cesiumRtcExtension.center, 0, - scratchCenter + scratchCenter, ); components.transform = Matrix4.fromTranslation( center, - components.transform + components.transform, ); } @@ -2723,7 +2720,7 @@ function parse(loader, frameState) { loader, structuralMetadataExtension, featureMetadataExtensionLegacy, - frameState + frameState, ); loader._loaderPromises.push(promise); } @@ -2750,7 +2747,7 @@ function parse(loader, frameState) { loader, gltf, meshPrimitiveGpmExtension, - frameState + frameState, ); loader._loaderPromises.push(promise); } diff --git a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js index 490c4d9c530b..b49477d66c03 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js @@ -13,7 +13,7 @@ const GaussianSplatPipelineStage = { GaussianSplatPipelineStage.process = function ( renderResources, primitive, - frameState + frameState, ) { const { shaderBuilder } = renderResources; @@ -28,7 +28,7 @@ GaussianSplatPipelineStage.process = function ( shaderBuilder.addDefine( "HAS_GAUSSIAN_SPLATS", undefined, - ShaderDestination.BOTH + ShaderDestination.BOTH, ); shaderBuilder.addAttribute("vec2", "a_screenQuadPosition"); @@ -86,19 +86,19 @@ GaussianSplatPipelineStage.process = function ( const scaleAttr = attributes.find((a) => a.name === "_SCALE"); const rotAttr = attributes.find((a) => a.name === "_ROTATION"); const clrAttr = attributes.find((a) => a.name === "COLOR_0"); - const opAttr = attributes.find((a) => a.name === "_OPACITY"); + // const opAttr = attributes.find((a) => a.name === "_OPACITY"); const posArray = posAttr.typedArray; const scaleArray = scaleAttr.typedArray; const rotArray = rotAttr.typedArray; const clrArray = clrAttr.typedArray; - const opArray = opAttr.typedArray; + // const opArray = opAttr.typedArray; const newPosArray = new posArray.constructor(posArray.length); const newScaleArray = new scaleArray.constructor(scaleArray.length); const newRotArray = new rotArray.constructor(rotArray.length); const newClrArray = new clrArray.constructor(clrArray.length); - const newOpArray = new opArray.constructor(opArray.length); + // const newOpArray = new opArray.constructor(opArray.length); const calcDepth = (i) => posArray[i * 3] * modelView[2] + @@ -153,7 +153,7 @@ GaussianSplatPipelineStage.process = function ( newClrArray[i * 3 + 1] = clrArray[j * 3 + 1]; newClrArray[i * 3 + 2] = clrArray[j * 3 + 2]; - newOpArray[i] = opArray[j]; + // newOpArray[i] = opArray[j]; } posAttr.typedArray = newPosArray; diff --git a/packages/engine/Source/Scene/Model/GeometryPipelineStage.js b/packages/engine/Source/Scene/Model/GeometryPipelineStage.js index fa00261b33dc..debd0e4914f9 100644 --- a/packages/engine/Source/Scene/Model/GeometryPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GeometryPipelineStage.js @@ -64,7 +64,7 @@ const GeometryPipelineStage = { GeometryPipelineStage.process = function ( renderResources, primitive, - frameState + frameState, ) { const { shaderBuilder, model } = renderResources; @@ -73,12 +73,12 @@ GeometryPipelineStage.process = function ( shaderBuilder.addStruct( GeometryPipelineStage.STRUCT_ID_PROCESSED_ATTRIBUTES_VS, "ProcessedAttributes", - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); shaderBuilder.addStruct( GeometryPipelineStage.STRUCT_ID_PROCESSED_ATTRIBUTES_FS, "ProcessedAttributes", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); // The Feature struct is always added since it's required for compilation. @@ -86,7 +86,7 @@ GeometryPipelineStage.process = function ( shaderBuilder.addStruct( SelectedFeatureIdPipelineStage.STRUCT_ID_SELECTED_FEATURE, SelectedFeatureIdPipelineStage.STRUCT_NAME_SELECTED_FEATURE, - ShaderDestination.BOTH + ShaderDestination.BOTH, ); // This initialization function is only needed in the vertex shader, @@ -95,7 +95,7 @@ GeometryPipelineStage.process = function ( shaderBuilder.addFunction( GeometryPipelineStage.FUNCTION_ID_INITIALIZE_ATTRIBUTES, GeometryPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_ATTRIBUTES, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); // Positions in other coordinate systems need more variables @@ -104,12 +104,12 @@ GeometryPipelineStage.process = function ( shaderBuilder.addStructField( GeometryPipelineStage.STRUCT_ID_PROCESSED_ATTRIBUTES_FS, "vec3", - "positionWC" + "positionWC", ); shaderBuilder.addStructField( GeometryPipelineStage.STRUCT_ID_PROCESSED_ATTRIBUTES_FS, "vec3", - "positionEC" + "positionEC", ); // Though they have identical signatures, the implementation is different @@ -118,12 +118,12 @@ GeometryPipelineStage.process = function ( shaderBuilder.addFunction( GeometryPipelineStage.FUNCTION_ID_SET_DYNAMIC_VARYINGS_VS, GeometryPipelineStage.FUNCTION_SIGNATURE_SET_DYNAMIC_VARYINGS, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); shaderBuilder.addFunction( GeometryPipelineStage.FUNCTION_ID_SET_DYNAMIC_VARYINGS_FS, GeometryPipelineStage.FUNCTION_SIGNATURE_SET_DYNAMIC_VARYINGS, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); // .pnts point clouds store sRGB color rather than linear color @@ -131,29 +131,26 @@ GeometryPipelineStage.process = function ( shaderBuilder.addDefine( "HAS_SRGB_COLOR", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); } + //JASON TODO -- just use glTF extension to determine if (primitive.primitiveType === PrimitiveType.POINTS) { const gaussianSplatsEnabled = model.enableShowGaussianSplatting; if (gaussianSplatsEnabled) { const showSplats = model?.style?.showGaussianSplatting ?? true; - primitive.attributes.find( - (a) => a.name === "POSITION" - ).instanceDivisor = showSplats ? 1 : 0; - primitive.attributes.find( - (a) => a.name === "_SCALE" - ).instanceDivisor = showSplats ? 1 : 0; - primitive.attributes.find( - (a) => a.name === "_ROTATION" - ).instanceDivisor = showSplats ? 1 : 0; - primitive.attributes.find( - (a) => a.name === "COLOR_0" - ).instanceDivisor = showSplats ? 1 : 0; - primitive.attributes.find( - (a) => a.name === "_OPACITY" - ).instanceDivisor = showSplats ? 1 : 0; + primitive.attributes.find((a) => a.name === "POSITION").instanceDivisor = + showSplats ? 1 : 0; + primitive.attributes.find((a) => a.name === "_SCALE").instanceDivisor = + showSplats ? 1 : 0; + primitive.attributes.find((a) => a.name === "_ROTATION").instanceDivisor = + showSplats ? 1 : 0; + primitive.attributes.find((a) => a.name === "COLOR_0").instanceDivisor = + showSplats ? 1 : 0; + // primitive.attributes.find( + // (a) => a.name === "_OPACITY" + // ).instanceDivisor = showSplats ? 1 : 0; if (!showSplats) { shaderBuilder.addDefine("PRIMITIVE_TYPE_POINTS"); @@ -198,13 +195,13 @@ GeometryPipelineStage.process = function ( for (let i = 0; i < length; i++) { const attribute = primitive.attributes[i]; const attributeLocationCount = AttributeType.getAttributeLocationCount( - attribute.type + attribute.type, ); //>>includeStart('debug', pragmas.debug); if (!defined(attribute.buffer) && !defined(attribute.constant)) { throw new DeveloperError( - "Attributes must be provided as a Buffer or constant value" + "Attributes must be provided as a Buffer or constant value", ); } //>>includeEnd('debug'); @@ -228,7 +225,7 @@ GeometryPipelineStage.process = function ( index, attributeLocationCount, use2D, - instanced + instanced, ); } @@ -244,7 +241,7 @@ function processAttribute( attributeIndex, attributeLocationCount, use2D, - instanced + instanced, ) { const shaderBuilder = renderResources.shaderBuilder; const attributeInfo = ModelUtility.getAttributeInfo(attribute); @@ -259,14 +256,14 @@ function processAttribute( renderResources, attribute, attributeIndex, - attributeLocationCount + attributeLocationCount, ); } else { addAttributeToRenderResources( renderResources, attribute, attributeIndex, - modifyFor2D + modifyFor2D, ); } @@ -311,7 +308,7 @@ function addAttributeToRenderResources( renderResources, attribute, attributeIndex, - modifyFor2D + modifyFor2D, ) { const { quantization, semantic, setIndex } = attribute; const { type, componentDatatype } = defined(quantization) @@ -368,7 +365,7 @@ function addMatrixAttributeToRenderResources( renderResources, attribute, attributeIndex, - columnCount + columnCount, ) { const { quantization, normalized } = attribute; const { type, componentDatatype } = defined(quantization) @@ -380,9 +377,8 @@ function addMatrixAttributeToRenderResources( // componentsPerColumn is either 2, 3, or 4 const componentsPerColumn = componentCount / columnCount; - const componentSizeInBytes = ComponentDatatype.getSizeInBytes( - componentDatatype - ); + const componentSizeInBytes = + ComponentDatatype.getSizeInBytes(componentDatatype); const columnLengthInBytes = componentsPerColumn * componentSizeInBytes; @@ -488,7 +484,7 @@ function updateAttributesStruct(shaderBuilder, attributeInfo, use2D) { function updateInitializeAttributesFunction( shaderBuilder, attributeInfo, - use2D + use2D, ) { const functionId = GeometryPipelineStage.FUNCTION_ID_INITIALIZE_ATTRIBUTES; const variableName = attributeInfo.variableName; @@ -562,12 +558,12 @@ function handleBitangents(shaderBuilder, attributes) { shaderBuilder.addStructField( GeometryPipelineStage.STRUCT_ID_PROCESSED_ATTRIBUTES_VS, "vec3", - "bitangentMC" + "bitangentMC", ); shaderBuilder.addStructField( GeometryPipelineStage.STRUCT_ID_PROCESSED_ATTRIBUTES_FS, "vec3", - "bitangentEC" + "bitangentEC", ); } diff --git a/packages/engine/Source/Scene/Model/Model.js b/packages/engine/Source/Scene/Model/Model.js index 7d07c8e6602f..7c90f4b46f23 100644 --- a/packages/engine/Source/Scene/Model/Model.js +++ b/packages/engine/Source/Scene/Model/Model.js @@ -214,7 +214,7 @@ function Model(options) { * m.modelMatrix = Cesium.Transforms.eastNorthUpToFixedFrame(origin); */ this.modelMatrix = Matrix4.clone( - defaultValue(options.modelMatrix, Matrix4.IDENTITY) + defaultValue(options.modelMatrix, Matrix4.IDENTITY), ); this._modelMatrix = Matrix4.clone(this.modelMatrix); this._scale = defaultValue(options.scale, 1.0); @@ -280,7 +280,7 @@ function Model(options) { this._color = Color.clone(options.color); this._colorBlendMode = defaultValue( options.colorBlendMode, - ColorBlendMode.HIGHLIGHT + ColorBlendMode.HIGHLIGHT, ); this._colorBlendAmount = defaultValue(options.colorBlendAmount, 0.5); @@ -311,7 +311,7 @@ function Model(options) { let instanceFeatureIdLabel = defaultValue( options.instanceFeatureIdLabel, - "instanceFeatureId_0" + "instanceFeatureId_0", ); if (typeof instanceFeatureIdLabel === "number") { instanceFeatureIdLabel = `instanceFeatureId_${instanceFeatureIdLabel}`; @@ -340,14 +340,14 @@ function Model(options) { this._heightReference = defaultValue( options.heightReference, - HeightReference.NONE + HeightReference.NONE, ); this._heightDirty = this._heightReference !== HeightReference.NONE; this._removeUpdateHeightCallback = undefined; this._enableVerticalExaggeration = defaultValue( options.enableVerticalExaggeration, - true + true, ); this._hasVerticalExaggeration = false; @@ -355,11 +355,10 @@ function Model(options) { const scene = options.scene; if (defined(scene) && defined(scene.terrainProviderChanged)) { - this._terrainProviderChangedCallback = scene.terrainProviderChanged.addEventListener( - () => { + this._terrainProviderChangedCallback = + scene.terrainProviderChanged.addEventListener(() => { this._heightDirty = true; - } - ); + }); } this._scene = scene; @@ -388,7 +387,7 @@ function Model(options) { ClippingPolygonCollection.setOwner( clippingPolygons, this, - "_clippingPolygons" + "_clippingPolygons", ); } else { this._clippingPolygons = clippingPolygons; @@ -411,12 +410,12 @@ function Model(options) { this._debugShowBoundingVolumeDirty = false; this._debugShowBoundingVolume = defaultValue( options.debugShowBoundingVolume, - false + false, ); this._enableDebugWireframe = defaultValue( options.enableDebugWireframe, - false + false, ); this._enableShowOutline = defaultValue(options.enableShowOutline, true); this._debugWireframe = defaultValue(options.debugWireframe, false); @@ -429,7 +428,7 @@ function Model(options) { ) { oneTimeWarning( "model-debug-wireframe-ignored", - "enableDebugWireframe must be set to true in Model.fromGltf, otherwise debugWireframe will be ignored." + "enableDebugWireframe must be set to true in Model.fromGltf, otherwise debugWireframe will be ignored.", ); } @@ -453,7 +452,7 @@ function Model(options) { this._splitDirection = defaultValue( options.splitDirection, - SplitDirection.NONE + SplitDirection.NONE, ); this._enableShowOutline = defaultValue(options.enableShowOutline, true); @@ -469,14 +468,15 @@ function Model(options) { */ this.showOutline = defaultValue(options.showOutline, true); + //JASON TODO -- load proper extension, remove extensionsUsed check this._enableShowGaussianSplatting = defaultValue( options.loader.gltfJson.extensionsUsed.includes("KHR_gaussian_splatting"), - false + false, ); this.showGaussianSplatting = defaultValue( options.showGaussianSplatting, - true + true, ); //track last camera view to determine if gaussian splats need to be re-sorted @@ -561,7 +561,7 @@ function selectFeatureTableId(components, model) { if (defined(node.instances)) { featureIdAttribute = ModelUtility.getFeatureIdsByLabel( node.instances.featureIds, - instanceFeatureIdLabel + instanceFeatureIdLabel, ); if ( defined(featureIdAttribute) && @@ -580,7 +580,7 @@ function selectFeatureTableId(components, model) { const primitive = node.primitives[j]; const featureIds = ModelUtility.getFeatureIdsByLabel( primitive.featureIds, - featureIdLabel + featureIdLabel, ); if (defined(featureIds)) { @@ -821,6 +821,7 @@ Object.defineProperties(Model.prototype, { * * @type {PointCloudShading} */ + //JASON TODO -- replace extensionsUsed logic enableShowGaussianSplatting: { get: function () { return this._enableShowGaussianSplatting; @@ -839,7 +840,7 @@ Object.defineProperties(Model.prototype, { ) { oneTimeWarning( "model-enable-show-gaussian-splatting-ignored", - "enableShowGaussian splatting must be used with a glTF model that has the KHR_gaussian_splatting extension" + "enableShowGaussian splatting must be used with a glTF model that has the KHR_gaussian_splatting extension", ); } }, @@ -941,7 +942,7 @@ Object.defineProperties(Model.prototype, { //>>includeEnd('debug'); this._distanceDisplayCondition = DistanceDisplayCondition.clone( value, - this._distanceDisplayCondition + this._distanceDisplayCondition, ); }, }, @@ -1181,7 +1182,7 @@ Object.defineProperties(Model.prototype, { //>>includeStart('debug', pragmas.debug); if (!this._ready) { throw new DeveloperError( - "The model is not loaded. Use Model.readyEvent or wait for Model.ready to be true." + "The model is not loaded. Use Model.readyEvent or wait for Model.ready to be true.", ); } //>>includeEnd('debug'); @@ -1249,7 +1250,7 @@ Object.defineProperties(Model.prototype, { ) { oneTimeWarning( "model-debug-wireframe-ignored", - "enableDebugWireframe must be set to true in Model.fromGltfAsync, otherwise debugWireframe will be ignored." + "enableDebugWireframe must be set to true in Model.fromGltfAsync, otherwise debugWireframe will be ignored.", ); } }, @@ -1764,7 +1765,7 @@ Model.prototype.getNode = function (name) { //>>includeStart('debug', pragmas.debug); if (!this._ready) { throw new DeveloperError( - "The model is not loaded. Use Model.readyEvent or wait for Model.ready to be true." + "The model is not loaded. Use Model.readyEvent or wait for Model.ready to be true.", ); } Check.typeOf.string("name", name); @@ -1794,7 +1795,7 @@ Model.prototype.setArticulationStage = function (articulationStageKey, value) { Check.typeOf.number("value", value); if (!this._ready) { throw new DeveloperError( - "The model is not loaded. Use Model.readyEvent or wait for Model.ready to be true." + "The model is not loaded. Use Model.readyEvent or wait for Model.ready to be true.", ); } //>>includeEnd('debug'); @@ -1813,7 +1814,7 @@ Model.prototype.applyArticulations = function () { //>>includeStart('debug', pragmas.debug); if (!this._ready) { throw new DeveloperError( - "The model is not loaded. Use Model.readyEvent or wait for Model.ready to be true." + "The model is not loaded. Use Model.readyEvent or wait for Model.ready to be true.", ); } //>>includeEnd('debug'); @@ -1840,7 +1841,7 @@ Model.prototype.getExtension = function (extensionName) { Check.typeOf.string("extensionName", extensionName); if (!this._ready) { throw new DeveloperError( - "The model is not loaded. Use Model.readyEvent or wait for Model.ready to be true." + "The model is not loaded. Use Model.readyEvent or wait for Model.ready to be true.", ); } //>>includeEnd('debug'); @@ -1896,7 +1897,7 @@ Model.prototype.update = function (frameState) { const runtimeError = ModelUtility.getError( "model", this._resource, - error + error, ); handleError(this, runtimeError); } @@ -1921,7 +1922,7 @@ Model.prototype.update = function (frameState) { const error = ModelUtility.getError( "model", this._resource, - new RuntimeError("Failed to load model.") + new RuntimeError("Failed to load model."), ); handleError(error); this._rejectLoad = this._rejectLoad && this._rejectLoad(error); @@ -2090,7 +2091,7 @@ function updateStyleCommandsNeeded(model) { const featureTable = model.featureTables[model.featureTableId]; model._styleCommandsNeeded = StyleCommandsNeeded.getStyleCommandsNeeded( featureTable.featuresLength, - featureTable.batchTexture.translucentFeaturesLength + featureTable.batchTexture.translucentFeaturesLength, ); } @@ -2116,7 +2117,7 @@ function updateGaussianSplatting(model, frameState) { Matrix4.multiply( frameState.camera.frustum.projectionMatrix, frameState.camera.viewMatrix, - viewProj + viewProj, ); if (model._previousViewProj === undefined) { @@ -2235,7 +2236,7 @@ function updateModelMatrix(model, frameState) { //>>includeStart('debug', pragmas.debug); if (frameState.mode !== SceneMode.SCENE3D && model._projectTo2D) { throw new DeveloperError( - "Model.modelMatrix cannot be changed in 2D or Columbus View if projectTo2D is true." + "Model.modelMatrix cannot be changed in 2D or Columbus View if projectTo2D is true.", ); } //>>includeEnd('debug'); @@ -2266,7 +2267,7 @@ function updateClamping(model) { //>>includeStart('debug', pragmas.debug); if (model.heightReference !== HeightReference.NONE) { throw new DeveloperError( - "Height reference is not supported without a scene." + "Height reference is not supported without a scene.", ); } //>>includeEnd('debug'); @@ -2291,7 +2292,7 @@ function updateClamping(model) { model._removeUpdateHeightCallback = scene.updateHeight( cartoPosition, getUpdateHeightCallback(model, ellipsoid, cartoPosition), - model.heightReference + model.heightReference, ); // Set the correct height now @@ -2331,14 +2332,14 @@ function updateBoundingSphere(model, modelMatrix) { model._boundingSphere.center = Cartesian3.multiplyByScalar( model._sceneGraph.boundingSphere.center, model._clampedScale, - model._boundingSphere.center + model._boundingSphere.center, ); model._boundingSphere.radius = model._initialRadius * model._clampedScale; model._boundingSphere = BoundingSphere.transform( model._boundingSphere, modelMatrix, - model._boundingSphere + model._boundingSphere, ); } @@ -2350,7 +2351,7 @@ function updateComputedScale(model, modelMatrix, frameState) { const context = frameState.context; const maxPixelSize = Math.max( context.drawingBufferWidth, - context.drawingBufferHeight + context.drawingBufferHeight, ); Matrix4.getTranslation(modelMatrix, scratchPosition); @@ -2359,7 +2360,7 @@ function updateComputedScale(model, modelMatrix, frameState) { SceneTransforms.computeActualEllipsoidPosition( frameState, scratchPosition, - scratchPosition + scratchPosition, ); } @@ -2370,7 +2371,7 @@ function updateComputedScale(model, modelMatrix, frameState) { const pixelsPerMeter = 1.0 / metersPerPixel; const diameterInPixels = Math.min( pixelsPerMeter * (2.0 * radius), - maxPixelSize + maxPixelSize, ); // Maintain model's minimum pixel size @@ -2419,20 +2420,20 @@ function updateReferenceMatrices(model, frameState) { iblReferenceFrameMatrix4 = Matrix4.multiply( context.uniformState.view3D, referenceMatrix, - iblReferenceFrameMatrix4 + iblReferenceFrameMatrix4, ); iblReferenceFrameMatrix3 = Matrix4.getRotation( iblReferenceFrameMatrix4, - iblReferenceFrameMatrix3 + iblReferenceFrameMatrix3, ); iblReferenceFrameMatrix3 = Matrix3.transpose( iblReferenceFrameMatrix3, - iblReferenceFrameMatrix3 + iblReferenceFrameMatrix3, ); model._iblReferenceFrameMatrix = Matrix3.multiply( yUpToZUp, iblReferenceFrameMatrix3, - model._iblReferenceFrameMatrix + model._iblReferenceFrameMatrix, ); } @@ -2441,16 +2442,16 @@ function updateReferenceMatrices(model, frameState) { clippingPlanesMatrix = Matrix4.multiply( context.uniformState.view3D, referenceMatrix, - clippingPlanesMatrix + clippingPlanesMatrix, ); clippingPlanesMatrix = Matrix4.multiply( clippingPlanesMatrix, model._clippingPlanes.modelMatrix, - clippingPlanesMatrix + clippingPlanesMatrix, ); model._clippingPlanesMatrix = Matrix4.inverseTranspose( clippingPlanesMatrix, - model._clippingPlanesMatrix + model._clippingPlanesMatrix, ); } } @@ -2528,7 +2529,7 @@ function submitDrawCommands(model, frameState) { const displayConditionPassed = passesDistanceDisplayCondition( model, - frameState + frameState, ); const invisible = model.isInvisible(); @@ -2561,7 +2562,7 @@ function scaleInPixels(positionWC, radius, frameState) { return frameState.camera.getPixelSize( scratchBoundingSphere, frameState.context.drawingBufferWidth, - frameState.context.drawingBufferHeight + frameState.context.drawingBufferHeight, ); } @@ -2574,7 +2575,7 @@ function getUpdateHeightCallback(model, ellipsoid, originalPostition) { ellipsoid.cartographicToCartesian( clampedPosition, - scratchUpdateHeightCartesian + scratchUpdateHeightCartesian, ); const clampedModelMatrix = model._clampedModelMatrix; @@ -2610,7 +2611,7 @@ function passesDistanceDisplayCondition(model, frameState) { // Distance to center of primitive's reference frame const position = Matrix4.getTranslation( model.modelMatrix, - scratchDisplayConditionCartesian + scratchDisplayConditionCartesian, ); // This will project the position if the scene is in Columbus View, @@ -2618,12 +2619,12 @@ function passesDistanceDisplayCondition(model, frameState) { SceneTransforms.computeActualEllipsoidPosition( frameState, position, - position + position, ); distanceSquared = Cartesian3.distanceSquared( position, - frameState.camera.positionWC + frameState.camera.positionWC, ); } @@ -2739,7 +2740,7 @@ Model.prototype.pick = function ( frameState, verticalExaggeration, relativeHeight, - result + result, ) { return pickModel( this, @@ -2747,7 +2748,7 @@ Model.prototype.pick = function ( frameState, verticalExaggeration, relativeHeight, - result + result, ); }; @@ -3196,7 +3197,7 @@ Model.fromGeoJson = async function (options) { const modelOptions = makeModelOptions( loader, ModelType.TILE_GEOJSON, - options + options, ); const model = new Model(modelOptions); return model; diff --git a/packages/engine/Source/Scene/Model/Model3DTileContent.js b/packages/engine/Source/Scene/Model/Model3DTileContent.js index cc2cffdaf737..4cc14223fad0 100644 --- a/packages/engine/Source/Scene/Model/Model3DTileContent.js +++ b/packages/engine/Source/Scene/Model/Model3DTileContent.js @@ -178,7 +178,7 @@ Model3DTileContent.prototype.getFeature = function (featureId) { //>>includeStart('debug', pragmas.debug); if (!defined(featureTableId)) { throw new DeveloperError( - "No feature ID set is selected. Make sure Cesium3DTileset.featureIdLabel or Cesium3DTileset.instanceFeatureIdLabel is defined" + "No feature ID set is selected. Make sure Cesium3DTileset.featureIdLabel or Cesium3DTileset.instanceFeatureIdLabel is defined", ); } //>>includeEnd('debug'); @@ -188,7 +188,7 @@ Model3DTileContent.prototype.getFeature = function (featureId) { //>>includeStart('debug', pragmas.debug); if (!defined(featureTable)) { throw new DeveloperError( - "No feature table found for the selected feature ID set" + "No feature table found for the selected feature ID set", ); } //>>includeEnd('debug'); @@ -199,7 +199,7 @@ Model3DTileContent.prototype.getFeature = function (featureId) { throw new DeveloperError( `featureId is required and must be between 0 and featuresLength - 1 (${ featuresLength - 1 - }).` + }).`, ); } //>>includeEnd('debug'); @@ -328,7 +328,7 @@ Model3DTileContent.fromGltf = async function (tileset, tile, resource, gltf) { tileset, tile, content, - additionalOptions + additionalOptions, ); const classificationType = tileset.vectorClassificationOnly @@ -337,8 +337,9 @@ Model3DTileContent.fromGltf = async function (tileset, tile, resource, gltf) { modelOptions.classificationType = classificationType; + //JASON TODO -- get rid of extensionsUsed logic modelOptions.enableShowGaussianSplatting = gltf.extensionsUsed.includes( - "KHR_gaussian_splatting" + "KHR_gaussian_splatting", ); const model = await Model.fromGltfAsync(modelOptions); @@ -352,7 +353,7 @@ Model3DTileContent.fromB3dm = async function ( tile, resource, arrayBuffer, - byteOffset + byteOffset, ) { const content = new Model3DTileContent(tileset, tile, resource); @@ -366,7 +367,7 @@ Model3DTileContent.fromB3dm = async function ( tileset, tile, content, - additionalOptions + additionalOptions, ); const classificationType = tileset.vectorClassificationOnly @@ -386,7 +387,7 @@ Model3DTileContent.fromI3dm = async function ( tile, resource, arrayBuffer, - byteOffset + byteOffset, ) { const content = new Model3DTileContent(tileset, tile, resource); @@ -400,7 +401,7 @@ Model3DTileContent.fromI3dm = async function ( tileset, tile, content, - additionalOptions + additionalOptions, ); const model = await Model.fromI3dm(modelOptions); @@ -414,7 +415,7 @@ Model3DTileContent.fromPnts = async function ( tile, resource, arrayBuffer, - byteOffset + byteOffset, ) { const content = new Model3DTileContent(tileset, tile, resource); @@ -428,7 +429,7 @@ Model3DTileContent.fromPnts = async function ( tileset, tile, content, - additionalOptions + additionalOptions, ); const model = await Model.fromPnts(modelOptions); content._model = model; @@ -440,7 +441,7 @@ Model3DTileContent.fromGeoJson = async function ( tileset, tile, resource, - geoJson + geoJson, ) { const content = new Model3DTileContent(tileset, tile, resource); @@ -453,7 +454,7 @@ Model3DTileContent.fromGeoJson = async function ( tileset, tile, content, - additionalOptions + additionalOptions, ); const model = await Model.fromGeoJson(modelOptions); content._model = model; @@ -486,7 +487,7 @@ Model3DTileContent.prototype.pick = function (ray, frameState, result) { verticalExaggeration, relativeHeight, Ellipsoid.WGS84, - result + result, ); }; diff --git a/packages/engine/Source/Scene/Model/ModelDrawCommands.js b/packages/engine/Source/Scene/Model/ModelDrawCommands.js index 6aca7b84e1d2..591b195f6634 100644 --- a/packages/engine/Source/Scene/Model/ModelDrawCommands.js +++ b/packages/engine/Source/Scene/Model/ModelDrawCommands.js @@ -42,19 +42,19 @@ import BufferUsage from "../../Renderer/BufferUsage.js"; */ ModelDrawCommands.buildModelDrawCommand = function ( primitiveRenderResources, - frameState + frameState, ) { const shaderBuilder = primitiveRenderResources.shaderBuilder; const shaderProgram = createShaderProgram( primitiveRenderResources, shaderBuilder, - frameState + frameState, ); const command = buildDrawCommandForModel( primitiveRenderResources, shaderProgram, - frameState + frameState, ); const model = primitiveRenderResources.model; @@ -78,7 +78,7 @@ ModelDrawCommands.buildModelDrawCommand = function ( function createShaderProgram( primitiveRenderResources, shaderBuilder, - frameState + frameState, ) { shaderBuilder.addVertexLines(ModelVS); shaderBuilder.addFragmentLines(ModelFS); @@ -105,13 +105,14 @@ function createShaderProgram( function buildDrawCommandForModel( primitiveRenderResources, shaderProgram, - frameState + frameState, ) { const indexBuffer = getIndexBuffer(primitiveRenderResources); const model = primitiveRenderResources.model; const vertexArray = (() => { if ( + //JASON TODO -- revisit this after other changes model.enableShowGaussianSplatting && (model?.style?.showGaussianSplatting ?? true) ) { @@ -138,25 +139,25 @@ function buildDrawCommandForModel( ...primitiveRenderResources.runtimePrimitive.primitive.attributes, splatPosition: { ...primitiveRenderResources.runtimePrimitive.primitive.attributes.find( - (a) => a.name === "POSITION" + (a) => a.name === "POSITION", ), name: "_SPLAT_POSITION", variableName: "splatPosition", }, splatColor: { ...primitiveRenderResources.runtimePrimitive.primitive.attributes.find( - (a) => a.name === "COLOR_0" + (a) => a.name === "COLOR_0", ), name: "_SPLAT_COLOR", variableName: "splatColor", }, - splatOpacity: { - ...primitiveRenderResources.runtimePrimitive.primitive.attributes.find( - (a) => a.name === "_OPACITY" - ), - name: "_SPLAT_OPACITY", - variableName: "splatOpacity", - }, + // splatOpacity: { + // ...primitiveRenderResources.runtimePrimitive.primitive.attributes.find( + // (a) => a.name === "_OPACITY" + // ), + // name: "_SPLAT_OPACITY", + // variableName: "splatOpacity", + // }, }, indices: indexBuffer, primitiveType: PrimitiveType.TRIANGLE_STRIP, @@ -190,7 +191,7 @@ function buildDrawCommandForModel( modelMatrix = Matrix4.multiplyTransformation( sceneGraph._computedModelMatrix, primitiveRenderResources.runtimeNode.computedTransform, - new Matrix4() + new Matrix4(), ); const runtimePrimitive = primitiveRenderResources.runtimePrimitive; @@ -203,24 +204,24 @@ function buildDrawCommandForModel( modelMatrix = Matrix4.multiplyTransformation( computedModelMatrix, primitiveRenderResources.runtimeNode.computedTransform, - new Matrix4() + new Matrix4(), ); boundingSphere = BoundingSphere.transform( primitiveRenderResources.boundingSphere, - modelMatrix + modelMatrix, ); } // Initialize render state with default values let renderState = clone( RenderState.fromCache(primitiveRenderResources.renderStateOptions), - true + true, ); renderState.cull.face = ModelUtility.getCullFace( modelMatrix, - primitiveRenderResources.primitiveType + primitiveRenderResources.primitiveType, ); renderState = RenderState.fromCache(renderState); diff --git a/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js b/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js index eca505c48704..5ee866015752 100644 --- a/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js +++ b/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js @@ -214,7 +214,7 @@ ModelRuntimePrimitive.prototype.configurePipeline = function (frameState) { !hasCustomFragmentShader || customShader.mode !== CustomShaderMode.REPLACE_MATERIAL; const hasQuantization = ModelUtility.hasQuantizedAttributes( - primitive.attributes + primitive.attributes, ); const generateWireframeIndices = model.debugWireframe && @@ -240,6 +240,7 @@ ModelRuntimePrimitive.prototype.configurePipeline = function (frameState) { const hasClassification = defined(model.classificationType); + //JASON TODO -- just revisit this after other changes const hasGaussianSplats = model.enableShowGaussianSplatting && (model?.style?.showGaussianSplatting ?? true); @@ -327,7 +328,7 @@ function inspectFeatureIds(model, node, primitive) { if (defined(node.instances)) { featureIds = ModelUtility.getFeatureIdsByLabel( node.instances.featureIds, - model.instanceFeatureIdLabel + model.instanceFeatureIdLabel, ); if (defined(featureIds)) { @@ -340,7 +341,7 @@ function inspectFeatureIds(model, node, primitive) { featureIds = ModelUtility.getFeatureIdsByLabel( primitive.featureIds, - model.featureIdLabel + model.featureIdLabel, ); if (defined(featureIds)) { return { diff --git a/packages/engine/Source/Scene/PrimitiveLoadPlan.js b/packages/engine/Source/Scene/PrimitiveLoadPlan.js index 84be368ea3c2..04e22025d136 100644 --- a/packages/engine/Source/Scene/PrimitiveLoadPlan.js +++ b/packages/engine/Source/Scene/PrimitiveLoadPlan.js @@ -164,7 +164,10 @@ function PrimitiveLoadPlan(primitive) { * @type {boolean} * @private */ + //JASON TODO -- not needed if building textures up front this.needsGaussianSplats = false; + + //JASON TODO -- new usingGaussianTextureProps flag here? } /** @@ -209,7 +212,7 @@ function generateOutlines(loadPlan) { // The outline generator creates a new attribute for the outline coordinates // that are used with a lookup texture. const outlineCoordinates = makeOutlineCoordinatesAttribute( - generator.outlineCoordinates + generator.outlineCoordinates, ); const outlineCoordinatesPlan = new AttributeLoadPlan(outlineCoordinates); outlineCoordinatesPlan.loadBuffer = true; diff --git a/packages/engine/Source/Scene/Scene.js b/packages/engine/Source/Scene/Scene.js index 7338a548ed9b..cfaf2da03a19 100644 --- a/packages/engine/Source/Scene/Scene.js +++ b/packages/engine/Source/Scene/Scene.js @@ -159,7 +159,7 @@ function Scene(options) { this._frameState = new FrameState( context, new CreditDisplay(creditContainer, "β€’", creditViewport), - this._jobScheduler + this._jobScheduler, ); this._frameState.scene3DOnly = defaultValue(options.scene3DOnly, false); this._removeCreditContainer = !hasCreditContainer; @@ -555,7 +555,7 @@ function Scene(options) { -45.0, -45.0, 45.0, - 45.0 + 45.0, ); } @@ -589,7 +589,7 @@ function Scene(options) { this.invertClassificationColor = Color.clone(Color.WHITE); this._actualInvertClassificationColor = Color.clone( - this._invertClassificationColor + this._invertClassificationColor, ); this._invertClassification = new InvertClassification(); @@ -685,17 +685,19 @@ function Scene(options) { */ this.maximumRenderTimeChange = defaultValue( options.maximumRenderTimeChange, - 0.0 + 0.0, ); this._lastRenderTime = undefined; this._frameRateMonitor = undefined; - this._removeRequestListenerCallback = RequestScheduler.requestCompletedEvent.addEventListener( - requestRenderAfterFrame(this) - ); - this._removeTaskProcessorListenerCallback = TaskProcessor.taskCompletedEvent.addEventListener( - requestRenderAfterFrame(this) - ); + this._removeRequestListenerCallback = + RequestScheduler.requestCompletedEvent.addEventListener( + requestRenderAfterFrame(this), + ); + this._removeTaskProcessorListenerCallback = + TaskProcessor.taskCompletedEvent.addEventListener( + requestRenderAfterFrame(this), + ); this._removeGlobeCallbacks = []; this._removeTerrainProviderReadyListener = undefined; @@ -703,7 +705,7 @@ function Scene(options) { 0, 0, context.drawingBufferWidth, - context.drawingBufferHeight + context.drawingBufferHeight, ); const camera = new Camera(this); @@ -776,13 +778,13 @@ function updateGlobeListeners(scene, globe) { if (defined(globe)) { removeGlobeCallbacks.push( globe.imageryLayersUpdatedEvent.addEventListener( - requestRenderAfterFrame(scene) - ) + requestRenderAfterFrame(scene), + ), ); removeGlobeCallbacks.push( globe.terrainProviderChanged.addEventListener( - requestRenderAfterFrame(scene) - ) + requestRenderAfterFrame(scene), + ), ); } scene._removeGlobeCallbacks = removeGlobeCallbacks; @@ -1406,7 +1408,7 @@ Object.defineProperties(Scene.prototype, { //>>includeStart('debug', pragmas.debug); if (this.scene3DOnly && value !== SceneMode.SCENE3D) { throw new DeveloperError( - "Only SceneMode.SCENE3D is valid when scene3DOnly is true." + "Only SceneMode.SCENE3D is valid when scene3DOnly is true.", ); } //>>includeEnd('debug'); @@ -1419,7 +1421,7 @@ Object.defineProperties(Scene.prototype, { //>>includeStart('debug', pragmas.debug); } else { throw new DeveloperError( - "value must be a valid SceneMode enumeration." + "value must be a valid SceneMode enumeration.", ); //>>includeEnd('debug'); } @@ -1468,7 +1470,7 @@ Object.defineProperties(Scene.prototype, { //>>includeStart('debug', pragmas.debug); if (this.camera.frustum instanceof OrthographicFrustum) { throw new DeveloperError( - "VR is unsupported with an orthographic projection." + "VR is unsupported with an orthographic projection.", ); } //>>includeEnd('debug'); @@ -1477,9 +1479,8 @@ Object.defineProperties(Scene.prototype, { this._frameState.creditDisplay.container.style.visibility = "hidden"; this._cameraVR = new Camera(this); if (!defined(this._deviceOrientationCameraController)) { - this._deviceOrientationCameraController = new DeviceOrientationCameraController( - this - ); + this._deviceOrientationCameraController = + new DeviceOrientationCameraController(this); } this._aspectRatioVR = this.camera.frustum.aspectRatio; @@ -1541,7 +1542,7 @@ Object.defineProperties(Scene.prototype, { //>>includeStart('debug', pragmas.debug); if (!defined(value) || value < 0.0) { throw new DeveloperError( - "minimumDisableDepthTestDistance must be greater than or equal to 0.0." + "minimumDisableDepthTestDistance must be greater than or equal to 0.0.", ); } //>>includeEnd('debug'); @@ -1752,18 +1753,19 @@ function updateDerivedCommands(scene, command, shadowsDirty) { scene, command, context, - derivedCommands.picking + derivedCommands.picking, ); } if (frameState.pickingMetadata && command.pickMetadataAllowed) { command.pickedMetadataInfo = frameState.pickedMetadataInfo; if (defined(command.pickedMetadataInfo)) { - derivedCommands.pickingMetadata = DerivedCommand.createPickMetadataDerivedCommand( - scene, - command, - context, - derivedCommands.pickingMetadata - ); + derivedCommands.pickingMetadata = + DerivedCommand.createPickMetadataDerivedCommand( + scene, + command, + context, + derivedCommands.pickingMetadata, + ); } } if (!command.pickOnly) { @@ -1771,7 +1773,7 @@ function updateDerivedCommands(scene, command, shadowsDirty) { scene, command, context, - derivedCommands.depth + derivedCommands.depth, ); } @@ -1781,7 +1783,7 @@ function updateDerivedCommands(scene, command, shadowsDirty) { derivedCommands.hdr = DerivedCommand.createHdrCommand( command, context, - derivedCommands.hdr + derivedCommands.hdr, ); command = derivedCommands.hdr.command; derivedCommands = command.derivedCommands; @@ -1793,7 +1795,7 @@ function updateDerivedCommands(scene, command, shadowsDirty) { command, shadowsDirty, context, - derivedCommands.shadows + derivedCommands.shadows, ); } @@ -1805,13 +1807,13 @@ function updateDerivedCommands(scene, command, shadowsDirty) { derivedCommands.oit.shadows = oit.createDerivedCommands( derivedCommands.shadows.receiveCommand, context, - derivedCommands.oit.shadows + derivedCommands.oit.shadows, ); } else { derivedCommands.oit = oit.createDerivedCommands( command, context, - derivedCommands.oit + derivedCommands.oit, ); } } @@ -1871,7 +1873,7 @@ Scene.prototype.updateDerivedCommands = function (command) { command, shadowsDirty, context, - derivedCommands.shadows + derivedCommands.shadows, ); } @@ -1879,7 +1881,7 @@ Scene.prototype.updateDerivedCommands = function (command) { derivedCommands.logDepth = DerivedCommand.createLogDepthCommand( command, context, - derivedCommands.logDepth + derivedCommands.logDepth, ); updateDerivedCommands(this, derivedCommands.logDepth.command, shadowsDirty); } @@ -1930,7 +1932,7 @@ function getOccluder(scene) { scratchOccluder = Occluder.fromBoundingSphere( scratchOccluderBoundingSphere, scene.camera.positionWC, - scratchOccluder + scratchOccluder, ); return scratchOccluder; @@ -1973,11 +1975,12 @@ Scene.prototype.updateFrameState = function () { frameState.cullingVolume = camera.frustum.computeCullingVolume( camera.positionWC, camera.directionWC, - camera.upWC + camera.upWC, ); frameState.occluder = getOccluder(this); frameState.minimumTerrainHeight = 0.0; - frameState.minimumDisableDepthTestDistance = this._minimumDisableDepthTestDistance; + frameState.minimumDisableDepthTestDistance = + this._minimumDisableDepthTestDistance; frameState.invertClassification = this.invertClassification; frameState.useLogDepth = this._logDepthBuffer && @@ -1999,14 +2002,17 @@ Scene.prototype.updateFrameState = function () { globe._terrainExaggerationChanged = false; } frameState.verticalExaggeration = this.verticalExaggeration; - frameState.verticalExaggerationRelativeHeight = this.verticalExaggerationRelativeHeight; + frameState.verticalExaggerationRelativeHeight = + this.verticalExaggerationRelativeHeight; if ( defined(this._specularEnvironmentCubeMap) && this._specularEnvironmentCubeMap.ready ) { - frameState.specularEnvironmentMaps = this._specularEnvironmentCubeMap.texture; - frameState.specularEnvironmentMapsMaximumLOD = this._specularEnvironmentCubeMap.maximumMipmapLevel; + frameState.specularEnvironmentMaps = + this._specularEnvironmentCubeMap.texture; + frameState.specularEnvironmentMapsMaximumLOD = + this._specularEnvironmentCubeMap.maximumMipmapLevel; } else { frameState.specularEnvironmentMaps = undefined; frameState.specularEnvironmentMapsMaximumLOD = undefined; @@ -2016,7 +2022,7 @@ Scene.prototype.updateFrameState = function () { this._actualInvertClassificationColor = Color.clone( this.invertClassificationColor, - this._actualInvertClassificationColor + this._actualInvertClassificationColor, ); if (!InvertClassification.isTranslucencySupported(this._context)) { this._actualInvertClassificationColor.alpha = 1.0; @@ -2080,11 +2086,11 @@ let transformFrom2D = new Matrix4( 0.0, 0.0, 0.0, - 1.0 + 1.0, ); transformFrom2D = Matrix4.inverseTransformation( transformFrom2D, - transformFrom2D + transformFrom2D, ); /** @@ -2123,7 +2129,7 @@ function debugShowBoundingVolume(command, scene, passState, debugFramebuffer) { new EllipsoidGeometry({ radii: new Cartesian3(radius, radius, radius), vertexFormat: PerInstanceColorAppearance.FLAT_VERTEX_FORMAT, - }) + }), ); modelMatrix = Matrix4.fromTranslation(center); } else { @@ -2131,12 +2137,12 @@ function debugShowBoundingVolume(command, scene, passState, debugFramebuffer) { BoxGeometry.fromDimensions({ dimensions: new Cartesian3(2.0, 2.0, 2.0), vertexFormat: PerInstanceColorAppearance.FLAT_VERTEX_FORMAT, - }) + }), ); modelMatrix = Matrix4.fromRotationTranslation( boundingVolume.halfAxes, center, - new Matrix4() + new Matrix4(), ); } scene._debugVolume = new Primitive({ @@ -2252,7 +2258,7 @@ function executeCommand(command, scene, passState, debugFramebuffer) { scene._debugInspector.executeDebugShowFrustumsCommand( scene, command, - passState + passState, ); return; } @@ -2328,7 +2334,7 @@ function executeTranslucentCommandsBackToFront( executeFunction, passState, commands, - invertClassification + invertClassification, ) { mergeSort(commands, backToFront, scene.camera.positionWC); @@ -2346,7 +2352,7 @@ function executeTranslucentCommandsFrontToBack( executeFunction, passState, commands, - invertClassification + invertClassification, ) { mergeSort(commands, frontToBack, scene.camera.positionWC); @@ -2380,12 +2386,7 @@ function performVoxelsPass(scene, passState, frustumCommands) { } } -function performGaussianSplatPass( - scene, - executeFunction, - passState, - frustumCommands -) { +function performGaussianSplatPass(scene, passState, frustumCommands) { scene.context.uniformState.updatePass(Pass.GAUSSIAN_SPLATS); const commands = frustumCommands.commands[Pass.GAUSSIAN_SPLATS]; @@ -2395,7 +2396,7 @@ function performGaussianSplatPass( mergeSort(commands, backToFront, scene.camera.positionWC); for (let i = 0; i < commands.length; ++i) { - executeCommands(commands[i], scene, passState); + executeCommand(commands[i], scene, passState); } } @@ -2445,7 +2446,7 @@ function obtainTranslucentCommandExecutionFunction(scene) { executeFunction, passState, commands, - invertClassification + invertClassification, ) { view.globeDepth.prepareColorTextures(context); view.oit.executeCommands( @@ -2453,7 +2454,7 @@ function obtainTranslucentCommandExecutionFunction(scene) { executeFunction, passState, commands, - invertClassification + invertClassification, ); }; } @@ -2490,9 +2491,8 @@ function performTranslucentPass(scene, passState, frustumCommands) { invertClassification = scene._invertClassification; } - const executeTranslucentCommands = obtainTranslucentCommandExecutionFunction( - scene - ); + const executeTranslucentCommands = + obtainTranslucentCommandExecutionFunction(scene); context.uniformState.updatePass(Pass.TRANSLUCENT); const commands = frustumCommands.commands[Pass.TRANSLUCENT]; @@ -2502,7 +2502,7 @@ function performTranslucentPass(scene, passState, frustumCommands) { executeCommand, passState, commands, - invertClassification + invertClassification, ); } @@ -2518,7 +2518,7 @@ function performTranslucentPass(scene, passState, frustumCommands) { function performTranslucent3DTilesClassification( scene, passState, - frustumCommands + frustumCommands, ) { const { translucentTileClassification, globeDepth } = scene._view; const has3DTilesClassificationCommands = @@ -2536,13 +2536,13 @@ function performTranslucent3DTilesClassification( executeCommand, passState, commands, - globeDepth.depthStencilTexture + globeDepth.depthStencilTexture, ); translucentTileClassification.executeClassificationCommands( scene, executeCommand, passState, - frustumCommands + frustumCommands, ); } @@ -2654,7 +2654,7 @@ function executeCommands(scene, passState) { executeCommand, globeTranslucencyFramebuffer, scene, - passState + passState, ); } else { performPass(frustumCommands, Pass.GLOBE); @@ -2673,7 +2673,7 @@ function executeCommands(scene, passState) { executeCommand, globeTranslucencyFramebuffer, scene, - passState + passState, ); } else { performPass(frustumCommands, Pass.TERRAIN_CLASSIFICATION); @@ -2700,7 +2700,7 @@ function executeCommands(scene, passState) { globeDepth.executeUpdateDepth( context, passState, - globeDepth.depthStencilTexture + globeDepth.depthStencilTexture, ); } @@ -2708,7 +2708,7 @@ function executeCommands(scene, passState) { if (!renderTranslucentDepthForPick) { commandCount = performPass( frustumCommands, - Pass.CESIUM_3D_TILE_CLASSIFICATION + Pass.CESIUM_3D_TILE_CLASSIFICATION, ); } } @@ -2758,14 +2758,14 @@ function executeCommands(scene, passState) { globeDepth.executeUpdateDepth( context, passState, - scene._invertClassification._fbo.getDepthStencilTexture() + scene._invertClassification._fbo.getDepthStencilTexture(), ); } // Set stencil commandCount = performPass( frustumCommands, - Pass.CESIUM_3D_TILE_CLASSIFICATION_IGNORE_SHOW + Pass.CESIUM_3D_TILE_CLASSIFICATION_IGNORE_SHOW, ); passState.framebuffer = opaqueClassificationFramebuffer; @@ -2785,7 +2785,7 @@ function executeCommands(scene, passState) { // Draw style over classification. commandCount = performPass( frustumCommands, - Pass.CESIUM_3D_TILE_CLASSIFICATION + Pass.CESIUM_3D_TILE_CLASSIFICATION, ); } @@ -2842,7 +2842,7 @@ function executeCommands(scene, passState) { executeIdCommand, globeTranslucencyFramebuffer, scene, - passState + passState, ); } else { performIdPass(frustumCommands, Pass.GLOBE); @@ -3067,7 +3067,7 @@ const scratchEyeTranslation = new Cartesian3(); */ Scene.prototype.updateAndExecuteCommands = function ( passState, - backgroundColor + backgroundColor, ) { updateAndClearFramebuffers(this, passState, backgroundColor); @@ -3124,7 +3124,7 @@ function executeWebVRCommands(scene, passState) { const eyeTranslation = Cartesian3.multiplyByScalar( savedCamera.right, eyeSeparation * 0.5, - scratchEyeTranslation + scratchEyeTranslation, ); camera.frustum.aspectRatio = viewport.width / viewport.height; @@ -3148,7 +3148,7 @@ function executeWebVRCommands(scene, passState) { const scratch2DViewportCartographic = new Cartographic( Math.PI, - CesiumMath.PI_OVER_TWO + CesiumMath.PI_OVER_TWO, ); const scratch2DViewportMaxCoord = new Cartesian3(); const scratch2DViewportSavedPosition = new Cartesian3(); @@ -3182,11 +3182,11 @@ function execute2DViewportCommands(scene, passState) { const position = Cartesian3.clone( camera.position, - scratch2DViewportSavedPosition + scratch2DViewportSavedPosition, ); const transform = Matrix4.clone( camera.transform, - scratch2DViewportCameraTransform + scratch2DViewportCameraTransform, ); const frustum = camera.frustum.clone(); @@ -3196,7 +3196,7 @@ function execute2DViewportCommands(scene, passState) { viewport, 0.0, 1.0, - scratch2DViewportTransform + scratch2DViewportTransform, ); const projectionMatrix = camera.frustum.projectionMatrix; @@ -3205,13 +3205,13 @@ function execute2DViewportCommands(scene, passState) { CesiumMath.sign(x) * maxCoord.x - x, 0.0, -camera.positionWC.x, - scratch2DViewportEyePoint + scratch2DViewportEyePoint, ); const windowCoordinates = Transforms.pointToGLWindowCoordinates( projectionMatrix, viewportTransformation, eyePoint, - scratch2DViewportWindowCoords + scratch2DViewportWindowCoords, ); windowCoordinates.x = Math.floor(windowCoordinates.x); @@ -3237,7 +3237,7 @@ function execute2DViewportCommands(scene, passState) { frameState.cullingVolume = camera.frustum.computeCullingVolume( camera.positionWC, camera.directionWC, - camera.upWC + camera.upWC, ); uniformState.update(frameState); @@ -3253,7 +3253,7 @@ function execute2DViewportCommands(scene, passState) { frameState.cullingVolume = camera.frustum.computeCullingVolume( camera.positionWC, camera.directionWC, - camera.upWC + camera.upWC, ); uniformState.update(frameState); @@ -3267,7 +3267,7 @@ function execute2DViewportCommands(scene, passState) { frameState.cullingVolume = camera.frustum.computeCullingVolume( camera.positionWC, camera.directionWC, - camera.upWC + camera.upWC, ); uniformState.update(frameState); @@ -3284,7 +3284,7 @@ function execute2DViewportCommands(scene, passState) { frameState.cullingVolume = camera.frustum.computeCullingVolume( camera.positionWC, camera.directionWC, - camera.upWC + camera.upWC, ); uniformState.update(frameState); @@ -3299,7 +3299,7 @@ function execute2DViewportCommands(scene, passState) { frameState.cullingVolume = camera.frustum.computeCullingVolume( camera.positionWC, camera.directionWC, - camera.upWC + camera.upWC, ); uniformState.update(frameState); @@ -3316,7 +3316,7 @@ function execute2DViewportCommands(scene, passState) { frameState.cullingVolume = camera.frustum.computeCullingVolume( camera.positionWC, camera.directionWC, - camera.upWC + camera.upWC, ); uniformState.update(frameState); @@ -3394,7 +3394,7 @@ Scene.prototype.updateEnvironment = function () { if (defined(skyAtmosphere)) { if (defined(globe)) { skyAtmosphere.setDynamicLighting( - DynamicAtmosphereLightingType.fromGlobeFlags(globe) + DynamicAtmosphereLightingType.fromGlobeFlags(globe), ); environmentState.isReadyForAtmosphere = environmentState.isReadyForAtmosphere || @@ -3408,7 +3408,7 @@ Scene.prototype.updateEnvironment = function () { environmentState.skyAtmosphereCommand = skyAtmosphere.update( frameState, - globe + globe, ); if (defined(environmentState.skyAtmosphereCommand)) { this.updateDerivedCommands(environmentState.skyAtmosphereCommand); @@ -3474,12 +3474,12 @@ Scene.prototype.updateEnvironment = function () { environmentState.isSunVisible = this.isVisible( cullingVolume, environmentState.sunDrawCommand, - occluder + occluder, ); environmentState.isMoonVisible = this.isVisible( cullingVolume, environmentState.moonCommand, - occluder + occluder, ); const envMaps = this.specularEnvironmentMaps; @@ -3622,9 +3622,8 @@ function updateAndClearFramebuffers(scene, passState, clearColor) { // Update globe depth rendering based on the current context and clear the globe depth framebuffer. // Globe depth is copied for the pick pass to support picking batched geometries in GroundPrimitives. - const useGlobeDepthFramebuffer = (environmentState.useGlobeDepthFramebuffer = defined( - view.globeDepth - )); + const useGlobeDepthFramebuffer = (environmentState.useGlobeDepthFramebuffer = + defined(view.globeDepth)); if (useGlobeDepthFramebuffer) { view.globeDepth.update( context, @@ -3632,7 +3631,7 @@ function updateAndClearFramebuffers(scene, passState, clearColor) { view.viewport, scene.msaaSamples, scene._hdr, - environmentState.clearGlobeDepth + environmentState.clearGlobeDepth, ); view.globeDepth.clear(context, passState, clearColor); } @@ -3647,7 +3646,7 @@ function updateAndClearFramebuffers(scene, passState, clearColor) { passState, view.globeDepth.colorFramebufferManager, scene._hdr, - scene.msaaSamples + scene.msaaSamples, ); oit.clear(context, passState, clearColor); environmentState.useOIT = oit.isSupported(); @@ -3667,7 +3666,7 @@ function updateAndClearFramebuffers(scene, passState, clearColor) { context, view.viewport, scene._hdr, - scene.msaaSamples + scene.msaaSamples, ); view.sceneFramebuffer.clear(context, passState, clearColor); @@ -3707,7 +3706,7 @@ function updateAndClearFramebuffers(scene, passState, clearColor) { scene._invertClassification.update( context, scene.msaaSamples, - view.globeDepth.colorFramebufferManager + view.globeDepth.colorFramebufferManager, ); scene._invertClassification.clear(context, passState); @@ -3717,7 +3716,7 @@ function updateAndClearFramebuffers(scene, passState, clearColor) { derivedCommands.oit = oit.createDerivedCommands( command, context, - derivedCommands.oit + derivedCommands.oit, ); } } else { @@ -3730,7 +3729,7 @@ function updateAndClearFramebuffers(scene, passState, clearColor) { scene._hdr, view.viewport, context, - passState + passState, ); } } @@ -3786,7 +3785,7 @@ Scene.prototype.resolveFramebuffers = function (passState) { const idTexture = idFramebuffer.getColorTexture(0); const depthTexture = defaultValue( globeFramebuffer, - sceneFramebuffer + sceneFramebuffer, ).getDepthStencilTexture(); postProcess.execute(context, colorTexture, depthTexture, idTexture); postProcess.copy(context, originalFramebuffer); @@ -3894,7 +3893,7 @@ const updateHeightScratchCartographic = new Cartographic(); Scene.prototype.updateHeight = function ( cartographic, callback, - heightReference + heightReference, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.func("callback", callback); @@ -3922,7 +3921,7 @@ Scene.prototype.updateHeight = function ( if (!ignoreTerrain && defined(this.globe)) { terrainRemoveCallback = this.globe._surface.updateHeight( cartographic, - callbackWrapper + callbackWrapper, ); } @@ -3940,7 +3939,7 @@ Scene.prototype.updateHeight = function ( const tilesetRemoveCallback = primitive.updateHeight( cartographic, callbackWrapper, - ellipsoid + ellipsoid, ); tilesetRemoveCallbacks[primitive.id] = tilesetRemoveCallback; }; @@ -3954,10 +3953,10 @@ Scene.prototype.updateHeight = function ( } const removeAddedListener = this.primitives.primitiveAdded.addEventListener( - createPrimitiveEventListener + createPrimitiveEventListener, ); - const removeRemovedListener = this.primitives.primitiveRemoved.addEventListener( - (primitive) => { + const removeRemovedListener = + this.primitives.primitiveRemoved.addEventListener((primitive) => { if (primitive.isDestroyed() || !primitive.isCesium3DTileset) { return; } @@ -3965,13 +3964,12 @@ Scene.prototype.updateHeight = function ( tilesetRemoveCallbacks[primitive.id](); } delete tilesetRemoveCallbacks[primitive.id]; - } - ); + }); const removeCallback = () => { terrainRemoveCallback = terrainRemoveCallback && terrainRemoveCallback(); Object.values(tilesetRemoveCallbacks).forEach((tilesetRemoveCallback) => - tilesetRemoveCallback() + tilesetRemoveCallback(), ); tilesetRemoveCallbacks = {}; removeAddedListener(); @@ -4036,7 +4034,7 @@ Scene.prototype.initializeFrame = function () { } this._globeHeight = updatedCartographic.height; - } + }, ); } this._cameraUnderground = isCameraUnderground(this); @@ -4072,7 +4070,7 @@ function updateDebugShowFramesPerSecond(scene, renderedThisFrame) { scene._performanceDisplay = scene._performanceDisplay && scene._performanceDisplay.destroy(); scene._performanceContainer.parentNode.removeChild( - scene._performanceContainer + scene._performanceContainer, ); } } @@ -4138,7 +4136,7 @@ function render(scene) { // Negate the sun direction so that it is from the Sun, not to the Sun Cartesian3.negate( uniformState.sunDirectionWC, - scene._shadowMapCamera.direction + scene._shadowMapCamera.direction, ); } else { Cartesian3.clone(scene.light.direction, scene._shadowMapCamera.direction); @@ -4238,7 +4236,7 @@ Scene.prototype.render = function (time) { defined(this._lastRenderTime) ) { const difference = Math.abs( - JulianDate.secondsDifference(this._lastRenderTime, time) + JulianDate.secondsDifference(this._lastRenderTime, time), ); shouldRender = shouldRender || difference > this.maximumRenderTimeChange; } @@ -4252,7 +4250,7 @@ Scene.prototype.render = function (time) { const frameNumber = CesiumMath.incrementWrap( frameState.frameNumber, 15000000.0, - 1.0 + 1.0, ); updateFrameNumber(this, frameNumber, time); frameState.newFrame = true; @@ -4325,7 +4323,7 @@ Scene.prototype.requestRender = function () { Scene.prototype.clampLineWidth = function (width) { return Math.max( ContextLimits.minimumAliasedLineWidth, - Math.min(width, ContextLimits.maximumAliasedLineWidth) + Math.min(width, ContextLimits.maximumAliasedLineWidth), ); }; @@ -4388,7 +4386,7 @@ Scene.prototype.pickVoxel = function (windowPosition, width, height) { this, windowPosition, width, - height + height, ); // Look up the keyframeNode containing this picked cell const tileIndex = 255 * voxelCoordinate[0] + voxelCoordinate[1]; @@ -4404,7 +4402,7 @@ Scene.prototype.pickVoxel = function (windowPosition, width, height) { voxelPrimitive, tileIndex, sampleIndex, - keyframeNode + keyframeNode, ); }; @@ -4427,7 +4425,7 @@ Scene.prototype.pickMetadata = function ( windowPosition, schemaId, className, - propertyName + propertyName, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.object("windowPosition", windowPosition); @@ -4448,7 +4446,7 @@ Scene.prototype.pickMetadata = function ( schema, schemaId, className, - propertyName + propertyName, ); if (!defined(classProperty)) { return undefined; @@ -4458,13 +4456,13 @@ Scene.prototype.pickMetadata = function ( schemaId, className, propertyName, - classProperty + classProperty, ); const pickedMetadataValues = this._picking.pickMetadata( this, windowPosition, - pickedMetadataInfo + pickedMetadataInfo, ); return pickedMetadataValues; @@ -4511,12 +4509,12 @@ Scene.prototype.pickMetadataSchema = function (windowPosition) { */ Scene.prototype.pickPositionWorldCoordinates = function ( windowPosition, - result + result, ) { return this._picking.pickPositionWorldCoordinates( this, windowPosition, - result + result, ); }; @@ -4593,7 +4591,7 @@ function updateRequestRenderModeDeferCheckPass(scene) { // Check if any ignored requests are ready to go (to wake rendering up again) scene.primitives.updateForPass( scene._frameState, - requestRenderModeDeferCheckPassState + requestRenderModeDeferCheckPassState, ); } @@ -4645,14 +4643,14 @@ Scene.prototype.drillPickFromRay = function ( ray, limit, objectsToExclude, - width + width, ) { return this._picking.drillPickFromRay( this, ray, limit, objectsToExclude, - width + width, ); }; @@ -4672,13 +4670,13 @@ Scene.prototype.drillPickFromRay = function ( Scene.prototype.pickFromRayMostDetailed = function ( ray, objectsToExclude, - width + width, ) { return this._picking.pickFromRayMostDetailed( this, ray, objectsToExclude, - width + width, ); }; @@ -4700,14 +4698,14 @@ Scene.prototype.drillPickFromRayMostDetailed = function ( ray, limit, objectsToExclude, - width + width, ) { return this._picking.drillPickFromRayMostDetailed( this, ray, limit, objectsToExclude, - width + width, ); }; @@ -4772,14 +4770,14 @@ Scene.prototype.clampToHeight = function ( cartesian, objectsToExclude, width, - result + result, ) { return this._picking.clampToHeight( this, cartesian, objectsToExclude, width, - result + result, ); }; @@ -4814,13 +4812,13 @@ Scene.prototype.clampToHeight = function ( Scene.prototype.sampleHeightMostDetailed = function ( positions, objectsToExclude, - width + width, ) { return this._picking.sampleHeightMostDetailed( this, positions, objectsToExclude, - width + width, ); }; @@ -4854,13 +4852,13 @@ Scene.prototype.sampleHeightMostDetailed = function ( Scene.prototype.clampToHeightMostDetailed = function ( cartesians, objectsToExclude, - width + width, ) { return this._picking.clampToHeightMostDetailed( this, cartesians, objectsToExclude, - width + width, ); }; @@ -4934,15 +4932,14 @@ function setTerrain(scene, terrain) { } // Otherwise, set a placeholder scene.globe.terrainProvider = undefined; - scene._removeTerrainProviderReadyListener = terrain.readyEvent.addEventListener( - (provider) => { + scene._removeTerrainProviderReadyListener = + terrain.readyEvent.addEventListener((provider) => { if (defined(scene) && defined(scene.globe)) { scene.globe.terrainProvider = provider; } scene._removeTerrainProviderReadyListener(); - } - ); + }); } /** @@ -5052,7 +5049,7 @@ Scene.prototype.destroy = function () { this._performanceDisplay = this._performanceDisplay && this._performanceDisplay.destroy(); this._performanceContainer.parentNode.removeChild( - this._performanceContainer + this._performanceContainer, ); } diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl index 38c116ffdf1c..d265d7860d33 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl @@ -2,7 +2,7 @@ void gaussianSplatStage(inout vec4 color, in ProcessedAttributes attributes) { float A = -dot(v_vertPos, v_vertPos); if(A < -4.0) discard; - float B = exp(A) * v_splatOpacity; + float B = exp(A) * v_splatColor.a; color = vec4(v_splatColor.rgb * B , B); } diff --git a/packages/engine/Source/Workers/gaussianSort.js b/packages/engine/Source/Workers/gaussianSort.js deleted file mode 100644 index e69de29bb2d1..000000000000 From f207786e94c17b00701e4fd4e8ca93bbbc353336 Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Fri, 25 Oct 2024 13:54:42 -0500 Subject: [PATCH 34/97] shader updates improves performance and visual quality removing legacy _opacity attribute support --- .../Scene/Model/GaussianSplatPipelineStage.js | 19 +++++++++++-------- .../Scene/Model/GeometryPipelineStage.js | 3 --- .../Source/Scene/Model/ModelDrawCommands.js | 18 +++++++++++++++++- .../Source/Shaders/Model/GaussianSplatFS.glsl | 9 +++++---- .../Source/Shaders/Model/GaussianSplatVS.glsl | 10 ++++------ 5 files changed, 37 insertions(+), 22 deletions(-) diff --git a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js index b49477d66c03..7a991b09b410 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js @@ -34,11 +34,13 @@ GaussianSplatPipelineStage.process = function ( shaderBuilder.addAttribute("vec2", "a_screenQuadPosition"); shaderBuilder.addAttribute("vec3", "a_splatPosition"); shaderBuilder.addAttribute("vec4", "a_splatColor"); - shaderBuilder.addAttribute("float", "a_splatOpacity"); + //shaderBuilder.addAttribute("float", "a_splatOpacity"); shaderBuilder.addVarying("vec4", "v_splatColor"); shaderBuilder.addVarying("vec2", "v_vertPos"); shaderBuilder.addVarying("float", "v_splatOpacity"); + shaderBuilder.addVarying("vec4", "v_splatScale"); + shaderBuilder.addVarying("vec4", "v_splatRot"); shaderBuilder.addUniform("float", "u_tan_fovX", ShaderDestination.VERTEX); shaderBuilder.addUniform("float", "u_tan_fovY", ShaderDestination.VERTEX); @@ -86,19 +88,19 @@ GaussianSplatPipelineStage.process = function ( const scaleAttr = attributes.find((a) => a.name === "_SCALE"); const rotAttr = attributes.find((a) => a.name === "_ROTATION"); const clrAttr = attributes.find((a) => a.name === "COLOR_0"); - // const opAttr = attributes.find((a) => a.name === "_OPACITY"); + // const opAttr = attributes.find((a) => a.name === "_OPACITY"); const posArray = posAttr.typedArray; const scaleArray = scaleAttr.typedArray; const rotArray = rotAttr.typedArray; const clrArray = clrAttr.typedArray; - // const opArray = opAttr.typedArray; + // const opArray = opAttr.typedArray; const newPosArray = new posArray.constructor(posArray.length); const newScaleArray = new scaleArray.constructor(scaleArray.length); const newRotArray = new rotArray.constructor(rotArray.length); const newClrArray = new clrArray.constructor(clrArray.length); - // const newOpArray = new opArray.constructor(opArray.length); + // const newOpArray = new opArray.constructor(opArray.length); const calcDepth = (i) => posArray[i * 3] * modelView[2] + @@ -149,11 +151,12 @@ GaussianSplatPipelineStage.process = function ( newRotArray[i * 4 + 2] = rotArray[j * 4 + 2]; newRotArray[i * 4 + 3] = rotArray[j * 4 + 3]; - newClrArray[i * 3] = clrArray[j * 3]; - newClrArray[i * 3 + 1] = clrArray[j * 3 + 1]; - newClrArray[i * 3 + 2] = clrArray[j * 3 + 2]; + newClrArray[i * 4] = clrArray[j * 4]; + newClrArray[i * 4 + 1] = clrArray[j * 4 + 1]; + newClrArray[i * 4 + 2] = clrArray[j * 4 + 2]; + newClrArray[i * 4 + 3] = clrArray[j * 4 + 3]; - // newOpArray[i] = opArray[j]; + // newOpArray[i] = opArray[j]; } posAttr.typedArray = newPosArray; diff --git a/packages/engine/Source/Scene/Model/GeometryPipelineStage.js b/packages/engine/Source/Scene/Model/GeometryPipelineStage.js index debd0e4914f9..37e32c1e3d16 100644 --- a/packages/engine/Source/Scene/Model/GeometryPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GeometryPipelineStage.js @@ -148,9 +148,6 @@ GeometryPipelineStage.process = function ( showSplats ? 1 : 0; primitive.attributes.find((a) => a.name === "COLOR_0").instanceDivisor = showSplats ? 1 : 0; - // primitive.attributes.find( - // (a) => a.name === "_OPACITY" - // ).instanceDivisor = showSplats ? 1 : 0; if (!showSplats) { shaderBuilder.addDefine("PRIMITIVE_TYPE_POINTS"); diff --git a/packages/engine/Source/Scene/Model/ModelDrawCommands.js b/packages/engine/Source/Scene/Model/ModelDrawCommands.js index 591b195f6634..1aea342538a5 100644 --- a/packages/engine/Source/Scene/Model/ModelDrawCommands.js +++ b/packages/engine/Source/Scene/Model/ModelDrawCommands.js @@ -125,7 +125,9 @@ function buildDrawCommandForModel( screenQuadPosition: 0, splatPosition: 6, splatColor: 7, - splatOpacity: 8, + // splatScale:8, + // splatRot:9 + // splatOpacity: 8, }; const geometry = new Geometry({ attributes: { @@ -151,6 +153,20 @@ function buildDrawCommandForModel( name: "_SPLAT_COLOR", variableName: "splatColor", }, + // splatScale: { + // ...primitiveRenderResources.runtimePrimitive.primitive.attributes.find( + // (a) => a.name === "_SCALE", + // ), + // name: "_SPLAT_SCALE", + // variableName: "splatScale" + // }, + // splatRot: { + // ...primitiveRenderResources.runtimePrimitive.primitive.attributes.find( + // (a) => a.name === "_ROTATION", + // ), + // name: "_SPLAT_ROTATION", + // variableName: "splatRot" + // } // splatOpacity: { // ...primitiveRenderResources.runtimePrimitive.primitive.attributes.find( // (a) => a.name === "_OPACITY" diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl index d265d7860d33..401efa384c93 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl @@ -1,8 +1,9 @@ void gaussianSplatStage(inout vec4 color, in ProcessedAttributes attributes) { - float A = -dot(v_vertPos, v_vertPos); - if(A < -4.0) + float A = dot(v_vertPos, v_vertPos); + if(A > 2.0) discard; - float B = exp(A) * v_splatColor.a; - color = vec4(v_splatColor.rgb * B , B); + + float B = exp(-A * 2.5) * v_splatColor.a; + color = vec4(v_splatColor.rgb * B, B); } diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl index 5e41c22b9259..923029e577f7 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl @@ -68,7 +68,7 @@ vec3 calcCov2D(vec3 worldPos, float focal_x, float focal_y, float tan_fovx, floa void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) { mat4 viewMatrix = czm_modelView; - vec4 clipPosition = czm_modelViewProjection * vec4(a_splatPosition,1.0); + vec4 clipPosition = czm_modelViewProjection * vec4(a_splatPosition ,1.0); positionClip = clipPosition; float[6] cov3D; @@ -85,12 +85,10 @@ void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) vec2 minorAxis = min(sqrt(2.0 * lambda2), 1024.0) * vec2(diagonalVector.y, -diagonalVector.x); vec2 corner = vec2((gl_VertexID << 1) & 2, gl_VertexID & 2) - 1.; - corner *= 2.0; - vec2 deltaScreenPos = (corner.x * majorAxis + corner.y * minorAxis) * 2.0 / czm_viewport.zw; - positionClip.xy += deltaScreenPos * positionClip.w; - v_vertPos = corner; + positionClip += vec4((corner.x * majorAxis + corner.y * minorAxis) * 4.0 / czm_viewport.zw * positionClip.w, 0, 0); + positionClip.z = clamp(positionClip.z, -abs(positionClip.w), abs(positionClip.w)); + v_vertPos = corner ; v_splatColor = a_splatColor; - v_splatOpacity = a_splatOpacity; } From 18d75d2b3d094870407a26c9a736c3e3813683d7 Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Mon, 4 Nov 2024 11:43:28 -0600 Subject: [PATCH 35/97] staging for demo --- package.json | 4 +- .../Source/Scene/GaussianSplatSorter.js | 61 ++++ packages/engine/Source/Scene/GltfLoader.js | 6 +- .../Scene/Model/GaussianSplatPipelineStage.js | 12 + .../Scene/Model/ModelRuntimePrimitive.js | 2 +- .../engine/Source/Scene/PrimitiveLoadPlan.js | 10 +- .../Source/Scene/VertexAttributeSemantic.js | 28 ++ .../Source/Shaders/Model/GaussianSplatFS.glsl | 6 +- .../Source/Shaders/Model/GaussianSplatVS.glsl | 4 +- .../Source/Workers/gaussianSplatSort.js | 318 ++++++++++++++++++ scripts/build.js | 9 +- 11 files changed, 448 insertions(+), 12 deletions(-) create mode 100644 packages/engine/Source/Scene/GaussianSplatSorter.js create mode 100644 packages/engine/Source/Workers/gaussianSplatSort.js diff --git a/package.json b/package.json index 47aced895170..470897dfdfe7 100644 --- a/package.json +++ b/package.json @@ -52,7 +52,9 @@ ], "dependencies": { "@cesium/engine": "^11.1.0", - "@cesium/widgets": "^8.1.0" + "@cesium/widgets": "^8.1.0", + "cesiumjs-gsplat-utils": "file:../gsplat-wasm/cesiumjs-gsplat-utils/pkg", + "esbuild-plugin-wasm": "^1.1.0" }, "devDependencies": { "@playwright/test": "^1.41.1", diff --git a/packages/engine/Source/Scene/GaussianSplatSorter.js b/packages/engine/Source/Scene/GaussianSplatSorter.js new file mode 100644 index 000000000000..0b7af340c461 --- /dev/null +++ b/packages/engine/Source/Scene/GaussianSplatSorter.js @@ -0,0 +1,61 @@ +import defined from "../Core/defined.js"; +import FeatureDetection from "../Core/FeatureDetection.js"; +import RuntimeError from "../Core/RuntimeError.js"; +import TaskProcessor from "../Core/TaskProcessor.js"; + +//should probably rename to Utils and include texture gen + +function GaussianSplatSorter() {} + +GaussianSplatSorter._maxSortingConcurrency = Math.max( + FeatureDetection.hardwareConcurrency - 1, + 1, +); + +GaussianSplatSorter._sorterTaskProcessor = undefined; +GaussianSplatSorter._taskProcessorReady = false; +GaussianSplatSorter._error = undefined; +GaussianSplatSorter._getSorterTaskProcessor = function () { + if (!defined(GaussianSplatSorter._sorterTaskProcessor)) { + const processor = new TaskProcessor( + "gaussianSplatSort", + GaussianSplatSorter._maxSortingConcurrency, + ); + processor + .initWebAssemblyModule({ + wasmBinaryFile: "ThirdParty/cesiumjs_gsplat_utils_bg.wasm", + }) + .then(function (result) { + if (result) { + GaussianSplatSorter._taskProcessorReady = true; + } else { + GaussianSplatSorter._error = new RuntimeError( + "Gaussian splat sorter could not be initialized.", + ); + } + }) + .catch((error) => { + GaussianSplatSorter._error = error; + }); + GaussianSplatSorter._sorterTaskProcessor = processor; + } + + return GaussianSplatSorter._sorterTaskProcessor; +}; + +GaussianSplatSorter.courtSortSplats = function (parameters) { + const sorterTaskProcessor = GaussianSplatSorter._getSorterTaskProcessor(); + if (defined(GaussianSplatSorter._error)) { + throw GaussianSplatSorter._error; + } + + if (!GaussianSplatSorter._taskProcessorReady) { + return; + } + + return sorterTaskProcessor.scheduleTask(parameters, [ + parameters.splatIndexes.buffer, + ]); +}; + +export default GaussianSplatSorter; diff --git a/packages/engine/Source/Scene/GltfLoader.js b/packages/engine/Source/Scene/GltfLoader.js index 36b30df1ba92..efad9488e532 100644 --- a/packages/engine/Source/Scene/GltfLoader.js +++ b/packages/engine/Source/Scene/GltfLoader.js @@ -1030,7 +1030,10 @@ function createAttribute(gltf, accessorId, name, semantic, setIndex) { attribute.semantic === VertexAttributeSemantic.POSITION || attribute.semantic === VertexAttributeSemantic.NORMAL || attribute.semantic === VertexAttributeSemantic.TANGENT || - attribute.semantic === VertexAttributeSemantic.TEXCOORD; + attribute.semantic === VertexAttributeSemantic.TEXCOORD || + attribute.semantic === VertexAttributeSemantic.FEATURE_ID || + attribute.semantic === VertexAttributeSemantic.SCALE || + attribute.semantic === VertexAttributeSemantic.ROTATION; // In the glTF 2.0 spec, min and max are not affected by the normalized flag. // However, for KHR_mesh_quantization, min and max must be dequantized for @@ -1945,6 +1948,7 @@ function loadPrimitive(loader, gltfPrimitive, hasInstances, frameState) { const gaussianSplattingExtension = loader.gltfJson.extensionsUsed.includes( "KHR_gaussian_splatting", ); + if (loader._loadGaussianSplatting && defined(gaussianSplattingExtension)) { needsPostProcessing = true; primitivePlan.needsGaussianSplats = true; diff --git a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js index 7a991b09b410..16a3a0c3b8d1 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js @@ -5,6 +5,9 @@ import Pass from "../../Renderer/Pass.js"; import PrimitiveType from "../../Core/PrimitiveType.js"; import BlendingState from "../BlendingState.js"; import Matrix4 from "../../Core/Matrix4.js"; +// import GaussianSplatSorter from "../GaussianSplatSorter.js"; +// import DracoLoader from "../DracoLoader.js"; +// import Cesium3DContentGroup from "../Cesium3DContentGroup.js"; const GaussianSplatPipelineStage = { name: "GaussianSplatPipelineStage", @@ -167,6 +170,15 @@ GaussianSplatPipelineStage.process = function ( countSort(); + // const buf = primitive.attributes.find((a) => a.name === "_SCALE").typedArray.buffer; + // const gsprom = GaussianSplatSorter.courtSortSplats({splatIndexes: { buffer: buf}}, "foo"); + + // if(!Cesium.defined(gsprom)) { + // console.log("gssort not ready"); + // } else { + // gsprom.then(result => console.log("gssort running")) + // .catch(error => console.log("gssort running but not happy :: ", error)); + // } renderResources.instanceCount = renderResources.count; renderResources.count = 4; renderResources.primitiveType = PrimitiveType.TRIANGLE_STRIP; diff --git a/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js b/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js index 5ee866015752..c333aa769f78 100644 --- a/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js +++ b/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js @@ -216,6 +216,7 @@ ModelRuntimePrimitive.prototype.configurePipeline = function (frameState) { const hasQuantization = ModelUtility.hasQuantizedAttributes( primitive.attributes, ); + const generateWireframeIndices = model.debugWireframe && PrimitiveType.isTriangles(primitive.primitiveType) && @@ -244,7 +245,6 @@ ModelRuntimePrimitive.prototype.configurePipeline = function (frameState) { const hasGaussianSplats = model.enableShowGaussianSplatting && (model?.style?.showGaussianSplatting ?? true); - // Start of pipeline ----------------------------------------------------- if (use2D) { pipelineStages.push(SceneMode2DPipelineStage); diff --git a/packages/engine/Source/Scene/PrimitiveLoadPlan.js b/packages/engine/Source/Scene/PrimitiveLoadPlan.js index 04e22025d136..2cb46e249210 100644 --- a/packages/engine/Source/Scene/PrimitiveLoadPlan.js +++ b/packages/engine/Source/Scene/PrimitiveLoadPlan.js @@ -7,6 +7,8 @@ import BufferUsage from "../Renderer/BufferUsage.js"; import AttributeType from "./AttributeType.js"; import ModelComponents from "./ModelComponents.js"; import PrimitiveOutlineGenerator from "./Model/PrimitiveOutlineGenerator.js"; +//import GaussianSplatTextureGenerator from "./Model/GaussianSplatGenTexture.js"; + /** * Simple struct for tracking whether an attribute will be loaded as a buffer * or typed array after post-processing. @@ -188,7 +190,11 @@ PrimitiveLoadPlan.prototype.postProcess = function (context) { //handle splat post-processing for point primitives if (this.needsGaussianSplats) { - setupGaussianSplatBuffers(this, context); + if (this.generateGaussianSplatTexture) { + generateSplatTexture(this, context); + } else { + setupGaussianSplatBuffers(this, context); + } } }; @@ -253,6 +259,8 @@ function setupGaussianSplatBuffers(loadPlan, context) { } } +function generateSplatTexture(loadPlan, context) {} + function generateBuffers(loadPlan, context) { generateAttributeBuffers(loadPlan.attributePlans, context); diff --git a/packages/engine/Source/Scene/VertexAttributeSemantic.js b/packages/engine/Source/Scene/VertexAttributeSemantic.js index c168997fca27..0225bf5d3fba 100644 --- a/packages/engine/Source/Scene/VertexAttributeSemantic.js +++ b/packages/engine/Source/Scene/VertexAttributeSemantic.js @@ -73,6 +73,20 @@ const VertexAttributeSemantic = { * @constant */ FEATURE_ID: "_FEATURE_ID", + /** + * Gaussian Splat Scale + * + * @type {string} + * @constant + */ + SCALE: "_SCALE", + /** + * Gaussian Splat Rotation + * + * @type {string} + * @constant + */ + ROTATION: "_ROTATION", }; function semanticToVariableName(semantic) { @@ -93,6 +107,10 @@ function semanticToVariableName(semantic) { return "weights"; case VertexAttributeSemantic.FEATURE_ID: return "featureId"; + case VertexAttributeSemantic.SCALE: + return "scale"; + case VertexAttributeSemantic.ROTATION: + return "rotation"; //>>includeStart('debug', pragmas.debug); default: throw new DeveloperError("semantic is not a valid value."); @@ -124,6 +142,8 @@ VertexAttributeSemantic.hasSetIndex = function (semantic) { case VertexAttributeSemantic.JOINTS: case VertexAttributeSemantic.WEIGHTS: case VertexAttributeSemantic.FEATURE_ID: + case VertexAttributeSemantic.SCALE: + case VertexAttributeSemantic.ROTATION: return true; //>>includeStart('debug', pragmas.debug); default: @@ -172,6 +192,10 @@ VertexAttributeSemantic.fromGltfSemantic = function (gltfSemantic) { return VertexAttributeSemantic.WEIGHTS; case "_FEATURE_ID": return VertexAttributeSemantic.FEATURE_ID; + case "_SCALE": + return VertexAttributeSemantic.SCALE; + case "_ROTATION": + return VertexAttributeSemantic.ROTATION; } return undefined; @@ -241,6 +265,10 @@ VertexAttributeSemantic.getGlslType = function (semantic) { return "vec4"; case VertexAttributeSemantic.FEATURE_ID: return "int"; + case VertexAttributeSemantic.SCALE: + return "vec3"; + case VertexAttributeSemantic.ROTATION: + return "vec4"; //>>includeStart('debug', pragmas.debug); default: throw new DeveloperError("semantic is not a valid value."); diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl index 401efa384c93..94a470d0d62a 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl @@ -1,9 +1,9 @@ void gaussianSplatStage(inout vec4 color, in ProcessedAttributes attributes) { float A = dot(v_vertPos, v_vertPos); - if(A > 2.0) + if(A > 1.0) discard; - - float B = exp(-A * 2.5) * v_splatColor.a; + float alpha = clamp(v_splatColor.a * 1.5, 0., 1.); + float B = exp(-A * 4.0) *alpha; color = vec4(v_splatColor.rgb * B, B); } diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl index 923029e577f7..5d28ab78786d 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl @@ -45,8 +45,7 @@ vec3 calcCov2D(vec3 worldPos, float focal_x, float focal_y, float tan_fovx, floa 0, 0, 0 ); - // mat3 W = mat3(viewmatrix); - mat3 W = mat3( + mat3 W = mat3( viewmatrix[0][0], viewmatrix[1][0], viewmatrix[2][0], viewmatrix[0][1], viewmatrix[1][1], viewmatrix[2][1], viewmatrix[0][2], viewmatrix[1][2], viewmatrix[2][2] @@ -85,7 +84,6 @@ void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) vec2 minorAxis = min(sqrt(2.0 * lambda2), 1024.0) * vec2(diagonalVector.y, -diagonalVector.x); vec2 corner = vec2((gl_VertexID << 1) & 2, gl_VertexID & 2) - 1.; - positionClip += vec4((corner.x * majorAxis + corner.y * minorAxis) * 4.0 / czm_viewport.zw * positionClip.w, 0, 0); positionClip.z = clamp(positionClip.z, -abs(positionClip.w), abs(positionClip.w)); v_vertPos = corner ; diff --git a/packages/engine/Source/Workers/gaussianSplatSort.js b/packages/engine/Source/Workers/gaussianSplatSort.js new file mode 100644 index 000000000000..9719308e0c1b --- /dev/null +++ b/packages/engine/Source/Workers/gaussianSplatSort.js @@ -0,0 +1,318 @@ +import createTaskProcessorWorker from "./createTaskProcessorWorker.js"; +//import defaultValue from "../Core/defaultValue.js"; +import defined from "../Core/defined.js"; +//import RuntimeError from "../Core/RuntimeError.js"; + +let wasm; + +let cachedUint8ArrayMemory0 = null; + +// const TextureDataFinalization = (typeof FinalizationRegistry === 'undefined') +// ? { register: () => {}, unregister: () => {} } +// : new FinalizationRegistry(ptr => wasm.__wbg_texturedata_free(ptr >>> 0, 1)); + +//Used for texture generation, disabled for now +// class TextureData { + +// static __wrap(ptr) { +// ptr = ptr >>> 0; +// const obj = Object.create(TextureData.prototype); +// obj.__wbg_ptr = ptr; +// TextureDataFinalization.register(obj, obj.__wbg_ptr, obj); +// return obj; +// } + +// __destroy_into_raw() { +// const ptr = this.__wbg_ptr; +// this.__wbg_ptr = 0; +// TextureDataFinalization.unregister(this); +// return ptr; +// } + +// free() { +// const ptr = this.__destroy_into_raw(); +// wasm.__wbg_texturedata_free(ptr, 0); +// } +// /** +// * @returns {Uint32Array} +// */ +// get data() { +// const ret = wasm.texturedata_data(this.__wbg_ptr); +// const v1 = getArrayU32FromWasm0(ret[0], ret[1]).slice(); +// wasm.__wbindgen_free(ret[0], ret[1] * 4, 4); +// return v1; +// } +// /** +// * @returns {number} +// */ +// get width() { +// const ret = wasm.texturedata_width(this.__wbg_ptr); +// return ret >>> 0; +// } +// /** +// * @returns {number} +// */ +// get height() { +// const ret = wasm.texturedata_height(this.__wbg_ptr); +// return ret >>> 0; +// } +// } + +function getUint8ArrayMemory0() { + if ( + cachedUint8ArrayMemory0 === null || + cachedUint8ArrayMemory0.byteLength === 0 + ) { + cachedUint8ArrayMemory0 = new Uint8Array(wasm.memory.buffer); + } + return cachedUint8ArrayMemory0; +} + +function getArrayU8FromWasm0(ptr, len) { + ptr = ptr >>> 0; + return getUint8ArrayMemory0().subarray(ptr / 1, ptr / 1 + len); +} + +const cachedTextDecoder = + typeof TextDecoder !== "undefined" + ? new TextDecoder("utf-8", { ignoreBOM: true, fatal: true }) + : { + decode: () => { + throw Error("TextDecoder not available"); + }, + }; + +if (typeof TextDecoder !== "undefined") { + cachedTextDecoder.decode(); +} + +function getStringFromWasm0(ptr, len) { + ptr = ptr >>> 0; + return cachedTextDecoder.decode( + getUint8ArrayMemory0().subarray(ptr, ptr + len), + ); +} + +// let cachedUint32ArrayMemory0 = null; + +// function getUint32ArrayMemory0() { +// if (cachedUint32ArrayMemory0 === null || cachedUint32ArrayMemory0.byteLength === 0) { +// cachedUint32ArrayMemory0 = new Uint32Array(wasm.memory.buffer); +// } +// return cachedUint32ArrayMemory0; +// } + +// function getArrayU32FromWasm0(ptr, len) { +// ptr = ptr >>> 0; +// return getUint32ArrayMemory0().subarray(ptr / 4, ptr / 4 + len); +// } + +let WASM_VECTOR_LEN = 0; +/* +function passArray8ToWasm0(arg, malloc) { + const ptr = malloc(arg.length * 1, 1) >>> 0; + getUint8ArrayMemory0().set(arg, ptr / 1); + WASM_VECTOR_LEN = arg.length; + return ptr; +} + +function takeFromExternrefTable0(idx) { + const value = wasm.__wbindgen_export_0.get(idx); + wasm.__externref_table_dealloc(idx); + return value; +} + */ +/** + * @param {Uint8Array} buffer + * @param {number} vertex_count + * @returns {TextureData} + */ +/* +function generate_texture(buffer, vertex_count) { + const ptr0 = passArray8ToWasm0(buffer, wasm.__wbindgen_malloc); + const len0 = WASM_VECTOR_LEN; + const ret = wasm.generate_texture(ptr0, len0, vertex_count); + if (ret[2]) { + throw takeFromExternrefTable0(ret[1]); + } + return TextureData.__wrap(ret[0]); +} +*/ +let cachedFloat32ArrayMemory0 = null; + +function getFloat32ArrayMemory0() { + if ( + cachedFloat32ArrayMemory0 === null || + cachedFloat32ArrayMemory0.byteLength === 0 + ) { + cachedFloat32ArrayMemory0 = new Float32Array(wasm.memory.buffer); + } + return cachedFloat32ArrayMemory0; +} + +function passArrayF32ToWasm0(arg, malloc) { + const ptr = malloc(arg.length * 4, 4) >>> 0; + getFloat32ArrayMemory0().set(arg, ptr / 4); + WASM_VECTOR_LEN = arg.length; + return ptr; +} +/** + * @param {Float32Array} splats + */ +function count_sort_splats(splats) { + const ptr0 = passArrayF32ToWasm0(splats, wasm.__wbindgen_malloc); + const len0 = WASM_VECTOR_LEN; + wasm.count_sort_splats(ptr0, len0, splats); +} + +/** + * @param {Uint8Array} buffer + * @param {number} vertex_count + * @returns {TextureData} + */ +// function generate_splat_texture(buffer, vertex_count) { +// const ptr0 = passArray8ToWasm0(buffer, wasm.__wbindgen_malloc); +// const len0 = WASM_VECTOR_LEN; +// const ret = wasm.generate_splat_texture(ptr0, len0, vertex_count); +// if (ret[2]) { +// throw takeFromExternrefTable0(ret[1]); +// } +// return TextureData.__wrap(ret[0]); +// } + +async function __wbg_load(module, imports) { + const instance = await WebAssembly.instantiate(module, imports); + + if (instance instanceof WebAssembly.Instance) { + return { instance, module }; + } + return instance; +} + +function __wbg_get_imports() { + const imports = {}; + imports.wbg = {}; + imports.wbg.__wbindgen_copy_to_typed_array = function (arg0, arg1, arg2) { + new Uint8Array(arg2.buffer, arg2.byteOffset, arg2.byteLength).set( + getArrayU8FromWasm0(arg0, arg1), + ); + }; + imports.wbg.__wbg_alert_abe635d522c06aef = function (arg0, arg1) { + console.error(getStringFromWasm0(arg0, arg1)); + }; + imports.wbg.__wbindgen_throw = function (arg0, arg1) { + throw new Error(getStringFromWasm0(arg0, arg1)); + }; + imports.wbg.__wbindgen_init_externref_table = function () { + const table = wasm.__wbindgen_export_0; + const offset = table.grow(4); + table.set(0, undefined); + table.set(offset + 0, undefined); + table.set(offset + 1, null); + table.set(offset + 2, true); + table.set(offset + 3, false); + }; + + return imports; +} + +function __wbg_init_memory(imports, memory) {} + +function __wbg_finalize_init(instance, module) { + wasm = instance.exports; + __wbg_init.__wbindgen_wasm_module = module; + cachedFloat32ArrayMemory0 = null; + // cachedUint32ArrayMemory0 = null; + cachedUint8ArrayMemory0 = null; + + wasm.__wbindgen_start(); + return wasm; +} + +// function initSync(module) { +// if (wasm !== undefined) { +// return wasm; +// } + +// if (typeof module !== 'undefined') { +// if (Object.getPrototypeOf(module) === Object.prototype) { +// ({module} = module) +// } else { +// console.warn('using deprecated parameters for `initSync()`; pass a single object instead') +// } +// } + +// const imports = __wbg_get_imports(); + +// __wbg_init_memory(imports); + +// if (!(module instanceof WebAssembly.Module)) { +// module = new WebAssembly.Module(module); +// } + +// const instance = new WebAssembly.Instance(module, imports); + +// return __wbg_finalize_init(instance, module); +// } + +async function __wbg_init(module_or_path) { + if (wasm !== undefined) { + return wasm; + } + + if (typeof module_or_path !== "undefined") { + if (Object.getPrototypeOf(module_or_path) === Object.prototype) { + ({ module_or_path } = module_or_path); + } else { + console.warn( + "using deprecated parameters for the initialization function; pass a single object instead", + ); + } + } + + if (typeof module_or_path === "undefined") { + module_or_path = new URL("cesiumjs_gsplat_utils_bg.wasm", import.meta.url); + } + const imports = __wbg_get_imports(); + + if ( + typeof module_or_path === "string" || + (typeof Request === "function" && module_or_path instanceof Request) || + (typeof URL === "function" && module_or_path instanceof URL) + ) { + module_or_path = fetch(module_or_path); + } + + __wbg_init_memory(imports); + + const { instance, module } = await __wbg_load(await module_or_path, imports); + + return __wbg_finalize_init(instance, module); +} + +//load built wasm modules for sorting. Ensure we can load webassembly and we support SIMD. +async function initWorker(parameters, transferableObjects) { + // Require and compile WebAssembly module, or use fallback if not supported + const wasmConfig = parameters.webAssemblyConfig; + if (defined(wasmConfig) && defined(wasmConfig.wasmBinary)) { + __wbg_init(wasmConfig.wasmBinary); + return true; + } +} + +async function doSort(parameters, transferableObjects) { + const f32arr = new Float32Array(parameters.splatIndexes.buffer); + count_sort_splats(f32arr); +} + +async function gaussianSplatSort(parameters, transferableObjects) { + // Expect the first message to be to load a web assembly module + const wasmConfig = parameters.webAssemblyConfig; + if (defined(wasmConfig)) { + return initWorker(parameters, transferableObjects); + } + + return doSort(parameters, transferableObjects); +} + +export default createTaskProcessorWorker(gaussianSplatSort); diff --git a/scripts/build.js b/scripts/build.js index a28de85995a0..25c247c7210c 100644 --- a/scripts/build.js +++ b/scripts/build.js @@ -14,6 +14,7 @@ import { rimraf } from "rimraf"; import { mkdirp } from "mkdirp"; + // Determines the scope of the workspace packages. If the scope is set to cesium, the workspaces should be @cesium/engine. // This should match the scope of the dependencies of the root level package.json. const scope = "cesium"; @@ -80,6 +81,7 @@ const stripPragmaPlugin = { }, }; + // Print an esbuild warning function printBuildWarning({ location, text }) { const { column, file, line, lineText, suggestion } = location; @@ -111,7 +113,7 @@ export const defaultESBuildOptions = () => { color: true, legalComments: `inline`, logLimit: 0, - target: `es2020`, + target: `es2020` }; }; @@ -200,7 +202,6 @@ export async function bundleCesiumJs(options) { incremental: incremental, write: options.write, }); - const iife = await build({ ...buildConfig, format: "iife", @@ -330,6 +331,9 @@ export async function createCombinedSpecList() { return contents; } + + + /** * @param {object} options * @param {string} options.path output directory @@ -1133,6 +1137,7 @@ export async function buildCesium(options) { outbase: "packages/widgets/Source", }); + const workersContext = await bundleWorkers({ iife: false, minify: minify, From ddceea8b8bc01d8e666519fdfb29b7a512cc1d16 Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Wed, 13 Nov 2024 10:42:57 -0600 Subject: [PATCH 36/97] Add unsigned int pixel datatype --- packages/engine/Source/Core/PixelFormat.js | 38 ++++++++++++++++++---- 1 file changed, 32 insertions(+), 6 deletions(-) diff --git a/packages/engine/Source/Core/PixelFormat.js b/packages/engine/Source/Core/PixelFormat.js index df70873cc7fe..e76686eacb8e 100644 --- a/packages/engine/Source/Core/PixelFormat.js +++ b/packages/engine/Source/Core/PixelFormat.js @@ -341,7 +341,7 @@ PixelFormat.isBC7Format = function (pixelFormat) { PixelFormat.compressedTextureSizeInBytes = function ( pixelFormat, width, - height + height, ) { switch (pixelFormat) { case PixelFormat.RGB_DXT1: @@ -363,7 +363,7 @@ PixelFormat.compressedTextureSizeInBytes = function ( case PixelFormat.RGB_PVRTC_2BPPV1: case PixelFormat.RGBA_PVRTC_2BPPV1: return Math.floor( - (Math.max(width, 16) * Math.max(height, 8) * 2 + 7) / 8 + (Math.max(width, 16) * Math.max(height, 8) * 2 + 7) / 8, ); case PixelFormat.RGBA_BC7: @@ -381,7 +381,7 @@ PixelFormat.textureSizeInBytes = function ( pixelFormat, pixelDatatype, width, - height + height, ) { let componentsLength = PixelFormat.componentsLength(pixelFormat); if (PixelDatatype.isPacked(pixelDatatype)) { @@ -413,7 +413,7 @@ PixelFormat.createTypedArray = function ( pixelFormat, pixelDatatype, width, - height + height, ) { const constructor = PixelDatatype.getTypedArrayConstructor(pixelDatatype); const size = PixelFormat.componentsLength(pixelFormat) * width * height; @@ -428,7 +428,7 @@ PixelFormat.flipY = function ( pixelFormat, pixelDatatype, width, - height + height, ) { if (height === 1) { return bufferView; @@ -437,7 +437,7 @@ PixelFormat.flipY = function ( pixelFormat, pixelDatatype, width, - height + height, ); const numberOfComponents = PixelFormat.componentsLength(pixelFormat); const textureWidth = width * numberOfComponents; @@ -499,6 +499,32 @@ PixelFormat.toInternalFormat = function (pixelFormat, pixelDatatype, context) { } } + if (pixelDatatype === PixelDatatype.INT) { + switch (pixelFormat) { + case PixelFormat.RGBA: + return WebGLConstants.RGBA32UI; + case PixelFormat.RGB: + return WebGLConstants.RGB32UI; + case PixelFormat.RG: + return WebGLConstants.RG32UI; + case PixelFormat.RED: + return WebGLConstants.R32UI; + } + } + + if (pixelDatatype === PixelDatatype.UNSIGNED_INT) { + switch (pixelFormat) { + case PixelFormat.RGBA: + return WebGLConstants.RGBA32UI; + case PixelFormat.RGB: + return WebGLConstants.RGB32UI; + case PixelFormat.RG: + return WebGLConstants.RG32UI; + case PixelFormat.RED: + return WebGLConstants.R32UI; + } + } + return pixelFormat; }; From d2441cf3b33cd370ca47d3db71c61a957f31422a Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Thu, 14 Nov 2024 13:51:42 -0600 Subject: [PATCH 37/97] guassian texture pipeline --- .../engine/Source/Scene/Cesium3DTileStyle.js | 50 +- .../engine/Source/Scene/Cesium3DTileset.js | 175 ++++--- .../Source/Scene/GaussianSplatSorter.js | 2 +- packages/engine/Source/Scene/GltfLoader.js | 6 +- .../Scene/Model/GaussianSplatPipelineStage.js | 318 +++++++++++- .../Model/GaussianSplatTextureGenerator.js | 59 +++ .../GaussianSplatTexturePipelineStage.js | 484 ++++++++++++++++++ .../Scene/Model/GeometryPipelineStage.js | 7 +- packages/engine/Source/Scene/Model/Model.js | 13 +- .../Source/Scene/Model/Model3DTileContent.js | 6 +- .../Source/Scene/Model/ModelDrawCommands.js | 155 ++++-- .../Scene/Model/ModelRuntimePrimitive.js | 11 +- .../engine/Source/Scene/PrimitiveLoadPlan.js | 41 +- .../Source/Shaders/Model/GaussianSplatVS.glsl | 30 ++ .../Source/Workers/gaussianSplatSort.js | 318 ------------ 15 files changed, 1176 insertions(+), 499 deletions(-) create mode 100644 packages/engine/Source/Scene/Model/GaussianSplatTextureGenerator.js create mode 100644 packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js delete mode 100644 packages/engine/Source/Workers/gaussianSplatSort.js diff --git a/packages/engine/Source/Scene/Cesium3DTileStyle.js b/packages/engine/Source/Scene/Cesium3DTileStyle.js index 24c827f0f24b..c6f086eb0c71 100644 --- a/packages/engine/Source/Scene/Cesium3DTileStyle.js +++ b/packages/engine/Source/Scene/Cesium3DTileStyle.js @@ -139,8 +139,10 @@ function setup(that, styleJson) { } function getExpression(tileStyle, value) { - const defines = defaultValue(tileStyle._style, defaultValue.EMPTY_OBJECT) - .defines; + const defines = defaultValue( + tileStyle._style, + defaultValue.EMPTY_OBJECT, + ).defines; if (!defined(value)) { return undefined; @@ -398,7 +400,7 @@ Object.defineProperties(Cesium3DTileStyle.prototype, { set: function (value) { this._pointOutlineColor = getExpression(this, value); this._style.pointOutlineColor = getJsonFromExpression( - this._pointOutlineColor + this._pointOutlineColor, ); }, }, @@ -441,7 +443,7 @@ Object.defineProperties(Cesium3DTileStyle.prototype, { set: function (value) { this._pointOutlineWidth = getExpression(this, value); this._style.pointOutlineWidth = getJsonFromExpression( - this._pointOutlineWidth + this._pointOutlineWidth, ); }, }, @@ -525,7 +527,7 @@ Object.defineProperties(Cesium3DTileStyle.prototype, { set: function (value) { this._labelOutlineColor = getExpression(this, value); this._style.labelOutlineColor = getJsonFromExpression( - this._labelOutlineColor + this._labelOutlineColor, ); }, }, @@ -568,7 +570,7 @@ Object.defineProperties(Cesium3DTileStyle.prototype, { set: function (value) { this._labelOutlineWidth = getExpression(this, value); this._style.labelOutlineWidth = getJsonFromExpression( - this._labelOutlineWidth + this._labelOutlineWidth, ); }, }, @@ -734,7 +736,7 @@ Object.defineProperties(Cesium3DTileStyle.prototype, { set: function (value) { this._backgroundColor = getExpression(this, value); this._style.backgroundColor = getJsonFromExpression( - this._backgroundColor + this._backgroundColor, ); }, }, @@ -768,7 +770,7 @@ Object.defineProperties(Cesium3DTileStyle.prototype, { set: function (value) { this._backgroundPadding = getExpression(this, value); this._style.backgroundPadding = getJsonFromExpression( - this._backgroundPadding + this._backgroundPadding, ); }, }, @@ -811,7 +813,7 @@ Object.defineProperties(Cesium3DTileStyle.prototype, { set: function (value) { this._backgroundEnabled = getExpression(this, value); this._style.backgroundEnabled = getJsonFromExpression( - this._backgroundEnabled + this._backgroundEnabled, ); }, }, @@ -845,7 +847,7 @@ Object.defineProperties(Cesium3DTileStyle.prototype, { set: function (value) { this._scaleByDistance = getExpression(this, value); this._style.scaleByDistance = getJsonFromExpression( - this._scaleByDistance + this._scaleByDistance, ); }, }, @@ -879,7 +881,7 @@ Object.defineProperties(Cesium3DTileStyle.prototype, { set: function (value) { this._translucencyByDistance = getExpression(this, value); this._style.translucencyByDistance = getJsonFromExpression( - this._translucencyByDistance + this._translucencyByDistance, ); }, }, @@ -913,7 +915,7 @@ Object.defineProperties(Cesium3DTileStyle.prototype, { set: function (value) { this._distanceDisplayCondition = getExpression(this, value); this._style.distanceDisplayCondition = getJsonFromExpression( - this._distanceDisplayCondition + this._distanceDisplayCondition, ); }, }, @@ -997,7 +999,7 @@ Object.defineProperties(Cesium3DTileStyle.prototype, { set: function (value) { this._anchorLineEnabled = getExpression(this, value); this._style.anchorLineEnabled = getJsonFromExpression( - this._anchorLineEnabled + this._anchorLineEnabled, ); }, }, @@ -1040,7 +1042,7 @@ Object.defineProperties(Cesium3DTileStyle.prototype, { set: function (value) { this._anchorLineColor = getExpression(this, value); this._style.anchorLineColor = getJsonFromExpression( - this._anchorLineColor + this._anchorLineColor, ); }, }, @@ -1115,7 +1117,7 @@ Object.defineProperties(Cesium3DTileStyle.prototype, { set: function (value) { this._disableDepthTestDistance = getExpression(this, value); this._style.disableDepthTestDistance = getJsonFromExpression( - this._disableDepthTestDistance + this._disableDepthTestDistance, ); }, }, @@ -1158,7 +1160,7 @@ Object.defineProperties(Cesium3DTileStyle.prototype, { set: function (value) { this._horizontalOrigin = getExpression(this, value); this._style.horizontalOrigin = getJsonFromExpression( - this._horizontalOrigin + this._horizontalOrigin, ); }, }, @@ -1242,7 +1244,7 @@ Object.defineProperties(Cesium3DTileStyle.prototype, { set: function (value) { this._labelHorizontalOrigin = getExpression(this, value); this._style.labelHorizontalOrigin = getJsonFromExpression( - this._labelHorizontalOrigin + this._labelHorizontalOrigin, ); }, }, @@ -1285,7 +1287,7 @@ Object.defineProperties(Cesium3DTileStyle.prototype, { set: function (value) { this._labelVerticalOrigin = getExpression(this, value); this._style.labelVerticalOrigin = getJsonFromExpression( - this._labelVerticalOrigin + this._labelVerticalOrigin, ); }, }, @@ -1370,7 +1372,7 @@ Cesium3DTileStyle.fromUrl = function (url) { Cesium3DTileStyle.prototype.getColorShaderFunction = function ( functionSignature, variableSubstitutionMap, - shaderState + shaderState, ) { if (this._colorShaderFunctionReady) { shaderState.translucent = this._colorShaderTranslucent; @@ -1384,7 +1386,7 @@ Cesium3DTileStyle.prototype.getColorShaderFunction = function ( functionSignature, variableSubstitutionMap, shaderState, - "vec4" + "vec4", ); } else { this._colorShaderFunction = undefined; @@ -1408,7 +1410,7 @@ Cesium3DTileStyle.prototype.getColorShaderFunction = function ( Cesium3DTileStyle.prototype.getShowShaderFunction = function ( functionSignature, variableSubstitutionMap, - shaderState + shaderState, ) { if (this._showShaderFunctionReady) { // Return the cached result, may be undefined @@ -1422,7 +1424,7 @@ Cesium3DTileStyle.prototype.getShowShaderFunction = function ( functionSignature, variableSubstitutionMap, shaderState, - "bool" + "bool", ); } else { this._showShaderFunction = undefined; @@ -1444,7 +1446,7 @@ Cesium3DTileStyle.prototype.getShowShaderFunction = function ( Cesium3DTileStyle.prototype.getPointSizeShaderFunction = function ( functionSignature, variableSubstitutionMap, - shaderState + shaderState, ) { if (this._pointSizeShaderFunctionReady) { // Return the cached result, may be undefined @@ -1457,7 +1459,7 @@ Cesium3DTileStyle.prototype.getPointSizeShaderFunction = function ( functionSignature, variableSubstitutionMap, shaderState, - "float" + "float", ); } else { this._pointSizeShaderFunction = undefined; diff --git a/packages/engine/Source/Scene/Cesium3DTileset.js b/packages/engine/Source/Scene/Cesium3DTileset.js index 04fc1c6834dd..4ebe9e74871b 100644 --- a/packages/engine/Source/Scene/Cesium3DTileset.js +++ b/packages/engine/Source/Scene/Cesium3DTileset.js @@ -229,7 +229,7 @@ function Cesium3DTileset(options) { this._cullWithChildrenBounds = defaultValue( options.cullWithChildrenBounds, - true + true, ); this._allTilesAdditive = true; @@ -240,7 +240,7 @@ function Cesium3DTileset(options) { this._maximumScreenSpaceError = defaultValue( options.maximumScreenSpaceError, - 16 + 16, ); this._memoryAdjustedScreenSpaceError = this._maximumScreenSpaceError; @@ -251,13 +251,13 @@ function Cesium3DTileset(options) { const maximumCacheOverflowBytes = defaultValue( options.maximumCacheOverflowBytes, - 512 * 1024 * 1024 + 512 * 1024 * 1024, ); //>>includeStart('debug', pragmas.debug); Check.typeOf.number.greaterThanOrEquals( "maximumCacheOverflowBytes", maximumCacheOverflowBytes, - 0 + 0, ); //>>includeEnd('debug'); this._maximumCacheOverflowBytes = maximumCacheOverflowBytes; @@ -294,7 +294,7 @@ function Cesium3DTileset(options) { reverseScreenSpaceError: Number.MAX_VALUE, }; this._heatmap = new Cesium3DTilesetHeatmap( - options.debugHeatmapTilePropertyName + options.debugHeatmapTilePropertyName, ); /** @@ -305,7 +305,7 @@ function Cesium3DTileset(options) { */ this.cullRequestsWhileMoving = defaultValue( options.cullRequestsWhileMoving, - true + true, ); this._cullRequestsWhileMoving = false; @@ -317,7 +317,7 @@ function Cesium3DTileset(options) { */ this.cullRequestsWhileMovingMultiplier = defaultValue( options.cullRequestsWhileMovingMultiplier, - 60.0 + 60.0, ); /** @@ -329,7 +329,7 @@ function Cesium3DTileset(options) { this.progressiveResolutionHeightFraction = CesiumMath.clamp( defaultValue(options.progressiveResolutionHeightFraction, 0.3), 0.0, - 0.5 + 0.5, ); /** @@ -355,12 +355,12 @@ function Cesium3DTileset(options) { this._vectorClassificationOnly = defaultValue( options.vectorClassificationOnly, - false + false, ); this._vectorKeepDecodedPositions = defaultValue( options.vectorKeepDecodedPositions, - false + false, ); /** @@ -379,7 +379,7 @@ function Cesium3DTileset(options) { */ this.preloadFlightDestinations = defaultValue( options.preloadFlightDestinations, - true + true, ); this._pass = undefined; // Cesium3DTilePass @@ -395,7 +395,7 @@ function Cesium3DTileset(options) { */ this.dynamicScreenSpaceError = defaultValue( options.dynamicScreenSpaceError, - true + true, ); /** @@ -408,12 +408,12 @@ function Cesium3DTileset(options) { */ this.foveatedScreenSpaceError = defaultValue( options.foveatedScreenSpaceError, - true + true, ); this._foveatedConeSize = defaultValue(options.foveatedConeSize, 0.1); this._foveatedMinimumScreenSpaceErrorRelaxation = defaultValue( options.foveatedMinimumScreenSpaceErrorRelaxation, - 0.0 + 0.0, ); /** @@ -424,7 +424,7 @@ function Cesium3DTileset(options) { */ this.foveatedInterpolationCallback = defaultValue( options.foveatedInterpolationCallback, - CesiumMath.lerp + CesiumMath.lerp, ); /** @@ -461,7 +461,7 @@ function Cesium3DTileset(options) { */ this.dynamicScreenSpaceErrorDensity = defaultValue( options.dynamicScreenSpaceErrorDensity, - 2.0e-4 + 2.0e-4, ); /** @@ -482,7 +482,7 @@ function Cesium3DTileset(options) { */ this.dynamicScreenSpaceErrorFactor = defaultValue( options.dynamicScreenSpaceErrorFactor, - 24.0 + 24.0, ); /** @@ -496,7 +496,7 @@ function Cesium3DTileset(options) { */ this.dynamicScreenSpaceErrorHeightFalloff = defaultValue( options.dynamicScreenSpaceErrorHeightFalloff, - 0.25 + 0.25, ); // Updated based on the camera position and direction @@ -759,7 +759,7 @@ function Cesium3DTileset(options) { */ this.skipScreenSpaceErrorFactor = defaultValue( options.skipScreenSpaceErrorFactor, - 16 + 16, ); /** @@ -786,7 +786,7 @@ function Cesium3DTileset(options) { */ this.immediatelyLoadDesiredLevelOfDetail = defaultValue( options.immediatelyLoadDesiredLevelOfDetail, - false + false, ); /** @@ -806,7 +806,7 @@ function Cesium3DTileset(options) { ClippingPlaneCollection.setOwner( options.clippingPlanes, this, - "_clippingPlanes" + "_clippingPlanes", ); } @@ -815,7 +815,7 @@ function Cesium3DTileset(options) { ClippingPolygonCollection.setOwner( options.clippingPolygons, this, - "_clippingPolygons" + "_clippingPolygons", ); } @@ -861,6 +861,17 @@ function Cesium3DTileset(options) { */ this.showOutline = defaultValue(options.showOutline, true); + /** + * Whether to display Gaussing Splatting (will fall back to point cloud rendering if false) + * + * + * @type {boolean} + */ + this.showGaussianSplatting = defaultValue( + options.showGaussianSplatting, + true, + ); + /** * The color to use when rendering outlines. * @@ -877,7 +888,7 @@ function Cesium3DTileset(options) { */ this.splitDirection = defaultValue( options.splitDirection, - SplitDirection.NONE + SplitDirection.NONE, ); /** @@ -918,7 +929,7 @@ function Cesium3DTileset(options) { this._enableDebugWireframe = defaultValue( options.enableDebugWireframe, - false + false, ); /** @@ -936,7 +947,7 @@ function Cesium3DTileset(options) { if (this.debugWireframe === true && this._enableDebugWireframe === false) { oneTimeWarning( "tileset-debug-wireframe-ignored", - "enableDebugWireframe must be set to true in the Cesium3DTileset constructor, otherwise debugWireframe will be ignored." + "enableDebugWireframe must be set to true in the Cesium3DTileset constructor, otherwise debugWireframe will be ignored.", ); } @@ -953,7 +964,7 @@ function Cesium3DTileset(options) { */ this.debugShowBoundingVolume = defaultValue( options.debugShowBoundingVolume, - false + false, ); /** @@ -968,7 +979,7 @@ function Cesium3DTileset(options) { */ this.debugShowContentBoundingVolume = defaultValue( options.debugShowContentBoundingVolume, - false + false, ); /** @@ -982,7 +993,7 @@ function Cesium3DTileset(options) { */ this.debugShowViewerRequestVolume = defaultValue( options.debugShowViewerRequestVolume, - false + false, ); /** @@ -1005,7 +1016,7 @@ function Cesium3DTileset(options) { */ this.debugShowGeometricError = defaultValue( options.debugShowGeometricError, - false + false, ); /** @@ -1019,7 +1030,7 @@ function Cesium3DTileset(options) { */ this.debugShowRenderingStatistics = defaultValue( options.debugShowRenderingStatistics, - false + false, ); /** @@ -1068,7 +1079,7 @@ function Cesium3DTileset(options) { let instanceFeatureIdLabel = defaultValue( options.instanceFeatureIdLabel, - "instanceFeatureId_0" + "instanceFeatureId_0", ); if (typeof instanceFeatureIdLabel === "number") { instanceFeatureIdLabel = `instanceFeatureId_${instanceFeatureIdLabel}`; @@ -1224,7 +1235,7 @@ Object.defineProperties(Cesium3DTileset.prototype, { get: function () { deprecationWarning( "Cesium3DTileset.basePath", - "Cesium3DTileset.basePath has been deprecated. All tiles are relative to the url of the tileset JSON file that contains them. Use the url property instead." + "Cesium3DTileset.basePath has been deprecated. All tiles are relative to the url of the tileset JSON file that contains them. Use the url property instead.", ); return this._basePath; }, @@ -1440,7 +1451,7 @@ Object.defineProperties(Cesium3DTileset.prototype, { Check.typeOf.number.greaterThanOrEquals( "maximumScreenSpaceError", value, - 0 + 0, ); //>>includeEnd('debug'); @@ -1675,7 +1686,7 @@ Object.defineProperties(Cesium3DTileset.prototype, { Matrix4.multiply( this.root.computedTransform, this._initialClippingPlanesOriginMatrix, - this._clippingPlanesOriginMatrix + this._clippingPlanesOriginMatrix, ); this._clippingPlanesOriginMatrixDirty = false; } @@ -1801,12 +1812,12 @@ Object.defineProperties(Cesium3DTileset.prototype, { Check.typeOf.number.greaterThanOrEquals( "foveatedMinimumScreenSpaceErrorRelaxation", value, - 0.0 + 0.0, ); Check.typeOf.number.lessThanOrEquals( "foveatedMinimumScreenSpaceErrorRelaxation", value, - this.maximumScreenSpaceError + this.maximumScreenSpaceError, ); //>>includeEnd('debug'); @@ -2080,7 +2091,7 @@ Cesium3DTileset.fromUrl = async function (url, options) { const tilesetJson = await Cesium3DTileset.loadJson(resource); const metadataExtension = await processMetadataExtension( resource, - tilesetJson + tilesetJson, ); const tileset = new Cesium3DTileset(options); @@ -2118,27 +2129,25 @@ Cesium3DTileset.fromUrl = async function (url, options) { // the tile transform and model matrix at run time const boundingVolume = tileset._root.createBoundingVolume( tilesetJson.root.boundingVolume, - Matrix4.IDENTITY + Matrix4.IDENTITY, ); const clippingPlanesOrigin = boundingVolume.boundingSphere.center; // If this origin is above the surface of the earth // we want to apply an ENU orientation as our best guess of orientation. // Otherwise, we assume it gets its position/orientation completely from the // root tile transform and the tileset's model matrix - const originCartographic = tileset._ellipsoid.cartesianToCartographic( - clippingPlanesOrigin - ); + const originCartographic = + tileset._ellipsoid.cartesianToCartographic(clippingPlanesOrigin); if ( defined(originCartographic) && originCartographic.height > ApproximateTerrainHeights._defaultMinTerrainHeight ) { - tileset._initialClippingPlanesOriginMatrix = Transforms.eastNorthUpToFixedFrame( - clippingPlanesOrigin - ); + tileset._initialClippingPlanesOriginMatrix = + Transforms.eastNorthUpToFixedFrame(clippingPlanesOrigin); } tileset._clippingPlanesOriginMatrix = Matrix4.clone( - tileset._initialClippingPlanesOriginMatrix + tileset._initialClippingPlanesOriginMatrix, ); return tileset; @@ -2174,7 +2183,7 @@ Cesium3DTileset.prototype.makeStyleDirty = function () { Cesium3DTileset.prototype.loadTileset = function ( resource, tilesetJson, - parentTile + parentTile, ) { const asset = tilesetJson.asset; if (!defined(asset)) { @@ -2186,7 +2195,7 @@ Cesium3DTileset.prototype.loadTileset = function ( asset.version !== "1.1" ) { throw new RuntimeError( - "The tileset must be 3D Tiles version 0.0, 1.0, or 1.1" + "The tileset must be 3D Tiles version 0.0, 1.0, or 1.1", ); } @@ -2269,7 +2278,7 @@ function makeTile(tileset, baseResource, tileHeader, parentTile) { const implicitTileset = new ImplicitTileset( baseResource, tileHeader, - metadataSchema + metadataSchema, ); const rootCoordinates = new ImplicitTileCoordinates({ subdivisionScheme: implicitTileset.subdivisionScheme, @@ -2385,21 +2394,21 @@ function updateDynamicScreenSpaceError(tileset, frameState) { // Transform camera position and direction into the local coordinate system of the tileset const transformLocal = Matrix4.inverseTransformation( root.computedTransform, - scratchMatrix + scratchMatrix, ); const ellipsoid = frameState.mapProjection.ellipsoid; const boundingVolume = tileBoundingVolume.boundingVolume; const centerLocal = Matrix4.multiplyByPoint( transformLocal, boundingVolume.center, - scratchCenter + scratchCenter, ); if (Cartesian3.magnitude(centerLocal) > ellipsoid.minimumRadius) { // The tileset is defined in WGS84. Approximate the minimum and maximum height. const centerCartographic = Cartographic.fromCartesian( centerLocal, ellipsoid, - scratchCartographic + scratchCartographic, ); up = Cartesian3.normalize(camera.positionWC, scratchPositionNormal); direction = camera.directionWC; @@ -2411,13 +2420,13 @@ function updateDynamicScreenSpaceError(tileset, frameState) { const positionLocal = Matrix4.multiplyByPoint( transformLocal, camera.positionWC, - scratchPosition + scratchPosition, ); up = Cartesian3.UNIT_Z; direction = Matrix4.multiplyByPointAsVector( transformLocal, camera.directionWC, - scratchDirection + scratchDirection, ); direction = Cartesian3.normalize(direction, direction); height = positionLocal.z; @@ -2427,7 +2436,7 @@ function updateDynamicScreenSpaceError(tileset, frameState) { const halfHeightVector = Matrix3.getColumn( boundingVolume.halfAxes, 2, - scratchHalfHeight + scratchHalfHeight, ); const halfHeight = Cartesian3.magnitude(halfHeightVector); minimumHeight = centerLocal.z - halfHeight; @@ -2449,7 +2458,7 @@ function updateDynamicScreenSpaceError(tileset, frameState) { const t = CesiumMath.clamp( (height - heightClose) / (heightFar - heightClose), 0.0, - 1.0 + 1.0, ); // Increase density as the camera tilts towards the horizon @@ -2563,7 +2572,7 @@ Cesium3DTileset.prototype.prePassesUpdate = function (frameState) { } this._timeSinceLoad = Math.max( JulianDate.secondsDifference(frameState.time, this._loadTimestamp) * 1000, - 0.0 + 0.0, ); if (this.dynamicScreenSpaceError) { @@ -2693,12 +2702,12 @@ function processUpdateHeight(tileset, tile, frameState) { const ellipsoid = callbackData.ellipsoid; const positionCartographic = Cartographic.clone( callbackData.positionCartographic, - scratchUpdateHeightCartographic + scratchUpdateHeightCartographic, ); const centerCartographic = Cartographic.fromCartesian( boundingSphere.center, ellipsoid, - scratchUpdateHeightCartographic2 + scratchUpdateHeightCartographic2, ); // This can be undefined when the bounding sphere is at the origin @@ -2709,7 +2718,7 @@ function processUpdateHeight(tileset, tile, frameState) { const position = Cartographic.toCartesian( positionCartographic, ellipsoid, - scratchUpdateHeightCartesian + scratchUpdateHeightCartesian, ); if ( Cartesian3.distance(position, boundingSphere.center) <= @@ -2773,7 +2782,7 @@ function increaseScreenSpaceError(tileset) { "increase-screenSpaceError", `The tiles needed to meet maximumScreenSpaceError would use more memory than allocated for this tileset. The tileset will be rendered with a larger screen space error (see memoryAdjustedScreenSpaceError). - Consider using larger values for cacheBytes and maximumCacheOverflowBytes.` + Consider using larger values for cacheBytes and maximumCacheOverflowBytes.`, ); //>>includeEnd('debug'); @@ -2788,7 +2797,7 @@ function increaseScreenSpaceError(tileset) { function decreaseScreenSpaceError(tileset) { tileset._memoryAdjustedScreenSpaceError = Math.max( tileset.memoryAdjustedScreenSpaceError / 1.02, - tileset.maximumScreenSpaceError + tileset.maximumScreenSpaceError, ); } @@ -2829,7 +2838,7 @@ function computeTileLabelPosition(tile) { normal = Cartesian3.multiplyByScalar( normal, 0.75 * radius, - scratchCartesian + scratchCartesian, ); position = Cartesian3.add(normal, center, scratchCartesian); } @@ -2875,10 +2884,10 @@ function addTileDebugLabel(tile, tileset, position) { if (tileset.debugShowMemoryUsage) { labelString += `\nTexture Memory: ${formatMemoryString( - tile.content.texturesByteLength + tile.content.texturesByteLength, )}`; labelString += `\nGeometry Memory: ${formatMemoryString( - tile.content.geometryByteLength + tile.content.geometryByteLength, )}`; attributes += 2; } @@ -2928,7 +2937,7 @@ function updateTileDebugLabels(tileset, frameState) { const label = addTileDebugLabel( tileset.debugPickedTile, tileset, - position + position, ); label.pixelOffset = new Cartesian2(15, -15); // Offset to avoid picking the label. } @@ -3068,7 +3077,7 @@ function updateTiles(tileset, frameState, passOptions) { frameState, numberOfInitialCommands, tileset.pointCloudShading, - tileset.boundingSphere + tileset.boundingSphere, ); } @@ -3172,7 +3181,7 @@ function raiseLoadProgressEvent(tileset, frameState) { frameState.afterRender.push(function () { tileset.loadProgress.raiseEvent( numberOfPendingRequests, - numberOfTilesProcessing + numberOfTilesProcessing, ); return true; @@ -3234,12 +3243,12 @@ function detectModelMatrixChanged(tileset, frameState) { tileset._updatedModelMatrixFrame = frameState.frameNumber; tileset._modelMatrixChanged = !Matrix4.equals( tileset.modelMatrix, - tileset._previousModelMatrix + tileset._previousModelMatrix, ); if (tileset._modelMatrixChanged) { tileset._previousModelMatrix = Matrix4.clone( tileset.modelMatrix, - tileset._previousModelMatrix + tileset._previousModelMatrix, ); } } @@ -3329,7 +3338,7 @@ function createCredits(tileset) { credits.forEach( (credit) => (credit.showOnScreen = - credit.showOnScreen || tileset._showCreditsOnScreen) + credit.showOnScreen || tileset._showCreditsOnScreen), ); tileset._credits = credits; @@ -3368,7 +3377,7 @@ Cesium3DTileset.prototype.update = function (frameState) { */ Cesium3DTileset.prototype.updateForPass = function ( frameState, - tilesetPassState + tilesetPassState, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.object("frameState", frameState); @@ -3400,7 +3409,7 @@ Cesium3DTileset.prototype.updateForPass = function ( const commandList = defaultValue( tilesetPassState.commandList, - originalCommandList + originalCommandList, ); const commandStart = commandList.length; @@ -3408,7 +3417,7 @@ Cesium3DTileset.prototype.updateForPass = function ( frameState.camera = defaultValue(tilesetPassState.camera, originalCamera); frameState.cullingVolume = defaultValue( tilesetPassState.cullingVolume, - originalCullingVolume + originalCullingVolume, ); // Update clipping polygons @@ -3425,7 +3434,7 @@ Cesium3DTileset.prototype.updateForPass = function ( this, frameState, passStatistics, - passOptions + passOptions, ); } @@ -3539,7 +3548,7 @@ Cesium3DTileset.checkSupportedExtensions = function (extensionsRequired) { for (let i = 0; i < extensionsRequired.length; i++) { if (!Cesium3DTileset.supportedExtensions[extensionsRequired[i]]) { throw new RuntimeError( - `Unsupported 3D Tiles Extension: ${extensionsRequired[i]}` + `Unsupported 3D Tiles Extension: ${extensionsRequired[i]}`, ); } } @@ -3576,7 +3585,7 @@ Cesium3DTileset.prototype.getHeight = function (cartographic, scene) { const ray = scratchGetHeightRay; const position = ellipsoid.cartographicToCartesian( cartographic, - ray.direction + ray.direction, ); Cartesian3.normalize(ray.direction, ray.direction); @@ -3585,7 +3594,7 @@ Cesium3DTileset.prototype.getHeight = function (cartographic, scene) { ray.origin = Cartesian3.multiplyByScalar( ray.direction, -2 * ellipsoid.maximumRadius, - ray.origin + ray.origin, ); const intersection = this.pick(ray, scene.frameState, scratchIntersection); @@ -3595,7 +3604,7 @@ Cesium3DTileset.prototype.getHeight = function (cartographic, scene) { return ellipsoid.cartesianToCartographic( intersection, - scratchGetHeightCartographic + scratchGetHeightCartographic, )?.height; }; @@ -3614,7 +3623,7 @@ Cesium3DTileset.prototype.getHeight = function (cartographic, scene) { Cesium3DTileset.prototype.updateHeight = function ( cartographic, callback, - ellipsoid + ellipsoid, ) { ellipsoid = defaultValue(ellipsoid, Ellipsoid.WGS84); @@ -3671,7 +3680,7 @@ Cesium3DTileset.prototype.pick = function (ray, frameState, result) { const boundsIntersection = IntersectionTests.raySphere( ray, tile.contentBoundingVolume.boundingSphere, - scratchSphereIntersection + scratchSphereIntersection, ); if (!defined(boundsIntersection) || !defined(tile.content)) { continue; @@ -3684,11 +3693,11 @@ Cesium3DTileset.prototype.pick = function (ray, frameState, result) { candidates.sort((a, b) => { const aDist = BoundingSphere.distanceSquaredTo( a.contentBoundingVolume.boundingSphere, - ray.origin + ray.origin, ); const bDist = BoundingSphere.distanceSquaredTo( b.contentBoundingVolume.boundingSphere, - ray.origin + ray.origin, ); return aDist - bDist; @@ -3700,7 +3709,7 @@ Cesium3DTileset.prototype.pick = function (ray, frameState, result) { const candidate = tile.content.pick( ray, frameState, - scratchPickIntersection + scratchPickIntersection, ); if (defined(candidate)) { diff --git a/packages/engine/Source/Scene/GaussianSplatSorter.js b/packages/engine/Source/Scene/GaussianSplatSorter.js index 0b7af340c461..82b6fc10cf2d 100644 --- a/packages/engine/Source/Scene/GaussianSplatSorter.js +++ b/packages/engine/Source/Scene/GaussianSplatSorter.js @@ -43,7 +43,7 @@ GaussianSplatSorter._getSorterTaskProcessor = function () { return GaussianSplatSorter._sorterTaskProcessor; }; -GaussianSplatSorter.courtSortSplats = function (parameters) { +GaussianSplatSorter.countSortSplats = function (parameters) { const sorterTaskProcessor = GaussianSplatSorter._getSorterTaskProcessor(); if (defined(GaussianSplatSorter._error)) { throw GaussianSplatSorter._error; diff --git a/packages/engine/Source/Scene/GltfLoader.js b/packages/engine/Source/Scene/GltfLoader.js index efad9488e532..b3485f565aeb 100644 --- a/packages/engine/Source/Scene/GltfLoader.js +++ b/packages/engine/Source/Scene/GltfLoader.js @@ -1944,10 +1944,8 @@ function loadPrimitive(loader, gltfPrimitive, hasInstances, frameState) { primitivePlan, ); } - //JASON TODO -- remove extensionsUsed Logic - const gaussianSplattingExtension = loader.gltfJson.extensionsUsed.includes( - "KHR_gaussian_splatting", - ); + + const gaussianSplattingExtension = extensions.KHR_gaussian_splatting; if (loader._loadGaussianSplatting && defined(gaussianSplattingExtension)) { needsPostProcessing = true; diff --git a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js index 16a3a0c3b8d1..14ce632277da 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js @@ -5,9 +5,51 @@ import Pass from "../../Renderer/Pass.js"; import PrimitiveType from "../../Core/PrimitiveType.js"; import BlendingState from "../BlendingState.js"; import Matrix4 from "../../Core/Matrix4.js"; -// import GaussianSplatSorter from "../GaussianSplatSorter.js"; -// import DracoLoader from "../DracoLoader.js"; -// import Cesium3DContentGroup from "../Cesium3DContentGroup.js"; + +import __wbg_init, { + initSync, + radix_sort_gaussians, + splat_radix_sort_simd, + GSplatData, +} from "cesiumjs-gsplat-utils"; +//import __wbg_init from "cesiumjs-gsplat-utils"; + +import buildModuleUrl from "../../Core/buildModuleUrl.js"; + +let wasmInitialized = false; +let initPromise = null; +let wasmMod; + +class CesiumPerformanceTimer { + constructor() { + this.startTime = null; + this.endTime = null; + } + + start() { + this.startTime = performance.now(); + } + + end() { + this.endTime = performance.now(); + } + + getExecutionTime() { + if (!this.startTime || !this.endTime) { + throw new Error( + "Timer must be started and ended before getting execution time", + ); + } + return { + milliseconds: this.endTime - this.startTime, + }; + } + + reset() { + this.startTime = null; + this.endTime = null; + } +} const GaussianSplatPipelineStage = { name: "GaussianSplatPipelineStage", @@ -34,6 +76,14 @@ GaussianSplatPipelineStage.process = function ( ShaderDestination.BOTH, ); + if (primitive.hasAttributeTexture) { + shaderBuilder.addDefine( + "HAS_SPLAT_TEXTURE", + undefined, + ShaderDestination.BOTH, + ); + } + shaderBuilder.addAttribute("vec2", "a_screenQuadPosition"); shaderBuilder.addAttribute("vec3", "a_splatPosition"); shaderBuilder.addAttribute("vec4", "a_splatColor"); @@ -81,6 +131,10 @@ GaussianSplatPipelineStage.process = function ( return renderResources.model?.style?.splatScale ?? 1.0; }; + // Usage example: + const timer = new CesiumPerformanceTimer(); + + /* const countSort = () => { const attributes = primitive.attributes; const modelView = new Matrix4(); @@ -167,18 +221,258 @@ GaussianSplatPipelineStage.process = function ( rotAttr.typedArray = newRotArray; clrAttr.typedArray = newClrArray; }; +*/ + const radixSort = () => { + const attributes = primitive.attributes; + const modelView = new Matrix4(); + const modelMat = renderResources.model.modelMatrix; + Matrix4.multiply(cam.viewMatrix, modelMat, modelView); + + const posAttr = attributes.find((a) => a.name === "POSITION"); + const scaleAttr = attributes.find((a) => a.name === "_SCALE"); + const rotAttr = attributes.find((a) => a.name === "_ROTATION"); + const clrAttr = attributes.find((a) => a.name === "COLOR_0"); + + const posArray = posAttr.typedArray; + const scaleArray = scaleAttr.typedArray; + const rotArray = rotAttr.typedArray; + const clrArray = clrAttr.typedArray; + + const newPosArray = new posArray.constructor(posArray.length); + const newScaleArray = new scaleArray.constructor(scaleArray.length); + const newRotArray = new rotArray.constructor(rotArray.length); + const newClrArray = new clrArray.constructor(clrArray.length); + + const calcDepth = (i) => + posArray[i * 3] * modelView[2] + + posArray[i * 3 + 1] * modelView[6] + + posArray[i * 3 + 2] * modelView[10]; + + // Calculate depths and store as integers + const depthValues = new Int32Array(renderResources.count); + let maxDepth = -Infinity; + let minDepth = Infinity; + + for (let i = 0; i < renderResources.count; i++) { + const depth = (calcDepth(i) * 4096) | 0; + depthValues[i] = depth; + maxDepth = Math.max(maxDepth, depth); + minDepth = Math.min(minDepth, depth); + } + + // Normalize depths to positive values + const depthOffset = -minDepth; + for (let i = 0; i < renderResources.count; i++) { + depthValues[i] += depthOffset; + } + + // Create index array to track original positions + const indices = new Uint32Array(renderResources.count); + for (let i = 0; i < renderResources.count; i++) { + indices[i] = i; + } + + // Temporary arrays for radix sort + const tempDepths = new Int32Array(renderResources.count); + const tempIndices = new Uint32Array(renderResources.count); + + // Sort for each byte (4 bytes for 32-bit integer) + for (let shift = 0; shift < 32; shift += 8) { + const counts = new Uint32Array(256); + + // Count frequencies + for (let i = 0; i < renderResources.count; i++) { + const byte = (depthValues[i] >> shift) & 0xff; + counts[byte]++; + } + + // Calculate starting positions + let total = 0; + for (let i = 0; i < 256; i++) { + const count = counts[i]; + counts[i] = total; + total += count; + } + + // Move items to correct position + for (let i = 0; i < renderResources.count; i++) { + const byte = (depthValues[i] >> shift) & 0xff; + const pos = counts[byte]++; + + tempDepths[pos] = depthValues[i]; + tempIndices[pos] = indices[i]; + } + + // Copy back + depthValues.set(tempDepths); + indices.set(tempIndices); + } + + // Rearrange attribute arrays based on sorted indices + for (let i = 0; i < renderResources.count; i++) { + const j = indices[i]; + + newPosArray[i * 3] = posArray[j * 3]; + newPosArray[i * 3 + 1] = posArray[j * 3 + 1]; + newPosArray[i * 3 + 2] = posArray[j * 3 + 2]; + + newScaleArray[i * 3] = scaleArray[j * 3]; + newScaleArray[i * 3 + 1] = scaleArray[j * 3 + 1]; + newScaleArray[i * 3 + 2] = scaleArray[j * 3 + 2]; + + newRotArray[i * 4] = rotArray[j * 4]; + newRotArray[i * 4 + 1] = rotArray[j * 4 + 1]; + newRotArray[i * 4 + 2] = rotArray[j * 4 + 2]; + newRotArray[i * 4 + 3] = rotArray[j * 4 + 3]; + + newClrArray[i * 4] = clrArray[j * 4]; + newClrArray[i * 4 + 1] = clrArray[j * 4 + 1]; + newClrArray[i * 4 + 2] = clrArray[j * 4 + 2]; + newClrArray[i * 4 + 3] = clrArray[j * 4 + 3]; + } + + posAttr.typedArray = newPosArray; + scaleAttr.typedArray = newScaleArray; + rotAttr.typedArray = newRotArray; + clrAttr.typedArray = newClrArray; + }; + + const radixWasmSimd = async () => { + async function ensureWasmInitialized() { + if (!initPromise) { + initPromise = await __wbg_init( + buildModuleUrl("ThirdParty/cesiumjs_gsplat_utils_bg.wasm"), + ) + .then((wasm) => { + wasmInitialized = true; + initSync(wasm); + wasmMod = wasm; + }) + .catch((err) => { + console.error("Failed to initialize WASM module:", err); + throw err; + }); + } + return initPromise; + } + + if (!wasmMod) { + ensureWasmInitialized(); + } + + if (!wasmInitialized) { + return; + } + + const attributes = primitive.attributes; + const modelView = new Matrix4(); + const modelMat = renderResources.model.modelMatrix; + Matrix4.multiply(cam.viewMatrix, modelMat, modelView); + + const posAttr = attributes.find((a) => a.name === "POSITION"); + const scaleAttr = attributes.find((a) => a.name === "_SCALE"); + const rotAttr = attributes.find((a) => a.name === "_ROTATION"); + const clrAttr = attributes.find((a) => a.name === "COLOR_0"); + + initSync(wasmMod); + const gsData = GSplatData.fromFloat32Arrays( + posAttr.typedArray, + scaleAttr.typedArray, + rotAttr.typedArray, + clrAttr.typedArray, + modelView, + renderResources.count, + ); + + splat_radix_sort_simd(gsData); + + posAttr.typedArray = gsData.getPositions(); + scaleAttr.typedArray = gsData.getScales(); + rotAttr.typedArray = gsData.getRotations(); + clrAttr.typedArray = gsData.getColors(); + }; + + const radixWasm = () => { + async function ensureWasmInitialized() { + if (!initPromise) { + initPromise = await __wbg_init( + buildModuleUrl("ThirdParty/cesiumjs_gsplat_utils_bg.wasm"), + ) + .then((wasm) => { + wasmInitialized = true; + initSync(wasm); + wasmMod = wasm; + }) + .catch((err) => { + console.error("Failed to initialize WASM module:", err); + throw err; + }); + } + return initPromise; + } + + if (!wasmMod) { + ensureWasmInitialized(); + } + + if (!wasmInitialized) { + return; + } + + const attributes = primitive.attributes; + const modelView = new Matrix4(); + const modelMat = renderResources.model.modelMatrix; + Matrix4.multiply(cam.viewMatrix, modelMat, modelView); + + const posAttr = attributes.find((a) => a.name === "POSITION"); + const scaleAttr = attributes.find((a) => a.name === "_SCALE"); + const rotAttr = attributes.find((a) => a.name === "_ROTATION"); + const clrAttr = attributes.find((a) => a.name === "COLOR_0"); + + const posArray = posAttr.typedArray; + const scaleArray = scaleAttr.typedArray; + const rotArray = rotAttr.typedArray; + const clrArray = clrAttr.typedArray; + + initSync(wasmMod); + + const [newPositions, newScales, newRotations, newColors] = + radix_sort_gaussians( + posArray, + scaleArray, + rotArray, + clrArray, + modelView, + renderResources.count, + ); + + posAttr.typedArray = newPositions; + scaleAttr.typedArray = newScales; + rotAttr.typedArray = newRotations; + clrAttr.typedArray = newColors; + }; + + timer.start(); + radixSort(); + + timer.end(); + + const useWasm = false; + if (useWasm) { + timer.start(); + radixWasm(); + + timer.end(); + + timer.start(); + radixWasmSimd(); - countSort(); + timer.end(); + } - // const buf = primitive.attributes.find((a) => a.name === "_SCALE").typedArray.buffer; - // const gsprom = GaussianSplatSorter.courtSortSplats({splatIndexes: { buffer: buf}}, "foo"); + const rExecTime = timer.getExecutionTime(); + console.log(`RadixSort Execution time: ${rExecTime.milliseconds}ms`); - // if(!Cesium.defined(gsprom)) { - // console.log("gssort not ready"); - // } else { - // gsprom.then(result => console.log("gssort running")) - // .catch(error => console.log("gssort running but not happy :: ", error)); - // } renderResources.instanceCount = renderResources.count; renderResources.count = 4; renderResources.primitiveType = PrimitiveType.TRIANGLE_STRIP; diff --git a/packages/engine/Source/Scene/Model/GaussianSplatTextureGenerator.js b/packages/engine/Source/Scene/Model/GaussianSplatTextureGenerator.js new file mode 100644 index 000000000000..73178139ffe5 --- /dev/null +++ b/packages/engine/Source/Scene/Model/GaussianSplatTextureGenerator.js @@ -0,0 +1,59 @@ +import __wbg_init, { + initSync, + generate_splat_texture_from_attrs, +} from "cesiumjs-gsplat-utils"; +import buildModuleUrl from "../../Core/buildModuleUrl.js"; + +GaussianSplatTextureGenerator.wasmModule = undefined; +GaussianSplatTextureGenerator.wasmInitialized = false; +GaussianSplatTextureGenerator.initPromise = null; + +function GaussianSplatTextureGenerator() {} + +GaussianSplatTextureGenerator.initWasmModule = function () { + (async () => { + if (!this.initPromise) { + this.initPromise = await __wbg_init( + buildModuleUrl("ThirdParty/cesiumjs_gsplat_utils_bg.wasm"), + ) + .then((wasm) => { + this.wasmInitialized = true; + initSync(wasm); + this.wasmModule = wasm; + }) + .catch((err) => { + console.error("Failed to initialize WASM module:", err); + throw err; + }); + } + })(); + return this.initPromise; +}; + +//Attributes +//Position (vec3) +//Scale (vec3) +//Rotation (vec4) +//RGBA (u8 * 4) +GaussianSplatTextureGenerator.generateFromAttrs = async function ( + attributes, + count, +) { + if (!this.wasmModule || !this.wasmInitialized) { + this.initWasmModule(); + + while (!this.wasmModule) { + await new Promise((r) => setTimeout(r, 100)); + } + } + + return generate_splat_texture_from_attrs( + attributes.find((a) => a.name === "POSITION").typedArray, + attributes.find((a) => a.name === "_SCALE").typedArray, + attributes.find((a) => a.name === "_ROTATION").typedArray, + attributes.find((a) => a.name === "COLOR_0").typedArray, + count, + ); +}; + +export default GaussianSplatTextureGenerator; diff --git a/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js new file mode 100644 index 000000000000..7359b46d4002 --- /dev/null +++ b/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js @@ -0,0 +1,484 @@ +import ShaderDestination from "../../Renderer/ShaderDestination.js"; +import GaussianSplatVS from "../../Shaders/Model/GaussianSplatVS.js"; +import GaussianSplatFS from "../../Shaders/Model/GaussianSplatFS.js"; +import Pass from "../../Renderer/Pass.js"; +import PrimitiveType from "../../Core/PrimitiveType.js"; +import BlendingState from "../BlendingState.js"; +import Matrix4 from "../../Core/Matrix4.js"; +import __wbg_init, { + initSync, + radix_sort_gaussians, + splat_radix_sort_simd, + GSplatData, +} from "cesiumjs-gsplat-utils"; +//import __wbg_init from "cesiumjs-gsplat-utils"; + +import GaussianSplatTextureGenerator from "./GaussianSplatTextureGenerator.js"; + +import buildModuleUrl from "../../Core/buildModuleUrl.js"; + +let wasmInitialized = false; +let initPromise = null; +let wasmMod; + +class CesiumPerformanceTimer { + constructor() { + this.startTime = null; + this.endTime = null; + } + + start() { + this.startTime = performance.now(); + } + + end() { + this.endTime = performance.now(); + } + + getExecutionTime() { + if (!this.startTime || !this.endTime) { + throw new Error( + "Timer must be started and ended before getting execution time", + ); + } + return { + milliseconds: this.endTime - this.startTime, + }; + } + + reset() { + this.startTime = null; + this.endTime = null; + } +} + +const GaussianSplatTexturePipelineStage = { + name: "GaussianSplatTexturePipelineStage", +}; + +GaussianSplatTexturePipelineStage.process = function ( + renderResources, + primitive, + frameState, +) { + if (GaussianSplatTextureGenerator.wasmInitialized === false) { + return; + } + const { shaderBuilder } = renderResources; + + const renderStateOptions = renderResources.renderStateOptions; + renderStateOptions.cull.enabled = false; + renderStateOptions.depthMask = false; + renderStateOptions.depthTest.enabled = false; + renderStateOptions.blending = BlendingState.PRE_MULTIPLIED_ALPHA_BLEND; + + renderResources.alphaOptions.pass = Pass.GAUSSIAN_SPLATS; + + shaderBuilder.addDefine( + "HAS_GAUSSIAN_SPLATS", + undefined, + ShaderDestination.BOTH, + ); + + shaderBuilder.addDefine( + "HAS_SPLAT_TEXTURE", + undefined, + ShaderDestination.BOTH, + ); + + shaderBuilder.addAttribute("vec2", "a_screenQuadPosition"); + shaderBuilder.addAttribute("vec3", "a_splatPosition"); + shaderBuilder.addAttribute("vec4", "a_splatColor"); + + shaderBuilder.addVarying("vec4", "v_splatColor"); + shaderBuilder.addVarying("vec2", "v_vertPos"); + shaderBuilder.addVarying("float", "v_splatOpacity"); + shaderBuilder.addVarying("vec4", "v_splatScale"); + shaderBuilder.addVarying("vec4", "v_splatRot"); + + shaderBuilder.addUniform("float", "u_tan_fovX", ShaderDestination.VERTEX); + shaderBuilder.addUniform("float", "u_tan_fovY", ShaderDestination.VERTEX); + shaderBuilder.addUniform("float", "u_focalX", ShaderDestination.VERTEX); + shaderBuilder.addUniform("float", "u_focalY", ShaderDestination.VERTEX); + shaderBuilder.addUniform("float", "u_splatScale", ShaderDestination.VERTEX); + + const uniformMap = renderResources.uniformMap; + const cam = frameState.camera; + const width = frameState.context.drawingBufferWidth; + const height = frameState.context.drawingBufferHeight; + + const tan_fovx = Math.tan(cam.frustum.fov * 0.5); + const tan_fovy = Math.tan(cam.frustum.fovy * 0.5); + const focal_x = width / (tan_fovx * 2); + const focal_y = height / (tan_fovy * 2); + + uniformMap.u_tan_fovX = function () { + return tan_fovx; + }; + + uniformMap.u_tan_fovY = function () { + return tan_fovy; + }; + + uniformMap.u_focalX = function () { + return focal_x; + }; + + uniformMap.u_focalY = function () { + return focal_y; + }; + + uniformMap.u_splatScale = function () { + return renderResources.model?.style?.splatScale ?? 1.0; + }; + + // Usage example: + const timer = new CesiumPerformanceTimer(); + /* + const countSort = () => { + const attributes = primitive.attributes; + const modelView = new Matrix4(); + const modelMat = renderResources.model.modelMatrix; + Matrix4.multiply(cam.viewMatrix, modelMat, modelView); + + const posAttr = attributes.find((a) => a.name === "POSITION"); + const scaleAttr = attributes.find((a) => a.name === "_SCALE"); + const rotAttr = attributes.find((a) => a.name === "_ROTATION"); + const clrAttr = attributes.find((a) => a.name === "COLOR_0"); + // const opAttr = attributes.find((a) => a.name === "_OPACITY"); + + const posArray = posAttr.typedArray; + const scaleArray = scaleAttr.typedArray; + const rotArray = rotAttr.typedArray; + const clrArray = clrAttr.typedArray; + // const opArray = opAttr.typedArray; + + const newPosArray = new posArray.constructor(posArray.length); + const newScaleArray = new scaleArray.constructor(scaleArray.length); + const newRotArray = new rotArray.constructor(rotArray.length); + const newClrArray = new clrArray.constructor(clrArray.length); + // const newOpArray = new opArray.constructor(opArray.length); + + const calcDepth = (i) => + posArray[i * 3] * modelView[2] + + posArray[i * 3 + 1] * modelView[6] + + posArray[i * 3 + 2] * modelView[10]; + + let maxDepth = -Infinity; + let minDepth = Infinity; + + const sizeList = new Int32Array(renderResources.count); + for (let i = 0; i < renderResources.count; i++) { + const depth = (calcDepth(i) * 4096) | 0; + + sizeList[i] = depth; + maxDepth = Math.max(maxDepth, depth); + minDepth = Math.min(minDepth, depth); + } + + const depthInv = (256 * 256) / (maxDepth - minDepth); + const counts0 = new Uint32Array(256 * 256); + for (let i = 0; i < renderResources.count; i++) { + sizeList[i] = ((sizeList[i] - minDepth) * depthInv) | 0; + counts0[sizeList[i]]++; + } + const starts0 = new Uint32Array(256 * 256); + for (let i = 1; i < 256 * 256; i++) { + starts0[i] = starts0[i - 1] + counts0[i - 1]; + } + + const depthIndex = new Uint32Array(renderResources.count); + for (let i = 0; i < renderResources.count; i++) { + depthIndex[starts0[sizeList[i]]++] = i; + } + + for (let i = 0; i < renderResources.count; i++) { + const j = depthIndex[i]; + + newPosArray[i * 3] = posArray[j * 3]; + newPosArray[i * 3 + 1] = posArray[j * 3 + 1]; + newPosArray[i * 3 + 2] = posArray[j * 3 + 2]; + + newScaleArray[i * 3] = scaleArray[j * 3]; + newScaleArray[i * 3 + 1] = scaleArray[j * 3 + 1]; + newScaleArray[i * 3 + 2] = scaleArray[j * 3 + 2]; + + newRotArray[i * 4] = rotArray[j * 4]; + newRotArray[i * 4 + 1] = rotArray[j * 4 + 1]; + newRotArray[i * 4 + 2] = rotArray[j * 4 + 2]; + newRotArray[i * 4 + 3] = rotArray[j * 4 + 3]; + + newClrArray[i * 4] = clrArray[j * 4]; + newClrArray[i * 4 + 1] = clrArray[j * 4 + 1]; + newClrArray[i * 4 + 2] = clrArray[j * 4 + 2]; + newClrArray[i * 4 + 3] = clrArray[j * 4 + 3]; + + // newOpArray[i] = opArray[j]; + } + + posAttr.typedArray = newPosArray; + scaleAttr.typedArray = newScaleArray; + rotAttr.typedArray = newRotArray; + clrAttr.typedArray = newClrArray; + }; +*/ + const radixSort = () => { + const attributes = primitive.attributes; + const modelView = new Matrix4(); + const modelMat = renderResources.model.modelMatrix; + Matrix4.multiply(cam.viewMatrix, modelMat, modelView); + + const posAttr = attributes.find((a) => a.name === "POSITION"); + const scaleAttr = attributes.find((a) => a.name === "_SCALE"); + const rotAttr = attributes.find((a) => a.name === "_ROTATION"); + const clrAttr = attributes.find((a) => a.name === "COLOR_0"); + + const posArray = posAttr.typedArray; + const scaleArray = scaleAttr.typedArray; + const rotArray = rotAttr.typedArray; + const clrArray = clrAttr.typedArray; + + const newPosArray = new posArray.constructor(posArray.length); + const newScaleArray = new scaleArray.constructor(scaleArray.length); + const newRotArray = new rotArray.constructor(rotArray.length); + const newClrArray = new clrArray.constructor(clrArray.length); + + const calcDepth = (i) => + posArray[i * 3] * modelView[2] + + posArray[i * 3 + 1] * modelView[6] + + posArray[i * 3 + 2] * modelView[10]; + + // Calculate depths and store as integers + const depthValues = new Int32Array(renderResources.count); + let maxDepth = -Infinity; + let minDepth = Infinity; + + for (let i = 0; i < renderResources.count; i++) { + const depth = (calcDepth(i) * 4096) | 0; + depthValues[i] = depth; + maxDepth = Math.max(maxDepth, depth); + minDepth = Math.min(minDepth, depth); + } + + // Normalize depths to positive values + const depthOffset = -minDepth; + for (let i = 0; i < renderResources.count; i++) { + depthValues[i] += depthOffset; + } + + // Create index array to track original positions + const indices = new Uint32Array(renderResources.count); + for (let i = 0; i < renderResources.count; i++) { + indices[i] = i; + } + + // Temporary arrays for radix sort + const tempDepths = new Int32Array(renderResources.count); + const tempIndices = new Uint32Array(renderResources.count); + + // Sort for each byte (4 bytes for 32-bit integer) + for (let shift = 0; shift < 32; shift += 8) { + const counts = new Uint32Array(256); + + // Count frequencies + for (let i = 0; i < renderResources.count; i++) { + const byte = (depthValues[i] >> shift) & 0xff; + counts[byte]++; + } + + // Calculate starting positions + let total = 0; + for (let i = 0; i < 256; i++) { + const count = counts[i]; + counts[i] = total; + total += count; + } + + // Move items to correct position + for (let i = 0; i < renderResources.count; i++) { + const byte = (depthValues[i] >> shift) & 0xff; + const pos = counts[byte]++; + + tempDepths[pos] = depthValues[i]; + tempIndices[pos] = indices[i]; + } + + // Copy back + depthValues.set(tempDepths); + indices.set(tempIndices); + } + + // Rearrange attribute arrays based on sorted indices + for (let i = 0; i < renderResources.count; i++) { + const j = indices[i]; + + newPosArray[i * 3] = posArray[j * 3]; + newPosArray[i * 3 + 1] = posArray[j * 3 + 1]; + newPosArray[i * 3 + 2] = posArray[j * 3 + 2]; + + newScaleArray[i * 3] = scaleArray[j * 3]; + newScaleArray[i * 3 + 1] = scaleArray[j * 3 + 1]; + newScaleArray[i * 3 + 2] = scaleArray[j * 3 + 2]; + + newRotArray[i * 4] = rotArray[j * 4]; + newRotArray[i * 4 + 1] = rotArray[j * 4 + 1]; + newRotArray[i * 4 + 2] = rotArray[j * 4 + 2]; + newRotArray[i * 4 + 3] = rotArray[j * 4 + 3]; + + newClrArray[i * 4] = clrArray[j * 4]; + newClrArray[i * 4 + 1] = clrArray[j * 4 + 1]; + newClrArray[i * 4 + 2] = clrArray[j * 4 + 2]; + newClrArray[i * 4 + 3] = clrArray[j * 4 + 3]; + } + + posAttr.typedArray = newPosArray; + scaleAttr.typedArray = newScaleArray; + rotAttr.typedArray = newRotArray; + clrAttr.typedArray = newClrArray; + }; + + const radixWasmSimd = async () => { + async function ensureWasmInitialized() { + if (!initPromise) { + initPromise = await __wbg_init( + buildModuleUrl("ThirdParty/cesiumjs_gsplat_utils_bg.wasm"), + ) + .then((wasm) => { + wasmInitialized = true; + initSync(wasm); + wasmMod = wasm; + }) + .catch((err) => { + console.error("Failed to initialize WASM module:", err); + throw err; + }); + } + return initPromise; + } + + if (!wasmMod) { + ensureWasmInitialized(); + } + + if (!wasmInitialized) { + return; + } + + const attributes = primitive.attributes; + const modelView = new Matrix4(); + const modelMat = renderResources.model.modelMatrix; + Matrix4.multiply(cam.viewMatrix, modelMat, modelView); + + const posAttr = attributes.find((a) => a.name === "POSITION"); + const scaleAttr = attributes.find((a) => a.name === "_SCALE"); + const rotAttr = attributes.find((a) => a.name === "_ROTATION"); + const clrAttr = attributes.find((a) => a.name === "COLOR_0"); + + initSync(wasmMod); + const gsData = GSplatData.fromFloat32Arrays( + posAttr.typedArray, + scaleAttr.typedArray, + rotAttr.typedArray, + clrAttr.typedArray, + modelView, + renderResources.count, + ); + + splat_radix_sort_simd(gsData); + + posAttr.typedArray = gsData.getPositions(); + scaleAttr.typedArray = gsData.getScales(); + rotAttr.typedArray = gsData.getRotations(); + clrAttr.typedArray = gsData.getColors(); + }; + + const radixWasm = () => { + async function ensureWasmInitialized() { + if (!initPromise) { + initPromise = await __wbg_init( + buildModuleUrl("ThirdParty/cesiumjs_gsplat_utils_bg.wasm"), + ) + .then((wasm) => { + wasmInitialized = true; + initSync(wasm); + wasmMod = wasm; + }) + .catch((err) => { + console.error("Failed to initialize WASM module:", err); + throw err; + }); + } + return initPromise; + } + + if (!wasmMod) { + ensureWasmInitialized(); + } + + if (!wasmInitialized) { + return; + } + + const attributes = primitive.attributes; + const modelView = new Matrix4(); + const modelMat = renderResources.model.modelMatrix; + Matrix4.multiply(cam.viewMatrix, modelMat, modelView); + + const posAttr = attributes.find((a) => a.name === "POSITION"); + const scaleAttr = attributes.find((a) => a.name === "_SCALE"); + const rotAttr = attributes.find((a) => a.name === "_ROTATION"); + const clrAttr = attributes.find((a) => a.name === "COLOR_0"); + + const posArray = posAttr.typedArray; + const scaleArray = scaleAttr.typedArray; + const rotArray = rotAttr.typedArray; + const clrArray = clrAttr.typedArray; + + initSync(wasmMod); + + const [newPositions, newScales, newRotations, newColors] = + radix_sort_gaussians( + posArray, + scaleArray, + rotArray, + clrArray, + modelView, + renderResources.count, + ); + + posAttr.typedArray = newPositions; + scaleAttr.typedArray = newScales; + rotAttr.typedArray = newRotations; + clrAttr.typedArray = newColors; + }; + + timer.start(); + radixSort(); + + timer.end(); + + const useWasm = false; + if (useWasm) { + timer.start(); + radixWasm(); + + timer.end(); + + timer.start(); + radixWasmSimd(); + + timer.end(); + } + + const rExecTime = timer.getExecutionTime(); + console.log(`RadixSort Execution time: ${rExecTime.milliseconds}ms`); + + renderResources.instanceCount = renderResources.count; + renderResources.count = 4; + renderResources.primitiveType = PrimitiveType.TRIANGLE_STRIP; + + shaderBuilder.addVertexLines(GaussianSplatVS); + shaderBuilder.addFragmentLines(GaussianSplatFS); +}; + +export default GaussianSplatTexturePipelineStage; diff --git a/packages/engine/Source/Scene/Model/GeometryPipelineStage.js b/packages/engine/Source/Scene/Model/GeometryPipelineStage.js index 37e32c1e3d16..ffc88bd29a6f 100644 --- a/packages/engine/Source/Scene/Model/GeometryPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GeometryPipelineStage.js @@ -135,11 +135,12 @@ GeometryPipelineStage.process = function ( ); } - //JASON TODO -- just use glTF extension to determine if (primitive.primitiveType === PrimitiveType.POINTS) { - const gaussianSplatsEnabled = model.enableShowGaussianSplatting; + const gaussianSplatsEnabled = + (primitive?.isGaussianSplatPrimitive ?? false) && + model.enableShowGaussianSplatting; if (gaussianSplatsEnabled) { - const showSplats = model?.style?.showGaussianSplatting ?? true; + const showSplats = model.showGaussianSplatting; primitive.attributes.find((a) => a.name === "POSITION").instanceDivisor = showSplats ? 1 : 0; primitive.attributes.find((a) => a.name === "_SCALE").instanceDivisor = diff --git a/packages/engine/Source/Scene/Model/Model.js b/packages/engine/Source/Scene/Model/Model.js index 7c90f4b46f23..5cbd9ba02e2c 100644 --- a/packages/engine/Source/Scene/Model/Model.js +++ b/packages/engine/Source/Scene/Model/Model.js @@ -468,12 +468,16 @@ function Model(options) { */ this.showOutline = defaultValue(options.showOutline, true); - //JASON TODO -- load proper extension, remove extensionsUsed check this._enableShowGaussianSplatting = defaultValue( - options.loader.gltfJson.extensionsUsed.includes("KHR_gaussian_splatting"), + options.enableShowGaussianSplatting, false, ); + /** + * Whether to display Gaussing Splatting (will fall back to point cloud rendering if false) + * + * @type {boolean} + */ this.showGaussianSplatting = defaultValue( options.showGaussianSplatting, true, @@ -821,7 +825,6 @@ Object.defineProperties(Model.prototype, { * * @type {PointCloudShading} */ - //JASON TODO -- replace extensionsUsed logic enableShowGaussianSplatting: { get: function () { return this._enableShowGaussianSplatting; @@ -833,9 +836,7 @@ Object.defineProperties(Model.prototype, { this._enableShowGaussianSplatting = value; // Warning for improper setup of gaussian splatting if ( - (this._enableShowGaussianSplatting === true && - this._loader.extensionsUsed.includes("KHR_gaussian_splatting") === - false) || + this._enableShowGaussianSplatting === true || this.type !== ModelType.GLTF ) { oneTimeWarning( diff --git a/packages/engine/Source/Scene/Model/Model3DTileContent.js b/packages/engine/Source/Scene/Model/Model3DTileContent.js index 4cc14223fad0..33782da132f5 100644 --- a/packages/engine/Source/Scene/Model/Model3DTileContent.js +++ b/packages/engine/Source/Scene/Model/Model3DTileContent.js @@ -337,11 +337,6 @@ Model3DTileContent.fromGltf = async function (tileset, tile, resource, gltf) { modelOptions.classificationType = classificationType; - //JASON TODO -- get rid of extensionsUsed logic - modelOptions.enableShowGaussianSplatting = gltf.extensionsUsed.includes( - "KHR_gaussian_splatting", - ); - const model = await Model.fromGltfAsync(modelOptions); content._model = model; @@ -521,6 +516,7 @@ function makeModelOptions(tileset, tile, content, additionalOptions) { enableShowOutline: tileset._enableShowOutline, showOutline: tileset.showOutline, outlineColor: tileset.outlineColor, + showGaussianSplatting: tileset.showGaussianSplatting, }; return combine(additionalOptions, mainOptions); diff --git a/packages/engine/Source/Scene/Model/ModelDrawCommands.js b/packages/engine/Source/Scene/Model/ModelDrawCommands.js index 1aea342538a5..cb39302417c7 100644 --- a/packages/engine/Source/Scene/Model/ModelDrawCommands.js +++ b/packages/engine/Source/Scene/Model/ModelDrawCommands.js @@ -51,11 +51,18 @@ ModelDrawCommands.buildModelDrawCommand = function ( frameState, ); - const command = buildDrawCommandForModel( - primitiveRenderResources, - shaderProgram, - frameState, - ); + const command = primitiveRenderResources.runtimePrimitive.primitive + .isGaussianSplatPrimitive + ? buildDrawCommandForGaussianSplatModel( + primitiveRenderResources, + shaderProgram, + frameState, + ) + : buildDrawCommandForModel( + primitiveRenderResources, + shaderProgram, + frameState, + ); const model = primitiveRenderResources.model; const hasClassification = defined(model.classificationType); @@ -110,12 +117,116 @@ function buildDrawCommandForModel( const indexBuffer = getIndexBuffer(primitiveRenderResources); const model = primitiveRenderResources.model; + const vertexArray = new VertexArray({ + context: frameState.context, + indexBuffer: indexBuffer, + attributes: primitiveRenderResources.attributes, + }); + + model._pipelineResources.push(vertexArray); + + const pass = primitiveRenderResources.alphaOptions.pass; + const sceneGraph = model.sceneGraph; + + const is3D = frameState.mode === SceneMode.SCENE3D; + let modelMatrix, boundingSphere; + + if (!is3D && !frameState.scene3DOnly && model._projectTo2D) { + modelMatrix = Matrix4.multiplyTransformation( + sceneGraph._computedModelMatrix, + primitiveRenderResources.runtimeNode.computedTransform, + new Matrix4(), + ); + + const runtimePrimitive = primitiveRenderResources.runtimePrimitive; + boundingSphere = runtimePrimitive.boundingSphere2D; + } else { + const computedModelMatrix = is3D + ? sceneGraph._computedModelMatrix + : sceneGraph._computedModelMatrix2D; + + modelMatrix = Matrix4.multiplyTransformation( + computedModelMatrix, + primitiveRenderResources.runtimeNode.computedTransform, + new Matrix4(), + ); + + boundingSphere = BoundingSphere.transform( + primitiveRenderResources.boundingSphere, + modelMatrix, + ); + } + + // Initialize render state with default values + let renderState = clone( + RenderState.fromCache(primitiveRenderResources.renderStateOptions), + true, + ); + + renderState.cull.face = ModelUtility.getCullFace( + modelMatrix, + primitiveRenderResources.primitiveType, + ); + renderState = RenderState.fromCache(renderState); + + const hasClassification = defined(model.classificationType); + const castShadows = hasClassification + ? false + : ShadowMode.castShadows(model.shadows); + const receiveShadows = hasClassification + ? false + : ShadowMode.receiveShadows(model.shadows); + // Pick IDs are only added to specific draw commands for classification. + // This behavior is handled by ClassificationModelDrawCommand. + const pickId = hasClassification + ? undefined + : primitiveRenderResources.pickId; + + const command = new DrawCommand({ + boundingVolume: boundingSphere, + modelMatrix: modelMatrix, + uniformMap: primitiveRenderResources.uniformMap, + renderState: renderState, + vertexArray: vertexArray, + shaderProgram: shaderProgram, + cull: model.cull, + pass: pass, + count: primitiveRenderResources.count, + owner: model, + pickId: pickId, + pickMetadataAllowed: true, + instanceCount: primitiveRenderResources.instanceCount, + primitiveType: primitiveRenderResources.primitiveType, + debugShowBoundingVolume: model.debugShowBoundingVolume, + castShadows: castShadows, + receiveShadows: receiveShadows, + }); + return command; +} + +/** + * Builds the {@link DrawCommand} that serves as the basis for either creating + * a {@link ModelDrawCommand} or a {@link ModelRuntimePrimitive} + * + * @param {PrimitiveRenderResources} primitiveRenderResources The render resources for a primitive. + * @param {ShaderProgram} shaderProgram The shader program + * @param {FrameState} frameState The frame state for creating GPU resources. + * + * @returns {DrawCommand} The generated DrawCommand, to be passed to + * the ModelDrawCommand or ClassificationModelDrawCommand + * + * @private + */ +function buildDrawCommandForGaussianSplatModel( + primitiveRenderResources, + shaderProgram, + frameState, +) { + const indexBuffer = getIndexBuffer(primitiveRenderResources); + const model = primitiveRenderResources.model; + const vertexArray = (() => { - if ( - //JASON TODO -- revisit this after other changes - model.enableShowGaussianSplatting && - (model?.style?.showGaussianSplatting ?? true) - ) { + if (model.enableShowGaussianSplatting && model.showGaussianSplatting) { const splatQuadAttrLocations = { 0: 5, 1: 1, @@ -125,9 +236,6 @@ function buildDrawCommandForModel( screenQuadPosition: 0, splatPosition: 6, splatColor: 7, - // splatScale:8, - // splatRot:9 - // splatOpacity: 8, }; const geometry = new Geometry({ attributes: { @@ -153,27 +261,6 @@ function buildDrawCommandForModel( name: "_SPLAT_COLOR", variableName: "splatColor", }, - // splatScale: { - // ...primitiveRenderResources.runtimePrimitive.primitive.attributes.find( - // (a) => a.name === "_SCALE", - // ), - // name: "_SPLAT_SCALE", - // variableName: "splatScale" - // }, - // splatRot: { - // ...primitiveRenderResources.runtimePrimitive.primitive.attributes.find( - // (a) => a.name === "_ROTATION", - // ), - // name: "_SPLAT_ROTATION", - // variableName: "splatRot" - // } - // splatOpacity: { - // ...primitiveRenderResources.runtimePrimitive.primitive.attributes.find( - // (a) => a.name === "_OPACITY" - // ), - // name: "_SPLAT_OPACITY", - // variableName: "splatOpacity", - // }, }, indices: indexBuffer, primitiveType: PrimitiveType.TRIANGLE_STRIP, diff --git a/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js b/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js index c333aa769f78..39f223bd3e11 100644 --- a/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js +++ b/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js @@ -28,6 +28,7 @@ import SkinningPipelineStage from "./SkinningPipelineStage.js"; import VerticalExaggerationPipelineStage from "./VerticalExaggerationPipelineStage.js"; import WireframePipelineStage from "./WireframePipelineStage.js"; import GaussianSplatPipelineStage from "./GaussianSplatPipelineStage.js"; +import GaussianSplatTexturePipelineStage from "./GaussianSplatTexturePipelineStage.js"; /** * In memory representation of a single primitive, that is, a primitive @@ -241,10 +242,8 @@ ModelRuntimePrimitive.prototype.configurePipeline = function (frameState) { const hasClassification = defined(model.classificationType); - //JASON TODO -- just revisit this after other changes const hasGaussianSplats = - model.enableShowGaussianSplatting && - (model?.style?.showGaussianSplatting ?? true); + model.enableShowGaussianSplatting && model.showGaussianSplatting; // Start of pipeline ----------------------------------------------------- if (use2D) { pipelineStages.push(SceneMode2DPipelineStage); @@ -315,7 +314,11 @@ ModelRuntimePrimitive.prototype.configurePipeline = function (frameState) { pipelineStages.push(PrimitiveStatisticsPipelineStage); if (hasGaussianSplats) { - pipelineStages.push(GaussianSplatPipelineStage); + if (primitive?.hasGaussianSplatTexture ?? false) { + pipelineStages.push(GaussianSplatTexturePipelineStage); + } else { + pipelineStages.push(GaussianSplatPipelineStage); + } } return; diff --git a/packages/engine/Source/Scene/PrimitiveLoadPlan.js b/packages/engine/Source/Scene/PrimitiveLoadPlan.js index 2cb46e249210..bcffd1bcc310 100644 --- a/packages/engine/Source/Scene/PrimitiveLoadPlan.js +++ b/packages/engine/Source/Scene/PrimitiveLoadPlan.js @@ -7,8 +7,11 @@ import BufferUsage from "../Renderer/BufferUsage.js"; import AttributeType from "./AttributeType.js"; import ModelComponents from "./ModelComponents.js"; import PrimitiveOutlineGenerator from "./Model/PrimitiveOutlineGenerator.js"; -//import GaussianSplatTextureGenerator from "./Model/GaussianSplatGenTexture.js"; - +import GaussianSplatTextureGenerator from "./Model/GaussianSplatTextureGenerator.js"; +import Texture from "../Renderer/Texture.js"; +import PixelFormat from "../Core/PixelFormat.js"; +import PixelDatatype from "../Renderer/PixelDatatype.js"; +import Sampler from "../Renderer/Sampler.js"; /** * Simple struct for tracking whether an attribute will be loaded as a buffer * or typed array after post-processing. @@ -166,10 +169,15 @@ function PrimitiveLoadPlan(primitive) { * @type {boolean} * @private */ - //JASON TODO -- not needed if building textures up front this.needsGaussianSplats = false; - //JASON TODO -- new usingGaussianTextureProps flag here? + /** + * Set this to true if generating textures for Gaussian Splat rendering + * + * @type {boolean} + * @private + */ + this.generateGaussianSplatTexture = true; } /** @@ -190,6 +198,7 @@ PrimitiveLoadPlan.prototype.postProcess = function (context) { //handle splat post-processing for point primitives if (this.needsGaussianSplats) { + this.primitive.isGaussianSplatPrimitive = true; if (this.generateGaussianSplatTexture) { generateSplatTexture(this, context); } else { @@ -259,7 +268,29 @@ function setupGaussianSplatBuffers(loadPlan, context) { } } -function generateSplatTexture(loadPlan, context) {} +function generateSplatTexture(loadPlan, context) { + GaussianSplatTextureGenerator.generateFromAttrs( + loadPlan.primitive.attributes, + loadPlan.primitive.attributes[0].count, + ).then((splatTextureData) => { + const splatTex = new Texture({ + context, + source: { + width: splatTextureData.width, + height: splatTextureData.height, + arrayBufferView: splatTextureData.data, + }, + preMultiplyAlpha: false, + skipColorSpaceConversion: true, + pixelFormat: PixelFormat.RGBA, + pixelDatatype: PixelDatatype.UNSIGNED_INT, + flipY: false, + sampler: Sampler.NEAREST, + }); + loadPlan.primitive.gaussianSplatTexture = splatTex; + loadPlan.primitive.hasGaussianSplatTexture = true; + }); +} function generateBuffers(loadPlan, context) { generateAttributeBuffers(loadPlan.attributePlans, context); diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl index 5d28ab78786d..890f51780ca5 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl @@ -1,3 +1,17 @@ +#if !defined(HAS_SPLAT_TEXTURE) + +// Dequantize a float that was quantized with EXPONENTIAL filter +float meshopt_dequantize_exponential(uint quantized, float min_value, float max_value) { + // Convert from 16-bit normalized to [0,1] + float normalized = float(quantized) / 65535.0; + + // Apply exponential curve + float exponential = exp2(normalized * 19.0 - 9.0); // Assuming 10-bit precision + + // Rescale to original range + return min_value + (max_value - min_value) * exponential; +} + void calcCov3D(vec3 scale, vec4 rot, out float[6] cov3D) { mat3 S = mat3( @@ -90,3 +104,19 @@ void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) v_splatColor = a_splatColor; } +#else + +void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) { + + //unpack data index from indices texture + //g_vertexID is our index here + + //unpack position + + //unpack color + + //unpack covariance + + // +} +#endif diff --git a/packages/engine/Source/Workers/gaussianSplatSort.js b/packages/engine/Source/Workers/gaussianSplatSort.js deleted file mode 100644 index 9719308e0c1b..000000000000 --- a/packages/engine/Source/Workers/gaussianSplatSort.js +++ /dev/null @@ -1,318 +0,0 @@ -import createTaskProcessorWorker from "./createTaskProcessorWorker.js"; -//import defaultValue from "../Core/defaultValue.js"; -import defined from "../Core/defined.js"; -//import RuntimeError from "../Core/RuntimeError.js"; - -let wasm; - -let cachedUint8ArrayMemory0 = null; - -// const TextureDataFinalization = (typeof FinalizationRegistry === 'undefined') -// ? { register: () => {}, unregister: () => {} } -// : new FinalizationRegistry(ptr => wasm.__wbg_texturedata_free(ptr >>> 0, 1)); - -//Used for texture generation, disabled for now -// class TextureData { - -// static __wrap(ptr) { -// ptr = ptr >>> 0; -// const obj = Object.create(TextureData.prototype); -// obj.__wbg_ptr = ptr; -// TextureDataFinalization.register(obj, obj.__wbg_ptr, obj); -// return obj; -// } - -// __destroy_into_raw() { -// const ptr = this.__wbg_ptr; -// this.__wbg_ptr = 0; -// TextureDataFinalization.unregister(this); -// return ptr; -// } - -// free() { -// const ptr = this.__destroy_into_raw(); -// wasm.__wbg_texturedata_free(ptr, 0); -// } -// /** -// * @returns {Uint32Array} -// */ -// get data() { -// const ret = wasm.texturedata_data(this.__wbg_ptr); -// const v1 = getArrayU32FromWasm0(ret[0], ret[1]).slice(); -// wasm.__wbindgen_free(ret[0], ret[1] * 4, 4); -// return v1; -// } -// /** -// * @returns {number} -// */ -// get width() { -// const ret = wasm.texturedata_width(this.__wbg_ptr); -// return ret >>> 0; -// } -// /** -// * @returns {number} -// */ -// get height() { -// const ret = wasm.texturedata_height(this.__wbg_ptr); -// return ret >>> 0; -// } -// } - -function getUint8ArrayMemory0() { - if ( - cachedUint8ArrayMemory0 === null || - cachedUint8ArrayMemory0.byteLength === 0 - ) { - cachedUint8ArrayMemory0 = new Uint8Array(wasm.memory.buffer); - } - return cachedUint8ArrayMemory0; -} - -function getArrayU8FromWasm0(ptr, len) { - ptr = ptr >>> 0; - return getUint8ArrayMemory0().subarray(ptr / 1, ptr / 1 + len); -} - -const cachedTextDecoder = - typeof TextDecoder !== "undefined" - ? new TextDecoder("utf-8", { ignoreBOM: true, fatal: true }) - : { - decode: () => { - throw Error("TextDecoder not available"); - }, - }; - -if (typeof TextDecoder !== "undefined") { - cachedTextDecoder.decode(); -} - -function getStringFromWasm0(ptr, len) { - ptr = ptr >>> 0; - return cachedTextDecoder.decode( - getUint8ArrayMemory0().subarray(ptr, ptr + len), - ); -} - -// let cachedUint32ArrayMemory0 = null; - -// function getUint32ArrayMemory0() { -// if (cachedUint32ArrayMemory0 === null || cachedUint32ArrayMemory0.byteLength === 0) { -// cachedUint32ArrayMemory0 = new Uint32Array(wasm.memory.buffer); -// } -// return cachedUint32ArrayMemory0; -// } - -// function getArrayU32FromWasm0(ptr, len) { -// ptr = ptr >>> 0; -// return getUint32ArrayMemory0().subarray(ptr / 4, ptr / 4 + len); -// } - -let WASM_VECTOR_LEN = 0; -/* -function passArray8ToWasm0(arg, malloc) { - const ptr = malloc(arg.length * 1, 1) >>> 0; - getUint8ArrayMemory0().set(arg, ptr / 1); - WASM_VECTOR_LEN = arg.length; - return ptr; -} - -function takeFromExternrefTable0(idx) { - const value = wasm.__wbindgen_export_0.get(idx); - wasm.__externref_table_dealloc(idx); - return value; -} - */ -/** - * @param {Uint8Array} buffer - * @param {number} vertex_count - * @returns {TextureData} - */ -/* -function generate_texture(buffer, vertex_count) { - const ptr0 = passArray8ToWasm0(buffer, wasm.__wbindgen_malloc); - const len0 = WASM_VECTOR_LEN; - const ret = wasm.generate_texture(ptr0, len0, vertex_count); - if (ret[2]) { - throw takeFromExternrefTable0(ret[1]); - } - return TextureData.__wrap(ret[0]); -} -*/ -let cachedFloat32ArrayMemory0 = null; - -function getFloat32ArrayMemory0() { - if ( - cachedFloat32ArrayMemory0 === null || - cachedFloat32ArrayMemory0.byteLength === 0 - ) { - cachedFloat32ArrayMemory0 = new Float32Array(wasm.memory.buffer); - } - return cachedFloat32ArrayMemory0; -} - -function passArrayF32ToWasm0(arg, malloc) { - const ptr = malloc(arg.length * 4, 4) >>> 0; - getFloat32ArrayMemory0().set(arg, ptr / 4); - WASM_VECTOR_LEN = arg.length; - return ptr; -} -/** - * @param {Float32Array} splats - */ -function count_sort_splats(splats) { - const ptr0 = passArrayF32ToWasm0(splats, wasm.__wbindgen_malloc); - const len0 = WASM_VECTOR_LEN; - wasm.count_sort_splats(ptr0, len0, splats); -} - -/** - * @param {Uint8Array} buffer - * @param {number} vertex_count - * @returns {TextureData} - */ -// function generate_splat_texture(buffer, vertex_count) { -// const ptr0 = passArray8ToWasm0(buffer, wasm.__wbindgen_malloc); -// const len0 = WASM_VECTOR_LEN; -// const ret = wasm.generate_splat_texture(ptr0, len0, vertex_count); -// if (ret[2]) { -// throw takeFromExternrefTable0(ret[1]); -// } -// return TextureData.__wrap(ret[0]); -// } - -async function __wbg_load(module, imports) { - const instance = await WebAssembly.instantiate(module, imports); - - if (instance instanceof WebAssembly.Instance) { - return { instance, module }; - } - return instance; -} - -function __wbg_get_imports() { - const imports = {}; - imports.wbg = {}; - imports.wbg.__wbindgen_copy_to_typed_array = function (arg0, arg1, arg2) { - new Uint8Array(arg2.buffer, arg2.byteOffset, arg2.byteLength).set( - getArrayU8FromWasm0(arg0, arg1), - ); - }; - imports.wbg.__wbg_alert_abe635d522c06aef = function (arg0, arg1) { - console.error(getStringFromWasm0(arg0, arg1)); - }; - imports.wbg.__wbindgen_throw = function (arg0, arg1) { - throw new Error(getStringFromWasm0(arg0, arg1)); - }; - imports.wbg.__wbindgen_init_externref_table = function () { - const table = wasm.__wbindgen_export_0; - const offset = table.grow(4); - table.set(0, undefined); - table.set(offset + 0, undefined); - table.set(offset + 1, null); - table.set(offset + 2, true); - table.set(offset + 3, false); - }; - - return imports; -} - -function __wbg_init_memory(imports, memory) {} - -function __wbg_finalize_init(instance, module) { - wasm = instance.exports; - __wbg_init.__wbindgen_wasm_module = module; - cachedFloat32ArrayMemory0 = null; - // cachedUint32ArrayMemory0 = null; - cachedUint8ArrayMemory0 = null; - - wasm.__wbindgen_start(); - return wasm; -} - -// function initSync(module) { -// if (wasm !== undefined) { -// return wasm; -// } - -// if (typeof module !== 'undefined') { -// if (Object.getPrototypeOf(module) === Object.prototype) { -// ({module} = module) -// } else { -// console.warn('using deprecated parameters for `initSync()`; pass a single object instead') -// } -// } - -// const imports = __wbg_get_imports(); - -// __wbg_init_memory(imports); - -// if (!(module instanceof WebAssembly.Module)) { -// module = new WebAssembly.Module(module); -// } - -// const instance = new WebAssembly.Instance(module, imports); - -// return __wbg_finalize_init(instance, module); -// } - -async function __wbg_init(module_or_path) { - if (wasm !== undefined) { - return wasm; - } - - if (typeof module_or_path !== "undefined") { - if (Object.getPrototypeOf(module_or_path) === Object.prototype) { - ({ module_or_path } = module_or_path); - } else { - console.warn( - "using deprecated parameters for the initialization function; pass a single object instead", - ); - } - } - - if (typeof module_or_path === "undefined") { - module_or_path = new URL("cesiumjs_gsplat_utils_bg.wasm", import.meta.url); - } - const imports = __wbg_get_imports(); - - if ( - typeof module_or_path === "string" || - (typeof Request === "function" && module_or_path instanceof Request) || - (typeof URL === "function" && module_or_path instanceof URL) - ) { - module_or_path = fetch(module_or_path); - } - - __wbg_init_memory(imports); - - const { instance, module } = await __wbg_load(await module_or_path, imports); - - return __wbg_finalize_init(instance, module); -} - -//load built wasm modules for sorting. Ensure we can load webassembly and we support SIMD. -async function initWorker(parameters, transferableObjects) { - // Require and compile WebAssembly module, or use fallback if not supported - const wasmConfig = parameters.webAssemblyConfig; - if (defined(wasmConfig) && defined(wasmConfig.wasmBinary)) { - __wbg_init(wasmConfig.wasmBinary); - return true; - } -} - -async function doSort(parameters, transferableObjects) { - const f32arr = new Float32Array(parameters.splatIndexes.buffer); - count_sort_splats(f32arr); -} - -async function gaussianSplatSort(parameters, transferableObjects) { - // Expect the first message to be to load a web assembly module - const wasmConfig = parameters.webAssemblyConfig; - if (defined(wasmConfig)) { - return initWorker(parameters, transferableObjects); - } - - return doSort(parameters, transferableObjects); -} - -export default createTaskProcessorWorker(gaussianSplatSort); From 3c7bae67ef4ea4903ca4fea66836a0dbbe418c7f Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Fri, 15 Nov 2024 10:11:27 -0600 Subject: [PATCH 38/97] splat index attr --- .../GaussianSplatTexturePipelineStage.js | 92 +------------------ 1 file changed, 1 insertion(+), 91 deletions(-) diff --git a/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js index 7359b46d4002..8ed80a194447 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js @@ -11,7 +11,6 @@ import __wbg_init, { splat_radix_sort_simd, GSplatData, } from "cesiumjs-gsplat-utils"; -//import __wbg_init from "cesiumjs-gsplat-utils"; import GaussianSplatTextureGenerator from "./GaussianSplatTextureGenerator.js"; @@ -87,8 +86,7 @@ GaussianSplatTexturePipelineStage.process = function ( ); shaderBuilder.addAttribute("vec2", "a_screenQuadPosition"); - shaderBuilder.addAttribute("vec3", "a_splatPosition"); - shaderBuilder.addAttribute("vec4", "a_splatColor"); + shaderBuilder.addAttribute("int", "a_splatIndex"); //actual index, not gl_vertexID shaderBuilder.addVarying("vec4", "v_splatColor"); shaderBuilder.addVarying("vec2", "v_vertPos"); @@ -132,96 +130,8 @@ GaussianSplatTexturePipelineStage.process = function ( return renderResources.model?.style?.splatScale ?? 1.0; }; - // Usage example: const timer = new CesiumPerformanceTimer(); - /* - const countSort = () => { - const attributes = primitive.attributes; - const modelView = new Matrix4(); - const modelMat = renderResources.model.modelMatrix; - Matrix4.multiply(cam.viewMatrix, modelMat, modelView); - - const posAttr = attributes.find((a) => a.name === "POSITION"); - const scaleAttr = attributes.find((a) => a.name === "_SCALE"); - const rotAttr = attributes.find((a) => a.name === "_ROTATION"); - const clrAttr = attributes.find((a) => a.name === "COLOR_0"); - // const opAttr = attributes.find((a) => a.name === "_OPACITY"); - - const posArray = posAttr.typedArray; - const scaleArray = scaleAttr.typedArray; - const rotArray = rotAttr.typedArray; - const clrArray = clrAttr.typedArray; - // const opArray = opAttr.typedArray; - - const newPosArray = new posArray.constructor(posArray.length); - const newScaleArray = new scaleArray.constructor(scaleArray.length); - const newRotArray = new rotArray.constructor(rotArray.length); - const newClrArray = new clrArray.constructor(clrArray.length); - // const newOpArray = new opArray.constructor(opArray.length); - - const calcDepth = (i) => - posArray[i * 3] * modelView[2] + - posArray[i * 3 + 1] * modelView[6] + - posArray[i * 3 + 2] * modelView[10]; - - let maxDepth = -Infinity; - let minDepth = Infinity; - - const sizeList = new Int32Array(renderResources.count); - for (let i = 0; i < renderResources.count; i++) { - const depth = (calcDepth(i) * 4096) | 0; - - sizeList[i] = depth; - maxDepth = Math.max(maxDepth, depth); - minDepth = Math.min(minDepth, depth); - } - const depthInv = (256 * 256) / (maxDepth - minDepth); - const counts0 = new Uint32Array(256 * 256); - for (let i = 0; i < renderResources.count; i++) { - sizeList[i] = ((sizeList[i] - minDepth) * depthInv) | 0; - counts0[sizeList[i]]++; - } - const starts0 = new Uint32Array(256 * 256); - for (let i = 1; i < 256 * 256; i++) { - starts0[i] = starts0[i - 1] + counts0[i - 1]; - } - - const depthIndex = new Uint32Array(renderResources.count); - for (let i = 0; i < renderResources.count; i++) { - depthIndex[starts0[sizeList[i]]++] = i; - } - - for (let i = 0; i < renderResources.count; i++) { - const j = depthIndex[i]; - - newPosArray[i * 3] = posArray[j * 3]; - newPosArray[i * 3 + 1] = posArray[j * 3 + 1]; - newPosArray[i * 3 + 2] = posArray[j * 3 + 2]; - - newScaleArray[i * 3] = scaleArray[j * 3]; - newScaleArray[i * 3 + 1] = scaleArray[j * 3 + 1]; - newScaleArray[i * 3 + 2] = scaleArray[j * 3 + 2]; - - newRotArray[i * 4] = rotArray[j * 4]; - newRotArray[i * 4 + 1] = rotArray[j * 4 + 1]; - newRotArray[i * 4 + 2] = rotArray[j * 4 + 2]; - newRotArray[i * 4 + 3] = rotArray[j * 4 + 3]; - - newClrArray[i * 4] = clrArray[j * 4]; - newClrArray[i * 4 + 1] = clrArray[j * 4 + 1]; - newClrArray[i * 4 + 2] = clrArray[j * 4 + 2]; - newClrArray[i * 4 + 3] = clrArray[j * 4 + 3]; - - // newOpArray[i] = opArray[j]; - } - - posAttr.typedArray = newPosArray; - scaleAttr.typedArray = newScaleArray; - rotAttr.typedArray = newRotArray; - clrAttr.typedArray = newClrArray; - }; -*/ const radixSort = () => { const attributes = primitive.attributes; const modelView = new Matrix4(); From 6061b17cfc4dc518e05e2ebb870c04b239b6d60e Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Tue, 19 Nov 2024 22:32:15 -0600 Subject: [PATCH 39/97] splatting texture pipeline updates and improvements --- packages/engine/Source/Core/PixelFormat.js | 41 ++- packages/engine/Source/Renderer/Context.js | 79 +++--- packages/engine/Source/Renderer/Texture.js | 134 +++++----- .../engine/Source/Renderer/createUniform.js | 4 +- .../engine/Source/Scene/Cesium3DTileset.js | 5 + .../GaussianSplatTexturePipelineStage.js | 251 +++++++++--------- .../Scene/Model/GeometryPipelineStage.js | 6 +- .../Source/Scene/Model/ModelDrawCommands.js | 35 ++- .../Scene/Model/ModelRuntimePrimitive.js | 7 +- .../engine/Source/Scene/PrimitiveLoadPlan.js | 2 +- .../Source/Shaders/Model/GaussianSplatVS.glsl | 112 +++++++- 11 files changed, 418 insertions(+), 258 deletions(-) diff --git a/packages/engine/Source/Core/PixelFormat.js b/packages/engine/Source/Core/PixelFormat.js index e76686eacb8e..03de4bace70d 100644 --- a/packages/engine/Source/Core/PixelFormat.js +++ b/packages/engine/Source/Core/PixelFormat.js @@ -63,6 +63,15 @@ const PixelFormat = { */ RGBA: WebGLConstants.RGBA, + /** + * Integral versions of above types. Required if using integral textures. + */ + + RED_INTEGER: WebGLConstants.RED_INTEGER, + RG_INTEGER: WebGLConstants.RG_INTEGER, + RGB_INTEGER: WebGLConstants.RGB_INTEGER, + RGBA_INTEGER: WebGLConstants.RGBA_INTEGER, + /** * A pixel format containing a luminance (intensity) channel. * @@ -190,14 +199,18 @@ const PixelFormat = { PixelFormat.componentsLength = function (pixelFormat) { switch (pixelFormat) { case PixelFormat.RGB: + case PixelFormat.RGB_INTEGER: return 3; case PixelFormat.RGBA: + case PixelFormat.RGBA_INTEGER: return 4; case PixelFormat.LUMINANCE_ALPHA: case PixelFormat.RG: + case PixelFormat.RG_INTEGER: return 2; case PixelFormat.ALPHA: case PixelFormat.RED: + case PixelFormat.RED_INTEGER: case PixelFormat.LUMINANCE: return 1; default: @@ -217,6 +230,10 @@ PixelFormat.validate = function (pixelFormat) { pixelFormat === PixelFormat.RG || pixelFormat === PixelFormat.RGB || pixelFormat === PixelFormat.RGBA || + pixelFormat === PixelFormat.RED_INTEGER || + pixelFormat === PixelFormat.RG_INTEGER || + pixelFormat === PixelFormat.RGB_INTEGER || + pixelFormat === PixelFormat.RGBA_INTEGER || pixelFormat === PixelFormat.LUMINANCE || pixelFormat === PixelFormat.LUMINANCE_ALPHA || pixelFormat === PixelFormat.RGB_DXT1 || @@ -501,26 +518,26 @@ PixelFormat.toInternalFormat = function (pixelFormat, pixelDatatype, context) { if (pixelDatatype === PixelDatatype.INT) { switch (pixelFormat) { - case PixelFormat.RGBA: - return WebGLConstants.RGBA32UI; - case PixelFormat.RGB: - return WebGLConstants.RGB32UI; - case PixelFormat.RG: - return WebGLConstants.RG32UI; - case PixelFormat.RED: - return WebGLConstants.R32UI; + case PixelFormat.RGBA_INTEGER: + return WebGLConstants.RGBA32I; + case PixelFormat.RGB_INTEGER: + return WebGLConstants.RGB32I; + case PixelFormat.RG_INTEGER: + return WebGLConstants.RG32I; + case PixelFormat.RED_INTEGER: + return WebGLConstants.R32I; } } if (pixelDatatype === PixelDatatype.UNSIGNED_INT) { switch (pixelFormat) { - case PixelFormat.RGBA: + case PixelFormat.RGBA_INTEGER: return WebGLConstants.RGBA32UI; - case PixelFormat.RGB: + case PixelFormat.RGB_INTEGER: return WebGLConstants.RGB32UI; - case PixelFormat.RG: + case PixelFormat.RG_INTEGER: return WebGLConstants.RG32UI; - case PixelFormat.RED: + case PixelFormat.RED_INTEGER: return WebGLConstants.R32UI; } } diff --git a/packages/engine/Source/Renderer/Context.js b/packages/engine/Source/Renderer/Context.js index eede7c2cbb81..6cbfb90c1e41 100644 --- a/packages/engine/Source/Renderer/Context.js +++ b/packages/engine/Source/Renderer/Context.js @@ -55,7 +55,7 @@ function Context(canvas, options) { webglOptions.stencil = defaultValue(webglOptions.stencil, true); // WebGL default is false webglOptions.powerPreference = defaultValue( webglOptions.powerPreference, - "high-performance" + "high-performance", ); // WebGL default is "default" const glContext = defined(getWebGLStub) @@ -87,32 +87,32 @@ function Context(canvas, options) { this._stencilBits = gl.getParameter(gl.STENCIL_BITS); ContextLimits._maximumCombinedTextureImageUnits = gl.getParameter( - gl.MAX_COMBINED_TEXTURE_IMAGE_UNITS + gl.MAX_COMBINED_TEXTURE_IMAGE_UNITS, ); // min: 8 ContextLimits._maximumCubeMapSize = gl.getParameter( - gl.MAX_CUBE_MAP_TEXTURE_SIZE + gl.MAX_CUBE_MAP_TEXTURE_SIZE, ); // min: 16 ContextLimits._maximumFragmentUniformVectors = gl.getParameter( - gl.MAX_FRAGMENT_UNIFORM_VECTORS + gl.MAX_FRAGMENT_UNIFORM_VECTORS, ); // min: 16 ContextLimits._maximumTextureImageUnits = gl.getParameter( - gl.MAX_TEXTURE_IMAGE_UNITS + gl.MAX_TEXTURE_IMAGE_UNITS, ); // min: 8 ContextLimits._maximumRenderbufferSize = gl.getParameter( - gl.MAX_RENDERBUFFER_SIZE + gl.MAX_RENDERBUFFER_SIZE, ); // min: 1 ContextLimits._maximumTextureSize = gl.getParameter(gl.MAX_TEXTURE_SIZE); // min: 64 ContextLimits._maximumVaryingVectors = gl.getParameter( - gl.MAX_VARYING_VECTORS + gl.MAX_VARYING_VECTORS, ); // min: 8 ContextLimits._maximumVertexAttributes = gl.getParameter( - gl.MAX_VERTEX_ATTRIBS + gl.MAX_VERTEX_ATTRIBS, ); // min: 8 ContextLimits._maximumVertexTextureImageUnits = gl.getParameter( - gl.MAX_VERTEX_TEXTURE_IMAGE_UNITS + gl.MAX_VERTEX_TEXTURE_IMAGE_UNITS, ); // min: 0 ContextLimits._maximumVertexUniformVectors = gl.getParameter( - gl.MAX_VERTEX_UNIFORM_VECTORS + gl.MAX_VERTEX_UNIFORM_VECTORS, ); // min: 128 ContextLimits._maximumSamples = this._webgl2 @@ -133,7 +133,7 @@ function Context(canvas, options) { const highpFloat = gl.getShaderPrecisionFormat( gl.FRAGMENT_SHADER, - gl.HIGH_FLOAT + gl.HIGH_FLOAT, ); ContextLimits._highpFloatSupported = highpFloat.precision !== 0; const highpInt = gl.getShaderPrecisionFormat(gl.FRAGMENT_SHADER, gl.HIGH_INT); @@ -193,7 +193,7 @@ function Context(canvas, options) { this._astc, this._etc, this._etc1, - this._bc7 + this._bc7, ); const textureFilterAnisotropic = allowTextureFilterAnisotropic @@ -204,7 +204,7 @@ function Context(canvas, options) { : undefined; this._textureFilterAnisotropic = textureFilterAnisotropic; ContextLimits._maximumTextureFilterAnisotropy = defined( - textureFilterAnisotropic + textureFilterAnisotropic, ) ? gl.getParameter(textureFilterAnisotropic.MAX_TEXTURE_MAX_ANISOTROPY_EXT) : 1.0; @@ -241,7 +241,7 @@ function Context(canvas, options) { count, type, offset, - instanceCount + instanceCount, ) { gl.drawElementsInstanced(mode, count, type, offset, instanceCount); }; @@ -276,14 +276,14 @@ function Context(canvas, options) { count, type, offset, - instanceCount + instanceCount, ) { instancedArrays.drawElementsInstancedANGLE( mode, count, type, offset, - instanceCount + instanceCount, ); }; glDrawArraysInstanced = function (mode, first, count, instanceCount) { @@ -291,7 +291,7 @@ function Context(canvas, options) { mode, first, count, - instanceCount + instanceCount, ); }; glVertexAttribDivisor = function (index, divisor) { @@ -415,7 +415,7 @@ function Context(canvas, options) { function getWebGLContext(canvas, webglOptions, requestWebgl1) { if (typeof WebGLRenderingContext === "undefined") { throw new RuntimeError( - "The browser does not support WebGL. Visit http://get.webgl.org." + "The browser does not support WebGL. Visit http://get.webgl.org.", ); } @@ -430,7 +430,7 @@ function getWebGLContext(canvas, webglOptions, requestWebgl1) { if (!defined(glContext)) { throw new RuntimeError( - "The browser supports WebGL, but initialization failed." + "The browser supports WebGL, but initialization failed.", ); } @@ -504,7 +504,7 @@ function throwOnError(gl, glFunc, glFuncArguments) { const error = gl.getError(); if (error !== gl.NO_ERROR) { throw new RuntimeError( - createErrorMessage(gl, glFunc, glFuncArguments, error) + createErrorMessage(gl, glFunc, glFuncArguments, error), ); } } @@ -552,7 +552,7 @@ function wrapGL(gl, logFunction) { Object.defineProperty( glWrapper, propertyName, - makeGetterSetter(gl, propertyName, logFunction) + makeGetterSetter(gl, propertyName, logFunction), ); } } @@ -1004,7 +1004,7 @@ Object.defineProperties(Context.prototype, { this._throwOnWebGLError = value; this._gl = wrapGL( this._originalGLContext, - value ? throwOnError : undefined + value ? throwOnError : undefined, ); }, }, @@ -1206,7 +1206,7 @@ function applyRenderState(context, renderState, passState, clear) { renderState, previousPassState, passState, - clear + clear, ); } @@ -1281,7 +1281,7 @@ Context.prototype.clear = function (clearCommand, passState) { // The command's framebuffer takes presidence over the pass' framebuffer, e.g., for off-screen rendering. const framebuffer = defaultValue( clearCommand.framebuffer, - passState.framebuffer + passState.framebuffer, ); bindFramebuffer(this, framebuffer); @@ -1293,13 +1293,13 @@ function beginDraw( framebuffer, passState, shaderProgram, - renderState + renderState, ) { //>>includeStart('debug', pragmas.debug); if (defined(framebuffer) && renderState.depthTest) { if (renderState.depthTest.enabled && !framebuffer.hasDepthAttachment) { throw new DeveloperError( - "The depth test can not be enabled (drawCommand.renderState.depthTest.enabled) because the framebuffer (drawCommand.framebuffer) does not have a depth or depth-stencil renderbuffer." + "The depth test can not be enabled (drawCommand.renderState.depthTest.enabled) because the framebuffer (drawCommand.framebuffer) does not have a depth or depth-stencil renderbuffer.", ); } } @@ -1308,9 +1308,10 @@ function beginDraw( bindFramebuffer(context, framebuffer); applyRenderState(context, renderState, passState, false); shaderProgram._bind(); + context._maxFrameTextureUnitIndex = Math.max( context._maxFrameTextureUnitIndex, - shaderProgram.maximumTextureUnitIndex + shaderProgram.maximumTextureUnitIndex, ); } @@ -1324,7 +1325,7 @@ function continueDraw(context, drawCommand, shaderProgram, uniformMap) { //>>includeStart('debug', pragmas.debug); if (!PrimitiveType.validate(primitiveType)) { throw new DeveloperError( - "drawCommand.primitiveType is required and must be valid." + "drawCommand.primitiveType is required and must be valid.", ); } @@ -1336,7 +1337,7 @@ function continueDraw(context, drawCommand, shaderProgram, uniformMap) { Check.typeOf.number.greaterThanOrEquals( "drawCommand.instanceCount", instanceCount, - 0 + 0, ); if (instanceCount > 0 && !context.instancedArrays) { throw new DeveloperError("Instanced arrays extension is not supported"); @@ -1347,7 +1348,7 @@ function continueDraw(context, drawCommand, shaderProgram, uniformMap) { shaderProgram._setUniforms( uniformMap, context._us, - context.validateShaderProgram + context.validateShaderProgram, ); va._bind(); @@ -1365,7 +1366,7 @@ function continueDraw(context, drawCommand, shaderProgram, uniformMap) { primitiveType, count, indexBuffer.indexDatatype, - offset + offset, ); } else { context.glDrawElementsInstanced( @@ -1373,7 +1374,7 @@ function continueDraw(context, drawCommand, shaderProgram, uniformMap) { count, indexBuffer.indexDatatype, offset, - instanceCount + instanceCount, ); } } else { @@ -1390,7 +1391,7 @@ function continueDraw(context, drawCommand, shaderProgram, uniformMap) { primitiveType, offset, count, - instanceCount + instanceCount, ); } } @@ -1402,7 +1403,7 @@ Context.prototype.draw = function ( drawCommand, passState, shaderProgram, - uniformMap + uniformMap, ) { //>>includeStart('debug', pragmas.debug); Check.defined("drawCommand", drawCommand); @@ -1413,11 +1414,11 @@ Context.prototype.draw = function ( // The command's framebuffer takes precedence over the pass' framebuffer, e.g., for off-screen rendering. const framebuffer = defaultValue( drawCommand._framebuffer, - passState.framebuffer + passState.framebuffer, ); const renderState = defaultValue( drawCommand._renderState, - this._defaultRenderState + this._defaultRenderState, ); shaderProgram = defaultValue(shaderProgram, drawCommand._shaderProgram); uniformMap = defaultValue(uniformMap, drawCommand._uniformMap); @@ -1482,7 +1483,7 @@ Context.prototype.readPixels = function (readState) { PixelFormat.RGBA, pixelDatatype, width, - height + height, ); bindFramebuffer(this, framebuffer); @@ -1494,7 +1495,7 @@ Context.prototype.readPixels = function (readState) { height, PixelFormat.RGBA, PixelDatatype.toWebGLConstant(pixelDatatype, this), - pixels + pixels, ); return pixels; @@ -1545,7 +1546,7 @@ Context.prototype.getViewportQuadVertexArray = function () { Context.prototype.createViewportQuadCommand = function ( fragmentShaderSource, - overrides + overrides, ) { overrides = defaultValue(overrides, defaultValue.EMPTY_OBJECT); diff --git a/packages/engine/Source/Renderer/Texture.js b/packages/engine/Source/Renderer/Texture.js index 0488e4d23f7c..4f1be8c7acab 100644 --- a/packages/engine/Source/Renderer/Texture.js +++ b/packages/engine/Source/Renderer/Texture.js @@ -79,7 +79,7 @@ function Texture(options) { const internalFormat = PixelFormat.toInternalFormat( pixelFormat, pixelDatatype, - context + context, ); const isCompressed = PixelFormat.isCompressedFormat(internalFormat); @@ -87,7 +87,7 @@ function Texture(options) { //>>includeStart('debug', pragmas.debug); if (!defined(width) || !defined(height)) { throw new DeveloperError( - "options requires a source field to create an initialized texture or width and height fields to create a blank texture." + "options requires a source field to create an initialized texture or width and height fields to create a blank texture.", ); } @@ -95,7 +95,7 @@ function Texture(options) { if (width > ContextLimits.maximumTextureSize) { throw new DeveloperError( - `Width must be less than or equal to the maximum texture size (${ContextLimits.maximumTextureSize}). Check maximumTextureSize.` + `Width must be less than or equal to the maximum texture size (${ContextLimits.maximumTextureSize}). Check maximumTextureSize.`, ); } @@ -103,7 +103,7 @@ function Texture(options) { if (height > ContextLimits.maximumTextureSize) { throw new DeveloperError( - `Height must be less than or equal to the maximum texture size (${ContextLimits.maximumTextureSize}). Check maximumTextureSize.` + `Height must be less than or equal to the maximum texture size (${ContextLimits.maximumTextureSize}). Check maximumTextureSize.`, ); } @@ -121,7 +121,7 @@ function Texture(options) { pixelDatatype !== PixelDatatype.UNSIGNED_INT ) { throw new DeveloperError( - "When options.pixelFormat is DEPTH_COMPONENT, options.pixelDatatype must be UNSIGNED_SHORT or UNSIGNED_INT." + "When options.pixelFormat is DEPTH_COMPONENT, options.pixelDatatype must be UNSIGNED_SHORT or UNSIGNED_INT.", ); } @@ -130,13 +130,13 @@ function Texture(options) { pixelDatatype !== PixelDatatype.UNSIGNED_INT_24_8 ) { throw new DeveloperError( - "When options.pixelFormat is DEPTH_STENCIL, options.pixelDatatype must be UNSIGNED_INT_24_8." + "When options.pixelFormat is DEPTH_STENCIL, options.pixelDatatype must be UNSIGNED_INT_24_8.", ); } if (pixelDatatype === PixelDatatype.FLOAT && !context.floatingPointTexture) { throw new DeveloperError( - "When options.pixelDatatype is FLOAT, this WebGL implementation must support the OES_texture_float extension. Check context.floatingPointTexture." + "When options.pixelDatatype is FLOAT, this WebGL implementation must support the OES_texture_float extension. Check context.floatingPointTexture.", ); } @@ -145,20 +145,20 @@ function Texture(options) { !context.halfFloatingPointTexture ) { throw new DeveloperError( - "When options.pixelDatatype is HALF_FLOAT, this WebGL implementation must support the OES_texture_half_float extension. Check context.halfFloatingPointTexture." + "When options.pixelDatatype is HALF_FLOAT, this WebGL implementation must support the OES_texture_half_float extension. Check context.halfFloatingPointTexture.", ); } if (PixelFormat.isDepthFormat(pixelFormat)) { if (defined(source)) { throw new DeveloperError( - "When options.pixelFormat is DEPTH_COMPONENT or DEPTH_STENCIL, source cannot be provided." + "When options.pixelFormat is DEPTH_COMPONENT or DEPTH_STENCIL, source cannot be provided.", ); } if (!context.depthTexture) { throw new DeveloperError( - "When options.pixelFormat is DEPTH_COMPONENT or DEPTH_STENCIL, this WebGL implementation must support WEBGL_depth_texture. Check context.depthTexture." + "When options.pixelFormat is DEPTH_COMPONENT or DEPTH_STENCIL, this WebGL implementation must support WEBGL_depth_texture. Check context.depthTexture.", ); } } @@ -166,33 +166,33 @@ function Texture(options) { if (isCompressed) { if (!defined(source) || !defined(source.arrayBufferView)) { throw new DeveloperError( - "When options.pixelFormat is compressed, options.source.arrayBufferView must be defined." + "When options.pixelFormat is compressed, options.source.arrayBufferView must be defined.", ); } if (PixelFormat.isDXTFormat(internalFormat) && !context.s3tc) { throw new DeveloperError( - "When options.pixelFormat is S3TC compressed, this WebGL implementation must support the WEBGL_compressed_texture_s3tc extension. Check context.s3tc." + "When options.pixelFormat is S3TC compressed, this WebGL implementation must support the WEBGL_compressed_texture_s3tc extension. Check context.s3tc.", ); } else if (PixelFormat.isPVRTCFormat(internalFormat) && !context.pvrtc) { throw new DeveloperError( - "When options.pixelFormat is PVRTC compressed, this WebGL implementation must support the WEBGL_compressed_texture_pvrtc extension. Check context.pvrtc." + "When options.pixelFormat is PVRTC compressed, this WebGL implementation must support the WEBGL_compressed_texture_pvrtc extension. Check context.pvrtc.", ); } else if (PixelFormat.isASTCFormat(internalFormat) && !context.astc) { throw new DeveloperError( - "When options.pixelFormat is ASTC compressed, this WebGL implementation must support the WEBGL_compressed_texture_astc extension. Check context.astc." + "When options.pixelFormat is ASTC compressed, this WebGL implementation must support the WEBGL_compressed_texture_astc extension. Check context.astc.", ); } else if (PixelFormat.isETC2Format(internalFormat) && !context.etc) { throw new DeveloperError( - "When options.pixelFormat is ETC2 compressed, this WebGL implementation must support the WEBGL_compressed_texture_etc extension. Check context.etc." + "When options.pixelFormat is ETC2 compressed, this WebGL implementation must support the WEBGL_compressed_texture_etc extension. Check context.etc.", ); } else if (PixelFormat.isETC1Format(internalFormat) && !context.etc1) { throw new DeveloperError( - "When options.pixelFormat is ETC1 compressed, this WebGL implementation must support the WEBGL_compressed_texture_etc1 extension. Check context.etc1." + "When options.pixelFormat is ETC1 compressed, this WebGL implementation must support the WEBGL_compressed_texture_etc1 extension. Check context.etc1.", ); } else if (PixelFormat.isBC7Format(internalFormat) && !context.bc7) { throw new DeveloperError( - "When options.pixelFormat is BC7 compressed, this WebGL implementation must support the EXT_texture_compression_bptc extension. Check context.bc7." + "When options.pixelFormat is BC7 compressed, this WebGL implementation must support the EXT_texture_compression_bptc extension. Check context.bc7.", ); } @@ -200,11 +200,11 @@ function Texture(options) { PixelFormat.compressedTextureSizeInBytes( internalFormat, width, - height + height, ) !== source.arrayBufferView.byteLength ) { throw new DeveloperError( - "The byte length of the array buffer is invalid for the compressed texture with the given width and height." + "The byte length of the array buffer is invalid for the compressed texture with the given width and height.", ); } } @@ -246,7 +246,7 @@ function Texture(options) { } else { gl.pixelStorei( gl.UNPACK_COLORSPACE_CONVERSION_WEBGL, - gl.BROWSER_DEFAULT_WEBGL + gl.BROWSER_DEFAULT_WEBGL, ); } if (defined(source.arrayBufferView)) { @@ -296,7 +296,7 @@ function loadCompressedBufferSource(texture, source) { width, height, 0, - source.arrayBufferView + source.arrayBufferView, ); if (defined(source.mipLevels)) { @@ -312,7 +312,7 @@ function loadCompressedBufferSource(texture, source) { mipWidth, mipHeight, 0, - source.mipLevels[i] + source.mipLevels[i], ); } } @@ -337,11 +337,19 @@ function loadBufferSource(texture, source) { const unpackAlignment = PixelFormat.alignmentInBytes( pixelFormat, pixelDatatype, - width + width, ); + + let glErr; gl.pixelStorei(gl.UNPACK_ALIGNMENT, unpackAlignment); gl.pixelStorei(gl.UNPACK_PREMULTIPLY_ALPHA_WEBGL, false); gl.pixelStorei(gl.UNPACK_FLIP_Y_WEBGL, false); + glErr = gl.getError(); + if (glErr !== 0) { + console.log( + `pixelStorei err ${glErr}, format ${pixelFormat} internalFormat ${internalFormat}`, + ); + } let arrayBufferView = source.arrayBufferView; if (flipY) { @@ -350,7 +358,7 @@ function loadBufferSource(texture, source) { pixelFormat, pixelDatatype, width, - height + height, ); } gl.texImage2D( @@ -362,8 +370,14 @@ function loadBufferSource(texture, source) { 0, pixelFormat, PixelDatatype.toWebGLConstant(pixelDatatype, context), - arrayBufferView + arrayBufferView, ); + glErr = gl.getError(); + if (glErr !== 0) { + console.log( + `texImage2D err ${glErr}, format ${pixelFormat} internalFormat ${internalFormat}`, + ); + } if (defined(source.mipLevels)) { let mipWidth = width; @@ -380,7 +394,7 @@ function loadBufferSource(texture, source) { 0, pixelFormat, PixelDatatype.toWebGLConstant(pixelDatatype, context), - source.mipLevels[i] + source.mipLevels[i], ); } } @@ -414,7 +428,7 @@ function loadFramebufferSource(texture, source) { source.yOffset, texture.width, texture.height, - 0 + 0, ); if (source.framebuffer !== context.defaultFramebuffer) { @@ -444,7 +458,7 @@ function loadImageSource(texture, source) { texture._internalFormat, texture.pixelFormat, PixelDatatype.toWebGLConstant(texture.pixelDatatype, context), - source + source, ); } @@ -480,7 +494,7 @@ function loadNull(texture) { 0, texture._pixelFormat, PixelDatatype.toWebGLConstant(texture._pixelDatatype, context), - null + null, ); } @@ -553,28 +567,28 @@ Texture.fromFramebuffer = function (options) { PixelFormat.isCompressedFormat(pixelFormat) ) { throw new DeveloperError( - "pixelFormat cannot be DEPTH_COMPONENT, DEPTH_STENCIL or a compressed format." + "pixelFormat cannot be DEPTH_COMPONENT, DEPTH_STENCIL or a compressed format.", ); } Check.defined("options.context", context); Check.typeOf.number.greaterThanOrEquals( "framebufferXOffset", framebufferXOffset, - 0 + 0, ); Check.typeOf.number.greaterThanOrEquals( "framebufferYOffset", framebufferYOffset, - 0 + 0, ); if (framebufferXOffset + width > gl.drawingBufferWidth) { throw new DeveloperError( - "framebufferXOffset + width must be less than or equal to drawingBufferWidth" + "framebufferXOffset + width must be less than or equal to drawingBufferWidth", ); } if (framebufferYOffset + height > gl.drawingBufferHeight) { throw new DeveloperError( - "framebufferYOffset + height must be less than or equal to drawingBufferHeight." + "framebufferYOffset + height must be less than or equal to drawingBufferHeight.", ); } //>>includeEnd('debug'); @@ -733,7 +747,7 @@ function setupSampler(texture, sampler) { gl.texParameteri( target, texture._textureFilterAnisotropic.TEXTURE_MAX_ANISOTROPY_EXT, - sampler.maximumAnisotropy + sampler.maximumAnisotropy, ); } gl.bindTexture(target, null); @@ -782,12 +796,12 @@ Texture.prototype.copyFrom = function (options) { Check.defined("options.source", source); if (PixelFormat.isDepthFormat(this._pixelFormat)) { throw new DeveloperError( - "Cannot call copyFrom when the texture pixel format is DEPTH_COMPONENT or DEPTH_STENCIL." + "Cannot call copyFrom when the texture pixel format is DEPTH_COMPONENT or DEPTH_STENCIL.", ); } if (PixelFormat.isCompressedFormat(this._pixelFormat)) { throw new DeveloperError( - "Cannot call copyFrom with a compressed texture pixel format." + "Cannot call copyFrom with a compressed texture pixel format.", ); } Check.typeOf.number.greaterThanOrEquals("xOffset", xOffset, 0); @@ -795,12 +809,12 @@ Texture.prototype.copyFrom = function (options) { Check.typeOf.number.lessThanOrEquals( "xOffset + options.source.width", xOffset + source.width, - this._width + this._width, ); Check.typeOf.number.lessThanOrEquals( "yOffset + options.source.height", yOffset + source.height, - this._height + this._height, ); //>>includeEnd('debug'); @@ -837,7 +851,7 @@ Texture.prototype.copyFrom = function (options) { unpackAlignment = PixelFormat.alignmentInBytes( pixelFormat, pixelDatatype, - width + width, ); } gl.pixelStorei(gl.UNPACK_ALIGNMENT, unpackAlignment); @@ -847,7 +861,7 @@ Texture.prototype.copyFrom = function (options) { } else { gl.pixelStorei( gl.UNPACK_COLORSPACE_CONVERSION_WEBGL, - gl.BROWSER_DEFAULT_WEBGL + gl.BROWSER_DEFAULT_WEBGL, ); } @@ -870,7 +884,7 @@ Texture.prototype.copyFrom = function (options) { pixelFormat, pixelDatatype, textureWidth, - textureHeight + textureHeight, ); } else { pixels = arrayBufferView; @@ -890,7 +904,7 @@ Texture.prototype.copyFrom = function (options) { pixelFormat, pixelDatatype, textureWidth, - textureHeight + textureHeight, ); } gl.texImage2D( @@ -902,7 +916,7 @@ Texture.prototype.copyFrom = function (options) { 0, pixelFormat, PixelDatatype.toWebGLConstant(pixelDatatype, context), - pixels + pixels, ); this._initialized = true; } @@ -919,7 +933,7 @@ Texture.prototype.copyFrom = function (options) { pixelFormat, pixelDatatype, width, - height + height, ); } else { pixels = arrayBufferView; @@ -939,7 +953,7 @@ Texture.prototype.copyFrom = function (options) { height, pixelFormat, PixelDatatype.toWebGLConstant(pixelDatatype, context), - pixels + pixels, ); } @@ -972,7 +986,7 @@ Texture.prototype.copyFromFramebuffer = function ( framebufferXOffset, framebufferYOffset, width, - height + height, ) { xOffset = defaultValue(xOffset, 0); yOffset = defaultValue(yOffset, 0); @@ -984,22 +998,22 @@ Texture.prototype.copyFromFramebuffer = function ( //>>includeStart('debug', pragmas.debug); if (PixelFormat.isDepthFormat(this._pixelFormat)) { throw new DeveloperError( - "Cannot call copyFromFramebuffer when the texture pixel format is DEPTH_COMPONENT or DEPTH_STENCIL." + "Cannot call copyFromFramebuffer when the texture pixel format is DEPTH_COMPONENT or DEPTH_STENCIL.", ); } if (this._pixelDatatype === PixelDatatype.FLOAT) { throw new DeveloperError( - "Cannot call copyFromFramebuffer when the texture pixel data type is FLOAT." + "Cannot call copyFromFramebuffer when the texture pixel data type is FLOAT.", ); } if (this._pixelDatatype === PixelDatatype.HALF_FLOAT) { throw new DeveloperError( - "Cannot call copyFromFramebuffer when the texture pixel data type is HALF_FLOAT." + "Cannot call copyFromFramebuffer when the texture pixel data type is HALF_FLOAT.", ); } if (PixelFormat.isCompressedFormat(this._pixelFormat)) { throw new DeveloperError( - "Cannot call copyFrom with a compressed texture pixel format." + "Cannot call copyFrom with a compressed texture pixel format.", ); } @@ -1008,22 +1022,22 @@ Texture.prototype.copyFromFramebuffer = function ( Check.typeOf.number.greaterThanOrEquals( "framebufferXOffset", framebufferXOffset, - 0 + 0, ); Check.typeOf.number.greaterThanOrEquals( "framebufferYOffset", framebufferYOffset, - 0 + 0, ); Check.typeOf.number.lessThanOrEquals( "xOffset + width", xOffset + width, - this._width + this._width, ); Check.typeOf.number.lessThanOrEquals( "yOffset + height", yOffset + height, - this._height + this._height, ); //>>includeEnd('debug'); @@ -1040,7 +1054,7 @@ Texture.prototype.copyFromFramebuffer = function ( framebufferXOffset, framebufferYOffset, width, - height + height, ); gl.bindTexture(target, null); this._initialized = true; @@ -1062,23 +1076,23 @@ Texture.prototype.generateMipmap = function (hint) { //>>includeStart('debug', pragmas.debug); if (PixelFormat.isDepthFormat(this._pixelFormat)) { throw new DeveloperError( - "Cannot call generateMipmap when the texture pixel format is DEPTH_COMPONENT or DEPTH_STENCIL." + "Cannot call generateMipmap when the texture pixel format is DEPTH_COMPONENT or DEPTH_STENCIL.", ); } if (PixelFormat.isCompressedFormat(this._pixelFormat)) { throw new DeveloperError( - "Cannot call generateMipmap with a compressed pixel format." + "Cannot call generateMipmap with a compressed pixel format.", ); } if (!this._context.webgl2) { if (this._width > 1 && !CesiumMath.isPowerOfTwo(this._width)) { throw new DeveloperError( - "width must be a power of two to call generateMipmap() in a WebGL1 context." + "width must be a power of two to call generateMipmap() in a WebGL1 context.", ); } if (this._height > 1 && !CesiumMath.isPowerOfTwo(this._height)) { throw new DeveloperError( - "height must be a power of two to call generateMipmap() in a WebGL1 context." + "height must be a power of two to call generateMipmap() in a WebGL1 context.", ); } } diff --git a/packages/engine/Source/Renderer/createUniform.js b/packages/engine/Source/Renderer/createUniform.js index ccf68c1981f1..95392ac20661 100644 --- a/packages/engine/Source/Renderer/createUniform.js +++ b/packages/engine/Source/Renderer/createUniform.js @@ -26,6 +26,8 @@ function createUniform(gl, activeUniform, uniformName, location) { case gl.SAMPLER_2D: case gl.SAMPLER_CUBE: return new UniformSampler(gl, activeUniform, uniformName, location); + case gl.UNSIGNED_INT_SAMPLER_2D: + return new UniformSampler(gl, activeUniform, uniformName, location); case gl.INT: case gl.BOOL: return new UniformInt(gl, activeUniform, uniformName, location); @@ -46,7 +48,7 @@ function createUniform(gl, activeUniform, uniformName, location) { return new UniformMat4(gl, activeUniform, uniformName, location); default: throw new RuntimeError( - `Unrecognized uniform type: ${activeUniform.type} for uniform "${uniformName}".` + `Unrecognized uniform type: ${activeUniform.type} for uniform "${uniformName}".`, ); } } diff --git a/packages/engine/Source/Scene/Cesium3DTileset.js b/packages/engine/Source/Scene/Cesium3DTileset.js index 4ebe9e74871b..f15cae5d1367 100644 --- a/packages/engine/Source/Scene/Cesium3DTileset.js +++ b/packages/engine/Source/Scene/Cesium3DTileset.js @@ -872,6 +872,11 @@ function Cesium3DTileset(options) { true, ); + this._enableShowGaussianSplatting = defaultValue( + options.enableShowGaussianSplatting, + true, + ); + /** * The color to use when rendering outlines. * diff --git a/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js index 8ed80a194447..25a7d3caadca 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js @@ -16,6 +16,11 @@ import GaussianSplatTextureGenerator from "./GaussianSplatTextureGenerator.js"; import buildModuleUrl from "../../Core/buildModuleUrl.js"; +import PixelFormat from "../../Core/PixelFormat.js"; +import PixelDatatype from "../../Renderer/PixelDatatype.js"; +import Sampler from "../../Renderer/Sampler.js"; +import Texture from "../../Renderer/Texture.js"; + let wasmInitialized = false; let initPromise = null; let wasmMod; @@ -86,13 +91,20 @@ GaussianSplatTexturePipelineStage.process = function ( ); shaderBuilder.addAttribute("vec2", "a_screenQuadPosition"); - shaderBuilder.addAttribute("int", "a_splatIndex"); //actual index, not gl_vertexID shaderBuilder.addVarying("vec4", "v_splatColor"); shaderBuilder.addVarying("vec2", "v_vertPos"); - shaderBuilder.addVarying("float", "v_splatOpacity"); - shaderBuilder.addVarying("vec4", "v_splatScale"); - shaderBuilder.addVarying("vec4", "v_splatRot"); + + shaderBuilder.addUniform( + "highp usampler2D", + "u_splatAttributeTexture", + ShaderDestination.VERTEX, + ); + shaderBuilder.addUniform( + "highp usampler2D", + "u_splatIndexTexture", + ShaderDestination.VERTEX, + ); shaderBuilder.addUniform("float", "u_tan_fovX", ShaderDestination.VERTEX); shaderBuilder.addUniform("float", "u_tan_fovY", ShaderDestination.VERTEX); @@ -131,122 +143,6 @@ GaussianSplatTexturePipelineStage.process = function ( }; const timer = new CesiumPerformanceTimer(); - - const radixSort = () => { - const attributes = primitive.attributes; - const modelView = new Matrix4(); - const modelMat = renderResources.model.modelMatrix; - Matrix4.multiply(cam.viewMatrix, modelMat, modelView); - - const posAttr = attributes.find((a) => a.name === "POSITION"); - const scaleAttr = attributes.find((a) => a.name === "_SCALE"); - const rotAttr = attributes.find((a) => a.name === "_ROTATION"); - const clrAttr = attributes.find((a) => a.name === "COLOR_0"); - - const posArray = posAttr.typedArray; - const scaleArray = scaleAttr.typedArray; - const rotArray = rotAttr.typedArray; - const clrArray = clrAttr.typedArray; - - const newPosArray = new posArray.constructor(posArray.length); - const newScaleArray = new scaleArray.constructor(scaleArray.length); - const newRotArray = new rotArray.constructor(rotArray.length); - const newClrArray = new clrArray.constructor(clrArray.length); - - const calcDepth = (i) => - posArray[i * 3] * modelView[2] + - posArray[i * 3 + 1] * modelView[6] + - posArray[i * 3 + 2] * modelView[10]; - - // Calculate depths and store as integers - const depthValues = new Int32Array(renderResources.count); - let maxDepth = -Infinity; - let minDepth = Infinity; - - for (let i = 0; i < renderResources.count; i++) { - const depth = (calcDepth(i) * 4096) | 0; - depthValues[i] = depth; - maxDepth = Math.max(maxDepth, depth); - minDepth = Math.min(minDepth, depth); - } - - // Normalize depths to positive values - const depthOffset = -minDepth; - for (let i = 0; i < renderResources.count; i++) { - depthValues[i] += depthOffset; - } - - // Create index array to track original positions - const indices = new Uint32Array(renderResources.count); - for (let i = 0; i < renderResources.count; i++) { - indices[i] = i; - } - - // Temporary arrays for radix sort - const tempDepths = new Int32Array(renderResources.count); - const tempIndices = new Uint32Array(renderResources.count); - - // Sort for each byte (4 bytes for 32-bit integer) - for (let shift = 0; shift < 32; shift += 8) { - const counts = new Uint32Array(256); - - // Count frequencies - for (let i = 0; i < renderResources.count; i++) { - const byte = (depthValues[i] >> shift) & 0xff; - counts[byte]++; - } - - // Calculate starting positions - let total = 0; - for (let i = 0; i < 256; i++) { - const count = counts[i]; - counts[i] = total; - total += count; - } - - // Move items to correct position - for (let i = 0; i < renderResources.count; i++) { - const byte = (depthValues[i] >> shift) & 0xff; - const pos = counts[byte]++; - - tempDepths[pos] = depthValues[i]; - tempIndices[pos] = indices[i]; - } - - // Copy back - depthValues.set(tempDepths); - indices.set(tempIndices); - } - - // Rearrange attribute arrays based on sorted indices - for (let i = 0; i < renderResources.count; i++) { - const j = indices[i]; - - newPosArray[i * 3] = posArray[j * 3]; - newPosArray[i * 3 + 1] = posArray[j * 3 + 1]; - newPosArray[i * 3 + 2] = posArray[j * 3 + 2]; - - newScaleArray[i * 3] = scaleArray[j * 3]; - newScaleArray[i * 3 + 1] = scaleArray[j * 3 + 1]; - newScaleArray[i * 3 + 2] = scaleArray[j * 3 + 2]; - - newRotArray[i * 4] = rotArray[j * 4]; - newRotArray[i * 4 + 1] = rotArray[j * 4 + 1]; - newRotArray[i * 4 + 2] = rotArray[j * 4 + 2]; - newRotArray[i * 4 + 3] = rotArray[j * 4 + 3]; - - newClrArray[i * 4] = clrArray[j * 4]; - newClrArray[i * 4 + 1] = clrArray[j * 4 + 1]; - newClrArray[i * 4 + 2] = clrArray[j * 4 + 2]; - newClrArray[i * 4 + 3] = clrArray[j * 4 + 3]; - } - - posAttr.typedArray = newPosArray; - scaleAttr.typedArray = newScaleArray; - rotAttr.typedArray = newRotArray; - clrAttr.typedArray = newClrArray; - }; - const radixWasmSimd = async () => { async function ensureWasmInitialized() { if (!initPromise) { @@ -362,11 +258,118 @@ GaussianSplatTexturePipelineStage.process = function ( clrAttr.typedArray = newColors; }; - timer.start(); - radixSort(); + const radixSortToTexture = () => { + const modelView = new Matrix4(); + const modelMat = renderResources.model.modelMatrix; + Matrix4.multiply(cam.viewMatrix, modelMat, modelView); + + const posAttr = primitive.attributes.find((a) => a.name === "POSITION"); + const posArray = posAttr.typedArray; + + const calcDepth = (i) => + posArray[i * 3] * modelView[2] + + posArray[i * 3 + 1] * modelView[6] + + posArray[i * 3 + 2] * modelView[10]; + + // Calculate depths and store as integers + const depthValues = new Int32Array(renderResources.count); + let maxDepth = -Infinity; + let minDepth = Infinity; + + for (let i = 0; i < renderResources.count; i++) { + const depth = (calcDepth(i) * 4096) | 0; + depthValues[i] = depth; + maxDepth = Math.max(maxDepth, depth); + minDepth = Math.min(minDepth, depth); + } + + const depthOffset = -minDepth; + for (let i = 0; i < renderResources.count; i++) { + depthValues[i] += depthOffset; + } + + const texWidth = 1024; + const texHeight = Math.ceil(renderResources.count / texWidth); + const paddedSize = texWidth * texHeight; + + // Initialize indices with padding + const indices = new Uint32Array(paddedSize); + for (let i = 0; i < renderResources.count; i++) { + indices[i] = i; + } + // Fill padding with last valid index to avoid artifacts + for (let i = renderResources.count; i < paddedSize; i++) { + indices[i] = renderResources.count - 1; + } + // Temporary arrays for sorting + const tempDepths = new Int32Array(renderResources.count); + const tempIndices = new Uint32Array(renderResources.count); + + // Sort for each byte (4 bytes for 32-bit integer) + for (let shift = 0; shift < 32; shift += 8) { + const counts = new Uint32Array(256); + + for (let i = 0; i < renderResources.count; i++) { + const byte = (depthValues[i] >> shift) & 0xff; + counts[byte]++; + } + + let total = 0; + for (let i = 0; i < 256; i++) { + const count = counts[i]; + counts[i] = total; + total += count; + } + + for (let i = 0; i < renderResources.count; i++) { + const byte = (depthValues[i] >> shift) & 0xff; + const pos = counts[byte]++; + + tempDepths[pos] = depthValues[i]; + tempIndices[pos] = indices[i]; + } + + // Copy sorted values back + depthValues.set(tempDepths); + indices.set(tempIndices.subarray(0, renderResources.count)); + } + + const indexTex = new Texture({ + context: frameState.context, + source: { + width: texWidth, + height: texHeight, + arrayBufferView: indices, // Using full padded array for GL compatibility + }, + preMultiplyAlpha: false, + skipColorSpaceConversion: true, + pixelFormat: PixelFormat.RED_INTEGER, + pixelDatatype: PixelDatatype.UNSIGNED_INT, + flipY: false, + sampler: Sampler.NEAREST, + }); + + return indexTex; + }; + + timer.start(); + primitive.gaussianSplatIndexTexture = radixSortToTexture(); timer.end(); + uniformMap.u_splatAttributeTexture = function () { + return primitive.gaussianSplatTexture; + }; + + uniformMap.u_splatIndexTexture = function () { + return primitive.gaussianSplatIndexTexture; + }; + + // timer.start(); + // radixSort(); + + // timer.end(); + const useWasm = false; if (useWasm) { timer.start(); @@ -380,8 +383,8 @@ GaussianSplatTexturePipelineStage.process = function ( timer.end(); } - const rExecTime = timer.getExecutionTime(); - console.log(`RadixSort Execution time: ${rExecTime.milliseconds}ms`); + // const rExecTime = timer.getExecutionTime(); + // console.log(`RadixSort Execution time: ${rExecTime.milliseconds}ms`); renderResources.instanceCount = renderResources.count; renderResources.count = 4; diff --git a/packages/engine/Source/Scene/Model/GeometryPipelineStage.js b/packages/engine/Source/Scene/Model/GeometryPipelineStage.js index ffc88bd29a6f..d7a9de42f3e0 100644 --- a/packages/engine/Source/Scene/Model/GeometryPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GeometryPipelineStage.js @@ -136,9 +136,9 @@ GeometryPipelineStage.process = function ( } if (primitive.primitiveType === PrimitiveType.POINTS) { - const gaussianSplatsEnabled = - (primitive?.isGaussianSplatPrimitive ?? false) && - model.enableShowGaussianSplatting; + //JASON - enableShowGaussianSplatting is coming in false. disable for now + const gaussianSplatsEnabled = primitive?.isGaussianSplatPrimitive ?? false; + // && model.enableShowGaussianSplatting; if (gaussianSplatsEnabled) { const showSplats = model.showGaussianSplatting; primitive.attributes.find((a) => a.name === "POSITION").instanceDivisor = diff --git a/packages/engine/Source/Scene/Model/ModelDrawCommands.js b/packages/engine/Source/Scene/Model/ModelDrawCommands.js index cb39302417c7..8453ec4119f7 100644 --- a/packages/engine/Source/Scene/Model/ModelDrawCommands.js +++ b/packages/engine/Source/Scene/Model/ModelDrawCommands.js @@ -226,7 +226,12 @@ function buildDrawCommandForGaussianSplatModel( const model = primitiveRenderResources.model; const vertexArray = (() => { - if (model.enableShowGaussianSplatting && model.showGaussianSplatting) { + if ( + !( + primitiveRenderResources.runtimePrimitive.primitive + ?.hasGaussianSplatTexture ?? false + ) + ) { const splatQuadAttrLocations = { 0: 5, 1: 1, @@ -274,11 +279,33 @@ function buildDrawCommandForGaussianSplatModel( interleave: false, }); } + const splatQuadAttrLocations = { + screenQuadPosition: 0, + 0: 4, + 1: 1, + 2: 2, + 3: 3, + }; + const geometry = new Geometry({ + attributes: { + screenQuadPosition: new GeometryAttribute({ + componentDatatype: ComponentDatatype.FLOAT, + componentsPerAttribute: 2, + values: [-2, -2, 2, -2, 2, 2, -2, 2], + name: "_SCREEN_QUAD_POS", + variableName: "screenQuadPos", + }), + }, + indices: indexBuffer, + primitiveType: PrimitiveType.TRIANGLE_STRIP, + }); - return new VertexArray({ + return VertexArray.fromGeometry({ context: frameState.context, - indexBuffer: indexBuffer, - attributes: primitiveRenderResources.attributes, + geometry: geometry, + attributeLocations: splatQuadAttrLocations, + bufferUsage: BufferUsage.STATIC_DRAW, + interleave: false, }); })(); diff --git a/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js b/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js index 39f223bd3e11..9f1d5b4f7931 100644 --- a/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js +++ b/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js @@ -242,8 +242,13 @@ ModelRuntimePrimitive.prototype.configurePipeline = function (frameState) { const hasClassification = defined(model.classificationType); + // const hasGaussianSplats = + // model.enableShowGaussianSplatting + // && model.showGaussianSplatting + // && (primitive?.isGaussianSplatPrimitive ?? false); const hasGaussianSplats = - model.enableShowGaussianSplatting && model.showGaussianSplatting; + model.showGaussianSplatting && + (primitive?.isGaussianSplatPrimitive ?? false); // Start of pipeline ----------------------------------------------------- if (use2D) { pipelineStages.push(SceneMode2DPipelineStage); diff --git a/packages/engine/Source/Scene/PrimitiveLoadPlan.js b/packages/engine/Source/Scene/PrimitiveLoadPlan.js index bcffd1bcc310..d7db186ef9ce 100644 --- a/packages/engine/Source/Scene/PrimitiveLoadPlan.js +++ b/packages/engine/Source/Scene/PrimitiveLoadPlan.js @@ -282,7 +282,7 @@ function generateSplatTexture(loadPlan, context) { }, preMultiplyAlpha: false, skipColorSpaceConversion: true, - pixelFormat: PixelFormat.RGBA, + pixelFormat: PixelFormat.RGBA_INTEGER, pixelDatatype: PixelDatatype.UNSIGNED_INT, flipY: false, sampler: Sampler.NEAREST, diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl index 890f51780ca5..46ef011c5f65 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl @@ -1,4 +1,4 @@ -#if !defined(HAS_SPLAT_TEXTURE) +#ifndef HAS_SPLAT_TEXTURE // Dequantize a float that was quantized with EXPONENTIAL filter float meshopt_dequantize_exponential(uint quantized, float min_value, float max_value) { @@ -27,9 +27,15 @@ void calcCov3D(vec3 scale, vec4 rot, out float[6] cov3D) // Compute rotation matrix from quaternion mat3 R = mat3( - 1. - 2. * (y * y + z * z), 2. * (x * y - r * z), 2. * (x * z + r * y), - 2. * (x * y + r * z), 1. - 2. * (x * x + z * z), 2. * (y * z - r * x), - 2. * (x * z - r * y), 2. * (y * z + r * x), 1. - 2. * (x * x + y * y) + 1. - 2. * (y * y + z * z), + 2. * (x * y - r * z), + 2. * (x * z + r * y), + 2. * (x * y + r * z), + 1. - 2. * (x * x + z * z), + 2. * (y * z - r * x), + 2. * (x * z - r * y), + 2. * (y * z + r * x), + 1. - 2. * (x * x + y * y) ); mat3 M = S * R; @@ -94,11 +100,11 @@ void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) if(lambda2 < 0.0) return; vec2 diagonalVector = normalize(vec2(cov.y, lambda1 - cov.x)); - vec2 majorAxis = min(sqrt(2.0 * lambda1), 1024.0) * diagonalVector; - vec2 minorAxis = min(sqrt(2.0 * lambda2), 1024.0) * vec2(diagonalVector.y, -diagonalVector.x); + vec2 v1 = min(sqrt(2.0 * lambda1), 1024.0) * diagonalVector; + vec2 v2 = min(sqrt(2.0 * lambda2), 1024.0) * vec2(diagonalVector.y, -diagonalVector.x); vec2 corner = vec2((gl_VertexID << 1) & 2, gl_VertexID & 2) - 1.; - positionClip += vec4((corner.x * majorAxis + corner.y * minorAxis) * 4.0 / czm_viewport.zw * positionClip.w, 0, 0); + positionClip += vec4((corner.x * v1 + corner.y * v2) * 1.0 / czm_viewport.zw * positionClip.w, 0, 0); positionClip.z = clamp(positionClip.z, -abs(positionClip.w), abs(positionClip.w)); v_vertPos = corner ; v_splatColor = a_splatColor; @@ -106,17 +112,97 @@ void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) #else +vec4 calcCovVectors(vec3 worldPos, float focal_x, float focal_y, float tan_fovx, float tan_fovy, mat3 Vrk/*float[6] cov3D*/, mat3 viewmatrix) { + vec4 t = vec4(worldPos, 1.0); + + float limx = 1.3 * tan_fovx; + float limy = 1.3 * tan_fovy; + float txtz = t.x / t.z; + float tytz = t.y / t.z; + t.x = min(limx, max(-limx, txtz)) * t.z; + t.y = min(limy, max(-limy, tytz)) * t.z; + + mat3 J = mat3( + focal_x / t.z, 0, -(focal_x * t.x) / (t.z * t.z), + 0, focal_y / t.z, -(focal_y * t.y) / (t.z * t.z), + 0, 0, 0 + ); + + mat3 T = viewmatrix * J; + mat3 cov = transpose(T) * transpose(Vrk) * T; + + float diag1 = cov[0][0] + .3; + float offDiag = cov[0][1]; + float diag2 = cov[1][1] + .3; + + float mid = (diag1 + diag2) * 0.5; + float radius = length(vec2((diag1 - diag2) * 0.5, offDiag)); + float lambda1 = mid + radius; + float lambda2 = mid - radius; + + if(lambda2 < 0.0) { + return vec4(0.0); + } + + vec2 diagonalVector = normalize(vec2(offDiag, lambda1 - diag1)); + vec2 v1 = min(sqrt(2.0 * lambda1), 1024.0) * diagonalVector; + vec2 v2 = min(sqrt(2.0 * lambda2), 1024.0) * vec2(diagonalVector.y, -diagonalVector.x); + + return vec4(v1, v2); +} + +highp vec4 discardVec = vec4(0.0, 0.0, 2.0, 1.0); + void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) { + uint index = uint(gl_InstanceID); + + ivec2 idxCoord = ivec2(index & 0x3ffu, index >> 10); + uint sortedIndex = uint(texelFetch(u_splatIndexTexture, idxCoord, 0).r); - //unpack data index from indices texture - //g_vertexID is our index here + ivec2 posCoord = ivec2((sortedIndex & 0x3ffu) << 1, sortedIndex >> 10); + vec4 splatPosition = vec4( uintBitsToFloat(uvec4(texelFetch(u_splatAttributeTexture, posCoord, 0))) ); + vec4 splatViewPos = czm_modelView * vec4(splatPosition.xyz, 1.0); + vec4 clipPosition = czm_projection * splatViewPos; - //unpack position + float clip = 1.2 * clipPosition.w; + if (clipPosition.z < -clip || clipPosition.x < -clip || clipPosition.x > clip || + clipPosition.y < -clip || clipPosition.y > clip) { + positionClip = vec4(0.0, 0.0, 2.0, 1.0); + return; + } - //unpack color + ivec2 covCoord = ivec2(((sortedIndex & 0x3ffu) << 1) | 1u, sortedIndex >> 10); + uvec4 covariance = uvec4(texelFetch(u_splatAttributeTexture, covCoord, 0)); - //unpack covariance + positionClip = clipPosition; - // + vec2 u1 = unpackHalf2x16(covariance.x); + vec2 u2 = unpackHalf2x16(covariance.y); + vec2 u3 = unpackHalf2x16(covariance.z); + mat3 Vrk = mat3(u1.x, u1.y, u2.x, u1.y, u2.y, u3.x, u2.x, u3.x, u3.y); + + vec4 covVectors = calcCovVectors( + splatViewPos.xyz, + u_focalX, + u_focalY, + u_tan_fovX, + u_tan_fovY, + Vrk, + transpose(mat3(czm_modelView))// czm_modelView + ); + + if (dot(covVectors.xy, covVectors.xy) < 4.0 && dot(covVectors.zw, covVectors.zw) < 4.0) { + gl_Position = discardVec; + return; + } + + vec2 corner = vec2((gl_VertexID << 1) & 2, gl_VertexID & 2) - 1.; + + positionClip += vec4((corner.x * covVectors.xy + corner.y * covVectors.zw) / czm_viewport.zw * positionClip.w, 0, 0); + positionClip.z = clamp(positionClip.z, -abs(positionClip.w), abs(positionClip.w)); + + v_vertPos = corner ; + v_splatColor = vec4(covariance.w & 0xffu, (covariance.w >> 8) & 0xffu, (covariance.w >> 16) & 0xffu, (covariance.w >> 24) & 0xffu) / 255.0; } + #endif From b2f5aa2fc71ab7a7d9510e4183cb4d27e2cc0a9c Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Fri, 22 Nov 2024 09:18:22 -0600 Subject: [PATCH 40/97] Lots of pipeline changes. Sorting no longer occurs during the Gaussian texture pipeline. Texture path shaders simplified and optimized. (Should migrate if attribute pipeline stays). Migrating to proper task processor workers for sorting and texture generation Lots of clean up. --- gulpfile.js | 145 ++++---- .../engine/Source/Scene/Cesium3DTileStyle.js | 5 + .../Source/Scene/GaussianSplatSorter.js | 26 +- .../Scene/Model/GaussianSplatPipelineStage.js | 117 +------ .../Model/GaussianSplatTextureGenerator.js | 2 + .../GaussianSplatTexturePipelineStage.js | 320 ++++++++++-------- .../Scene/Model/GeometryPipelineStage.js | 12 +- packages/engine/Source/Scene/Model/Model.js | 49 ++- .../Source/Scene/Model/ModelDrawCommands.js | 23 +- .../engine/Source/Scene/PrimitiveLoadPlan.js | 15 + packages/engine/Source/Scene/Scene.js | 2 +- .../Source/Shaders/Model/GaussianSplatFS.glsl | 6 +- .../Source/Shaders/Model/GaussianSplatVS.glsl | 83 ++--- .../Source/Workers/gaussianSplatSorter.js | 47 +++ .../Workers/gaussianSplatTextureGenerator.js | 34 ++ 15 files changed, 492 insertions(+), 394 deletions(-) create mode 100644 packages/engine/Source/Workers/gaussianSplatSorter.js create mode 100644 packages/engine/Source/Workers/gaussianSplatTextureGenerator.js diff --git a/gulpfile.js b/gulpfile.js index 1d311bc9e254..f987673cd835 100644 --- a/gulpfile.js +++ b/gulpfile.js @@ -198,7 +198,7 @@ export const buildWatch = gulp.series(build, async function buildWatch() { removePragmas: removePragmas, sourcemap: sourcemap, }); - } + }, ); gulp.watch( @@ -209,7 +209,7 @@ export const buildWatch = gulp.series(build, async function buildWatch() { async () => { createCombinedSpecList(); await specs.rebuild(); - } + }, ); gulp.watch( @@ -219,7 +219,7 @@ export const buildWatch = gulp.series(build, async function buildWatch() { }, async () => { await specs.rebuild(); - } + }, ); process.on("SIGINT", () => { @@ -264,7 +264,7 @@ export async function buildTs() { directory === "engine" ? processEngineSource : undefined, // Handle engine's module naming exceptions directory === "engine" ? processEngineModules : undefined, - importModules + importModules, ); importModules[directory] = workspaceModules; } @@ -346,31 +346,36 @@ export async function prepare() { // Copy Draco3D files from node_modules into Source copyFileSync( "node_modules/draco3d/draco_decoder.wasm", - "packages/engine/Source/ThirdParty/draco_decoder.wasm" + "packages/engine/Source/ThirdParty/draco_decoder.wasm", ); // Copy pako and zip.js worker files to Source/ThirdParty copyFileSync( "node_modules/pako/dist/pako_inflate.min.js", - "packages/engine/Source/ThirdParty/Workers/pako_inflate.min.js" + "packages/engine/Source/ThirdParty/Workers/pako_inflate.min.js", ); copyFileSync( "node_modules/pako/dist/pako_deflate.min.js", - "packages/engine/Source/ThirdParty/Workers/pako_deflate.min.js" + "packages/engine/Source/ThirdParty/Workers/pako_deflate.min.js", ); copyFileSync( "node_modules/@zip.js/zip.js/dist/z-worker-pako.js", - "packages/engine/Source/ThirdParty/Workers/z-worker-pako.js" + "packages/engine/Source/ThirdParty/Workers/z-worker-pako.js", ); // Copy prism.js and prism.css files into Tools copyFileSync( "node_modules/prismjs/prism.js", - "Tools/jsdoc/cesium_template/static/javascript/prism.js" + "Tools/jsdoc/cesium_template/static/javascript/prism.js", ); copyFileSync( "node_modules/prismjs/themes/prism.min.css", - "Tools/jsdoc/cesium_template/static/styles/prism.css" + "Tools/jsdoc/cesium_template/static/styles/prism.css", + ); + + copyFileSync( + "node_modules/cesiumjs-gsplat-utils/cesiumjs_gsplat_utils_bg.wasm", + "packages/engine/Source/ThirdParty/cesiumjs_gsplat_utils_bg.wasm", ); // Copy jasmine runner files into Specs @@ -398,7 +403,7 @@ export async function buildDocs() { CESIUM_VERSION: version, CESIUM_PACKAGES: packageJson.workspaces, }), - } + }, ); const stream = gulp @@ -438,7 +443,7 @@ export const websiteRelease = gulp.series( }); }, combineForSandcastle, - buildDocs + buildDocs, ); export const buildRelease = gulp.series( @@ -462,12 +467,12 @@ export const buildRelease = gulp.series( node: true, sourcemap: false, }); - } + }, ); export const release = gulp.series( buildRelease, - gulp.parallel(buildTs, buildDocs) + gulp.parallel(buildTs, buildDocs), ); export const postversion = async function () { @@ -494,7 +499,7 @@ export const postversion = async function () { const packageJson = require(packageJsonPath); if (!Object.hasOwn(packageJson.dependencies, workspace)) { console.log( - `Skipping update for ${workspace} as it is not a dependency.` + `Skipping update for ${workspace} as it is not a dependency.`, ); return; } @@ -557,7 +562,7 @@ async function pruneScriptsForZip(packageJsonPath) { // Write to a temporary package.json file. const noPreparePackageJson = join( dirname(packageJsonPath), - "package.noprepare.json" + "package.noprepare.json", ); await writeFile(noPreparePackageJson, JSON.stringify(contentsJson, null, 2)); @@ -573,10 +578,10 @@ export const makeZip = gulp.series(release, async function createZipFile() { const packageJsonSrc = await pruneScriptsForZip("package.json"); const enginePackageJsonSrc = await pruneScriptsForZip( - "packages/engine/package.json" + "packages/engine/package.json", ); const widgetsPackageJsonSrc = await pruneScriptsForZip( - "packages/widgets/package.json" + "packages/widgets/package.json", ); const src = gulp @@ -586,7 +591,7 @@ export const makeZip = gulp.series(release, async function createZipFile() { if (file.basename === "index.release") { file.basename = "index"; } - }) + }), ) .pipe(enginePackageJsonSrc) .pipe(widgetsPackageJsonSrc) @@ -596,7 +601,7 @@ export const makeZip = gulp.series(release, async function createZipFile() { if (file.basename === "package.noprepare") { file.basename = "package"; } - }) + }), ) .pipe( gulp.src( @@ -617,8 +622,8 @@ export const makeZip = gulp.series(release, async function createZipFile() { { encoding: false, base: ".", - } - ) + }, + ), ) .pipe( gulp.src( @@ -656,8 +661,8 @@ export const makeZip = gulp.series(release, async function createZipFile() { { encoding: false, base: ".", - } - ) + }, + ), ) .pipe( gulpTap(function (file) { @@ -667,7 +672,7 @@ export const makeZip = gulp.series(release, async function createZipFile() { if (file.isDirectory()) { file.stat.mode = parseInt("40777", 8); } - }) + }), ) .pipe(gulpZip(`Cesium-${version}.zip`)) .pipe(gulp.dest(".")); @@ -732,7 +737,7 @@ async function setStatus(state, targetUrl, description, context) { Authorization: `token ${process.env.GITHUB_TOKEN}`, "User-Agent": "Cesium", }, - } + }, ); const result = await response.json(); @@ -782,7 +787,7 @@ export async function runCoverage(options) { try { const generatedCode = instrumenter.instrumentSync( source, - args.path + args.path, ); return { contents: generatedCode }; @@ -793,7 +798,7 @@ export async function runCoverage(options) { }, }; } - } + }, ); }, }; @@ -913,7 +918,7 @@ export async function runCoverage(options) { ], }, }, - { promiseConfig: true, throwErrors: true } + { promiseConfig: true, throwErrors: true }, ); return new Promise((resolve, reject) => { @@ -921,7 +926,7 @@ export async function runCoverage(options) { let html = "
    "; folders.forEach(function (folder) { html += `
  • ${folder}
  • `; }); html += "
"; @@ -1112,7 +1117,7 @@ export async function test() { ], }, }, - { promiseConfig: true, throwErrors: true } + { promiseConfig: true, throwErrors: true }, ); return new Promise((resolve, reject) => { @@ -1144,7 +1149,7 @@ function generateTypeScriptDefinitions( configurationPath, processSourceFunc, processModulesFunc, - importModules + importModules, ) { // Run JSDoc with tsd-jsdoc to generate an initial definition file. execSync(`npx jsdoc --configure ${configurationPath}`, { @@ -1192,23 +1197,23 @@ function generateTypeScriptDefinitions( .replace( /= "WebGLConstants\.(.+)"/gm, // eslint-disable-next-line no-unused-vars - (match, p1) => `= WebGLConstants.${p1}` + (match, p1) => `= WebGLConstants.${p1}`, ) // Strip const enums which can cause errors - https://www.typescriptlang.org/docs/handbook/enums.html#const-enum-pitfalls .replace(/^(\s*)(export )?const enum (\S+) {(\s*)$/gm, "$1$2enum $3 {$4") // Replace JSDoc generation version of defined with an improved version using TS type predicates .replace( /defined\(value: any\): boolean/gm, - "defined(value: Type): value is NonNullable" + "defined(value: Type): value is NonNullable", ) .replace( /\/\*\*[\*\s\w]*?\*\/\nexport const Check: any;/m, - `\n${readFileSync("./packages/engine/Source/Core/Check.d.ts").toString()}` + `\n${readFileSync("./packages/engine/Source/Core/Check.d.ts").toString()}`, ) // Fix https://github.com/CesiumGS/cesium/issues/10498 so we can use the rest parameter expand tuple .replace( "raiseEvent(...arguments: Parameters[]): void;", - "raiseEvent(...arguments: Parameters): void;" + "raiseEvent(...arguments: Parameters): void;", ); // Wrap the source to actually be inside of a declared cesium module @@ -1222,10 +1227,10 @@ ${source} let imports = ""; Object.keys(importModules).forEach((workspace) => { const workspaceModules = Array.from(importModules[workspace]).filter( - (importModule) => source.indexOf(importModule) !== -1 + (importModule) => source.indexOf(importModule) !== -1, ); imports += `import { ${workspaceModules.join( - ",\n" + ",\n", )} } from "@${scope}/${workspace}";\n`; }); source = imports + source; @@ -1255,7 +1260,7 @@ function processEngineSource(definitionsPath, source) { const node = typeScript.createSourceFile( definitionsPath, source, - typeScript.ScriptTarget.Latest + typeScript.ScriptTarget.Latest, ); let firstNode; node.forEachChild((child) => { @@ -1276,7 +1281,7 @@ function processEngineSource(definitionsPath, source) { newSource += printer.printNode( typeScript.EmitHint.Unspecified, firstNode, - node + node, ); newSource += "\n\n"; node.forEachChild((child) => { @@ -1287,7 +1292,7 @@ function processEngineSource(definitionsPath, source) { newSource += printer.printNode( typeScript.EmitHint.Unspecified, child, - node + node, ); newSource += "\n\n"; } @@ -1325,7 +1330,7 @@ function createTypeScriptDefinitions() { const node = typeScript.createSourceFile( "Source/Cesium.d.ts", source, - typeScript.ScriptTarget.Latest + typeScript.ScriptTarget.Latest, ); let firstNode; node.forEachChild((child) => { @@ -1346,7 +1351,7 @@ function createTypeScriptDefinitions() { newSource += printer.printNode( typeScript.EmitHint.Unspecified, firstNode, - node + node, ); newSource += "\n\n"; node.forEachChild((child) => { @@ -1357,7 +1362,7 @@ function createTypeScriptDefinitions() { newSource += printer.printNode( typeScript.EmitHint.Unspecified, child, - node + node, ); newSource += "\n\n"; } @@ -1399,23 +1404,23 @@ function createTypeScriptDefinitions() { .replace( /= "WebGLConstants\.(.+)"/gm, // eslint-disable-next-line no-unused-vars - (match, p1) => `= WebGLConstants.${p1}` + (match, p1) => `= WebGLConstants.${p1}`, ) // Strip const enums which can cause errors - https://www.typescriptlang.org/docs/handbook/enums.html#const-enum-pitfalls .replace(/^(\s*)(export )?const enum (\S+) {(\s*)$/gm, "$1$2enum $3 {$4") // Replace JSDoc generation version of defined with an improved version using TS type predicates .replace( /defined\(value: any\): boolean/gm, - "defined(value: Type): value is NonNullable" + "defined(value: Type): value is NonNullable", ) .replace( /\/\*\*[\*\s\w]*?\*\/\nexport const Check: any;/m, - `\n${readFileSync("./packages/engine/Source/Core/Check.d.ts").toString()}` + `\n${readFileSync("./packages/engine/Source/Core/Check.d.ts").toString()}`, ) // Fix https://github.com/CesiumGS/cesium/issues/10498 to have rest parameter expand tuple .replace( "raiseEvent(...arguments: Parameters[]): void;", - "raiseEvent(...arguments: Parameters): void;" + "raiseEvent(...arguments: Parameters): void;", ); // Wrap the source to actually be inside of a declared cesium module @@ -1463,7 +1468,7 @@ async function getLicenseDataFromThirdPartyExtra(path, discoveredDependencies) { module.name, discoveredDependencies, module.license, - module.notes + module.notes, ); if (result) { @@ -1478,7 +1483,7 @@ async function getLicenseDataFromThirdPartyExtra(path, discoveredDependencies) { module.name, discoveredDependencies, module.license, - module.notes + module.notes, ); if (result) { @@ -1490,7 +1495,7 @@ async function getLicenseDataFromThirdPartyExtra(path, discoveredDependencies) { discoveredDependencies.push(module.name); return module; } - }) + }), ); } @@ -1507,7 +1512,7 @@ async function getLicenseDataFromPackage( packageName, discoveredDependencies, licenseOverride, - notes + notes, ) { if ( !packageJson.dependencies[packageName] && @@ -1532,7 +1537,7 @@ async function getLicenseDataFromPackage( if (!contents) { return Promise.reject( - new Error(`Unable to read ${packageName} license information`) + new Error(`Unable to read ${packageName} license information`), ); } @@ -1576,7 +1581,7 @@ export async function buildThirdParty() { // Generate ThirdParty.json from ThirdParty.extra.json and package.json const licenseInfo = await getLicenseDataFromThirdPartyExtra( "ThirdParty.extra.json", - discoveredDependencies + discoveredDependencies, ); licenseJson = licenseJson.concat(licenseInfo); @@ -1607,7 +1612,7 @@ async function buildSandcastle() { ], { encoding: false, - } + }, ); if (isProduction) { @@ -1617,15 +1622,15 @@ async function buildSandcastle() { gulpReplace( ' ', ' \n' + - ' ' - ) + ' ', + ), ) .pipe( gulpReplace( ' ', ' \n' + - ' ' - ) + ' ', + ), ) // Fix relative paths for new location .pipe(gulpReplace("../../../Build", "..")) @@ -1637,7 +1642,7 @@ async function buildSandcastle() { .pipe(gulpReplace("../Apps/Sandcastle", ".")) .pipe(gulpReplace("../../SampleData", "../SampleData")) .pipe( - gulpReplace("../../Build/Documentation", "/learn/cesiumjs/ref-doc/") + gulpReplace("../../Build/Documentation", "/learn/cesiumjs/ref-doc/"), ) .pipe(gulp.dest("Build/Sandcastle")); } else { @@ -1647,15 +1652,15 @@ async function buildSandcastle() { gulpReplace( ' ', ' \n' + - ' ' - ) + ' ', + ), ) .pipe( gulpReplace( ' ', ' \n' + - ' ' - ) + ' ', + ), ) // Fix relative paths for new location .pipe(gulpReplace("../../../Build", "../../..")) @@ -1672,7 +1677,7 @@ async function buildSandcastle() { { base: "Apps/Sandcastle", encoding: false, - } + }, ); if (isProduction) { imageStream = imageStream.pipe(gulp.dest("Build/Sandcastle")); @@ -1700,8 +1705,8 @@ async function buildSandcastle() { gulpReplace( ' ', ' \n' + - ' ' - ) + ' ', + ), ) .pipe(gulpReplace("../../Build", ".")) .pipe(gulp.dest("Build/Sandcastle")); @@ -1765,7 +1770,7 @@ async function buildCesiumViewer() { ], { encoding: false, - } + }, ) .pipe( gulp.src( @@ -1780,8 +1785,8 @@ async function buildCesiumViewer() { base: "Build/Cesium", nodir: true, encoding: false, - } - ) + }, + ), ) .pipe(gulp.src(["web.config"])) .pipe(gulp.dest(cesiumViewerOutputDirectory)); diff --git a/packages/engine/Source/Scene/Cesium3DTileStyle.js b/packages/engine/Source/Scene/Cesium3DTileStyle.js index c6f086eb0c71..ca169352f42f 100644 --- a/packages/engine/Source/Scene/Cesium3DTileStyle.js +++ b/packages/engine/Source/Scene/Cesium3DTileStyle.js @@ -76,6 +76,11 @@ function Cesium3DTileStyle(style) { this._splatScale = undefined; this._showGaussianSplatting = true; + //gaussian splatting debugging + this._debugSplatSortAlgo = 0; + this._useTexturePipeline = true; + this._useWebAssembly = true; + this._colorShaderFunction = undefined; this._showShaderFunction = undefined; this._pointSizeShaderFunction = undefined; diff --git a/packages/engine/Source/Scene/GaussianSplatSorter.js b/packages/engine/Source/Scene/GaussianSplatSorter.js index 82b6fc10cf2d..e80f48f6f93b 100644 --- a/packages/engine/Source/Scene/GaussianSplatSorter.js +++ b/packages/engine/Source/Scene/GaussianSplatSorter.js @@ -3,8 +3,6 @@ import FeatureDetection from "../Core/FeatureDetection.js"; import RuntimeError from "../Core/RuntimeError.js"; import TaskProcessor from "../Core/TaskProcessor.js"; -//should probably rename to Utils and include texture gen - function GaussianSplatSorter() {} GaussianSplatSorter._maxSortingConcurrency = Math.max( @@ -18,7 +16,7 @@ GaussianSplatSorter._error = undefined; GaussianSplatSorter._getSorterTaskProcessor = function () { if (!defined(GaussianSplatSorter._sorterTaskProcessor)) { const processor = new TaskProcessor( - "gaussianSplatSort", + "gaussianSplatSorter", GaussianSplatSorter._maxSortingConcurrency, ); processor @@ -43,7 +41,25 @@ GaussianSplatSorter._getSorterTaskProcessor = function () { return GaussianSplatSorter._sorterTaskProcessor; }; -GaussianSplatSorter.countSortSplats = function (parameters) { +GaussianSplatSorter.radixSort = function (parameters) { + const sorterTaskProcessor = GaussianSplatSorter._getSorterTaskProcessor(); + if (defined(GaussianSplatSorter._error)) { + throw GaussianSplatSorter._error; + } + + if (!GaussianSplatSorter._taskProcessorReady) { + return; + } + + return sorterTaskProcessor.scheduleTask(parameters, [ + parameters.primitive.attributes, + parameters.primitive.modelView, + parameters.primitive.count, + parameters.sortType, + ]); +}; + +GaussianSplatSorter.radixSortIndexes = function (parameters) { const sorterTaskProcessor = GaussianSplatSorter._getSorterTaskProcessor(); if (defined(GaussianSplatSorter._error)) { throw GaussianSplatSorter._error; @@ -54,7 +70,7 @@ GaussianSplatSorter.countSortSplats = function (parameters) { } return sorterTaskProcessor.scheduleTask(parameters, [ - parameters.splatIndexes.buffer, + parameters.primitive.positions.buffer, ]); }; diff --git a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js index 14ce632277da..a589f9182ee0 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js @@ -8,11 +8,10 @@ import Matrix4 from "../../Core/Matrix4.js"; import __wbg_init, { initSync, - radix_sort_gaussians, - splat_radix_sort_simd, + radix_sort_gaussians_attrs, + radix_sort_simd, GSplatData, } from "cesiumjs-gsplat-utils"; -//import __wbg_init from "cesiumjs-gsplat-utils"; import buildModuleUrl from "../../Core/buildModuleUrl.js"; @@ -131,97 +130,8 @@ GaussianSplatPipelineStage.process = function ( return renderResources.model?.style?.splatScale ?? 1.0; }; - // Usage example: const timer = new CesiumPerformanceTimer(); - /* - const countSort = () => { - const attributes = primitive.attributes; - const modelView = new Matrix4(); - const modelMat = renderResources.model.modelMatrix; - Matrix4.multiply(cam.viewMatrix, modelMat, modelView); - - const posAttr = attributes.find((a) => a.name === "POSITION"); - const scaleAttr = attributes.find((a) => a.name === "_SCALE"); - const rotAttr = attributes.find((a) => a.name === "_ROTATION"); - const clrAttr = attributes.find((a) => a.name === "COLOR_0"); - // const opAttr = attributes.find((a) => a.name === "_OPACITY"); - - const posArray = posAttr.typedArray; - const scaleArray = scaleAttr.typedArray; - const rotArray = rotAttr.typedArray; - const clrArray = clrAttr.typedArray; - // const opArray = opAttr.typedArray; - - const newPosArray = new posArray.constructor(posArray.length); - const newScaleArray = new scaleArray.constructor(scaleArray.length); - const newRotArray = new rotArray.constructor(rotArray.length); - const newClrArray = new clrArray.constructor(clrArray.length); - // const newOpArray = new opArray.constructor(opArray.length); - - const calcDepth = (i) => - posArray[i * 3] * modelView[2] + - posArray[i * 3 + 1] * modelView[6] + - posArray[i * 3 + 2] * modelView[10]; - - let maxDepth = -Infinity; - let minDepth = Infinity; - - const sizeList = new Int32Array(renderResources.count); - for (let i = 0; i < renderResources.count; i++) { - const depth = (calcDepth(i) * 4096) | 0; - - sizeList[i] = depth; - maxDepth = Math.max(maxDepth, depth); - minDepth = Math.min(minDepth, depth); - } - - const depthInv = (256 * 256) / (maxDepth - minDepth); - const counts0 = new Uint32Array(256 * 256); - for (let i = 0; i < renderResources.count; i++) { - sizeList[i] = ((sizeList[i] - minDepth) * depthInv) | 0; - counts0[sizeList[i]]++; - } - const starts0 = new Uint32Array(256 * 256); - for (let i = 1; i < 256 * 256; i++) { - starts0[i] = starts0[i - 1] + counts0[i - 1]; - } - - const depthIndex = new Uint32Array(renderResources.count); - for (let i = 0; i < renderResources.count; i++) { - depthIndex[starts0[sizeList[i]]++] = i; - } - - for (let i = 0; i < renderResources.count; i++) { - const j = depthIndex[i]; - - newPosArray[i * 3] = posArray[j * 3]; - newPosArray[i * 3 + 1] = posArray[j * 3 + 1]; - newPosArray[i * 3 + 2] = posArray[j * 3 + 2]; - - newScaleArray[i * 3] = scaleArray[j * 3]; - newScaleArray[i * 3 + 1] = scaleArray[j * 3 + 1]; - newScaleArray[i * 3 + 2] = scaleArray[j * 3 + 2]; - - newRotArray[i * 4] = rotArray[j * 4]; - newRotArray[i * 4 + 1] = rotArray[j * 4 + 1]; - newRotArray[i * 4 + 2] = rotArray[j * 4 + 2]; - newRotArray[i * 4 + 3] = rotArray[j * 4 + 3]; - - newClrArray[i * 4] = clrArray[j * 4]; - newClrArray[i * 4 + 1] = clrArray[j * 4 + 1]; - newClrArray[i * 4 + 2] = clrArray[j * 4 + 2]; - newClrArray[i * 4 + 3] = clrArray[j * 4 + 3]; - - // newOpArray[i] = opArray[j]; - } - - posAttr.typedArray = newPosArray; - scaleAttr.typedArray = newScaleArray; - rotAttr.typedArray = newRotArray; - clrAttr.typedArray = newClrArray; - }; -*/ const radixSort = () => { const attributes = primitive.attributes; const modelView = new Matrix4(); @@ -247,8 +157,7 @@ GaussianSplatPipelineStage.process = function ( posArray[i * 3] * modelView[2] + posArray[i * 3 + 1] * modelView[6] + posArray[i * 3 + 2] * modelView[10]; - - // Calculate depths and store as integers + timer.start(); const depthValues = new Int32Array(renderResources.count); let maxDepth = -Infinity; let minDepth = Infinity; @@ -260,33 +169,27 @@ GaussianSplatPipelineStage.process = function ( minDepth = Math.min(minDepth, depth); } - // Normalize depths to positive values const depthOffset = -minDepth; for (let i = 0; i < renderResources.count; i++) { depthValues[i] += depthOffset; } - // Create index array to track original positions const indices = new Uint32Array(renderResources.count); for (let i = 0; i < renderResources.count; i++) { indices[i] = i; } - // Temporary arrays for radix sort const tempDepths = new Int32Array(renderResources.count); const tempIndices = new Uint32Array(renderResources.count); - // Sort for each byte (4 bytes for 32-bit integer) for (let shift = 0; shift < 32; shift += 8) { const counts = new Uint32Array(256); - // Count frequencies for (let i = 0; i < renderResources.count; i++) { const byte = (depthValues[i] >> shift) & 0xff; counts[byte]++; } - // Calculate starting positions let total = 0; for (let i = 0; i < 256; i++) { const count = counts[i]; @@ -294,7 +197,6 @@ GaussianSplatPipelineStage.process = function ( total += count; } - // Move items to correct position for (let i = 0; i < renderResources.count; i++) { const byte = (depthValues[i] >> shift) & 0xff; const pos = counts[byte]++; @@ -303,12 +205,10 @@ GaussianSplatPipelineStage.process = function ( tempIndices[pos] = indices[i]; } - // Copy back depthValues.set(tempDepths); indices.set(tempIndices); } - // Rearrange attribute arrays based on sorted indices for (let i = 0; i < renderResources.count; i++) { const j = indices[i]; @@ -335,6 +235,10 @@ GaussianSplatPipelineStage.process = function ( scaleAttr.typedArray = newScaleArray; rotAttr.typedArray = newRotArray; clrAttr.typedArray = newClrArray; + timer.end(); + + const rExecTime = timer.getExecutionTime(); + console.log(`RadixSort Execution time: ${rExecTime.milliseconds}ms`); }; const radixWasmSimd = async () => { @@ -384,7 +288,7 @@ GaussianSplatPipelineStage.process = function ( renderResources.count, ); - splat_radix_sort_simd(gsData); + radix_sort_simd(gsData); posAttr.typedArray = gsData.getPositions(); scaleAttr.typedArray = gsData.getScales(); @@ -437,7 +341,7 @@ GaussianSplatPipelineStage.process = function ( initSync(wasmMod); const [newPositions, newScales, newRotations, newColors] = - radix_sort_gaussians( + radix_sort_gaussians_attrs( posArray, scaleArray, rotArray, @@ -452,11 +356,8 @@ GaussianSplatPipelineStage.process = function ( clrAttr.typedArray = newColors; }; - timer.start(); radixSort(); - timer.end(); - const useWasm = false; if (useWasm) { timer.start(); diff --git a/packages/engine/Source/Scene/Model/GaussianSplatTextureGenerator.js b/packages/engine/Source/Scene/Model/GaussianSplatTextureGenerator.js index 73178139ffe5..617dcd14e358 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatTextureGenerator.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatTextureGenerator.js @@ -4,6 +4,8 @@ import __wbg_init, { } from "cesiumjs-gsplat-utils"; import buildModuleUrl from "../../Core/buildModuleUrl.js"; +//TODO: move to TaskProcessor + GaussianSplatTextureGenerator.wasmModule = undefined; GaussianSplatTextureGenerator.wasmInitialized = false; GaussianSplatTextureGenerator.initPromise = null; diff --git a/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js index 25a7d3caadca..a80d668628a1 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js @@ -7,8 +7,8 @@ import BlendingState from "../BlendingState.js"; import Matrix4 from "../../Core/Matrix4.js"; import __wbg_init, { initSync, - radix_sort_gaussians, - splat_radix_sort_simd, + radix_sort_gaussians_attrs, + radix_sort_simd, GSplatData, } from "cesiumjs-gsplat-utils"; @@ -91,6 +91,7 @@ GaussianSplatTexturePipelineStage.process = function ( ); shaderBuilder.addAttribute("vec2", "a_screenQuadPosition"); + shaderBuilder.addAttribute("float", "a_splatIndex"); shaderBuilder.addVarying("vec4", "v_splatColor"); shaderBuilder.addVarying("vec2", "v_vertPos"); @@ -100,48 +101,20 @@ GaussianSplatTexturePipelineStage.process = function ( "u_splatAttributeTexture", ShaderDestination.VERTEX, ); - shaderBuilder.addUniform( - "highp usampler2D", - "u_splatIndexTexture", - ShaderDestination.VERTEX, - ); - shaderBuilder.addUniform("float", "u_tan_fovX", ShaderDestination.VERTEX); - shaderBuilder.addUniform("float", "u_tan_fovY", ShaderDestination.VERTEX); - shaderBuilder.addUniform("float", "u_focalX", ShaderDestination.VERTEX); - shaderBuilder.addUniform("float", "u_focalY", ShaderDestination.VERTEX); shaderBuilder.addUniform("float", "u_splatScale", ShaderDestination.VERTEX); const uniformMap = renderResources.uniformMap; const cam = frameState.camera; - const width = frameState.context.drawingBufferWidth; - const height = frameState.context.drawingBufferHeight; - - const tan_fovx = Math.tan(cam.frustum.fov * 0.5); - const tan_fovy = Math.tan(cam.frustum.fovy * 0.5); - const focal_x = width / (tan_fovx * 2); - const focal_y = height / (tan_fovy * 2); - - uniformMap.u_tan_fovX = function () { - return tan_fovx; - }; - - uniformMap.u_tan_fovY = function () { - return tan_fovy; - }; - - uniformMap.u_focalX = function () { - return focal_x; - }; - - uniformMap.u_focalY = function () { - return focal_y; - }; uniformMap.u_splatScale = function () { return renderResources.model?.style?.splatScale ?? 1.0; }; + uniformMap.u_splatAttributeTexture = function () { + return primitive.gaussianSplatTexture; + }; + const timer = new CesiumPerformanceTimer(); const radixWasmSimd = async () => { async function ensureWasmInitialized() { @@ -190,7 +163,7 @@ GaussianSplatTexturePipelineStage.process = function ( renderResources.count, ); - splat_radix_sort_simd(gsData); + radix_sort_simd(gsData); posAttr.typedArray = gsData.getPositions(); scaleAttr.typedArray = gsData.getScales(); @@ -241,9 +214,9 @@ GaussianSplatTexturePipelineStage.process = function ( const clrArray = clrAttr.typedArray; initSync(wasmMod); - + timer.start(); const [newPositions, newScales, newRotations, newColors] = - radix_sort_gaussians( + radix_sort_gaussians_attrs( posArray, scaleArray, rotArray, @@ -251,125 +224,197 @@ GaussianSplatTexturePipelineStage.process = function ( modelView, renderResources.count, ); + timer.end(); + const rExecTime = timer.getExecutionTime(); + console.log(`RadixSort Execution time: ${rExecTime.milliseconds}ms`); posAttr.typedArray = newPositions; scaleAttr.typedArray = newScales; rotAttr.typedArray = newRotations; clrAttr.typedArray = newColors; - }; - - const radixSortToTexture = () => { - const modelView = new Matrix4(); - const modelMat = renderResources.model.modelMatrix; - Matrix4.multiply(cam.viewMatrix, modelMat, modelView); - const posAttr = primitive.attributes.find((a) => a.name === "POSITION"); - const posArray = posAttr.typedArray; + GaussianSplatTextureGenerator.generateFromAttrs( + primitive.attributes, + primitive.attributes[0].count, + ).then((splatTextureData) => { + const splatTex = new Texture({ + context: frameState.context, + source: { + width: splatTextureData.width, + height: splatTextureData.height, + arrayBufferView: splatTextureData.data, + }, + preMultiplyAlpha: false, + skipColorSpaceConversion: true, + pixelFormat: PixelFormat.RGBA_INTEGER, + pixelDatatype: PixelDatatype.UNSIGNED_INT, + flipY: false, + sampler: Sampler.NEAREST, + }); + primitive.gaussianSplatTexture = splatTex; + primitive.hasGaussianSplatTexture = true; + }); + }; - const calcDepth = (i) => - posArray[i * 3] * modelView[2] + - posArray[i * 3 + 1] * modelView[6] + - posArray[i * 3 + 2] * modelView[10]; - - // Calculate depths and store as integers - const depthValues = new Int32Array(renderResources.count); - let maxDepth = -Infinity; - let minDepth = Infinity; - - for (let i = 0; i < renderResources.count; i++) { - const depth = (calcDepth(i) * 4096) | 0; - depthValues[i] = depth; - maxDepth = Math.max(maxDepth, depth); - minDepth = Math.min(minDepth, depth); + // const radixSortIndexes = () => { + // const modelView = new Matrix4(); + // const modelMat = renderResources.model.modelMatrix; + // Matrix4.multiply(cam.viewMatrix, modelMat, modelView); + + // const posAttr = primitive.attributes.find((a) => a.name === "POSITION"); + // const idxAttr = primitive.attributes.find((a) => a.name === "_SPLAT_INDEXES"); + + // const posArray = posAttr.typedArray; + + // const calcDepth = (i) => + // posArray[i * 3] * modelView[2] + + // posArray[i * 3 + 1] * modelView[6] + + // posArray[i * 3 + 2] * modelView[10]; + + // const depthValues = new Int32Array(renderResources.count); + // let maxDepth = -Infinity; + // let minDepth = Infinity; + + // for (let i = 0; i < renderResources.count; i++) { + // const depth = (calcDepth(i) * 4096) | 0; + // depthValues[i] = depth; + // maxDepth = Math.max(maxDepth, depth); + // minDepth = Math.min(minDepth, depth); + // } + + // const depthOffset = -minDepth; + // for (let i = 0; i < renderResources.count; i++) { + // depthValues[i] += depthOffset; + // } + + // const texWidth = 1024; + // const texHeight = Math.ceil(renderResources.count / texWidth); + // const paddedSize = texWidth * texHeight; + + // const indices = new Uint32Array(paddedSize); + // for (let i = 0; i < renderResources.count; i++) { + // indices[i] = i; + // } + + // for (let i = renderResources.count; i < paddedSize; i++) { + // indices[i] = renderResources.count - 1; + // } + + // const tempDepths = new Int32Array(renderResources.count); + // const tempIndices = new Uint32Array(renderResources.count); + + // for (let shift = 0; shift < 32; shift += 8) { + // const counts = new Uint32Array(256); + + // for (let i = 0; i < renderResources.count; i++) { + // const byte = (depthValues[i] >> shift) & 0xff; + // counts[byte]++; + // } + + // let total = 0; + // for (let i = 0; i < 256; i++) { + // const count = counts[i]; + // counts[i] = total; + // total += count; + // } + + // for (let i = 0; i < renderResources.count; i++) { + // const byte = (depthValues[i] >> shift) & 0xff; + // const pos = counts[byte]++; + + // tempDepths[pos] = depthValues[i]; + // tempIndices[pos] = indices[i]; + // } + + // depthValues.set(tempDepths); + // indices.set(tempIndices.subarray(0, renderResources.count)); + // } + // idxAttr.typedArray = indices; + // }; + + const radixSimdWasmTexture = () => { + async function ensureWasmInitialized() { + if (!initPromise) { + initPromise = await __wbg_init( + buildModuleUrl("ThirdParty/cesiumjs_gsplat_utils_bg.wasm"), + ) + .then((wasm) => { + wasmInitialized = true; + initSync(wasm); + wasmMod = wasm; + }) + .catch((err) => { + console.error("Failed to initialize WASM module:", err); + throw err; + }); + } + return initPromise; } - const depthOffset = -minDepth; - for (let i = 0; i < renderResources.count; i++) { - depthValues[i] += depthOffset; + if (!wasmMod) { + ensureWasmInitialized(); } - const texWidth = 1024; - const texHeight = Math.ceil(renderResources.count / texWidth); - const paddedSize = texWidth * texHeight; - - // Initialize indices with padding - const indices = new Uint32Array(paddedSize); - for (let i = 0; i < renderResources.count; i++) { - indices[i] = i; - } - // Fill padding with last valid index to avoid artifacts - for (let i = renderResources.count; i < paddedSize; i++) { - indices[i] = renderResources.count - 1; + if (!wasmInitialized) { + return; } - // Temporary arrays for sorting - const tempDepths = new Int32Array(renderResources.count); - const tempIndices = new Uint32Array(renderResources.count); - - // Sort for each byte (4 bytes for 32-bit integer) - for (let shift = 0; shift < 32; shift += 8) { - const counts = new Uint32Array(256); + const attributes = primitive.attributes; + const modelView = new Matrix4(); + const modelMat = renderResources.model.modelMatrix; + Matrix4.multiply(cam.viewMatrix, modelMat, modelView); - for (let i = 0; i < renderResources.count; i++) { - const byte = (depthValues[i] >> shift) & 0xff; - counts[byte]++; - } + initSync(wasmMod); - let total = 0; - for (let i = 0; i < 256; i++) { - const count = counts[i]; - counts[i] = total; - total += count; - } + const posAttr = attributes.find((a) => a.name === "POSITION"); + const scaleAttr = attributes.find((a) => a.name === "_SCALE"); + const rotAttr = attributes.find((a) => a.name === "_ROTATION"); + const clrAttr = attributes.find((a) => a.name === "COLOR_0"); - for (let i = 0; i < renderResources.count; i++) { - const byte = (depthValues[i] >> shift) & 0xff; - const pos = counts[byte]++; + timer.start(); + const gsData = GSplatData.fromFloat32Arrays( + posAttr.typedArray, + scaleAttr.typedArray, + rotAttr.typedArray, + clrAttr.typedArray, + modelView, + renderResources.count, + ); - tempDepths[pos] = depthValues[i]; - tempIndices[pos] = indices[i]; - } + radix_sort_simd(gsData); - // Copy sorted values back - depthValues.set(tempDepths); - indices.set(tempIndices.subarray(0, renderResources.count)); - } + posAttr.typedArray = gsData.getPositions(); + scaleAttr.typedArray = gsData.getScales(); + rotAttr.typedArray = gsData.getRotations(); + clrAttr.typedArray = gsData.getColors(); + timer.end(); - const indexTex = new Texture({ - context: frameState.context, - source: { - width: texWidth, - height: texHeight, - arrayBufferView: indices, // Using full padded array for GL compatibility - }, - preMultiplyAlpha: false, - skipColorSpaceConversion: true, - pixelFormat: PixelFormat.RED_INTEGER, - pixelDatatype: PixelDatatype.UNSIGNED_INT, - flipY: false, - sampler: Sampler.NEAREST, + const rExecTime = timer.getExecutionTime(); + console.log(`RadixSort Execution time: ${rExecTime.milliseconds}ms`); + + GaussianSplatTextureGenerator.generateFromAttrs( + primitive.attributes, + primitive.attributes[0].count, + ).then((splatTextureData) => { + const splatTex = new Texture({ + context: frameState.context, + source: { + width: splatTextureData.width, + height: splatTextureData.height, + arrayBufferView: splatTextureData.data, + }, + preMultiplyAlpha: false, + skipColorSpaceConversion: true, + pixelFormat: PixelFormat.RGBA_INTEGER, + pixelDatatype: PixelDatatype.UNSIGNED_INT, + flipY: false, + sampler: Sampler.NEAREST, + }); + primitive.gaussianSplatTexture = splatTex; + primitive.hasGaussianSplatTexture = true; }); - - return indexTex; }; - timer.start(); - primitive.gaussianSplatIndexTexture = radixSortToTexture(); - timer.end(); - - uniformMap.u_splatAttributeTexture = function () { - return primitive.gaussianSplatTexture; - }; - - uniformMap.u_splatIndexTexture = function () { - return primitive.gaussianSplatIndexTexture; - }; - - // timer.start(); - // radixSort(); - - // timer.end(); - const useWasm = false; if (useWasm) { timer.start(); @@ -381,10 +426,11 @@ GaussianSplatTexturePipelineStage.process = function ( radixWasmSimd(); timer.end(); - } - // const rExecTime = timer.getExecutionTime(); - // console.log(`RadixSort Execution time: ${rExecTime.milliseconds}ms`); + timer.start(); + radixSimdWasmTexture(); + timer.end(); + } renderResources.instanceCount = renderResources.count; renderResources.count = 4; diff --git a/packages/engine/Source/Scene/Model/GeometryPipelineStage.js b/packages/engine/Source/Scene/Model/GeometryPipelineStage.js index d7a9de42f3e0..e90eb0cf68ed 100644 --- a/packages/engine/Source/Scene/Model/GeometryPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GeometryPipelineStage.js @@ -136,9 +136,9 @@ GeometryPipelineStage.process = function ( } if (primitive.primitiveType === PrimitiveType.POINTS) { - //JASON - enableShowGaussianSplatting is coming in false. disable for now - const gaussianSplatsEnabled = primitive?.isGaussianSplatPrimitive ?? false; - // && model.enableShowGaussianSplatting; + const gaussianSplatsEnabled = + (primitive?.isGaussianSplatPrimitive ?? false) && + model.enableShowGaussianSplatting; if (gaussianSplatsEnabled) { const showSplats = model.showGaussianSplatting; primitive.attributes.find((a) => a.name === "POSITION").instanceDivisor = @@ -150,6 +150,12 @@ GeometryPipelineStage.process = function ( primitive.attributes.find((a) => a.name === "COLOR_0").instanceDivisor = showSplats ? 1 : 0; + if (primitive.hasGaussianSplatTexture) { + primitive.attributes.find( + (a) => a.name === "_SPLAT_INDEXES", + ).instanceDivisor = showSplats ? 1 : 0; + } + if (!showSplats) { shaderBuilder.addDefine("PRIMITIVE_TYPE_POINTS"); diff --git a/packages/engine/Source/Scene/Model/Model.js b/packages/engine/Source/Scene/Model/Model.js index 5cbd9ba02e2c..d40108f97115 100644 --- a/packages/engine/Source/Scene/Model/Model.js +++ b/packages/engine/Source/Scene/Model/Model.js @@ -44,6 +44,8 @@ import PntsLoader from "./PntsLoader.js"; import StyleCommandsNeeded from "./StyleCommandsNeeded.js"; import pickModel from "./pickModel.js"; +import GaussianSplatSorter from "../GaussianSplatSorter.js"; + /** *
* To construct a Model, call {@link Model.fromGltfAsync}. Do not call the constructor directly. @@ -470,7 +472,7 @@ function Model(options) { this._enableShowGaussianSplatting = defaultValue( options.enableShowGaussianSplatting, - false, + true, ); /** @@ -2126,13 +2128,56 @@ function updateGaussianSplatting(model, frameState) { return; } + const sg = model._sceneGraph; + const prim = sg._components.nodes[0].primitives[0]; //walk more primitives + //texture generation is done and we have one ready to use + //rebuild our draw commands this one time + if (prim.gaussianSplatTexturePending && prim.hasGaussianSplatTexture) { + prim.gaussianSplatTexturePending = false; + model.resetDrawCommands(); + } + const dot = model._previousViewProj[2] * viewProj[2] + model._previousViewProj[6] * viewProj[6] + model._previousViewProj[10] * viewProj[10]; if (Math.abs(dot - 1) > CesiumMath.EPSILON2) { - model.resetDrawCommands(); + if (prim?.isGaussianSplatPrimitive ?? false) { + const idxAttr = prim.attributes.find((a) => a.name === "_SPLAT_INDEXES"); + const posAttr = prim.attributes.find((a) => a.name === "POSITION"); + const modelView = new Matrix4(); + Matrix4.multiply( + frameState.camera.viewMatrix, + model.modelMatrix, + modelView, + ); + try { + const promise = GaussianSplatSorter.radixSortIndexes({ + primitive: { + positions: new Float32Array(posAttr.typedArray), + modelView: Float32Array.from(modelView), + count: idxAttr.count, + }, + sortType: "Index", + }); + + if (promise === undefined) { + return; + } + + promise.catch((err) => { + console.error(`${err}`); + }); + promise.then((sortedData) => { + idxAttr.typedArray = sortedData; + model.resetDrawCommands(); + }); + } catch (e) { + console.log(`${e}`); + } + } + //model.resetDrawCommands(); model._previousViewProj = viewProj; } } diff --git a/packages/engine/Source/Scene/Model/ModelDrawCommands.js b/packages/engine/Source/Scene/Model/ModelDrawCommands.js index 8453ec4119f7..9ad27f0668b2 100644 --- a/packages/engine/Source/Scene/Model/ModelDrawCommands.js +++ b/packages/engine/Source/Scene/Model/ModelDrawCommands.js @@ -53,7 +53,7 @@ ModelDrawCommands.buildModelDrawCommand = function ( const command = primitiveRenderResources.runtimePrimitive.primitive .isGaussianSplatPrimitive - ? buildDrawCommandForGaussianSplatModel( + ? buildDrawCommandForGaussianSplatPrimitive( primitiveRenderResources, shaderProgram, frameState, @@ -217,7 +217,7 @@ function buildDrawCommandForModel( * * @private */ -function buildDrawCommandForGaussianSplatModel( +function buildDrawCommandForGaussianSplatPrimitive( primitiveRenderResources, shaderProgram, frameState, @@ -233,12 +233,11 @@ function buildDrawCommandForGaussianSplatModel( ) ) { const splatQuadAttrLocations = { - 0: 5, + 0: 8, 1: 1, 2: 2, 3: 3, 4: 4, - screenQuadPosition: 0, splatPosition: 6, splatColor: 7, }; @@ -280,21 +279,23 @@ function buildDrawCommandForGaussianSplatModel( }); } const splatQuadAttrLocations = { - screenQuadPosition: 0, - 0: 4, - 1: 1, - 2: 2, - 3: 3, + 5: 5, + splatIndex: 7, }; const geometry = new Geometry({ attributes: { screenQuadPosition: new GeometryAttribute({ componentDatatype: ComponentDatatype.FLOAT, componentsPerAttribute: 2, - values: [-2, -2, 2, -2, 2, 2, -2, 2], + values: [-1, -1, 1, -1, 1, 1, -1, 1], name: "_SCREEN_QUAD_POS", - variableName: "screenQuadPos", + variableName: "screenQuadPosition", }), + splatIndex: { + ...primitiveRenderResources.runtimePrimitive.primitive.attributes.find( + (a) => a.name === "_SPLAT_INDEXES", + ), + }, }, indices: indexBuffer, primitiveType: PrimitiveType.TRIANGLE_STRIP, diff --git a/packages/engine/Source/Scene/PrimitiveLoadPlan.js b/packages/engine/Source/Scene/PrimitiveLoadPlan.js index d7db186ef9ce..0491b15b6316 100644 --- a/packages/engine/Source/Scene/PrimitiveLoadPlan.js +++ b/packages/engine/Source/Scene/PrimitiveLoadPlan.js @@ -12,6 +12,7 @@ import Texture from "../Renderer/Texture.js"; import PixelFormat from "../Core/PixelFormat.js"; import PixelDatatype from "../Renderer/PixelDatatype.js"; import Sampler from "../Renderer/Sampler.js"; + /** * Simple struct for tracking whether an attribute will be loaded as a buffer * or typed array after post-processing. @@ -269,6 +270,7 @@ function setupGaussianSplatBuffers(loadPlan, context) { } function generateSplatTexture(loadPlan, context) { + loadPlan.primitive.gaussianSplatTexturePending = true; GaussianSplatTextureGenerator.generateFromAttrs( loadPlan.primitive.attributes, loadPlan.primitive.attributes[0].count, @@ -287,6 +289,19 @@ function generateSplatTexture(loadPlan, context) { flipY: false, sampler: Sampler.NEAREST, }); + const count = loadPlan.primitive.attributes[0].count; + const attribute = new ModelComponents.Attribute(); + + attribute.name = "_SPLAT_INDEXES"; + attribute.typedArray = new Uint32Array([...Array(count).keys()]); + attribute.componentDatatype = ComponentDatatype.UNSIGNED_INT; + attribute.type = AttributeType.SCALAR; + attribute.normalized = false; + attribute.count = count; + attribute.constant = 0; + attribute.instanceDivisor = 1; + + loadPlan.primitive.attributes.push(attribute); loadPlan.primitive.gaussianSplatTexture = splatTex; loadPlan.primitive.hasGaussianSplatTexture = true; }); diff --git a/packages/engine/Source/Scene/Scene.js b/packages/engine/Source/Scene/Scene.js index cfaf2da03a19..2bc0a02f3ea6 100644 --- a/packages/engine/Source/Scene/Scene.js +++ b/packages/engine/Source/Scene/Scene.js @@ -2393,7 +2393,7 @@ function performGaussianSplatPass(scene, passState, frustumCommands) { commands.length = frustumCommands.indices[Pass.GAUSSIAN_SPLATS]; //still necessary? - mergeSort(commands, backToFront, scene.camera.positionWC); + mergeSort(commands, frontToBack, scene.camera.positionWC); for (let i = 0; i < commands.length; ++i) { executeCommand(commands[i], scene, passState); diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl index 94a470d0d62a..7b6e2078e942 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl @@ -1,9 +1,9 @@ void gaussianSplatStage(inout vec4 color, in ProcessedAttributes attributes) { - float A = dot(v_vertPos, v_vertPos); + mediump float A = dot(v_vertPos, v_vertPos); if(A > 1.0) discard; - float alpha = clamp(v_splatColor.a * 1.5, 0., 1.); - float B = exp(-A * 4.0) *alpha; + mediump float alpha = clamp(v_splatColor.a * 2., 0., 1.); + mediump float B = exp(-A * 4.0) *alpha; color = vec4(v_splatColor.rgb * B, B); } diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl index 46ef011c5f65..e864af58eb73 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl @@ -1,17 +1,5 @@ #ifndef HAS_SPLAT_TEXTURE -// Dequantize a float that was quantized with EXPONENTIAL filter -float meshopt_dequantize_exponential(uint quantized, float min_value, float max_value) { - // Convert from 16-bit normalized to [0,1] - float normalized = float(quantized) / 65535.0; - - // Apply exponential curve - float exponential = exp2(normalized * 19.0 - 9.0); // Assuming 10-bit precision - - // Rescale to original range - return min_value + (max_value - min_value) * exponential; -} - void calcCov3D(vec3 scale, vec4 rot, out float[6] cov3D) { mat3 S = mat3( @@ -50,7 +38,7 @@ void calcCov3D(vec3 scale, vec4 rot, out float[6] cov3D) } vec3 calcCov2D(vec3 worldPos, float focal_x, float focal_y, float tan_fovx, float tan_fovy, float[6] cov3D, mat4 viewmatrix) { - vec4 t = viewmatrix * vec4(worldPos, 1.0); + vec4 t = viewmatrix * vec4(worldPos, 1.0); float limx = 1.3 * tan_fovx; float limy = 1.3 * tan_fovy; @@ -104,7 +92,7 @@ void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) vec2 v2 = min(sqrt(2.0 * lambda2), 1024.0) * vec2(diagonalVector.y, -diagonalVector.x); vec2 corner = vec2((gl_VertexID << 1) & 2, gl_VertexID & 2) - 1.; - positionClip += vec4((corner.x * v1 + corner.y * v2) * 1.0 / czm_viewport.zw * positionClip.w, 0, 0); + positionClip += vec4((corner.x * v1 + corner.y * v2) * 4.0 / czm_viewport.zw * positionClip.w, 0, 0); positionClip.z = clamp(positionClip.z, -abs(positionClip.w), abs(positionClip.w)); v_vertPos = corner ; v_splatColor = a_splatColor; @@ -112,55 +100,45 @@ void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) #else -vec4 calcCovVectors(vec3 worldPos, float focal_x, float focal_y, float tan_fovx, float tan_fovy, mat3 Vrk/*float[6] cov3D*/, mat3 viewmatrix) { +vec4 calcCovVectors(vec3 worldPos, mat3 Vrk, mat3 viewmatrix) { vec4 t = vec4(worldPos, 1.0); + float focal = czm_viewport.z * czm_projection[0][0]; - float limx = 1.3 * tan_fovx; - float limy = 1.3 * tan_fovy; - float txtz = t.x / t.z; - float tytz = t.y / t.z; - t.x = min(limx, max(-limx, txtz)) * t.z; - t.y = min(limy, max(-limy, tytz)) * t.z; - + float J1 = focal / t.z; + vec2 J2 = -J1 / t.z * t.xy; mat3 J = mat3( - focal_x / t.z, 0, -(focal_x * t.x) / (t.z * t.z), - 0, focal_y / t.z, -(focal_y * t.y) / (t.z * t.z), - 0, 0, 0 + J1, 0.0, J2.x, + 0.0, J1, J2.y, + 0.0, 0.0, 0.0 ); mat3 T = viewmatrix * J; - mat3 cov = transpose(T) * transpose(Vrk) * T; + mat3 cov = transpose(T) * Vrk * T; - float diag1 = cov[0][0] + .3; - float offDiag = cov[0][1]; - float diag2 = cov[1][1] + .3; + float diagonal1 = cov[0][0] + .3; + float offDiagonal = cov[0][1]; + float diagonal2 = cov[1][1] + .3; - float mid = (diag1 + diag2) * 0.5; - float radius = length(vec2((diag1 - diag2) * 0.5, offDiag)); + float mid = 0.5 * (diagonal1 + diagonal2); + float radius = length(vec2((diagonal1 - diagonal2) * 0.5, offDiagonal)); float lambda1 = mid + radius; - float lambda2 = mid - radius; + float lambda2 = max(mid - radius, 0.1); - if(lambda2 < 0.0) { - return vec4(0.0); - } - - vec2 diagonalVector = normalize(vec2(offDiag, lambda1 - diag1)); - vec2 v1 = min(sqrt(2.0 * lambda1), 1024.0) * diagonalVector; - vec2 v2 = min(sqrt(2.0 * lambda2), 1024.0) * vec2(diagonalVector.y, -diagonalVector.x); + vec2 diagonalVector = normalize(vec2(offDiagonal, lambda1 - diagonal1)); - return vec4(v1, v2); + return vec4( + min(sqrt(2.0 * lambda1), 1024.0) * diagonalVector, + min(sqrt(2.0 * lambda2), 1024.0) * vec2(diagonalVector.y, -diagonalVector.x) + ); } highp vec4 discardVec = vec4(0.0, 0.0, 2.0, 1.0); void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) { - uint index = uint(gl_InstanceID); - - ivec2 idxCoord = ivec2(index & 0x3ffu, index >> 10); - uint sortedIndex = uint(texelFetch(u_splatIndexTexture, idxCoord, 0).r); - - ivec2 posCoord = ivec2((sortedIndex & 0x3ffu) << 1, sortedIndex >> 10); + uint texIdx = uint(a_splatIndex); + ivec2 posCoord = ivec2((texIdx & 0x3ffu) << 1, texIdx >> 10); vec4 splatPosition = vec4( uintBitsToFloat(uvec4(texelFetch(u_splatAttributeTexture, posCoord, 0))) ); + vec4 splatViewPos = czm_modelView * vec4(splatPosition.xyz, 1.0); vec4 clipPosition = czm_projection * splatViewPos; @@ -171,24 +149,20 @@ void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) return; } - ivec2 covCoord = ivec2(((sortedIndex & 0x3ffu) << 1) | 1u, sortedIndex >> 10); + ivec2 covCoord = ivec2(((texIdx & 0x3ffu) << 1) | 1u, texIdx >> 10); uvec4 covariance = uvec4(texelFetch(u_splatAttributeTexture, covCoord, 0)); positionClip = clipPosition; - vec2 u1 = unpackHalf2x16(covariance.x); + vec2 u1 = unpackHalf2x16(covariance.x) ; vec2 u2 = unpackHalf2x16(covariance.y); vec2 u3 = unpackHalf2x16(covariance.z); mat3 Vrk = mat3(u1.x, u1.y, u2.x, u1.y, u2.y, u3.x, u2.x, u3.x, u3.y); vec4 covVectors = calcCovVectors( splatViewPos.xyz, - u_focalX, - u_focalY, - u_tan_fovX, - u_tan_fovY, Vrk, - transpose(mat3(czm_modelView))// czm_modelView + mat3(transpose(czm_modelView)) ); if (dot(covVectors.xy, covVectors.xy) < 4.0 && dot(covVectors.zw, covVectors.zw) < 4.0) { @@ -198,11 +172,12 @@ void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) vec2 corner = vec2((gl_VertexID << 1) & 2, gl_VertexID & 2) - 1.; - positionClip += vec4((corner.x * covVectors.xy + corner.y * covVectors.zw) / czm_viewport.zw * positionClip.w, 0, 0); + positionClip += vec4((corner.x * covVectors.xy + corner.y * covVectors.zw) / czm_viewport.zw * positionClip.w, 0, 0); positionClip.z = clamp(positionClip.z, -abs(positionClip.w), abs(positionClip.w)); v_vertPos = corner ; v_splatColor = vec4(covariance.w & 0xffu, (covariance.w >> 8) & 0xffu, (covariance.w >> 16) & 0xffu, (covariance.w >> 24) & 0xffu) / 255.0; } + #endif diff --git a/packages/engine/Source/Workers/gaussianSplatSorter.js b/packages/engine/Source/Workers/gaussianSplatSorter.js new file mode 100644 index 000000000000..07a3a1f6f781 --- /dev/null +++ b/packages/engine/Source/Workers/gaussianSplatSorter.js @@ -0,0 +1,47 @@ +import createTaskProcessorWorker from "./createTaskProcessorWorker.js"; +//import defaultValue from "../Core/defaultValue.js"; +import defined from "../Core/defined.js"; +//import RuntimeError from "../Core/RuntimeError.js"; + +import { + initSync, + radix_sort_gaussians_attrs, + radix_sort_gaussians_indexes, +} from "cesiumjs-gsplat-utils"; + +//load built wasm modules for sorting. Ensure we can load webassembly and we support SIMD. +async function initWorker(parameters, transferableObjects) { + // Require and compile WebAssembly module, or use fallback if not supported + const wasmConfig = parameters.webAssemblyConfig; + if (defined(wasmConfig) && defined(wasmConfig.wasmBinary)) { + initSync(wasmConfig.wasmBinary); + return true; + } +} + +function generateGaussianSortWorker(parameters, transferableObjects) { + // Handle initialization + const wasmConfig = parameters.webAssemblyConfig; + if (defined(wasmConfig)) { + return initWorker(parameters, transferableObjects); + } + + const { primitive, sortType } = parameters; + + if (sortType === "Attribute") { + return radix_sort_gaussians_attrs( + primitive.attributes, + primitive.modelView, + primitive.count, + ); + } else if (sortType === "Index") { + return radix_sort_gaussians_indexes( + primitive.positions, + primitive.modelView, + 2048, + primitive.count, + ); + } +} + +export default createTaskProcessorWorker(generateGaussianSortWorker); diff --git a/packages/engine/Source/Workers/gaussianSplatTextureGenerator.js b/packages/engine/Source/Workers/gaussianSplatTextureGenerator.js new file mode 100644 index 000000000000..f01efd90e424 --- /dev/null +++ b/packages/engine/Source/Workers/gaussianSplatTextureGenerator.js @@ -0,0 +1,34 @@ +import createTaskProcessorWorker from "./createTaskProcessorWorker.js"; +//import defaultValue from "../Core/defaultValue.js"; +import defined from "../Core/defined.js"; +//import RuntimeError from "../Core/RuntimeError.js"; + +import { initSync, generate_texture_from_attrs } from "cesiumjs-gsplat-utils"; + +//load built wasm modules for sorting. Ensure we can load webassembly and we support SIMD. +async function initWorker(parameters, transferableObjects) { + // Require and compile WebAssembly module, or use fallback if not supported + const wasmConfig = parameters.webAssemblyConfig; + if (defined(wasmConfig) && defined(wasmConfig.wasmBinary)) { + initSync(wasmConfig.wasmBinary); + return true; + } +} + +async function generateSplatTextureWorker(parameters, transferableObjects) { + const wasmConfig = parameters.webAssemblyConfig; + if (defined(wasmConfig)) { + return initWorker(parameters, transferableObjects); + } + + const { positions, scales, rotations, colors, count } = parameters; + return generate_texture_from_attrs( + positions, + scales, + rotations, + colors, + count, + ); +} + +export default createTaskProcessorWorker(generateSplatTextureWorker); From 361312b46d56c5e57ec33aca4e05f91c8c3c4b6b Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Fri, 22 Nov 2024 15:37:22 -0600 Subject: [PATCH 41/97] temporary wasm package integration for CI and sandcastle until NPM --- .markdownlintignore | 5 +- eslint.config.js | 1 + package.json | 2 +- .../Scene/Model/GaussianSplatPipelineStage.js | 5 +- .../Model/GaussianSplatTextureGenerator.js | 64 ++ .../GaussianSplatTexturePipelineStage.js | 8 +- packages/engine/Source/Scene/Model/Model.js | 4 +- .../Source/Scene/Model/ModelDrawCommands.js | 6 +- .../Source/Workers/gaussianSplatSorter.js | 7 + .../Workers/gaussianSplatTextureGenerator.js | 10 +- temp_wasm/cesiumjs-gsplat-utils/.appveyor.yml | 11 + temp_wasm/cesiumjs-gsplat-utils/.gitignore | 6 + temp_wasm/cesiumjs-gsplat-utils/.travis.yml | 69 ++ temp_wasm/cesiumjs-gsplat-utils/Cargo.toml | 32 + .../cesiumjs-gsplat-utils/LICENSE_APACHE | 201 +++++ temp_wasm/cesiumjs-gsplat-utils/LICENSE_MIT | 25 + temp_wasm/cesiumjs-gsplat-utils/README.md | 84 ++ temp_wasm/cesiumjs-gsplat-utils/src/lib.rs | 45 + .../cesiumjs-gsplat-utils/src/perf_timer.rs | 76 ++ temp_wasm/cesiumjs-gsplat-utils/src/radix.rs | 121 +++ .../cesiumjs-gsplat-utils/src/radix_simd.rs | 808 ++++++++++++++++++ .../cesiumjs-gsplat-utils/src/textureGen.rs | 172 ++++ .../src/textureGen_simd.rs | 202 +++++ temp_wasm/cesiumjs-gsplat-utils/src/utils.rs | 10 + temp_wasm/cesiumjs-gsplat-utils/tests/web.rs | 21 + 25 files changed, 1980 insertions(+), 15 deletions(-) create mode 100644 temp_wasm/cesiumjs-gsplat-utils/.appveyor.yml create mode 100644 temp_wasm/cesiumjs-gsplat-utils/.gitignore create mode 100644 temp_wasm/cesiumjs-gsplat-utils/.travis.yml create mode 100644 temp_wasm/cesiumjs-gsplat-utils/Cargo.toml create mode 100644 temp_wasm/cesiumjs-gsplat-utils/LICENSE_APACHE create mode 100644 temp_wasm/cesiumjs-gsplat-utils/LICENSE_MIT create mode 100644 temp_wasm/cesiumjs-gsplat-utils/README.md create mode 100644 temp_wasm/cesiumjs-gsplat-utils/src/lib.rs create mode 100644 temp_wasm/cesiumjs-gsplat-utils/src/perf_timer.rs create mode 100644 temp_wasm/cesiumjs-gsplat-utils/src/radix.rs create mode 100644 temp_wasm/cesiumjs-gsplat-utils/src/radix_simd.rs create mode 100644 temp_wasm/cesiumjs-gsplat-utils/src/textureGen.rs create mode 100644 temp_wasm/cesiumjs-gsplat-utils/src/textureGen_simd.rs create mode 100644 temp_wasm/cesiumjs-gsplat-utils/src/utils.rs create mode 100644 temp_wasm/cesiumjs-gsplat-utils/tests/web.rs diff --git a/.markdownlintignore b/.markdownlintignore index 1a14e901388e..65e41410d42e 100644 --- a/.markdownlintignore +++ b/.markdownlintignore @@ -1,6 +1,7 @@ /node_modules /ThirdParty /Tools/** +temp_wasm/* -CHANGES.md -LICENSE.md \ No newline at end of file +CHANGES.md +LICENSE.md diff --git a/eslint.config.js b/eslint.config.js index c8ec3d9bd70c..c8c2a6cc6f46 100644 --- a/eslint.config.js +++ b/eslint.config.js @@ -19,6 +19,7 @@ export default [ "packages/engine/Source/Shaders/**/*", "Specs/jasmine/*", "**/*/SpecList.js", + "temp_wasm/*", ], }, { diff --git a/package.json b/package.json index 470897dfdfe7..c9660cd8769f 100644 --- a/package.json +++ b/package.json @@ -53,7 +53,7 @@ "dependencies": { "@cesium/engine": "^11.1.0", "@cesium/widgets": "^8.1.0", - "cesiumjs-gsplat-utils": "file:../gsplat-wasm/cesiumjs-gsplat-utils/pkg", + "cesiumjs-gsplat-utils": "file:./temp_wasm/cesiumjs-gsplat-utils/pkg", "esbuild-plugin-wasm": "^1.1.0" }, "devDependencies": { diff --git a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js index a589f9182ee0..6e96569bf540 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js @@ -84,6 +84,7 @@ GaussianSplatPipelineStage.process = function ( } shaderBuilder.addAttribute("vec2", "a_screenQuadPosition"); + shaderBuilder.addAttribute("float", "a_dummy"); shaderBuilder.addAttribute("vec3", "a_splatPosition"); shaderBuilder.addAttribute("vec4", "a_splatColor"); //shaderBuilder.addAttribute("float", "a_splatOpacity"); @@ -245,7 +246,9 @@ GaussianSplatPipelineStage.process = function ( async function ensureWasmInitialized() { if (!initPromise) { initPromise = await __wbg_init( - buildModuleUrl("ThirdParty/cesiumjs_gsplat_utils_bg.wasm"), + buildModuleUrl( + "ThirdParty/cesium-gsplat/cesiumjs_gsplat_utils_bg.wasm", + ), ) .then((wasm) => { wasmInitialized = true; diff --git a/packages/engine/Source/Scene/Model/GaussianSplatTextureGenerator.js b/packages/engine/Source/Scene/Model/GaussianSplatTextureGenerator.js index 617dcd14e358..3f336ba58c7f 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatTextureGenerator.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatTextureGenerator.js @@ -57,5 +57,69 @@ GaussianSplatTextureGenerator.generateFromAttrs = async function ( count, ); }; +//////////////////////////////////////////////////// +/////// + +// import defined from "../../Core/defined.js"; +// import FeatureDetection from "../../Core/FeatureDetection.js"; +// import RuntimeError from "../../Core/RuntimeError.js"; +// import TaskProcessor from "../../Core/TaskProcessor.js"; + +// function GaussianSplatTextureGenerator() {} + +// GaussianSplatTextureGenerator._maxSortingConcurrency = Math.max( +// FeatureDetection.hardwareConcurrency - 1, +// 1, +// ); + +// GaussianSplatTextureGenerator._textureTaskProcessor = undefined; +// GaussianSplatTextureGenerator._taskProcessorReady = false; +// GaussianSplatTextureGenerator._error = undefined; +// GaussianSplatTextureGenerator._getTextureTaskProcessor = function () { +// if (!defined(GaussianSplatTextureGenerator._textureTaskProcessor)) { +// const processor = new TaskProcessor( +// "gaussianSplatTextureGenerator", +// GaussianSplatTextureGenerator._maxSortingConcurrency, +// ); +// processor +// .initWebAssemblyModule({ +// wasmBinaryFile: "ThirdParty/cesium-gsplat/cesiumjs_gsplat_utils_bg.wasm", +// }) +// .then(function (result) { +// if (result) { +// GaussianSplatTextureGenerator._taskProcessorReady = true; +// } else { +// GaussianSplatTextureGenerator._error = new RuntimeError( +// "Gaussian splat sorter could not be initialized.", +// ); +// } +// }) +// .catch((error) => { +// GaussianSplatTextureGenerator._error = error; +// }); +// GaussianSplatTextureGenerator._textureTaskProcessor = processor; +// } + +// return GaussianSplatTextureGenerator._textureTaskProcessor; +// }; + +// GaussianSplatTextureGenerator.generateFromAttrs = function (parameters) { +// const textureTaskProcessor = GaussianSplatTextureGenerator._getTextureTaskProcessor(); +// if (defined(GaussianSplatTextureGenerator._error)) { +// throw GaussianSplatTextureGenerator._error; +// } + +// if (!GaussianSplatTextureGenerator._taskProcessorReady) { +// return; +// } + +// const { attributes } = parameters; +// return textureTaskProcessor.scheduleTask(parameters,[ +// attributes.positions.typedArray, +// attributes.scales.typedArray, +// attributes.rotations.typedArray, +// attributes.colors.typedArray +// ]); +// }; export default GaussianSplatTextureGenerator; diff --git a/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js index a80d668628a1..d36589364608 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js @@ -120,7 +120,9 @@ GaussianSplatTexturePipelineStage.process = function ( async function ensureWasmInitialized() { if (!initPromise) { initPromise = await __wbg_init( - buildModuleUrl("ThirdParty/cesiumjs_gsplat_utils_bg.wasm"), + buildModuleUrl( + "ThirdParty/cesium-gsplat/cesiumjs_gsplat_utils_bg.wasm", + ), ) .then((wasm) => { wasmInitialized = true; @@ -336,7 +338,9 @@ GaussianSplatTexturePipelineStage.process = function ( async function ensureWasmInitialized() { if (!initPromise) { initPromise = await __wbg_init( - buildModuleUrl("ThirdParty/cesiumjs_gsplat_utils_bg.wasm"), + buildModuleUrl( + "ThirdParty/cesium-gsplat/cesiumjs_gsplat_utils_bg.wasm", + ), ) .then((wasm) => { wasmInitialized = true; diff --git a/packages/engine/Source/Scene/Model/Model.js b/packages/engine/Source/Scene/Model/Model.js index d40108f97115..0fcf5635547a 100644 --- a/packages/engine/Source/Scene/Model/Model.js +++ b/packages/engine/Source/Scene/Model/Model.js @@ -45,7 +45,8 @@ import StyleCommandsNeeded from "./StyleCommandsNeeded.js"; import pickModel from "./pickModel.js"; import GaussianSplatSorter from "../GaussianSplatSorter.js"; - +//import Buffer from "../../Renderer/Buffer.js"; +//import BufferUsage from "../../Renderer/BufferUsage.js"; /** *
* To construct a Model, call {@link Model.fromGltfAsync}. Do not call the constructor directly. @@ -2146,6 +2147,7 @@ function updateGaussianSplatting(model, frameState) { if (prim?.isGaussianSplatPrimitive ?? false) { const idxAttr = prim.attributes.find((a) => a.name === "_SPLAT_INDEXES"); const posAttr = prim.attributes.find((a) => a.name === "POSITION"); + const modelView = new Matrix4(); Matrix4.multiply( frameState.camera.viewMatrix, diff --git a/packages/engine/Source/Scene/Model/ModelDrawCommands.js b/packages/engine/Source/Scene/Model/ModelDrawCommands.js index 9ad27f0668b2..7714d58c42dd 100644 --- a/packages/engine/Source/Scene/Model/ModelDrawCommands.js +++ b/packages/engine/Source/Scene/Model/ModelDrawCommands.js @@ -233,13 +233,13 @@ function buildDrawCommandForGaussianSplatPrimitive( ) ) { const splatQuadAttrLocations = { - 0: 8, + 0: 9, 1: 1, 2: 2, 3: 3, 4: 4, - splatPosition: 6, - splatColor: 7, + splatPosition: 7, + splatColor: 8, }; const geometry = new Geometry({ attributes: { diff --git a/packages/engine/Source/Workers/gaussianSplatSorter.js b/packages/engine/Source/Workers/gaussianSplatSorter.js index 07a3a1f6f781..8205fcdc5cd7 100644 --- a/packages/engine/Source/Workers/gaussianSplatSorter.js +++ b/packages/engine/Source/Workers/gaussianSplatSorter.js @@ -41,6 +41,13 @@ function generateGaussianSortWorker(parameters, transferableObjects) { 2048, primitive.count, ); + } else if (sortType === "SIMD Index") { + return radix_sort_gaussians_indexes( + primitive.positions, + primitive.modelView, + 2048, + primitive.count, + ); } } diff --git a/packages/engine/Source/Workers/gaussianSplatTextureGenerator.js b/packages/engine/Source/Workers/gaussianSplatTextureGenerator.js index f01efd90e424..cf283730bddc 100644 --- a/packages/engine/Source/Workers/gaussianSplatTextureGenerator.js +++ b/packages/engine/Source/Workers/gaussianSplatTextureGenerator.js @@ -21,12 +21,12 @@ async function generateSplatTextureWorker(parameters, transferableObjects) { return initWorker(parameters, transferableObjects); } - const { positions, scales, rotations, colors, count } = parameters; + const { attributes, count } = parameters; return generate_texture_from_attrs( - positions, - scales, - rotations, - colors, + attributes.positions, + attributes.scales, + attributes.rotations, + attributes.colors, count, ); } diff --git a/temp_wasm/cesiumjs-gsplat-utils/.appveyor.yml b/temp_wasm/cesiumjs-gsplat-utils/.appveyor.yml new file mode 100644 index 000000000000..50910bd6f38b --- /dev/null +++ b/temp_wasm/cesiumjs-gsplat-utils/.appveyor.yml @@ -0,0 +1,11 @@ +install: + - appveyor-retry appveyor DownloadFile https://win.rustup.rs/ -FileName rustup-init.exe + - if not defined RUSTFLAGS rustup-init.exe -y --default-host x86_64-pc-windows-msvc --default-toolchain nightly + - set PATH=%PATH%;C:\Users\appveyor\.cargo\bin + - rustc -V + - cargo -V + +build: false + +test_script: + - cargo test --locked diff --git a/temp_wasm/cesiumjs-gsplat-utils/.gitignore b/temp_wasm/cesiumjs-gsplat-utils/.gitignore new file mode 100644 index 000000000000..4e301317e55e --- /dev/null +++ b/temp_wasm/cesiumjs-gsplat-utils/.gitignore @@ -0,0 +1,6 @@ +/target +**/*.rs.bk +Cargo.lock +bin/ +pkg/ +wasm-pack.log diff --git a/temp_wasm/cesiumjs-gsplat-utils/.travis.yml b/temp_wasm/cesiumjs-gsplat-utils/.travis.yml new file mode 100644 index 000000000000..7a913256e853 --- /dev/null +++ b/temp_wasm/cesiumjs-gsplat-utils/.travis.yml @@ -0,0 +1,69 @@ +language: rust +sudo: false + +cache: cargo + +matrix: + include: + + # Builds with wasm-pack. + - rust: beta + env: RUST_BACKTRACE=1 + addons: + firefox: latest + chrome: stable + before_script: + - (test -x $HOME/.cargo/bin/cargo-install-update || cargo install cargo-update) + - (test -x $HOME/.cargo/bin/cargo-generate || cargo install --vers "^0.2" cargo-generate) + - cargo install-update -a + - curl https://rustwasm.github.io/wasm-pack/installer/init.sh -sSf | sh -s -- -f + script: + - cargo generate --git . --name testing + # Having a broken Cargo.toml (in that it has curlies in fields) anywhere + # in any of our parent dirs is problematic. + - mv Cargo.toml Cargo.toml.tmpl + - cd testing + - wasm-pack build + - wasm-pack test --chrome --firefox --headless + + # Builds on nightly. + - rust: nightly + env: RUST_BACKTRACE=1 + before_script: + - (test -x $HOME/.cargo/bin/cargo-install-update || cargo install cargo-update) + - (test -x $HOME/.cargo/bin/cargo-generate || cargo install --vers "^0.2" cargo-generate) + - cargo install-update -a + - rustup target add wasm32-unknown-unknown + script: + - cargo generate --git . --name testing + - mv Cargo.toml Cargo.toml.tmpl + - cd testing + - cargo check + - cargo check --target wasm32-unknown-unknown + - cargo check --no-default-features + - cargo check --target wasm32-unknown-unknown --no-default-features + - cargo check --no-default-features --features console_error_panic_hook + - cargo check --target wasm32-unknown-unknown --no-default-features --features console_error_panic_hook + - cargo check --no-default-features --features "console_error_panic_hook wee_alloc" + - cargo check --target wasm32-unknown-unknown --no-default-features --features "console_error_panic_hook wee_alloc" + + # Builds on beta. + - rust: beta + env: RUST_BACKTRACE=1 + before_script: + - (test -x $HOME/.cargo/bin/cargo-install-update || cargo install cargo-update) + - (test -x $HOME/.cargo/bin/cargo-generate || cargo install --vers "^0.2" cargo-generate) + - cargo install-update -a + - rustup target add wasm32-unknown-unknown + script: + - cargo generate --git . --name testing + - mv Cargo.toml Cargo.toml.tmpl + - cd testing + - cargo check + - cargo check --target wasm32-unknown-unknown + - cargo check --no-default-features + - cargo check --target wasm32-unknown-unknown --no-default-features + - cargo check --no-default-features --features console_error_panic_hook + - cargo check --target wasm32-unknown-unknown --no-default-features --features console_error_panic_hook + # Note: no enabling the `wee_alloc` feature here because it requires + # nightly for now. diff --git a/temp_wasm/cesiumjs-gsplat-utils/Cargo.toml b/temp_wasm/cesiumjs-gsplat-utils/Cargo.toml new file mode 100644 index 000000000000..d78f113f37af --- /dev/null +++ b/temp_wasm/cesiumjs-gsplat-utils/Cargo.toml @@ -0,0 +1,32 @@ +[package] +name = "cesiumjs-gsplat-utils" +version = "0.1.0" +authors = ["Jason Sobotka "] +edition = "2021" + + +[lib] +crate-type = ["cdylib", "rlib"] + +[features] +default = ["console_error_panic_hook"] + +[dependencies] +wasm-bindgen = "0.2.84" +js-sys = "0.3.72" +web-sys = { version="0.3.72", features=["console"]} + +# The `console_error_panic_hook` crate provides better debugging of panics by +# logging them with `console.error`. This is great for development, but requires +# all the `std::fmt` and `std::panicking` infrastructure, so isn't great for +# code size when deploying. +console_error_panic_hook = { version = "0.1.7", optional = true } + +[dev-dependencies] +wasm-bindgen-test = "0.3.34" + +[profile.release] +# Tell `rustc` to optimize for small code size. +opt-level = 3 +lto = true +codegen-units = 1 diff --git a/temp_wasm/cesiumjs-gsplat-utils/LICENSE_APACHE b/temp_wasm/cesiumjs-gsplat-utils/LICENSE_APACHE new file mode 100644 index 000000000000..11069edd7901 --- /dev/null +++ b/temp_wasm/cesiumjs-gsplat-utils/LICENSE_APACHE @@ -0,0 +1,201 @@ + Apache License + Version 2.0, January 2004 + http://www.apache.org/licenses/ + +TERMS AND CONDITIONS FOR USE, REPRODUCTION, AND DISTRIBUTION + +1. Definitions. + + "License" shall mean the terms and conditions for use, reproduction, + and distribution as defined by Sections 1 through 9 of this document. + + "Licensor" shall mean the copyright owner or entity authorized by + the copyright owner that is granting the License. + + "Legal Entity" shall mean the union of the acting entity and all + other entities that control, are controlled by, or are under common + control with that entity. For the purposes of this definition, + "control" means (i) the power, direct or indirect, to cause the + direction or management of such entity, whether by contract or + otherwise, or (ii) ownership of fifty percent (50%) or more of the + outstanding shares, or (iii) beneficial ownership of such entity. + + "You" (or "Your") shall mean an individual or Legal Entity + exercising permissions granted by this License. + + "Source" form shall mean the preferred form for making modifications, + including but not limited to software source code, documentation + source, and configuration files. + + "Object" form shall mean any form resulting from mechanical + transformation or translation of a Source form, including but + not limited to compiled object code, generated documentation, + and conversions to other media types. + + "Work" shall mean the work of authorship, whether in Source or + Object form, made available under the License, as indicated by a + copyright notice that is included in or attached to the work + (an example is provided in the Appendix below). + + "Derivative Works" shall mean any work, whether in Source or Object + form, that is based on (or derived from) the Work and for which the + editorial revisions, annotations, elaborations, or other modifications + represent, as a whole, an original work of authorship. For the purposes + of this License, Derivative Works shall not include works that remain + separable from, or merely link (or bind by name) to the interfaces of, + the Work and Derivative Works thereof. + + "Contribution" shall mean any work of authorship, including + the original version of the Work and any modifications or additions + to that Work or Derivative Works thereof, that is intentionally + submitted to Licensor for inclusion in the Work by the copyright owner + or by an individual or Legal Entity authorized to submit on behalf of + the copyright owner. For the purposes of this definition, "submitted" + means any form of electronic, verbal, or written communication sent + to the Licensor or its representatives, including but not limited to + communication on electronic mailing lists, source code control systems, + and issue tracking systems that are managed by, or on behalf of, the + Licensor for the purpose of discussing and improving the Work, but + excluding communication that is conspicuously marked or otherwise + designated in writing by the copyright owner as "Not a Contribution." + + "Contributor" shall mean Licensor and any individual or Legal Entity + on behalf of whom a Contribution has been received by Licensor and + subsequently incorporated within the Work. + +2. Grant of Copyright License. Subject to the terms and conditions of + this License, each Contributor hereby grants to You a perpetual, + worldwide, non-exclusive, no-charge, royalty-free, irrevocable + copyright license to reproduce, prepare Derivative Works of, + publicly display, publicly perform, sublicense, and distribute the + Work and such Derivative Works in Source or Object form. + +3. Grant of Patent License. Subject to the terms and conditions of + this License, each Contributor hereby grants to You a perpetual, + worldwide, non-exclusive, no-charge, royalty-free, irrevocable + (except as stated in this section) patent license to make, have made, + use, offer to sell, sell, import, and otherwise transfer the Work, + where such license applies only to those patent claims licensable + by such Contributor that are necessarily infringed by their + Contribution(s) alone or by combination of their Contribution(s) + with the Work to which such Contribution(s) was submitted. If You + institute patent litigation against any entity (including a + cross-claim or counterclaim in a lawsuit) alleging that the Work + or a Contribution incorporated within the Work constitutes direct + or contributory patent infringement, then any patent licenses + granted to You under this License for that Work shall terminate + as of the date such litigation is filed. + +4. Redistribution. You may reproduce and distribute copies of the + Work or Derivative Works thereof in any medium, with or without + modifications, and in Source or Object form, provided that You + meet the following conditions: + + (a) You must give any other recipients of the Work or + Derivative Works a copy of this License; and + + (b) You must cause any modified files to carry prominent notices + stating that You changed the files; and + + (c) You must retain, in the Source form of any Derivative Works + that You distribute, all copyright, patent, trademark, and + attribution notices from the Source form of the Work, + excluding those notices that do not pertain to any part of + the Derivative Works; and + + (d) If the Work includes a "NOTICE" text file as part of its + distribution, then any Derivative Works that You distribute must + include a readable copy of the attribution notices contained + within such NOTICE file, excluding those notices that do not + pertain to any part of the Derivative Works, in at least one + of the following places: within a NOTICE text file distributed + as part of the Derivative Works; within the Source form or + documentation, if provided along with the Derivative Works; or, + within a display generated by the Derivative Works, if and + wherever such third-party notices normally appear. The contents + of the NOTICE file are for informational purposes only and + do not modify the License. You may add Your own attribution + notices within Derivative Works that You distribute, alongside + or as an addendum to the NOTICE text from the Work, provided + that such additional attribution notices cannot be construed + as modifying the License. + + You may add Your own copyright statement to Your modifications and + may provide additional or different license terms and conditions + for use, reproduction, or distribution of Your modifications, or + for any such Derivative Works as a whole, provided Your use, + reproduction, and distribution of the Work otherwise complies with + the conditions stated in this License. + +5. Submission of Contributions. Unless You explicitly state otherwise, + any Contribution intentionally submitted for inclusion in the Work + by You to the Licensor shall be under the terms and conditions of + this License, without any additional terms or conditions. + Notwithstanding the above, nothing herein shall supersede or modify + the terms of any separate license agreement you may have executed + with Licensor regarding such Contributions. + +6. Trademarks. This License does not grant permission to use the trade + names, trademarks, service marks, or product names of the Licensor, + except as required for reasonable and customary use in describing the + origin of the Work and reproducing the content of the NOTICE file. + +7. Disclaimer of Warranty. Unless required by applicable law or + agreed to in writing, Licensor provides the Work (and each + Contributor provides its Contributions) on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied, including, without limitation, any warranties or conditions + of TITLE, NON-INFRINGEMENT, MERCHANTABILITY, or FITNESS FOR A + PARTICULAR PURPOSE. You are solely responsible for determining the + appropriateness of using or redistributing the Work and assume any + risks associated with Your exercise of permissions under this License. + +8. Limitation of Liability. In no event and under no legal theory, + whether in tort (including negligence), contract, or otherwise, + unless required by applicable law (such as deliberate and grossly + negligent acts) or agreed to in writing, shall any Contributor be + liable to You for damages, including any direct, indirect, special, + incidental, or consequential damages of any character arising as a + result of this License or out of the use or inability to use the + Work (including but not limited to damages for loss of goodwill, + work stoppage, computer failure or malfunction, or any and all + other commercial damages or losses), even if such Contributor + has been advised of the possibility of such damages. + +9. Accepting Warranty or Additional Liability. While redistributing + the Work or Derivative Works thereof, You may choose to offer, + and charge a fee for, acceptance of support, warranty, indemnity, + or other liability obligations and/or rights consistent with this + License. However, in accepting such obligations, You may act only + on Your own behalf and on Your sole responsibility, not on behalf + of any other Contributor, and only if You agree to indemnify, + defend, and hold each Contributor harmless for any liability + incurred by, or claims asserted against, such Contributor by reason + of your accepting any such warranty or additional liability. + +END OF TERMS AND CONDITIONS + +APPENDIX: How to apply the Apache License to your work. + + To apply the Apache License to your work, attach the following + boilerplate notice, with the fields enclosed by brackets "[]" + replaced with your own identifying information. (Don't include + the brackets!) The text should be enclosed in the appropriate + comment syntax for the file format. We also recommend that a + file or class name and description of purpose be included on the + same "printed page" as the copyright notice for easier + identification within third-party archives. + +Copyright [yyyy] [name of copyright owner] + +Licensed under the Apache License, Version 2.0 (the "License"); +you may not use this file except in compliance with the License. +You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + +Unless required by applicable law or agreed to in writing, software +distributed under the License is distributed on an "AS IS" BASIS, +WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +See the License for the specific language governing permissions and +limitations under the License. diff --git a/temp_wasm/cesiumjs-gsplat-utils/LICENSE_MIT b/temp_wasm/cesiumjs-gsplat-utils/LICENSE_MIT new file mode 100644 index 000000000000..cb6579ff34ee --- /dev/null +++ b/temp_wasm/cesiumjs-gsplat-utils/LICENSE_MIT @@ -0,0 +1,25 @@ +Copyright (c) 2018 Jason Sobotka + +Permission is hereby granted, free of charge, to any +person obtaining a copy of this software and associated +documentation files (the "Software"), to deal in the +Software without restriction, including without +limitation the rights to use, copy, modify, merge, +publish, distribute, sublicense, and/or sell copies of +the Software, and to permit persons to whom the Software +is furnished to do so, subject to the following +conditions: + +The above copyright notice and this permission notice +shall be included in all copies or substantial portions +of the Software. + +THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF +ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED +TO THE WARRANTIES OF MERCHANTABILITY, FITNESS FOR A +PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT +SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY +CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION +OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR +IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER +DEALINGS IN THE SOFTWARE. diff --git a/temp_wasm/cesiumjs-gsplat-utils/README.md b/temp_wasm/cesiumjs-gsplat-utils/README.md new file mode 100644 index 000000000000..6b684085003f --- /dev/null +++ b/temp_wasm/cesiumjs-gsplat-utils/README.md @@ -0,0 +1,84 @@ +
+ +

wasm-pack-template

+ + A template for kick starting a Rust and WebAssembly project using wasm-pack. + +

+ Build Status +

+ +

+ Tutorial + | + Chat +

+ + Built with πŸ¦€πŸ•Έ by The Rust and WebAssembly Working Group +
+ +## About + +[**πŸ“š Read this template tutorial! πŸ“š**][template-docs] + +This template is designed for compiling Rust libraries into WebAssembly and +publishing the resulting package to NPM. + +Be sure to check out [other `wasm-pack` tutorials online][tutorials] for other +templates and usages of `wasm-pack`. + +[tutorials]: https://rustwasm.github.io/docs/wasm-pack/tutorials/index.html +[template-docs]: https://rustwasm.github.io/docs/wasm-pack/tutorials/npm-browser-packages/index.html + +## 🚴 Usage + +### πŸ‘ Use `cargo generate` to Clone this Template + +[Learn more about `cargo generate` here.](https://github.com/ashleygwilliams/cargo-generate) + +``` +cargo generate --git https://github.com/rustwasm/wasm-pack-template.git --name my-project +cd my-project +``` + +### πŸ› οΈ Build with `wasm-pack build` + +``` +wasm-pack build +``` + +### πŸ”¬ Test in Headless Browsers with `wasm-pack test` + +``` +wasm-pack test --headless --firefox +``` + +### 🎁 Publish to NPM with `wasm-pack publish` + +``` +wasm-pack publish +``` + +## πŸ”‹ Batteries Included + +* [`wasm-bindgen`](https://github.com/rustwasm/wasm-bindgen) for communicating + between WebAssembly and JavaScript. +* [`console_error_panic_hook`](https://github.com/rustwasm/console_error_panic_hook) + for logging panic messages to the developer console. +* `LICENSE-APACHE` and `LICENSE-MIT`: most Rust projects are licensed this way, so these are included for you + +## License + +Licensed under either of + +* Apache License, Version 2.0, ([LICENSE-APACHE](LICENSE-APACHE) or http://www.apache.org/licenses/LICENSE-2.0) +* MIT license ([LICENSE-MIT](LICENSE-MIT) or http://opensource.org/licenses/MIT) + +at your option. + +### Contribution + +Unless you explicitly state otherwise, any contribution intentionally +submitted for inclusion in the work by you, as defined in the Apache-2.0 +license, shall be dual licensed as above, without any additional terms or +conditions. diff --git a/temp_wasm/cesiumjs-gsplat-utils/src/lib.rs b/temp_wasm/cesiumjs-gsplat-utils/src/lib.rs new file mode 100644 index 000000000000..f5d022df39d9 --- /dev/null +++ b/temp_wasm/cesiumjs-gsplat-utils/src/lib.rs @@ -0,0 +1,45 @@ +mod utils; +mod perf_timer; +mod textureGen; +//mod textureGen_simd; +mod radix_simd; + +use wasm_bindgen::prelude::*; +use js_sys::{Float32Array, Uint8Array, Uint32Array, Object}; + +#[wasm_bindgen] +extern "C" { + fn alert(s: &str); +} + +//reimplementation of our javascript count sort +#[derive(Clone, Copy)] +struct Matrix4([f32; 16]); + +#[wasm_bindgen] +pub fn generate_splat_texture_from_attrs( + positions: &Float32Array, + scales: &Float32Array, + rotations: &Float32Array, + colors: &Uint8Array, + count: usize +) -> Result { + let texture_data = textureGen::generate_texture_from_attrs( + positions, + scales, + rotations, + colors, + count + )?; + + let js_data = Uint32Array::new_with_length((texture_data.width() * texture_data.height() * 4) as u32); + js_data.copy_from(&texture_data.data()); + + // Create a JavaScript object to hold both the data and dimensions + let result = Object::new(); + js_sys::Reflect::set(&result, &"data".into(), &js_data)?; + js_sys::Reflect::set(&result, &"width".into(), &(texture_data.width() as f64).into())?; + js_sys::Reflect::set(&result, &"height".into(), &(texture_data.height() as f64).into())?; + + Ok(result) +} \ No newline at end of file diff --git a/temp_wasm/cesiumjs-gsplat-utils/src/perf_timer.rs b/temp_wasm/cesiumjs-gsplat-utils/src/perf_timer.rs new file mode 100644 index 000000000000..4fa170ff285c --- /dev/null +++ b/temp_wasm/cesiumjs-gsplat-utils/src/perf_timer.rs @@ -0,0 +1,76 @@ +use std::time::{Duration, Instant}; +use std::collections::HashMap; +use std::fmt; + +#[derive(Debug)] +pub struct Timer { + start: Instant, + splits: HashMap, + last_split: Instant, +} + +impl Timer { + pub fn new() -> Self { + let now = Instant::now(); + Timer { + start: now, + splits: HashMap::new(), + last_split: now, + } + } + + pub fn split(&mut self, name: &str) { + let now = Instant::now(); + let duration = now.duration_since(self.last_split); + self.splits.insert(name.to_string(), duration); + self.last_split = now; + } + + pub fn elapsed(&self) -> Duration { + Instant::now().duration_since(self.start) + } + + pub fn get_split(&self, name: &str) -> Option { + self.splits.get(name).copied() + } + + pub fn reset(&mut self) { + let now = Instant::now(); + self.start = now; + self.last_split = now; + self.splits.clear(); + } +} + +impl fmt::Display for Timer { + fn fmt(&self, f: &mut fmt::Formatter<'_>) -> fmt::Result { + writeln!(f, "Total time: {:?}", self.elapsed())?; + writeln!(f, "\nSplits:")?; + for (name, duration) in &self.splits { + writeln!(f, "{}: {:?}", name, duration)?; + } + Ok(()) + } +} + +#[cfg(test)] +mod tests { + use super::*; + use std::thread::sleep; + + #[test] + fn test_basic_timing() { + let mut timer = Timer::new(); + + // Simulate some work + sleep(Duration::from_millis(100)); + timer.split("first_operation"); + + sleep(Duration::from_millis(50)); + timer.split("second_operation"); + + assert!(timer.get_split("first_operation").unwrap().as_millis() >= 100); + assert!(timer.get_split("second_operation").unwrap().as_millis() >= 50); + assert!(timer.elapsed().as_millis() >= 150); + } +} \ No newline at end of file diff --git a/temp_wasm/cesiumjs-gsplat-utils/src/radix.rs b/temp_wasm/cesiumjs-gsplat-utils/src/radix.rs new file mode 100644 index 000000000000..a61912e5e840 --- /dev/null +++ b/temp_wasm/cesiumjs-gsplat-utils/src/radix.rs @@ -0,0 +1,121 @@ +use wasm_bindgen::prelude::*; +mod radix_simd; + +#[wasm_bindgen] +impl radix_simd::GSplatData { + pub fn radix_sort(&mut self) { + // Calculate depths and store as integers + let mut depth_values: Vec = Vec::with_capacity(self.count); + let mut max_depth = f32::NEG_INFINITY; + let mut min_depth = f32::INFINITY; + + // Helper closure to calculate depth + let calc_depth = |i: usize| -> f32 { + let pos_idx = i * 3; + self.positions[pos_idx] * self.model_view[2] + + self.positions[pos_idx + 1] * self.model_view[6] + + self.positions[pos_idx + 2] * self.model_view[10] + }; + + // Calculate initial depths + for i in 0..self.count { + let depth = (calc_depth(i) * 4096.0) as i32; + depth_values.push(depth); + max_depth = max_depth.max(depth as f32); + min_depth = min_depth.min(depth as f32); + } + + // Normalize depths to positive values + let depth_offset = (-min_depth as i32); + for depth in depth_values.iter_mut() { + *depth += depth_offset; + } + + // Create index array to track original positions + let mut indices: Vec = (0..self.count as u32).collect(); + + // Temporary arrays for radix sort + let mut temp_depths = vec![0i32; self.count]; + let mut temp_indices = vec![0u32; self.count]; + + // Sort for each byte (4 bytes for 32-bit integer) + for shift in (0..32).step_by(8) { + let mut counts = [0u32; 256]; + + // Count frequencies + for &depth in depth_values.iter() { + let byte = ((depth >> shift) & 0xFF) as usize; + counts[byte] += 1; + } + + // Calculate starting positions + let mut total = 0; + for count in counts.iter_mut() { + let current = *count; + *count = total; + total += current; + } + + // Move items to correct position + for i in 0..self.count { + let byte = ((depth_values[i] >> shift) & 0xFF) as usize; + let pos = counts[byte] as usize; + counts[byte] += 1; + + temp_depths[pos] = depth_values[i]; + temp_indices[pos] = indices[i]; + } + + // Copy back + depth_values.copy_from_slice(&temp_depths); + indices.copy_from_slice(&temp_indices); + } + + // Create new arrays for sorted data + let mut new_positions = vec![0.0f32; self.positions.len()]; + let mut new_scales = vec![0.0f32; self.scales.len()]; + let mut new_rotations = vec![0.0f32; self.rotations.len()]; + let mut new_colors = vec![0.0f32; self.colors.len()]; + + // Rearrange attribute arrays based on sorted indices + for (i, &idx) in indices.iter().enumerate() { + let j = idx as usize; + + // Copy positions (3 components) + let pos_i = i * 3; + let pos_j = j * 3; + new_positions[pos_i] = self.positions[pos_j]; + new_positions[pos_i + 1] = self.positions[pos_j + 1]; + new_positions[pos_i + 2] = self.positions[pos_j + 2]; + + // Copy scales (3 components) + let scale_i = i * 3; + let scale_j = j * 3; + new_scales[scale_i] = self.scales[scale_j]; + new_scales[scale_i + 1] = self.scales[scale_j + 1]; + new_scales[scale_i + 2] = self.scales[scale_j + 2]; + + // Copy rotations (4 components) + let rot_i = i * 4; + let rot_j = j * 4; + new_rotations[rot_i] = self.rotations[rot_j]; + new_rotations[rot_i + 1] = self.rotations[rot_j + 1]; + new_rotations[rot_i + 2] = self.rotations[rot_j + 2]; + new_rotations[rot_i + 3] = self.rotations[rot_j + 3]; + + // Copy colors (4 components) + let color_i = i * 4; + let color_j = j * 4; + new_colors[color_i] = self.colors[color_j]; + new_colors[color_i + 1] = self.colors[color_j + 1]; + new_colors[color_i + 2] = self.colors[color_j + 2]; + new_colors[color_i + 3] = self.colors[color_j + 3]; + } + + // Update the original arrays with sorted data + self.positions = new_positions; + self.scales = new_scales; + self.rotations = new_rotations; + self.colors = new_colors; + } +} \ No newline at end of file diff --git a/temp_wasm/cesiumjs-gsplat-utils/src/radix_simd.rs b/temp_wasm/cesiumjs-gsplat-utils/src/radix_simd.rs new file mode 100644 index 000000000000..71d7ecfdfbf4 --- /dev/null +++ b/temp_wasm/cesiumjs-gsplat-utils/src/radix_simd.rs @@ -0,0 +1,808 @@ +use std::arch::wasm32::*; +use wasm_bindgen::prelude::*; +use js_sys::{Float32Array, Uint8Array, Uint32Array, WebAssembly}; +use wasm_bindgen::JsCast; +use web_sys::console; + +use crate::perf_timer; + +#[wasm_bindgen] +pub struct GSplatData { + positions: Vec, + scales: Vec, + rotations: Vec, + colors: Vec, + model_view: [f32; 16], + count: usize, +} + +#[wasm_bindgen] +impl GSplatData { + #[wasm_bindgen(constructor)] + pub fn new( + positions: Vec, + scales: Vec, + rotations: Vec, + colors: Vec, + model_view: Vec, + count: usize, + ) -> Self { + let mut model_view_array = [0.0; 16]; + model_view_array.copy_from_slice(&model_view); + + Self { + positions, + scales, + rotations, + colors, + model_view: model_view_array, + count, + } + } + + #[wasm_bindgen(js_name = fromFloat32Arrays)] + pub fn from_float32_arrays( + positions: Float32Array, + scales: Float32Array, + rotations: Float32Array, + colors: Uint8Array, + model_view: Float32Array, + count: usize, + ) -> Result { + if positions.length() as usize != count * 3 { + return Err(JsValue::from_str("Invalid positions length")); + } + if scales.length() as usize != count * 3 { + return Err(JsValue::from_str("Invalid scales length")); + } + if rotations.length() as usize != count * 4 { + return Err(JsValue::from_str("Invalid rotations length")); + } + if colors.length() as usize != count * 4 { + return Err(JsValue::from_str("Invalid colors length")); + } + if model_view.length() != 16 { + return Err(JsValue::from_str("Model view matrix must have 16 elements")); + } + + let positions: Vec = positions.to_vec(); + let scales: Vec = scales.to_vec(); + let rotations: Vec = rotations.to_vec(); + let colors: Vec = colors.to_vec(); + let model_view: Vec = model_view.to_vec(); + + Ok(GSplatData::new( + positions, + scales, + rotations, + colors, + model_view, + count, + )) + } + + #[wasm_bindgen(js_name = getPositions)] + pub fn get_positions(&self) -> Float32Array { + let result = Float32Array::new_with_length(self.positions.len() as u32); + result.copy_from(&self.positions[..]); + result + } + + #[wasm_bindgen(js_name = getScales)] + pub fn get_scales(&self) -> Float32Array { + let result = Float32Array::new_with_length(self.scales.len() as u32); + result.copy_from(&self.scales[..]); + result + } + + #[wasm_bindgen(js_name = getRotations)] + pub fn get_rotations(&self) -> Float32Array { + let result = Float32Array::new_with_length(self.rotations.len() as u32); + result.copy_from(&self.rotations[..]); + result + } + + #[wasm_bindgen(js_name = getColors)] + pub fn get_colors(&self) -> Uint8Array { + let result = Uint8Array::new_with_length(self.colors.len() as u32); + result.copy_from(&self.colors[..]); + result + } +} + +#[target_feature(enable = "simd128")] +unsafe fn compute_depths_simd(positions: &[f32], model_view: &[f32], count: usize) -> Vec { + let mut depths = Vec::with_capacity(count); + let simd_count = count - (count % 4); + + let scale = f32x4(4096.0, 4096.0, 4096.0, 4096.0); + let mv2 = f32x4_splat(model_view[2]); + let mv6 = f32x4_splat(model_view[6]); + let mv10 = f32x4_splat(model_view[10]); + + for chunk_idx in (0..simd_count).step_by(4) { + let base_idx = chunk_idx * 3; + if base_idx + 11 >= positions.len() { + break; + } + + let pos = v128_load(positions[base_idx..].as_ptr() as *const v128); + let mut depth = f32x4_mul(pos, mv2); + + let pos_y = v128_load(positions[base_idx + 4..].as_ptr() as *const v128); + depth = f32x4_add(depth, f32x4_mul(pos_y, mv6)); + + let pos_z = v128_load(positions[base_idx + 8..].as_ptr() as *const v128); + depth = f32x4_add(depth, f32x4_mul(pos_z, mv10)); + + let depth_scaled = f32x4_mul(depth, scale); + let depth_int = i32x4_trunc_sat_f32x4(depth_scaled); + + let mut result = [0i32; 4]; + v128_store(result.as_mut_ptr() as *mut v128, depth_int); + depths.extend_from_slice(&result); + } + + let remainder_start = (count / 4) * 4; + for i in remainder_start..count { + let idx = i * 3; + if idx + 2 < positions.len() { + let depth = positions[idx] * model_view[2] + + positions[idx + 1] * model_view[6] + + positions[idx + 2] * model_view[10]; + depths.push((depth * 4096.0) as i32); + } + } + + depths.truncate(count); + depths +} + +#[target_feature(enable = "simd128")] +unsafe fn reorder_attributes_simd(data: &mut GSplatData, indices: &[u32]) { + let mut new_positions = vec![0.0; data.positions.len()]; + let mut new_scales = vec![0.0; data.scales.len()]; + let mut new_rotations = vec![0.0; data.rotations.len()]; + let mut new_colors = vec![0; data.colors.len()]; + + for (new_idx, &old_idx) in indices.iter().enumerate() { + let old_idx = old_idx as usize; + + if old_idx * 3 + 2 >= data.positions.len() || + new_idx * 3 + 2 >= new_positions.len() { + break; + } + + let pos_idx = new_idx * 3; + let old_pos_idx = old_idx * 3; + new_positions[pos_idx..pos_idx + 3] + .copy_from_slice(&data.positions[old_pos_idx..old_pos_idx + 3]); + + if old_idx * 3 + 2 >= data.scales.len() || + new_idx * 3 + 2 >= new_scales.len() { + break; + } + + let scale_idx = new_idx * 3; + let old_scale_idx = old_idx * 3; + new_scales[scale_idx..scale_idx + 3] + .copy_from_slice(&data.scales[old_scale_idx..old_scale_idx + 3]); + + if old_idx * 4 + 3 >= data.rotations.len() || + new_idx * 4 + 3 >= new_rotations.len() { + break; + } + + let rot_idx = new_idx * 4; + let old_rot_idx = old_idx * 4; + new_rotations[rot_idx..rot_idx + 4] + .copy_from_slice(&data.rotations[old_rot_idx..old_rot_idx + 4]); + + if old_idx * 4 + 3 >= data.colors.len() || + new_idx * 4 + 3 >= new_colors.len() { + break; + } + + let color_idx = new_idx * 4; + let old_color_idx = old_idx * 4; + new_colors[color_idx..color_idx + 4] + .copy_from_slice(&data.colors[old_color_idx..old_color_idx + 4]); + } + + data.positions = new_positions; + data.scales = new_scales; + data.rotations = new_rotations; + data.colors = new_colors; +} + +#[wasm_bindgen] +pub fn radix_sort_simd(data: &mut GSplatData) -> Result<(), JsValue> { + let count = data.count; + + if count * 3 > data.positions.len() || + count * 3 > data.scales.len() || + count * 4 > data.rotations.len() || + count * 4 > data.colors.len() { + return Err(JsValue::from_str("Invalid input sizes")); + } + + let mut depths = unsafe { + compute_depths_simd(&data.positions, &data.model_view, count) + }; + let mut indices: Vec = (0..count as u32).collect(); + + let mut temp_depths = vec![0i32; count]; + let mut temp_indices = vec![0u32; count]; + + for shift in (0..32).step_by(8) { + let mut counts = [0u32; 256]; + + unsafe { count_frequencies_simd(&depths, shift, &mut counts) }; + + let mut total = 0u32; + for count in counts.iter_mut() { + let current = *count; + *count = total; + total += current; + } + + unsafe { + scatter_elements_simd( + &depths, + &indices, + shift, + &counts, + &mut temp_depths, + &mut temp_indices + ) + }; + std::mem::swap(&mut depths, &mut temp_depths); + std::mem::swap(&mut indices, &mut temp_indices); + } + + unsafe { reorder_attributes_simd(data, &indices) }; + Ok(()) +} + +#[target_feature(enable = "simd128")] +unsafe fn count_frequencies_simd(depths: &[i32], shift: u32, counts: &mut [u32; 256]) { + unsafe { + let mask = i32x4_splat(0xFF); + + for chunk in depths.chunks_exact(4) { + let values = v128_load(chunk.as_ptr() as *const v128); + let shifted = i32x4_shr(values, shift); + let bytes = v128_and(shifted as v128, mask); + + let mut result = [0i32; 4]; + v128_store(result.as_mut_ptr() as *mut v128, bytes); + + for &value in &result { + counts[value as usize] += 1; + } + } + } + + for &depth in depths.chunks_exact(4).remainder() { + let byte = ((depth >> shift) & 0xFF) as usize; + counts[byte] += 1; + } +} + +#[target_feature(enable = "simd128")] +unsafe fn scatter_elements_simd( + depths: &[i32], + indices: &[u32], + shift: u32, + counts: &[u32; 256], + temp_depths: &mut [i32], + temp_indices: &mut [u32], +) { + let mut offsets = counts.to_owned(); + + for (&depth, &index) in depths.iter().zip(indices.iter()) { + let byte = ((depth >> shift) & 0xFF) as usize; + let pos = offsets[byte] as usize; + + temp_depths[pos] = depth; + temp_indices[pos] = index; + + offsets[byte] += 1; + } +} + +#[wasm_bindgen] +pub fn radix_sort_gaussians_attrs( + positions: &Float32Array, + scales: &Float32Array, + rotations: &Float32Array, + colors: &Uint8Array, + model_view: &Float32Array, + count: usize, +) -> Result { + if positions.length() as usize != count * 3 + || scales.length() as usize != count * 3 + || rotations.length() as usize != count * 4 + || colors.length() as usize != count * 4 + || model_view.length() != 16 { + return Err(JsValue::from_str("Invalid array lengths")); + } + + //set capacity first + let positions_vec = positions.to_vec(); + let model_view_vec = model_view.to_vec(); + + let mut depth_values = vec![0i32; count]; + let mut max_depth = f32::NEG_INFINITY; + let mut min_depth = f32::INFINITY; + + for i in 0..count { + let depth = positions_vec[i * 3] * model_view_vec[2] + + positions_vec[i * 3 + 1] * model_view_vec[6] + + positions_vec[i * 3 + 2] * model_view_vec[10]; + + let depth_int = (depth * 4096.0) as i32; + depth_values[i] = depth_int; + max_depth = max_depth.max(depth_int as f32); + min_depth = min_depth.min(depth_int as f32); + } + + let depth_offset = (-min_depth) as i32; + for depth in depth_values.iter_mut() { + *depth += depth_offset; + } + + let mut indices: Vec = (0..count as u32).collect(); + let mut temp_depths = vec![0i32; count]; + let mut temp_indices = vec![0u32; count]; + + for shift in (0..32).step_by(8) { + let mut counts = [0u32; 256]; + + for &depth in depth_values.iter() { + let byte = ((depth >> shift) & 0xFF) as usize; + counts[byte] += 1; + } + + let mut total = 0; + for count in counts.iter_mut() { + let current = *count; + *count = total; + total += current; + } + + for i in 0..count { + let byte = ((depth_values[i] >> shift) & 0xFF) as usize; + let pos = counts[byte] as usize; + counts[byte] += 1; + + temp_depths[pos] = depth_values[i]; + temp_indices[pos] = indices[i]; + } + + depth_values.copy_from_slice(&temp_depths); + indices.copy_from_slice(&temp_indices); + } + + let mut new_positions: Vec = vec![0.0; count * 3]; + let mut new_scales: Vec = vec![0.0; count * 3]; + let mut new_rotations: Vec = vec![0.0; count * 4]; + let mut new_colors: Vec = vec![0; count * 4]; + + let scales_vec = scales.to_vec(); + let rotations_vec = rotations.to_vec(); + let colors_vec = colors.to_vec(); + + for i in 0..count { + let j = indices[i] as usize; + + new_positions[i * 3] = positions_vec[j * 3]; + new_positions[i * 3 + 1] = positions_vec[j * 3 + 1]; + new_positions[i * 3 + 2] = positions_vec[j * 3 + 2]; + + new_scales[i * 3] = scales_vec[j * 3]; + new_scales[i * 3 + 1] = scales_vec[j * 3 + 1]; + new_scales[i * 3 + 2] = scales_vec[j * 3 + 2]; + + new_rotations[i * 4] = rotations_vec[j * 4]; + new_rotations[i * 4 + 1] = rotations_vec[j * 4 + 1]; + new_rotations[i * 4 + 2] = rotations_vec[j * 4 + 2]; + new_rotations[i * 4 + 3] = rotations_vec[j * 4 + 3]; + + new_colors[i * 4] = colors_vec[j * 4]; + new_colors[i * 4 + 1] = colors_vec[j * 4 + 1]; + new_colors[i * 4 + 2] = colors_vec[j * 4 + 2]; + new_colors[i * 4 + 3] = colors_vec[j * 4 + 3]; + } + + let new_positions_array = Float32Array::new_with_length(count as u32 * 3); + new_positions_array.copy_from(&new_positions[..]); + + let new_scales_array = Float32Array::new_with_length(count as u32 * 3); + new_scales_array.copy_from(&new_scales[..]); + + let new_rotations_array = Float32Array::new_with_length(count as u32 * 4); + new_rotations_array.copy_from(&new_rotations[..]); + + let new_colors_array = Uint8Array::new_with_length(count as u32 * 4); + new_colors_array.copy_from(&new_colors[..]); + + let result = js_sys::Array::new(); + result.push(&new_positions_array); + result.push(&new_scales_array); + result.push(&new_rotations_array); + result.push(&new_colors_array); + + Ok(result) +} + + +#[wasm_bindgen] +pub fn radix_sort_gaussians_indexes( + positions: &Float32Array, + model_view: &Float32Array, + texture_width: u32, + count: usize, +) -> Result { + if positions.length() as usize != count * 3 { + return Err(JsValue::from_str("Invalid positions length")); + } + if model_view.length() != 16 { + return Err(JsValue::from_str("Invalid model_view length")); + } + + let positions_vec = positions.to_vec(); + let model_view_vec = model_view.to_vec(); + let mut depth_values = vec![0i32; count]; + let mut max_depth = f32::NEG_INFINITY; + let mut min_depth = f32::INFINITY; + + for i in 0..count { + let depth = positions_vec[i * 3] * model_view_vec[2] + + positions_vec[i * 3 + 1] * model_view_vec[6] + + positions_vec[i * 3 + 2] * model_view_vec[10]; + + let depth_int = (depth * 4096.0) as i32; + depth_values[i] = depth_int; + max_depth = max_depth.max(depth_int as f32); + min_depth = min_depth.min(depth_int as f32); + } + + let depth_offset = (-min_depth) as i32; + for depth in depth_values.iter_mut() { + *depth += depth_offset; + } + + let mut indices: Vec = (0..count as u32).collect(); + let mut temp_depths = vec![0i32; count]; + let mut temp_indices = vec![0u32; count]; + + for shift in (0..32).step_by(8) { + let mut counts = [0u32; 256]; + + for &depth in depth_values.iter() { + let byte = ((depth >> shift) & 0xFF) as usize; + counts[byte] += 1; + } + + let mut total = 0; + for count in counts.iter_mut() { + let current = *count; + *count = total; + total += current; + } + + for i in 0..count { + let byte = ((depth_values[i] >> shift) & 0xFF) as usize; + let pos = counts[byte] as usize; + counts[byte] += 1; + + temp_depths[pos] = depth_values[i]; + temp_indices[pos] = indices[i]; + } + + depth_values.copy_from_slice(&temp_depths); + indices.copy_from_slice(&temp_indices); + } + + let indices_array = Uint32Array::new_with_length(count as u32); + indices_array.copy_from(&indices); + + Ok(indices_array) +} + +#[wasm_bindgen] +pub fn radix_sort_gaussians_indexes_simd( + positions: &Float32Array, + model_view: &Float32Array, + texture_width: u32, + count: usize, +) -> Result { + if positions.length() as usize != count * 3 || model_view.length() != 16 { + return Err(JsValue::from_str("Invalid input lengths")); + } + + let positions_vec = positions.to_vec(); + let mv = model_view.to_vec(); + + // Convert positions to SIMD vectors + let mv_row = v128_load(&[mv[2], mv[6], mv[10], 0.0]); + let mut depth_values = vec![0i32; count]; + let mut max_depth = f32::NEG_INFINITY; + let mut min_depth = f32::INFINITY; + + // Process 4 points at a time + for chunk in (0..count).step_by(4) { + let remaining = count - chunk; + if remaining >= 4 { + let pos0 = v128_load(&positions_vec[chunk * 3..]); + let pos1 = v128_load(&positions_vec[chunk * 3 + 4..]); + let pos2 = v128_load(&positions_vec[chunk * 3 + 8..]); + + // Compute depths using SIMD dot product + let depths = f32x4_dot_product( + v128_shuffle::<0, 1, 2, 2>(pos0, pos1), + mv_row + ); + + // Convert to fixed point and store + let depth_ints = f32x4_convert_to_i32x4(f32x4_mul(depths, f32x4_splat(4096.0))); + depth_values[chunk..chunk + 4].copy_from_slice(&i32x4_extract_values(depth_ints)); + + // Update min/max using SIMD + max_depth = f32x4_extract_lane::<0>(f32x4_max(f32x4_splat(max_depth), depths)); + min_depth = f32x4_extract_lane::<0>(f32x4_min(f32x4_splat(min_depth), depths)); + } else { + // Handle remaining points sequentially + for i in chunk..count { + let depth = positions_vec[i * 3] * mv[2] + + positions_vec[i * 3 + 1] * mv[6] + + positions_vec[i * 3 + 2] * mv[10]; + depth_values[i] = (depth * 4096.0) as i32; + max_depth = max_depth.max(depth); + min_depth = min_depth.min(depth); + } + } + } + + let depth_offset = (-min_depth * 4096.0) as i32; + for depth in depth_values.iter_mut() { + *depth += depth_offset; + } + + let mut indices: Vec = (0..count as u32).collect(); + let mut temp_depths = vec![0i32; count]; + let mut temp_indices = vec![0u32; count]; + + for shift in (0..32).step_by(8) { + let mut counts = [0u32; 256]; + + for chunk in depth_values.chunks(4) { + let depths = if chunk.len() == 4 { + i32x4_load(chunk) + } else { + let mut padded = [0i32; 4]; + padded[..chunk.len()].copy_from_slice(chunk); + i32x4_load(&padded) + }; + + // let bytes = i32x4_shr(depths, i32x4_splat(shift)); + // let masked = v128_and(bytes, i32x4_splat(0xFF)); + + // i8x16_extract_lane::<0>() + // let b1 = i32x4_extract_lane::<0>(masked) & 0xFF; + // let b2 = i32x4_extract_lane::<0>(masked) & 0xFF; + // let b3 = i32x4_extract_lane::<0>(masked) & 0xFF; + // let b4 = i32x4_extract_lane::<0>(masked) & 0xFF; + // counts[b1] += 1; + // counts[b2] += 1; + // counts[b3] += 1; + // counts[b4] += 1; + // for i in 0..chunk.len() { + // let byte = i32x4_extract_lane::<0>(i32x4_shuffle::(masked, masked)) as usize; + // counts[byte] += 1; + // } + } + + let mut total = 0; + for count in counts.iter_mut() { + let current = *count; + *count = total; + total += current; + } + + for i in 0..count { + let byte = ((depth_values[i] >> shift) & 0xFF) as usize; + let pos = counts[byte] as usize; + counts[byte] += 1; + + temp_depths[pos] = depth_values[i]; + temp_indices[pos] = indices[i]; + } + + depth_values.copy_from_slice(&temp_depths); + indices.copy_from_slice(&temp_indices); + } + + let indices_array = Uint32Array::new_with_length(count as u32); + indices_array.copy_from(&indices); + Ok(indices_array) +} + +#[inline] +fn v128_load(slice: &[f32]) -> v128 { + unsafe { v128_load(slice.as_ptr() as *const v128) } +} + +#[inline] +fn i32x4_load(slice: &[i32]) -> v128 { + unsafe { v128_load(slice.as_ptr() as *const v128) } +} + +#[inline] +fn f32x4_dot_product(a: v128, b: v128) -> v128 { + unsafe { + let mul = f32x4_mul(a, b); + f32x4_add( + f32x4_add( + f32x4_extract_lane::<0>(mul), + f32x4_extract_lane::<1>(mul) + ), + f32x4_add( + f32x4_extract_lane::<2>(mul), + f32x4_extract_lane::<3>(mul) + ) + ) + } +} + +#[inline] +fn i32x4_extract_values(v: v128) -> [i32; 4] { + unsafe { + [ + i32x4_extract_lane::<0>(v), + i32x4_extract_lane::<1>(v), + i32x4_extract_lane::<2>(v), + i32x4_extract_lane::<3>(v) + ] + } +} + +#[wasm_bindgen(js_name = "GaussianSorter")] +pub struct GaussianSorter { + indices: Vec, + temp_indices: Vec, + depth_values: Vec, + counts: [u32; 256], + temp_positions: Vec, + temp_scales: Vec, + temp_rotations: Vec, + temp_colors: Vec, +} + +#[wasm_bindgen(js_class = "GaussianSorter")] +impl GaussianSorter { + #[wasm_bindgen(constructor)] + pub fn new(max_count: usize) -> Self { + Self { + indices: Vec::with_capacity(max_count), + temp_indices: vec![0u32; max_count], + depth_values: vec![0i32; max_count], + counts: [0u32; 256], + temp_positions: vec![0.0; max_count * 3], + temp_scales: vec![0.0; max_count * 3], + temp_rotations: vec![0.0; max_count * 4], + temp_colors: vec![0; max_count * 4], + } + } + + //"in-place" attempt, memory buffer is in the wrong context + #[wasm_bindgen(js_name = "sortGaussians")] + pub fn sort_gaussians( + &mut self, + memory_buffer: &[u8], + positions_offset: u32, + scales_offset: u32, + rotations_offset: u32, + colors_offset: u32, + model_view: &[f32], + count: usize, + ) -> Result<(), JsValue> { + let positions = unsafe { std::slice::from_raw_parts( + memory_buffer.as_ptr().add(positions_offset as usize) as *const f32, + count * 3 + )}; + let scales = unsafe { std::slice::from_raw_parts( + memory_buffer.as_ptr().add(scales_offset as usize) as *const f32, + count * 3 + )}; + let rotations = unsafe { std::slice::from_raw_parts( + memory_buffer.as_ptr().add(rotations_offset as usize) as *const f32, + count * 4 + )}; + let colors = unsafe { std::slice::from_raw_parts( + memory_buffer.as_ptr().add(colors_offset as usize) as *const u8, + count * 4 + )}; + + let mv2 = model_view[2]; + let mv6 = model_view[6]; + let mv10 = model_view[10]; + let mv14 = model_view[14]; + + let mut max_depth = f32::NEG_INFINITY; + let mut min_depth = f32::INFINITY; + + for i in 0..count { + let x = positions[i * 3]; + let y = positions[i * 3 + 1]; + let z = positions[i * 3 + 2]; + + let depth = x * mv2 + y * mv6 + z * mv10 + mv14; + let depth_int = (depth * 4096.0) as i32; + self.depth_values[i] = depth_int; + max_depth = max_depth.max(depth_int as f32); + min_depth = min_depth.min(depth_int as f32); + } + + self.indices.clear(); + self.indices.extend(0..count as u32); + + for shift in (0..32).step_by(8) { + self.counts.fill(0); + + for &depth in self.depth_values.iter().take(count) { + let byte = ((depth >> shift) & 0xFF) as usize; + self.counts[byte] += 1; + } + + let mut total = 0; + for count in self.counts.iter_mut() { + let current = *count; + *count = total; + total += current; + } + + for i in 0..count { + let byte = ((self.depth_values[i] >> shift) & 0xFF) as usize; + let pos = self.counts[byte] as usize; + self.counts[byte] += 1; + self.temp_indices[pos] = self.indices[i]; + } + + self.indices[..count].copy_from_slice(&self.temp_indices[..count]); + } + + for i in 0..count { + let j = self.indices[i] as usize; + self.temp_positions[i * 3..(i + 1) * 3].copy_from_slice(&positions[j * 3..(j + 1) * 3]); + self.temp_scales[i * 3..(i + 1) * 3].copy_from_slice(&scales[j * 3..(j + 1) * 3]); + self.temp_rotations[i * 4..(i + 1) * 4].copy_from_slice(&rotations[j * 4..(j + 1) * 4]); + self.temp_colors[i * 4..(i + 1) * 4].copy_from_slice(&colors[j * 4..(j + 1) * 4]); + } + + let positions_out = unsafe { std::slice::from_raw_parts_mut( + memory_buffer.as_ptr().add(positions_offset as usize) as *mut f32, + count * 3 + )}; + let scales_out = unsafe { std::slice::from_raw_parts_mut( + memory_buffer.as_ptr().add(scales_offset as usize) as *mut f32, + count * 3 + )}; + let rotations_out = unsafe { std::slice::from_raw_parts_mut( + memory_buffer.as_ptr().add(rotations_offset as usize) as *mut f32, + count * 4 + )}; + let colors_out = unsafe { std::slice::from_raw_parts_mut( + memory_buffer.as_ptr().add(colors_offset as usize) as *mut u8, + count * 4 + )}; + + positions_out.copy_from_slice(&self.temp_positions[..count * 3]); + scales_out.copy_from_slice(&self.temp_scales[..count * 3]); + rotations_out.copy_from_slice(&self.temp_rotations[..count * 4]); + colors_out.copy_from_slice(&self.temp_colors[..count * 4]); + + Ok(()) + } +} \ No newline at end of file diff --git a/temp_wasm/cesiumjs-gsplat-utils/src/textureGen.rs b/temp_wasm/cesiumjs-gsplat-utils/src/textureGen.rs new file mode 100644 index 000000000000..e1ab9920f2bc --- /dev/null +++ b/temp_wasm/cesiumjs-gsplat-utils/src/textureGen.rs @@ -0,0 +1,172 @@ +use wasm_bindgen::prelude::*; +use std::mem; +use js_sys::{Float32Array, Uint8Array, Uint32Array, Array}; +use web_sys::console::*; + +#[wasm_bindgen] +pub struct TextureData { + + data: Vec, + width: u32, + height: u32, +} + +#[wasm_bindgen] +impl TextureData { + #[wasm_bindgen(getter)] + pub fn data(&self) -> Vec { + self.data.clone() + } + + #[wasm_bindgen(getter)] + pub fn width(&self) -> u32 { + self.width + } + + #[wasm_bindgen(getter)] + pub fn height(&self) -> u32 { + self.height + } + + pub fn new(data: Vec, width: u32, height: u32) -> Self { + TextureData { + data, + width, + height + } + } +} + +//Algorithm from ILM +//https://github.com/mitsuba-renderer/openexr/blob/master/IlmBase/Half/half.cpp +fn float_to_half(f: f32) -> i16 { + let f_int = f.to_bits() as i32; + let sign = (f_int >> 16) & 0x00008000; + let mut exp = ((f_int >> 23) & 0x000000ff) - (127 - 15); + let mut frac = f_int & 0x007fffff; + + if exp <= 0 { + if exp < -10 { + return sign as i16; + } + + frac = frac | 0x00800000; + + let t = 14 - exp; + let a = (1 << (t - 1)) - 1; + let b = (frac >> t) & 1; + + frac = (frac + a + b) >> t; + return (sign | frac) as i16; + } else if exp == 0xff - (127 - 15) { + if frac == 0 { + return (sign | 0x7c00) as i16; + } else { + frac >>= 13; + return (sign | 0x7c00 | frac | ((frac == 0) as i32)) as i16; + } + } + + frac = frac + 0x00000fff + ((frac >> 13) & 1); + + if frac & 0x00800000 != 0 { + frac = 0; + exp += 1; + } + + if exp > 30 { + //the original algo sets cpu overflow here + return (sign | 0x7c00) as i16; + } + (sign | (exp << 10) | (frac >> 13)) as i16 +} + +#[wasm_bindgen] +pub fn generate_texture_from_attrs( + positions: &Float32Array, + scales: &Float32Array, + rots: &Float32Array, + colors: &Uint8Array, + count: usize +) -> Result { + let tex_width = 2048; + let tex_height = ((2 * count) as f32 / tex_width as f32).ceil() as u32; + let mut tex_data = vec![0u32; (tex_width * tex_height * 4) as usize]; + + let tex_data_c = unsafe { + std::slice::from_raw_parts_mut( + tex_data.as_mut_ptr() as *mut u8, + tex_data.len() * 4, + ) + }; + + let tex_data_f = unsafe { + std::slice::from_raw_parts_mut( + tex_data.as_mut_ptr() as *mut f32, + tex_data.len(), + ) + }; + + let rotv: Vec = rots.to_vec(); + let posv: Vec = positions.to_vec(); + let clrv: Vec = colors.to_vec(); + let sclv: Vec = scales.to_vec(); + + for i in 0..count { + tex_data_f[8 * i + 0] = posv[3 * i + 0]; + tex_data_f[8 * i + 1] = posv[3 * i + 1]; + tex_data_f[8 * i + 2] = posv[3 * i + 2]; + + //u8 offsets + tex_data_c[4 * (8 * i + 7) + 0] = clrv[4 * i + 0]; + tex_data_c[4 * (8 * i + 7) + 1] = clrv[4 * i + 1]; + tex_data_c[4 * (8 * i + 7) + 2] = clrv[4 * i + 2]; + tex_data_c[4 * (8 * i + 7) + 3] = clrv[4 * i + 3]; + + let r = rotv[4*i+3]; + let x = rotv[4*i+0]; + let y = rotv[4*i+1]; + let z = rotv[4*i+2]; + let r_matrix = [ + 1.0 - 2.0 * (y * y + z * z), + 2.0 * (x * y + r * z), + 2.0 * (x * z - r * y), + + 2.0 * (x * y - r * z), + 1.0 - 2.0 * (x * x + z * z), + 2.0 * (y * z + r * x), + + 2.0 * (x * z + r * y), + 2.0 * (y * z - r * x), + 1.0 - 2.0 * (x * x + y * y), + ]; + + // S * R multiplication + let s0 = 3 * i + 0; + let s1 = 3 * i + 1; + let s2 = 3 * i + 2; + + let m = [ + r_matrix[0] * sclv[s0], r_matrix[1] * sclv[s0], r_matrix[2] * sclv[s0], + r_matrix[3] * sclv[s1], r_matrix[4] * sclv[s1], r_matrix[5] * sclv[s1], + r_matrix[6] * sclv[s2], r_matrix[7] * sclv[s2], r_matrix[8] * sclv[s2], + ]; + let sigma = [ + m[0] * m[0] + m[3] * m[3] + m[6] * m[6], + m[0] * m[1] + m[3] * m[4] + m[6] * m[7], + m[0] * m[2] + m[3] * m[5] + m[6] * m[8], + m[1] * m[1] + m[4] * m[4] + m[7] * m[7], + m[1] * m[2] + m[4] * m[5] + m[7] * m[8], + m[2] * m[2] + m[5] * m[5] + m[8] * m[8], + ]; + tex_data[8 * i + 4] = ( float_to_half(4.0 * sigma[0]) as u32 & 0xFFFF) | ((float_to_half(4.0 * sigma[1]) as u32 & 0xFFFF) << 16); + tex_data[8 * i + 5] = (float_to_half(4.0 * sigma[2]) as u32 & 0xFFFF) | ((float_to_half(4.0 * sigma[3]) as u32 & 0xFFFF) << 16); + tex_data[8 * i + 6] = (float_to_half(4.0 * sigma[4]) as u32 & 0xFFFF) | ((float_to_half(4.0 * sigma[5]) as u32 & 0xFFFF) << 16); + } + + Ok(TextureData { + data: tex_data, + width: tex_width, + height: tex_height, + }) +} \ No newline at end of file diff --git a/temp_wasm/cesiumjs-gsplat-utils/src/textureGen_simd.rs b/temp_wasm/cesiumjs-gsplat-utils/src/textureGen_simd.rs new file mode 100644 index 000000000000..845430f2652b --- /dev/null +++ b/temp_wasm/cesiumjs-gsplat-utils/src/textureGen_simd.rs @@ -0,0 +1,202 @@ +#![feature(stdsimd)] + +use wasm_bindgen::prelude::*; +use core::arch::wasm32::*; +use std::mem; + +use crate::textureGen::TextureData; + +// Enable SIMD at the crate level +#[cfg(target_arch = "wasm32")] +#[cfg(target_feature = "simd128")] + +// #[wasm_bindgen] +// pub struct TextureData { +// data: Vec, +// width: u32, +// height: u32, +// } + +#[wasm_bindgen] +impl TextureData { + #[wasm_bindgen(getter)] + pub fn data(&self) -> Vec { + self.data.clone() + } + + #[wasm_bindgen(getter)] + pub fn width(&self) -> u32 { + self.width + } + + #[wasm_bindgen(getter)] + pub fn height(&self) -> u32 { + self.height + } +} + +// SIMD optimized version of pack_half_2x16 +#[inline] +unsafe fn pack_half_2x16_simd(a: v128, b: v128) -> v128 { + // Convert f32x4 to i32x4 with rounding + let a_int = i32x4_trunc_sat_f32x4(a); + let b_int = i32x4_trunc_sat_f32x4(b); + + // Shift and pack + let packed = v128_and( + v128_or( + i32x4_shl(a_int, 16), + v128_and(b_int as v128, u32x4_splat(0xFFFF)) + ), + u32x4_splat(0xFFFFFFFF) + ); + + packed +} + + +#[inline] +unsafe fn matrix_multiply_simd(rot: &[f32; 4], scale: &[f32; 3]) -> [v128; 3] { + let quat = v128_load(rot.as_ptr() as *const v128); + let scale_vec = v128_load(scale.as_ptr() as *const v128); + + let q_squared = f32x4_mul(quat, quat); + let two = f32x4_splat(2.0); + let one = f32x4_splat(1.0); + + // First row of rotation matrix + let sum_yz0 = f32x4_add( + f32x4_replace_lane::<0>(f32x4_splat(0.0), f32x4_extract_lane::<1>(q_squared)), // yΒ² + f32x4_replace_lane::<0>(f32x4_splat(0.0), f32x4_extract_lane::<2>(q_squared)) // zΒ² + ); + let row0 = f32x4_sub(one, f32x4_mul(two, sum_yz0)); + + // Second row - 2(xy + wz) + let xy = f32x4_mul( + f32x4_replace_lane::<0>(f32x4_splat(0.0), f32x4_extract_lane::<0>(quat)), // x + f32x4_replace_lane::<0>(f32x4_splat(0.0), f32x4_extract_lane::<1>(quat)) // y + ); + let wz = f32x4_mul( + f32x4_replace_lane::<0>(f32x4_splat(0.0), f32x4_extract_lane::<3>(quat)), // w + f32x4_replace_lane::<0>(f32x4_splat(0.0), f32x4_extract_lane::<2>(quat)) // z + ); + let row1 = f32x4_mul( + two, + f32x4_add(xy, wz) + ); + + // Third row - 2(xz - wy) + let xz = f32x4_mul( + f32x4_replace_lane::<0>(f32x4_splat(0.0), f32x4_extract_lane::<0>(quat)), // x + f32x4_replace_lane::<0>(f32x4_splat(0.0), f32x4_extract_lane::<2>(quat)) // z + ); + let wy = f32x4_mul( + f32x4_replace_lane::<0>(f32x4_splat(0.0), f32x4_extract_lane::<3>(quat)), // w + f32x4_replace_lane::<0>(f32x4_splat(0.0), f32x4_extract_lane::<1>(quat)) // y + ); + let row2 = f32x4_mul( + two, + f32x4_sub(xz, wy) + ); + + // Scale the row + let scaled_row0 = f32x4_mul(row0, f32x4_splat(f32x4_extract_lane::<0>(scale_vec))); + let scaled_row1 = f32x4_mul(row1, f32x4_splat(f32x4_extract_lane::<1>(scale_vec))); + let scaled_row2 = f32x4_mul(row2, f32x4_splat(f32x4_extract_lane::<2>(scale_vec))); + [scaled_row0, scaled_row1, scaled_row2] +} + +#[wasm_bindgen] +#[target_feature(enable = "simd128")] +pub unsafe fn generate_texture_simd( + buffer: &[u8], + vertex_count: usize, +) -> Result { + let f_buffer = std::slice::from_raw_parts( + buffer.as_ptr() as *const f32, + buffer.len() / 4, + ); + + let tex_width = 1024 * 2; + let tex_height = ((2 * vertex_count) as f32 / tex_width as f32).ceil() as u32; + let mut tex_data = vec![0u32; (tex_width * tex_height * 4) as usize]; + + let tex_data_c = std::slice::from_raw_parts_mut( + tex_data.as_mut_ptr() as *mut u8, + tex_data.len() * 4, + ); + + let tex_data_f = std::slice::from_raw_parts_mut( + tex_data.as_mut_ptr() as *mut f32, + tex_data.len(), + ); + + // Process vertices in SIMD-friendly chunks where possible + for i in 0..vertex_count { + let pos = v128_load(&f_buffer[8 * i] as *const f32 as *const v128); + v128_store( + &mut tex_data_f[8 * i] as *mut f32 as *mut v128, + pos + ); + + let color_offset = 32 * i + 24; + let color = v128_load32_zero(buffer[color_offset..].as_ptr() as *const u32); + v128_store( + tex_data_c[4 * (8 * i + 7)..].as_ptr() as *mut v128, + color + ); + + let scale = [ + f_buffer[8 * i + 3], + f_buffer[8 * i + 4], + f_buffer[8 * i + 5], + ]; + + let rot = [ + (buffer[32 * i + 28] as f32 - 128.0) / 128.0, + (buffer[32 * i + 29] as f32 - 128.0) / 128.0, + (buffer[32 * i + 30] as f32 - 128.0) / 128.0, + (buffer[32 * i + 31] as f32 - 128.0) / 128.0, + ]; + + let m_rows = matrix_multiply_simd(&rot, &scale); + let sigma0 = f32x4_add( + f32x4_add( + f32x4_mul(m_rows[0], m_rows[0]), + f32x4_mul(m_rows[1], m_rows[1]) + ), + f32x4_mul(m_rows[2], m_rows[2]) + ); + + let sigma1 = f32x4_add( + f32x4_add( + f32x4_mul(m_rows[0], i8x16_shuffle::<4,8,12,0,4,8,12,0,4,8,12,0,4,8,12,0>( + m_rows[0], m_rows[0] + )), + f32x4_mul(m_rows[1], i8x16_shuffle::<4,8,12,0,4,8,12,0,4,8,12,0,4,8,12,0>( + m_rows[1], m_rows[1] + )) + ), + f32x4_mul(m_rows[2], i8x16_shuffle::<4,8,12,0,4,8,12,0,4,8,12,0,4,8,12,0>( + m_rows[2], m_rows[2] + )) + ); + + // Pack results + let four = f32x4_splat(4.0); + let sigma0_scaled = f32x4_mul(sigma0, four); + let sigma1_scaled = f32x4_mul(sigma1, four); + + let packed = pack_half_2x16_simd(sigma0_scaled, sigma1_scaled); + v128_store( + &mut tex_data[8 * i + 4] as *mut u32 as *mut v128, + packed + ); + } + + Ok(TextureData::new( + tex_data, + tex_width, + tex_height, + )) +} \ No newline at end of file diff --git a/temp_wasm/cesiumjs-gsplat-utils/src/utils.rs b/temp_wasm/cesiumjs-gsplat-utils/src/utils.rs new file mode 100644 index 000000000000..b1d7929dc9c4 --- /dev/null +++ b/temp_wasm/cesiumjs-gsplat-utils/src/utils.rs @@ -0,0 +1,10 @@ +pub fn set_panic_hook() { + // When the `console_error_panic_hook` feature is enabled, we can call the + // `set_panic_hook` function at least once during initialization, and then + // we will get better error messages if our code ever panics. + // + // For more details see + // https://github.com/rustwasm/console_error_panic_hook#readme + #[cfg(feature = "console_error_panic_hook")] + console_error_panic_hook::set_once(); +} diff --git a/temp_wasm/cesiumjs-gsplat-utils/tests/web.rs b/temp_wasm/cesiumjs-gsplat-utils/tests/web.rs new file mode 100644 index 000000000000..578bbb7ce5e4 --- /dev/null +++ b/temp_wasm/cesiumjs-gsplat-utils/tests/web.rs @@ -0,0 +1,21 @@ +//! Test suite for the Web and headless browsers. + +#![cfg(target_arch = "wasm32")] + +extern crate wasm_bindgen_test; +use wasm_bindgen_test::*; + +wasm_bindgen_test_configure!(run_in_browser); + +#[wasm_bindgen_test] +fn pass() { + assert_eq!(1 + 1, 2); +} + +#[wasm_bindgen_test] +fn testTexture() { + let buffer: u8[]; + generate_splat_texture( + + ) +} \ No newline at end of file From 1991389b62074c449d1944114a670e557d62d56c Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Fri, 22 Nov 2024 15:43:11 -0600 Subject: [PATCH 42/97] missing files --- temp_wasm/cesiumjs-gsplat-utils/.gitignore | 2 +- temp_wasm/cesiumjs-gsplat-utils/pkg/README.md | 84 +++ .../pkg/cesiumjs_gsplat_utils.d.ts | 164 +++++ .../pkg/cesiumjs_gsplat_utils.js | 578 ++++++++++++++++++ .../pkg/cesiumjs_gsplat_utils_bg.js | 380 ++++++++++++ .../pkg/cesiumjs_gsplat_utils_bg.wasm | Bin 0 -> 45001 bytes .../pkg/cesiumjs_gsplat_utils_bg.wasm.d.ts | 30 + .../cesiumjs-gsplat-utils/pkg/package.json | 18 + 8 files changed, 1255 insertions(+), 1 deletion(-) create mode 100644 temp_wasm/cesiumjs-gsplat-utils/pkg/README.md create mode 100644 temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils.d.ts create mode 100644 temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils.js create mode 100644 temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils_bg.js create mode 100644 temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils_bg.wasm create mode 100644 temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils_bg.wasm.d.ts create mode 100644 temp_wasm/cesiumjs-gsplat-utils/pkg/package.json diff --git a/temp_wasm/cesiumjs-gsplat-utils/.gitignore b/temp_wasm/cesiumjs-gsplat-utils/.gitignore index 4e301317e55e..8d60eedca108 100644 --- a/temp_wasm/cesiumjs-gsplat-utils/.gitignore +++ b/temp_wasm/cesiumjs-gsplat-utils/.gitignore @@ -2,5 +2,5 @@ **/*.rs.bk Cargo.lock bin/ -pkg/ + wasm-pack.log diff --git a/temp_wasm/cesiumjs-gsplat-utils/pkg/README.md b/temp_wasm/cesiumjs-gsplat-utils/pkg/README.md new file mode 100644 index 000000000000..6b684085003f --- /dev/null +++ b/temp_wasm/cesiumjs-gsplat-utils/pkg/README.md @@ -0,0 +1,84 @@ +
+ +

wasm-pack-template

+ + A template for kick starting a Rust and WebAssembly project using wasm-pack. + +

+ Build Status +

+ +

+ Tutorial + | + Chat +

+ + Built with πŸ¦€πŸ•Έ by The Rust and WebAssembly Working Group +
+ +## About + +[**πŸ“š Read this template tutorial! πŸ“š**][template-docs] + +This template is designed for compiling Rust libraries into WebAssembly and +publishing the resulting package to NPM. + +Be sure to check out [other `wasm-pack` tutorials online][tutorials] for other +templates and usages of `wasm-pack`. + +[tutorials]: https://rustwasm.github.io/docs/wasm-pack/tutorials/index.html +[template-docs]: https://rustwasm.github.io/docs/wasm-pack/tutorials/npm-browser-packages/index.html + +## 🚴 Usage + +### πŸ‘ Use `cargo generate` to Clone this Template + +[Learn more about `cargo generate` here.](https://github.com/ashleygwilliams/cargo-generate) + +``` +cargo generate --git https://github.com/rustwasm/wasm-pack-template.git --name my-project +cd my-project +``` + +### πŸ› οΈ Build with `wasm-pack build` + +``` +wasm-pack build +``` + +### πŸ”¬ Test in Headless Browsers with `wasm-pack test` + +``` +wasm-pack test --headless --firefox +``` + +### 🎁 Publish to NPM with `wasm-pack publish` + +``` +wasm-pack publish +``` + +## πŸ”‹ Batteries Included + +* [`wasm-bindgen`](https://github.com/rustwasm/wasm-bindgen) for communicating + between WebAssembly and JavaScript. +* [`console_error_panic_hook`](https://github.com/rustwasm/console_error_panic_hook) + for logging panic messages to the developer console. +* `LICENSE-APACHE` and `LICENSE-MIT`: most Rust projects are licensed this way, so these are included for you + +## License + +Licensed under either of + +* Apache License, Version 2.0, ([LICENSE-APACHE](LICENSE-APACHE) or http://www.apache.org/licenses/LICENSE-2.0) +* MIT license ([LICENSE-MIT](LICENSE-MIT) or http://opensource.org/licenses/MIT) + +at your option. + +### Contribution + +Unless you explicitly state otherwise, any contribution intentionally +submitted for inclusion in the work by you, as defined in the Apache-2.0 +license, shall be dual licensed as above, without any additional terms or +conditions. diff --git a/temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils.d.ts b/temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils.d.ts new file mode 100644 index 000000000000..8dc04217ec97 --- /dev/null +++ b/temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils.d.ts @@ -0,0 +1,164 @@ +/* tslint:disable */ +/* eslint-disable */ +/** + * @param {Float32Array} positions + * @param {Float32Array} scales + * @param {Float32Array} rots + * @param {Uint8Array} colors + * @param {number} count + * @returns {TextureData} + */ +export function generate_texture_from_attrs(positions: Float32Array, scales: Float32Array, rots: Float32Array, colors: Uint8Array, count: number): TextureData; +/** + * @param {GSplatData} data + */ +export function radix_sort_simd(data: GSplatData): void; +/** + * @param {Float32Array} positions + * @param {Float32Array} scales + * @param {Float32Array} rotations + * @param {Uint8Array} colors + * @param {Float32Array} model_view + * @param {number} count + * @returns {Array} + */ +export function radix_sort_gaussians_attrs(positions: Float32Array, scales: Float32Array, rotations: Float32Array, colors: Uint8Array, model_view: Float32Array, count: number): Array; +/** + * @param {Float32Array} positions + * @param {Float32Array} model_view + * @param {number} texture_width + * @param {number} count + * @returns {Uint32Array} + */ +export function radix_sort_gaussians_indexes(positions: Float32Array, model_view: Float32Array, texture_width: number, count: number): Uint32Array; +/** + * @param {Float32Array} positions + * @param {Float32Array} scales + * @param {Float32Array} rotations + * @param {Uint8Array} colors + * @param {number} count + * @returns {object} + */ +export function generate_splat_texture_from_attrs(positions: Float32Array, scales: Float32Array, rotations: Float32Array, colors: Uint8Array, count: number): object; +export class GSplatData { + free(): void; + /** + * @param {Float32Array} positions + * @param {Float32Array} scales + * @param {Float32Array} rotations + * @param {Uint8Array} colors + * @param {Float32Array} model_view + * @param {number} count + */ + constructor(positions: Float32Array, scales: Float32Array, rotations: Float32Array, colors: Uint8Array, model_view: Float32Array, count: number); + /** + * @param {Float32Array} positions + * @param {Float32Array} scales + * @param {Float32Array} rotations + * @param {Uint8Array} colors + * @param {Float32Array} model_view + * @param {number} count + * @returns {GSplatData} + */ + static fromFloat32Arrays(positions: Float32Array, scales: Float32Array, rotations: Float32Array, colors: Uint8Array, model_view: Float32Array, count: number): GSplatData; + /** + * @returns {Float32Array} + */ + getPositions(): Float32Array; + /** + * @returns {Float32Array} + */ + getScales(): Float32Array; + /** + * @returns {Float32Array} + */ + getRotations(): Float32Array; + /** + * @returns {Uint8Array} + */ + getColors(): Uint8Array; +} +export class GaussianSorter { + free(): void; + /** + * @param {number} max_count + */ + constructor(max_count: number); + /** + * @param {Uint8Array} memory_buffer + * @param {number} positions_offset + * @param {number} scales_offset + * @param {number} rotations_offset + * @param {number} colors_offset + * @param {Float32Array} model_view + * @param {number} count + */ + sortGaussians(memory_buffer: Uint8Array, positions_offset: number, scales_offset: number, rotations_offset: number, colors_offset: number, model_view: Float32Array, count: number): void; +} +export class TextureData { + free(): void; + /** + * @param {Uint32Array} data + * @param {number} width + * @param {number} height + * @returns {TextureData} + */ + static new(data: Uint32Array, width: number, height: number): TextureData; + readonly data: Uint32Array; + readonly height: number; + readonly width: number; +} + +export type InitInput = RequestInfo | URL | Response | BufferSource | WebAssembly.Module; + +export interface InitOutput { + readonly memory: WebAssembly.Memory; + readonly __wbg_texturedata_free: (a: number, b: number) => void; + readonly texturedata_data: (a: number) => Array; + readonly texturedata_width: (a: number) => number; + readonly texturedata_height: (a: number) => number; + readonly texturedata_new: (a: number, b: number, c: number, d: number) => number; + readonly generate_texture_from_attrs: (a: number, b: number, c: number, d: number, e: number) => Array; + readonly __wbg_gsplatdata_free: (a: number, b: number) => void; + readonly gsplatdata_new: (a: number, b: number, c: number, d: number, e: number, f: number, g: number, h: number, i: number, j: number, k: number) => number; + readonly gsplatdata_fromFloat32Arrays: (a: number, b: number, c: number, d: number, e: number, f: number) => Array; + readonly gsplatdata_getPositions: (a: number) => number; + readonly gsplatdata_getScales: (a: number) => number; + readonly gsplatdata_getRotations: (a: number) => number; + readonly gsplatdata_getColors: (a: number) => number; + readonly radix_sort_simd: (a: number) => Array; + readonly radix_sort_gaussians_attrs: (a: number, b: number, c: number, d: number, e: number, f: number) => Array; + readonly radix_sort_gaussians_indexes: (a: number, b: number, c: number, d: number) => Array; + readonly __wbg_gaussiansorter_free: (a: number, b: number) => void; + readonly gaussiansorter_new: (a: number) => number; + readonly gaussiansorter_sortGaussians: (a: number, b: number, c: number, d: number, e: number, f: number, g: number, h: number, i: number, j: number) => Array; + readonly generate_splat_texture_from_attrs: (a: number, b: number, c: number, d: number, e: number) => Array; + readonly __wbindgen_export_0: WebAssembly.Table; + readonly __wbindgen_free: (a: number, b: number, c: number) => void; + readonly __wbindgen_malloc: (a: number, b: number) => number; + readonly __externref_table_dealloc: (a: number) => void; + readonly __wbindgen_exn_store: (a: number) => void; + readonly __externref_table_alloc: () => number; + readonly __wbindgen_start: () => void; +} + +export type SyncInitInput = BufferSource | WebAssembly.Module; +/** +* Instantiates the given `module`, which can either be bytes or +* a precompiled `WebAssembly.Module`. +* +* @param {{ module: SyncInitInput }} module - Passing `SyncInitInput` directly is deprecated. +* +* @returns {InitOutput} +*/ +export function initSync(module: { module: SyncInitInput } | SyncInitInput): InitOutput; + +/** +* If `module_or_path` is {RequestInfo} or {URL}, makes a request and +* for everything else, calls `WebAssembly.instantiate` directly. +* +* @param {{ module_or_path: InitInput | Promise }} module_or_path - Passing `InitInput` directly is deprecated. +* +* @returns {Promise} +*/ +export default function __wbg_init (module_or_path?: { module_or_path: InitInput | Promise } | InitInput | Promise): Promise; diff --git a/temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils.js b/temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils.js new file mode 100644 index 000000000000..e5c808bbbe4d --- /dev/null +++ b/temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils.js @@ -0,0 +1,578 @@ +let wasm; + +const cachedTextDecoder = (typeof TextDecoder !== 'undefined' ? new TextDecoder('utf-8', { ignoreBOM: true, fatal: true }) : { decode: () => { throw Error('TextDecoder not available') } } ); + +if (typeof TextDecoder !== 'undefined') { cachedTextDecoder.decode(); }; + +let cachedUint8ArrayMemory0 = null; + +function getUint8ArrayMemory0() { + if (cachedUint8ArrayMemory0 === null || cachedUint8ArrayMemory0.byteLength === 0) { + cachedUint8ArrayMemory0 = new Uint8Array(wasm.memory.buffer); + } + return cachedUint8ArrayMemory0; +} + +function getStringFromWasm0(ptr, len) { + ptr = ptr >>> 0; + return cachedTextDecoder.decode(getUint8ArrayMemory0().subarray(ptr, ptr + len)); +} + +let cachedUint32ArrayMemory0 = null; + +function getUint32ArrayMemory0() { + if (cachedUint32ArrayMemory0 === null || cachedUint32ArrayMemory0.byteLength === 0) { + cachedUint32ArrayMemory0 = new Uint32Array(wasm.memory.buffer); + } + return cachedUint32ArrayMemory0; +} + +function getArrayU32FromWasm0(ptr, len) { + ptr = ptr >>> 0; + return getUint32ArrayMemory0().subarray(ptr / 4, ptr / 4 + len); +} + +let WASM_VECTOR_LEN = 0; + +function passArray32ToWasm0(arg, malloc) { + const ptr = malloc(arg.length * 4, 4) >>> 0; + getUint32ArrayMemory0().set(arg, ptr / 4); + WASM_VECTOR_LEN = arg.length; + return ptr; +} + +function takeFromExternrefTable0(idx) { + const value = wasm.__wbindgen_export_0.get(idx); + wasm.__externref_table_dealloc(idx); + return value; +} +/** + * @param {Float32Array} positions + * @param {Float32Array} scales + * @param {Float32Array} rots + * @param {Uint8Array} colors + * @param {number} count + * @returns {TextureData} + */ +export function generate_texture_from_attrs(positions, scales, rots, colors, count) { + const ret = wasm.generate_texture_from_attrs(positions, scales, rots, colors, count); + if (ret[2]) { + throw takeFromExternrefTable0(ret[1]); + } + return TextureData.__wrap(ret[0]); +} + +let cachedFloat32ArrayMemory0 = null; + +function getFloat32ArrayMemory0() { + if (cachedFloat32ArrayMemory0 === null || cachedFloat32ArrayMemory0.byteLength === 0) { + cachedFloat32ArrayMemory0 = new Float32Array(wasm.memory.buffer); + } + return cachedFloat32ArrayMemory0; +} + +function passArrayF32ToWasm0(arg, malloc) { + const ptr = malloc(arg.length * 4, 4) >>> 0; + getFloat32ArrayMemory0().set(arg, ptr / 4); + WASM_VECTOR_LEN = arg.length; + return ptr; +} + +function passArray8ToWasm0(arg, malloc) { + const ptr = malloc(arg.length * 1, 1) >>> 0; + getUint8ArrayMemory0().set(arg, ptr / 1); + WASM_VECTOR_LEN = arg.length; + return ptr; +} + +function _assertClass(instance, klass) { + if (!(instance instanceof klass)) { + throw new Error(`expected instance of ${klass.name}`); + } + return instance.ptr; +} +/** + * @param {GSplatData} data + */ +export function radix_sort_simd(data) { + _assertClass(data, GSplatData); + const ret = wasm.radix_sort_simd(data.__wbg_ptr); + if (ret[1]) { + throw takeFromExternrefTable0(ret[0]); + } +} + +/** + * @param {Float32Array} positions + * @param {Float32Array} scales + * @param {Float32Array} rotations + * @param {Uint8Array} colors + * @param {Float32Array} model_view + * @param {number} count + * @returns {Array} + */ +export function radix_sort_gaussians_attrs(positions, scales, rotations, colors, model_view, count) { + const ret = wasm.radix_sort_gaussians_attrs(positions, scales, rotations, colors, model_view, count); + if (ret[2]) { + throw takeFromExternrefTable0(ret[1]); + } + return takeFromExternrefTable0(ret[0]); +} + +/** + * @param {Float32Array} positions + * @param {Float32Array} model_view + * @param {number} texture_width + * @param {number} count + * @returns {Uint32Array} + */ +export function radix_sort_gaussians_indexes(positions, model_view, texture_width, count) { + const ret = wasm.radix_sort_gaussians_indexes(positions, model_view, texture_width, count); + if (ret[2]) { + throw takeFromExternrefTable0(ret[1]); + } + return takeFromExternrefTable0(ret[0]); +} + +/** + * @param {Float32Array} positions + * @param {Float32Array} scales + * @param {Float32Array} rotations + * @param {Uint8Array} colors + * @param {number} count + * @returns {object} + */ +export function generate_splat_texture_from_attrs(positions, scales, rotations, colors, count) { + const ret = wasm.generate_splat_texture_from_attrs(positions, scales, rotations, colors, count); + if (ret[2]) { + throw takeFromExternrefTable0(ret[1]); + } + return takeFromExternrefTable0(ret[0]); +} + +function addToExternrefTable0(obj) { + const idx = wasm.__externref_table_alloc(); + wasm.__wbindgen_export_0.set(idx, obj); + return idx; +} + +function handleError(f, args) { + try { + return f.apply(this, args); + } catch (e) { + const idx = addToExternrefTable0(e); + wasm.__wbindgen_exn_store(idx); + } +} + +const GSplatDataFinalization = (typeof FinalizationRegistry === 'undefined') + ? { register: () => {}, unregister: () => {} } + : new FinalizationRegistry(ptr => wasm.__wbg_gsplatdata_free(ptr >>> 0, 1)); + +export class GSplatData { + + static __wrap(ptr) { + ptr = ptr >>> 0; + const obj = Object.create(GSplatData.prototype); + obj.__wbg_ptr = ptr; + GSplatDataFinalization.register(obj, obj.__wbg_ptr, obj); + return obj; + } + + __destroy_into_raw() { + const ptr = this.__wbg_ptr; + this.__wbg_ptr = 0; + GSplatDataFinalization.unregister(this); + return ptr; + } + + free() { + const ptr = this.__destroy_into_raw(); + wasm.__wbg_gsplatdata_free(ptr, 0); + } + /** + * @param {Float32Array} positions + * @param {Float32Array} scales + * @param {Float32Array} rotations + * @param {Uint8Array} colors + * @param {Float32Array} model_view + * @param {number} count + */ + constructor(positions, scales, rotations, colors, model_view, count) { + const ptr0 = passArrayF32ToWasm0(positions, wasm.__wbindgen_malloc); + const len0 = WASM_VECTOR_LEN; + const ptr1 = passArrayF32ToWasm0(scales, wasm.__wbindgen_malloc); + const len1 = WASM_VECTOR_LEN; + const ptr2 = passArrayF32ToWasm0(rotations, wasm.__wbindgen_malloc); + const len2 = WASM_VECTOR_LEN; + const ptr3 = passArray8ToWasm0(colors, wasm.__wbindgen_malloc); + const len3 = WASM_VECTOR_LEN; + const ptr4 = passArrayF32ToWasm0(model_view, wasm.__wbindgen_malloc); + const len4 = WASM_VECTOR_LEN; + const ret = wasm.gsplatdata_new(ptr0, len0, ptr1, len1, ptr2, len2, ptr3, len3, ptr4, len4, count); + this.__wbg_ptr = ret >>> 0; + GSplatDataFinalization.register(this, this.__wbg_ptr, this); + return this; + } + /** + * @param {Float32Array} positions + * @param {Float32Array} scales + * @param {Float32Array} rotations + * @param {Uint8Array} colors + * @param {Float32Array} model_view + * @param {number} count + * @returns {GSplatData} + */ + static fromFloat32Arrays(positions, scales, rotations, colors, model_view, count) { + const ret = wasm.gsplatdata_fromFloat32Arrays(positions, scales, rotations, colors, model_view, count); + if (ret[2]) { + throw takeFromExternrefTable0(ret[1]); + } + return GSplatData.__wrap(ret[0]); + } + /** + * @returns {Float32Array} + */ + getPositions() { + const ret = wasm.gsplatdata_getPositions(this.__wbg_ptr); + return ret; + } + /** + * @returns {Float32Array} + */ + getScales() { + const ret = wasm.gsplatdata_getScales(this.__wbg_ptr); + return ret; + } + /** + * @returns {Float32Array} + */ + getRotations() { + const ret = wasm.gsplatdata_getRotations(this.__wbg_ptr); + return ret; + } + /** + * @returns {Uint8Array} + */ + getColors() { + const ret = wasm.gsplatdata_getColors(this.__wbg_ptr); + return ret; + } +} + +const GaussianSorterFinalization = (typeof FinalizationRegistry === 'undefined') + ? { register: () => {}, unregister: () => {} } + : new FinalizationRegistry(ptr => wasm.__wbg_gaussiansorter_free(ptr >>> 0, 1)); + +export class GaussianSorter { + + __destroy_into_raw() { + const ptr = this.__wbg_ptr; + this.__wbg_ptr = 0; + GaussianSorterFinalization.unregister(this); + return ptr; + } + + free() { + const ptr = this.__destroy_into_raw(); + wasm.__wbg_gaussiansorter_free(ptr, 0); + } + /** + * @param {number} max_count + */ + constructor(max_count) { + const ret = wasm.gaussiansorter_new(max_count); + this.__wbg_ptr = ret >>> 0; + GaussianSorterFinalization.register(this, this.__wbg_ptr, this); + return this; + } + /** + * @param {Uint8Array} memory_buffer + * @param {number} positions_offset + * @param {number} scales_offset + * @param {number} rotations_offset + * @param {number} colors_offset + * @param {Float32Array} model_view + * @param {number} count + */ + sortGaussians(memory_buffer, positions_offset, scales_offset, rotations_offset, colors_offset, model_view, count) { + const ptr0 = passArray8ToWasm0(memory_buffer, wasm.__wbindgen_malloc); + const len0 = WASM_VECTOR_LEN; + const ptr1 = passArrayF32ToWasm0(model_view, wasm.__wbindgen_malloc); + const len1 = WASM_VECTOR_LEN; + const ret = wasm.gaussiansorter_sortGaussians(this.__wbg_ptr, ptr0, len0, positions_offset, scales_offset, rotations_offset, colors_offset, ptr1, len1, count); + if (ret[1]) { + throw takeFromExternrefTable0(ret[0]); + } + } +} + +const TextureDataFinalization = (typeof FinalizationRegistry === 'undefined') + ? { register: () => {}, unregister: () => {} } + : new FinalizationRegistry(ptr => wasm.__wbg_texturedata_free(ptr >>> 0, 1)); + +export class TextureData { + + static __wrap(ptr) { + ptr = ptr >>> 0; + const obj = Object.create(TextureData.prototype); + obj.__wbg_ptr = ptr; + TextureDataFinalization.register(obj, obj.__wbg_ptr, obj); + return obj; + } + + __destroy_into_raw() { + const ptr = this.__wbg_ptr; + this.__wbg_ptr = 0; + TextureDataFinalization.unregister(this); + return ptr; + } + + free() { + const ptr = this.__destroy_into_raw(); + wasm.__wbg_texturedata_free(ptr, 0); + } + /** + * @returns {Uint32Array} + */ + get data() { + const ret = wasm.texturedata_data(this.__wbg_ptr); + var v1 = getArrayU32FromWasm0(ret[0], ret[1]).slice(); + wasm.__wbindgen_free(ret[0], ret[1] * 4, 4); + return v1; + } + /** + * @returns {number} + */ + get width() { + const ret = wasm.texturedata_width(this.__wbg_ptr); + return ret >>> 0; + } + /** + * @returns {number} + */ + get height() { + const ret = wasm.texturedata_height(this.__wbg_ptr); + return ret >>> 0; + } + /** + * @param {Uint32Array} data + * @param {number} width + * @param {number} height + * @returns {TextureData} + */ + static new(data, width, height) { + const ptr0 = passArray32ToWasm0(data, wasm.__wbindgen_malloc); + const len0 = WASM_VECTOR_LEN; + const ret = wasm.texturedata_new(ptr0, len0, width, height); + return TextureData.__wrap(ret); + } +} + +async function __wbg_load(module, imports) { + if (typeof Response === 'function' && module instanceof Response) { + if (typeof WebAssembly.instantiateStreaming === 'function') { + try { + return await WebAssembly.instantiateStreaming(module, imports); + + } catch (e) { + if (module.headers.get('Content-Type') != 'application/wasm') { + console.warn("`WebAssembly.instantiateStreaming` failed because your server does not serve Wasm with `application/wasm` MIME type. Falling back to `WebAssembly.instantiate` which is slower. Original error:\n", e); + + } else { + throw e; + } + } + } + + const bytes = await module.arrayBuffer(); + return await WebAssembly.instantiate(bytes, imports); + + } else { + const instance = await WebAssembly.instantiate(module, imports); + + if (instance instanceof WebAssembly.Instance) { + return { instance, module }; + + } else { + return instance; + } + } +} + +function __wbg_get_imports() { + const imports = {}; + imports.wbg = {}; + imports.wbg.__wbindgen_string_new = function(arg0, arg1) { + const ret = getStringFromWasm0(arg0, arg1); + return ret; + }; + imports.wbg.__wbindgen_number_new = function(arg0) { + const ret = arg0; + return ret; + }; + imports.wbg.__wbg_new_034f913e7636e987 = function() { + const ret = new Array(); + return ret; + }; + imports.wbg.__wbg_new_e69b5f66fda8f13c = function() { + const ret = new Object(); + return ret; + }; + imports.wbg.__wbg_push_36cf4d81d7da33d1 = function(arg0, arg1) { + const ret = arg0.push(arg1); + return ret; + }; + imports.wbg.__wbg_set_e864d25d9b399c9f = function() { return handleError(function (arg0, arg1, arg2) { + const ret = Reflect.set(arg0, arg1, arg2); + return ret; + }, arguments) }; + imports.wbg.__wbg_buffer_ccaed51a635d8a2d = function(arg0) { + const ret = arg0.buffer; + return ret; + }; + imports.wbg.__wbg_newwithbyteoffsetandlength_7e3eb787208af730 = function(arg0, arg1, arg2) { + const ret = new Uint8Array(arg0, arg1 >>> 0, arg2 >>> 0); + return ret; + }; + imports.wbg.__wbg_new_fec2611eb9180f95 = function(arg0) { + const ret = new Uint8Array(arg0); + return ret; + }; + imports.wbg.__wbg_set_ec2fcf81bc573fd9 = function(arg0, arg1, arg2) { + arg0.set(arg1, arg2 >>> 0); + }; + imports.wbg.__wbg_length_9254c4bd3b9f23c4 = function(arg0) { + const ret = arg0.length; + return ret; + }; + imports.wbg.__wbg_newwithbyteoffsetandlength_5f67057565ba35bf = function(arg0, arg1, arg2) { + const ret = new Uint32Array(arg0, arg1 >>> 0, arg2 >>> 0); + return ret; + }; + imports.wbg.__wbg_set_ee2653838c0bd646 = function(arg0, arg1, arg2) { + arg0.set(arg1, arg2 >>> 0); + }; + imports.wbg.__wbg_length_4fffde3ebafcc274 = function(arg0) { + const ret = arg0.length; + return ret; + }; + imports.wbg.__wbg_newwithbyteoffsetandlength_fc445c2d308275d0 = function(arg0, arg1, arg2) { + const ret = new Float32Array(arg0, arg1 >>> 0, arg2 >>> 0); + return ret; + }; + imports.wbg.__wbg_new_1da7377de0530afc = function(arg0) { + const ret = new Float32Array(arg0); + return ret; + }; + imports.wbg.__wbg_set_7d71c7d52113586f = function(arg0, arg1, arg2) { + arg0.set(arg1, arg2 >>> 0); + }; + imports.wbg.__wbg_length_366f583a1aad1dab = function(arg0) { + const ret = arg0.length; + return ret; + }; + imports.wbg.__wbg_newwithlength_76462a666eca145f = function(arg0) { + const ret = new Uint8Array(arg0 >>> 0); + return ret; + }; + imports.wbg.__wbg_newwithlength_45683f0f95fd0b21 = function(arg0) { + const ret = new Uint32Array(arg0 >>> 0); + return ret; + }; + imports.wbg.__wbg_newwithlength_fb5c9bf9e513fa46 = function(arg0) { + const ret = new Float32Array(arg0 >>> 0); + return ret; + }; + imports.wbg.__wbindgen_throw = function(arg0, arg1) { + throw new Error(getStringFromWasm0(arg0, arg1)); + }; + imports.wbg.__wbindgen_memory = function() { + const ret = wasm.memory; + return ret; + }; + imports.wbg.__wbindgen_init_externref_table = function() { + const table = wasm.__wbindgen_export_0; + const offset = table.grow(4); + table.set(0, undefined); + table.set(offset + 0, undefined); + table.set(offset + 1, null); + table.set(offset + 2, true); + table.set(offset + 3, false); + ; + }; + + return imports; +} + +function __wbg_init_memory(imports, memory) { + +} + +function __wbg_finalize_init(instance, module) { + wasm = instance.exports; + __wbg_init.__wbindgen_wasm_module = module; + cachedFloat32ArrayMemory0 = null; + cachedUint32ArrayMemory0 = null; + cachedUint8ArrayMemory0 = null; + + + wasm.__wbindgen_start(); + return wasm; +} + +function initSync(module) { + if (wasm !== undefined) return wasm; + + + if (typeof module !== 'undefined') { + if (Object.getPrototypeOf(module) === Object.prototype) { + ({module} = module) + } else { + console.warn('using deprecated parameters for `initSync()`; pass a single object instead') + } + } + + const imports = __wbg_get_imports(); + + __wbg_init_memory(imports); + + if (!(module instanceof WebAssembly.Module)) { + module = new WebAssembly.Module(module); + } + + const instance = new WebAssembly.Instance(module, imports); + + return __wbg_finalize_init(instance, module); +} + +async function __wbg_init(module_or_path) { + if (wasm !== undefined) return wasm; + + + if (typeof module_or_path !== 'undefined') { + if (Object.getPrototypeOf(module_or_path) === Object.prototype) { + ({module_or_path} = module_or_path) + } else { + console.warn('using deprecated parameters for the initialization function; pass a single object instead') + } + } + + if (typeof module_or_path === 'undefined') { + module_or_path = new URL('cesiumjs_gsplat_utils_bg.wasm', import.meta.url); + } + const imports = __wbg_get_imports(); + + if (typeof module_or_path === 'string' || (typeof Request === 'function' && module_or_path instanceof Request) || (typeof URL === 'function' && module_or_path instanceof URL)) { + module_or_path = fetch(module_or_path); + } + + __wbg_init_memory(imports); + + const { instance, module } = await __wbg_load(await module_or_path, imports); + + return __wbg_finalize_init(instance, module); +} + +export { initSync }; +export default __wbg_init; diff --git a/temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils_bg.js b/temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils_bg.js new file mode 100644 index 000000000000..65a175501152 --- /dev/null +++ b/temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils_bg.js @@ -0,0 +1,380 @@ +let wasm; +export function __wbg_set_wasm(val) { + wasm = val; +} + + +const lTextDecoder = typeof TextDecoder === 'undefined' ? (0, module.require)('util').TextDecoder : TextDecoder; + +let cachedTextDecoder = new lTextDecoder('utf-8', { ignoreBOM: true, fatal: true }); + +cachedTextDecoder.decode(); + +let cachedUint8ArrayMemory0 = null; + +function getUint8ArrayMemory0() { + if (cachedUint8ArrayMemory0 === null || cachedUint8ArrayMemory0.byteLength === 0) { + cachedUint8ArrayMemory0 = new Uint8Array(wasm.memory.buffer); + } + return cachedUint8ArrayMemory0; +} + +function getStringFromWasm0(ptr, len) { + ptr = ptr >>> 0; + return cachedTextDecoder.decode(getUint8ArrayMemory0().subarray(ptr, ptr + len)); +} + +let cachedUint32ArrayMemory0 = null; + +function getUint32ArrayMemory0() { + if (cachedUint32ArrayMemory0 === null || cachedUint32ArrayMemory0.byteLength === 0) { + cachedUint32ArrayMemory0 = new Uint32Array(wasm.memory.buffer); + } + return cachedUint32ArrayMemory0; +} + +function getArrayU32FromWasm0(ptr, len) { + ptr = ptr >>> 0; + return getUint32ArrayMemory0().subarray(ptr / 4, ptr / 4 + len); +} + +let WASM_VECTOR_LEN = 0; + +function passArray32ToWasm0(arg, malloc) { + const ptr = malloc(arg.length * 4, 4) >>> 0; + getUint32ArrayMemory0().set(arg, ptr / 4); + WASM_VECTOR_LEN = arg.length; + return ptr; +} + +let cachedFloat32ArrayMemory0 = null; + +function getFloat32ArrayMemory0() { + if (cachedFloat32ArrayMemory0 === null || cachedFloat32ArrayMemory0.byteLength === 0) { + cachedFloat32ArrayMemory0 = new Float32Array(wasm.memory.buffer); + } + return cachedFloat32ArrayMemory0; +} + +function passArrayF32ToWasm0(arg, malloc) { + const ptr = malloc(arg.length * 4, 4) >>> 0; + getFloat32ArrayMemory0().set(arg, ptr / 4); + WASM_VECTOR_LEN = arg.length; + return ptr; +} + +function passArray8ToWasm0(arg, malloc) { + const ptr = malloc(arg.length * 1, 1) >>> 0; + getUint8ArrayMemory0().set(arg, ptr / 1); + WASM_VECTOR_LEN = arg.length; + return ptr; +} + +function takeFromExternrefTable0(idx) { + const value = wasm.__wbindgen_export_0.get(idx); + wasm.__externref_table_dealloc(idx); + return value; +} +/** + * @param {Float32Array} pos + * @param {Uint8Array} rgba + * @param {Float32Array} scale + * @param {Float32Array} rot + * @param {number} vertex_count + * @returns {TextureData} + */ +export function generate_texture_from_attrs(pos, rgba, scale, rot, vertex_count) { + const ptr0 = passArrayF32ToWasm0(pos, wasm.__wbindgen_malloc); + const len0 = WASM_VECTOR_LEN; + const ptr1 = passArray8ToWasm0(rgba, wasm.__wbindgen_malloc); + const len1 = WASM_VECTOR_LEN; + const ptr2 = passArrayF32ToWasm0(scale, wasm.__wbindgen_malloc); + const len2 = WASM_VECTOR_LEN; + const ptr3 = passArrayF32ToWasm0(rot, wasm.__wbindgen_malloc); + const len3 = WASM_VECTOR_LEN; + const ret = wasm.generate_texture_from_attrs(ptr0, len0, ptr1, len1, ptr2, len2, ptr3, len3, vertex_count); + if (ret[2]) { + throw takeFromExternrefTable0(ret[1]); + } + return TextureData.__wrap(ret[0]); +} + +/** + * @param {Uint8Array} buffer + * @param {number} vertex_count + * @returns {TextureData} + */ +export function generate_texture(buffer, vertex_count) { + const ptr0 = passArray8ToWasm0(buffer, wasm.__wbindgen_malloc); + const len0 = WASM_VECTOR_LEN; + const ret = wasm.generate_texture(ptr0, len0, vertex_count); + if (ret[2]) { + throw takeFromExternrefTable0(ret[1]); + } + return TextureData.__wrap(ret[0]); +} + +function _assertClass(instance, klass) { + if (!(instance instanceof klass)) { + throw new Error(`expected instance of ${klass.name}`); + } + return instance.ptr; +} +/** + * @param {GSplatData} data + */ +export function radix_sort_simd(data) { + _assertClass(data, GSplatData); + wasm.radix_sort_simd(data.__wbg_ptr); +} + +/** + * @param {Float32Array} positions + * @param {Float32Array} scales + * @param {Float32Array} rotations + * @param {Float32Array} colors + * @param {Float32Array} model_view + * @param {number} count + * @returns {GSplatData} + */ +export function sort_graphics_data(positions, scales, rotations, colors, model_view, count) { + const ptr0 = passArrayF32ToWasm0(positions, wasm.__wbindgen_malloc); + const len0 = WASM_VECTOR_LEN; + const ptr1 = passArrayF32ToWasm0(scales, wasm.__wbindgen_malloc); + const len1 = WASM_VECTOR_LEN; + const ptr2 = passArrayF32ToWasm0(rotations, wasm.__wbindgen_malloc); + const len2 = WASM_VECTOR_LEN; + const ptr3 = passArrayF32ToWasm0(colors, wasm.__wbindgen_malloc); + const len3 = WASM_VECTOR_LEN; + const ptr4 = passArrayF32ToWasm0(model_view, wasm.__wbindgen_malloc); + const len4 = WASM_VECTOR_LEN; + const ret = wasm.sort_graphics_data(ptr0, len0, ptr1, len1, ptr2, len2, ptr3, len3, ptr4, len4, count); + return GSplatData.__wrap(ret); +} + +export function greet() { + wasm.greet(); +} + +/** + * @param {SortParameters} params + */ +export function count_sort(params) { + _assertClass(params, SortParameters); + wasm.count_sort(params.__wbg_ptr); +} + +/** + * @param {Uint8Array} buffer + * @param {number} vertex_count + * @returns {TextureData} + */ +export function generate_splat_texture(buffer, vertex_count) { + const ptr0 = passArray8ToWasm0(buffer, wasm.__wbindgen_malloc); + const len0 = WASM_VECTOR_LEN; + const ret = wasm.generate_splat_texture(ptr0, len0, vertex_count); + if (ret[2]) { + throw takeFromExternrefTable0(ret[1]); + } + return TextureData.__wrap(ret[0]); +} + +/** + * @param {Float32Array} pos + * @param {Uint8Array} rgba + * @param {Float32Array} scale + * @param {Float32Array} rot + * @param {number} vertex_cnt + * @returns {TextureData} + */ +export function generate_splat_texture_from_attrs(pos, rgba, scale, rot, vertex_cnt) { + const ptr0 = passArrayF32ToWasm0(pos, wasm.__wbindgen_malloc); + const len0 = WASM_VECTOR_LEN; + const ptr1 = passArray8ToWasm0(rgba, wasm.__wbindgen_malloc); + const len1 = WASM_VECTOR_LEN; + const ptr2 = passArrayF32ToWasm0(scale, wasm.__wbindgen_malloc); + const len2 = WASM_VECTOR_LEN; + const ptr3 = passArrayF32ToWasm0(rot, wasm.__wbindgen_malloc); + const len3 = WASM_VECTOR_LEN; + const ret = wasm.generate_splat_texture_from_attrs(ptr0, len0, ptr1, len1, ptr2, len2, ptr3, len3, vertex_cnt); + if (ret[2]) { + throw takeFromExternrefTable0(ret[1]); + } + return TextureData.__wrap(ret[0]); +} + +const GSplatDataFinalization = (typeof FinalizationRegistry === 'undefined') + ? { register: () => {}, unregister: () => {} } + : new FinalizationRegistry(ptr => wasm.__wbg_gsplatdata_free(ptr >>> 0, 1)); + +export class GSplatData { + + static __wrap(ptr) { + ptr = ptr >>> 0; + const obj = Object.create(GSplatData.prototype); + obj.__wbg_ptr = ptr; + GSplatDataFinalization.register(obj, obj.__wbg_ptr, obj); + return obj; + } + + __destroy_into_raw() { + const ptr = this.__wbg_ptr; + this.__wbg_ptr = 0; + GSplatDataFinalization.unregister(this); + return ptr; + } + + free() { + const ptr = this.__destroy_into_raw(); + wasm.__wbg_gsplatdata_free(ptr, 0); + } + /** + * @param {Float32Array} positions + * @param {Float32Array} scales + * @param {Float32Array} rotations + * @param {Float32Array} colors + * @param {Float32Array} model_view + * @param {number} count + */ + constructor(positions, scales, rotations, colors, model_view, count) { + const ptr0 = passArrayF32ToWasm0(positions, wasm.__wbindgen_malloc); + const len0 = WASM_VECTOR_LEN; + const ptr1 = passArrayF32ToWasm0(scales, wasm.__wbindgen_malloc); + const len1 = WASM_VECTOR_LEN; + const ptr2 = passArrayF32ToWasm0(rotations, wasm.__wbindgen_malloc); + const len2 = WASM_VECTOR_LEN; + const ptr3 = passArrayF32ToWasm0(colors, wasm.__wbindgen_malloc); + const len3 = WASM_VECTOR_LEN; + const ptr4 = passArrayF32ToWasm0(model_view, wasm.__wbindgen_malloc); + const len4 = WASM_VECTOR_LEN; + const ret = wasm.gsplatdata_new(ptr0, len0, ptr1, len1, ptr2, len2, ptr3, len3, ptr4, len4, count); + this.__wbg_ptr = ret >>> 0; + GSplatDataFinalization.register(this, this.__wbg_ptr, this); + return this; + } +} + +const SortParametersFinalization = (typeof FinalizationRegistry === 'undefined') + ? { register: () => {}, unregister: () => {} } + : new FinalizationRegistry(ptr => wasm.__wbg_sortparameters_free(ptr >>> 0, 1)); + +export class SortParameters { + + __destroy_into_raw() { + const ptr = this.__wbg_ptr; + this.__wbg_ptr = 0; + SortParametersFinalization.unregister(this); + return ptr; + } + + free() { + const ptr = this.__destroy_into_raw(); + wasm.__wbg_sortparameters_free(ptr, 0); + } + /** + * @param {Float32Array} positions + * @param {Float32Array} scales + * @param {Float32Array} rotations + * @param {Float32Array} colors + * @param {Float32Array} model_view + * @param {number} count + */ + constructor(positions, scales, rotations, colors, model_view, count) { + const ptr0 = passArrayF32ToWasm0(positions, wasm.__wbindgen_malloc); + const len0 = WASM_VECTOR_LEN; + const ptr1 = passArrayF32ToWasm0(scales, wasm.__wbindgen_malloc); + const len1 = WASM_VECTOR_LEN; + const ptr2 = passArrayF32ToWasm0(rotations, wasm.__wbindgen_malloc); + const len2 = WASM_VECTOR_LEN; + const ptr3 = passArrayF32ToWasm0(colors, wasm.__wbindgen_malloc); + const len3 = WASM_VECTOR_LEN; + const ptr4 = passArrayF32ToWasm0(model_view, wasm.__wbindgen_malloc); + const len4 = WASM_VECTOR_LEN; + const ret = wasm.sortparameters_new(ptr0, len0, ptr1, len1, ptr2, len2, ptr3, len3, ptr4, len4, count); + this.__wbg_ptr = ret >>> 0; + SortParametersFinalization.register(this, this.__wbg_ptr, this); + return this; + } +} + +const TextureDataFinalization = (typeof FinalizationRegistry === 'undefined') + ? { register: () => {}, unregister: () => {} } + : new FinalizationRegistry(ptr => wasm.__wbg_texturedata_free(ptr >>> 0, 1)); + +export class TextureData { + + static __wrap(ptr) { + ptr = ptr >>> 0; + const obj = Object.create(TextureData.prototype); + obj.__wbg_ptr = ptr; + TextureDataFinalization.register(obj, obj.__wbg_ptr, obj); + return obj; + } + + __destroy_into_raw() { + const ptr = this.__wbg_ptr; + this.__wbg_ptr = 0; + TextureDataFinalization.unregister(this); + return ptr; + } + + free() { + const ptr = this.__destroy_into_raw(); + wasm.__wbg_texturedata_free(ptr, 0); + } + /** + * @returns {Uint32Array} + */ + get data() { + const ret = wasm.texturedata_data(this.__wbg_ptr); + var v1 = getArrayU32FromWasm0(ret[0], ret[1]).slice(); + wasm.__wbindgen_free(ret[0], ret[1] * 4, 4); + return v1; + } + /** + * @returns {number} + */ + get width() { + const ret = wasm.texturedata_width(this.__wbg_ptr); + return ret >>> 0; + } + /** + * @returns {number} + */ + get height() { + const ret = wasm.texturedata_height(this.__wbg_ptr); + return ret >>> 0; + } + /** + * @param {Uint32Array} data + * @param {number} width + * @param {number} height + * @returns {TextureData} + */ + static new(data, width, height) { + const ptr0 = passArray32ToWasm0(data, wasm.__wbindgen_malloc); + const len0 = WASM_VECTOR_LEN; + const ret = wasm.texturedata_new(ptr0, len0, width, height); + return TextureData.__wrap(ret); + } +} + +export function __wbg_alert_abe635d522c06aef(arg0, arg1) { + alert(getStringFromWasm0(arg0, arg1)); +}; + +export function __wbindgen_throw(arg0, arg1) { + throw new Error(getStringFromWasm0(arg0, arg1)); +}; + +export function __wbindgen_init_externref_table() { + const table = wasm.__wbindgen_export_0; + const offset = table.grow(4); + table.set(0, undefined); + table.set(offset + 0, undefined); + table.set(offset + 1, null); + table.set(offset + 2, true); + table.set(offset + 3, false); + ; +}; + diff --git a/temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils_bg.wasm b/temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils_bg.wasm new file mode 100644 index 0000000000000000000000000000000000000000..947fb2e18dbcd877a3e8ed31f2c5ffa5c059e3cf GIT binary patch literal 45001 zcmeIb3y@rAcHeg&{hH~XnI2#O3@`xkbrU3qBtXss^8mFg;ZjR-Aug9|S(cUP0S;z* zfO%jBm}!8dxVr!)u0-1_Yt4nST$^%KHXYFp6>r6~i%wQ0B&J-ODoSFdxFx#GDzl<3 zWmC0rsCb<)32El{Ki|FGcLqZ)*RmwrWrEZ9p6~sg@0|0UbH2w7<~GlVK@fz`MWc^I zSFQy539md7U+Fx8M?!@J3gXqk^+y8IJrV?u6bZGY1wDgDf^hwjaGjrconSrIm1Uh0 zeA3_%O9@<34xa~LUH@INN{Zdr@QUhDn<)0P{6y<2icwl|j6 z&YoUtJsR8)w|43LnbwBI?N`17%4ca$k4+w5JTX4mnwg%QZk?E&32NICw5Cs-nOdBl zUR;=)T^ygB_X$RP2^TJHo;y7`J->K-VRn3BW?^n}a$!6;kSk)d)jr*toj$%WF|}~w z%;bp^^CuRA5hXa}i#T&>agnyo&(F0MrpD){C#M!>=Oz|{KBXD&H1yG>_PH~Uw_EFr zixfGxwy@e-JKH{YdZsnmIx{mnGch(hw>UF77WC&T2N|aqTk{jsR7w>*gmG=ND(k&&*HFOfD{*2nKSkOKUkXF?D?Y_?d;tGba`&Cg+a_^^ev%5H~Y6 zH8V9mb!KjI>dfMn)>-APiRr1y*~!`Yu`>(P$ESCy{P^PH;)1$4w>UpPG4qitUz|UF zd}@ATVRCGCVrFXLM%0Wi%*{;B%q+CVrY6TI{07v_EX<70&n!$$jE_%F%}y`w)VfJX zV`_GCZhUTTfdbEX)EwC|aM@VV!HK!)>FL(|-1zaS#h@%K?wIuW)b#A+qQ+}+VeHJr z_=hB2JTo_ZS*3|gq;v6WnQtN6!${Ou+8|#k-fhrr!1)p!7U*CA#)AQk6_|n=E zH2YY)wXwF*T0GsJJG0sfdg6_Xh23FqDGG+dAPR$nLCn8kuv7|qc9*I_9LJ%4;!;qk z77Dw92r<@sC=U1R*}M0qeftg%_PXYgQneJ7ZVkf1t~k1_P$+~$p~<^2jN>x@ktgWs zA*fK`|3Xi6A#66+gR5bY(g#Ze(UmZ{di8ox)ibP?zfwF{^8JW{y&mUnnto}cwJ_J7 zJH5EkY6W-H^Fay^{`_D*=+UKx_POBRUHPzct);W)+QAPGH84Tc^_~ zYFR&jdam8x*bF{nBI)euvzr%I=i1#ijP~b3RLm_0a{(&g{?+xl_T)seu`&1fX7K5K zx#+X4_Gi{Nm)c9~Yn#ENLtA71{QTT%YcqIkTZSK9Z_oJ*k8jKH!|SW-wDe~OHs%(V z9y`6czR^CtxpaOZ_^|`Ikh61_HaC~%);80QJ$!J-NGPoJ7}fvievkZ4HcCaR8UF9w zwJlWrKY4IlpxW_3R%!5Hql0C`wycvcAy@etO@HS$u}Wd1l*e&uz4WeLZ)CK@wIQe{uZB|KeZx znVlZd2xcGD7PtM+Y_b-Gio9$W{_Wt}oj4nQPBXcCk@RzV_VpU^EJv zJ@@q$lEaNM35zR@0%^Yc{`=*J3MXALDY-DITt3?jRxT&uMWVv?O7ertjdInMlc=3s z`|9;zS%rl6sisCyi>i8%bw4S>%PW*xP7XgX(r&~tt#jdMRG|K5$rVra1&+rG?}dkX z4;zsyH4A+KB@}>yTA`}+LnKhj(8bB2%Sqwla#Ht|J}{z)5$cO+StE8~Ur?=u)#Syr zZ&6aWJ}2+QYJK(8NVAy4mz%+5un=D?Sc{S?o7TDIW+{o=%^-RHuhXk$OokWrX8jwD zD$T+JAf@2q>8R#nSD20}SzRtlKJQA8UYw2snoP!cV>$wrE?jPcfAu-E5YV|?1AE2f zCEvt{`eKclGX!Qs65Q8Em(Oj%aO4r^$0%xqhD`$N%>dl-GGs5{Yq(MNMGASfW^g~P z4wE5JVaNK8@%eksc`KlNo7jA-y&+$gyS^bicm@uNB;;s8~8 zlobpPN(rU$EJIu%gc=TZdefw_flyga>dBBR(+3ycKSF_IarC{Yfw%Sm_Qgi28M%UI z6=tYbGQ;g-7ez)9W5eGmwaIW#iy90@BdP_}pc70@!6OcAtXwtMNnmj4n#IVHtNlSC<#9B^)|xf>z*7MQFNt4y~QLXQGB`G zEL;?SiK^*ikusqyXwOEjDrT`!Q~x!FkqiApBwDqiT`8;G+QEoHhZWH0%G1%X%BeRa z+GNAeP--lOQ|^oIgTO3eD2p)qDkOE}i+Y-Noj0*fM(eySi%Yt_F5TA`LK>ZJ2OrpN zIFW9by4^0il65;!w>2_VsL>NEq9N_JQ7}RzqhKKm2JDQ?Hf|L63h&*avF6fkVW%YQ zL>pePMbJ)JvH?s$J3SYh&?$t!g-06@Ji%cVW+7`vo1nxCxl_&ZNTWx=brYC+8*0#> z$n_vlil>@zny46o=*lDFJBC(lT_uf1p(8e$!9%1WVCCV9p8Yefk0c9Hu8%xlnr!gQ z8FsmzWR)gN;JF@(ku*b)!QO~XxUtLiv|%gPhh44@vx2vBJ*^ zRg!`xK`N>+(hQWv!xC5SM|4PTD-;peMu3QX$%61@$ohH?=(W};C9jBXct=9mJ5@qy zO8pJBTR&T78(qdylu zG$N{0pg29KCa?3Fynk5L{~CpQZhuy9#(%2FQYK&H&18;}zAQjfoB%yLG1mpWl*Z`U zN%jo^Ur%H7>?C_lz$+EQOr|$;7bA&qL?q{foBCML^0R$z^@5V zh+>}011|_rh+>}4178!M5XHPK;KdZsvlDY&z)NY2o}Fah5b*UhM$b;N*95$h#^~8e z_J)90(-=KF$=(w1dK#l=C)u|JyqU)6*-7@cfN!NSdUle%Bj7t}jGmojS4F?yO=I-z zBzsyh@1`+&c9MNbF;DR$RO#7C_N;&}rZIYUlKq;1YiW$0on$WvcrK06vy)65i$oY$ zmfFg^iK1b{md_xe!@M`jlrLspS!Mz;X`opkQ~g}LoQxt~l2?`W>eZ{_T}{G~i%*d) zdT2uvt)w@KgTy+I%dT{PU+g77R}82U7n)SzwXocXQ(+<*gFrD!5;V)wvM5l3Bt(wb z%y~oU%!1dTc}b$^q#7*26-#{5FcV43&GIRxH7g3WJgintj%~$?={H?O^w6+8A}FbY zh@Kq~L1pE{tw=cl#U?eGg;3bzL^9c$czYBydcjJ4IeCs21I|QX$@R`emG2?=l%AZG zWpK{(;Ig_)lTd%COEUth5EhAz#{GR|N{yv)e;%D)YGv#qT9x*IzW8icBafz_e#Eq? z4kHXbK=zI`2nEFW3x+O_YSP7c>V#?qR(_lZwk^6`3`aN(zgj zJ!YhnWJ+#v*%gJCV&d__8+bvFDt-uFWRGo8aB0|fycknyx;DhiNHGN$3<@vBs!jTY zqGpMwtdm(!8CQBQmIV)Cx2>5{=oR4%6^$S|sUE}R$pAL6g_|v7s=K|Z`Yg8$yin?M zs`N^wlx3&!L%{0I>KAi}hC20!Xjuv))|bWLswpb;ZpKbD`fif#XUA5uu=*pH>UCeA5p?7OqH3QR;CP<&8Q`FOG zXpX zKw~S-o+D_n(G|KCw*SEo2SISpwO?u;=H=?cKkf7kf=8M){2uP`-_?ksItH#bLe%^3 zUwQavMw%=iZ5Hi;l;)QS7TcA)m&_h zxSrAIXk)h?qm6@l6!1v!s5FN4IM_I(hieSzQE%L&$53M^2^xd^6_^DIZs=h&?p`-? ze{&ClahKb>-0XLQ?j|VvjwqOgmq5PEdJ-1gp1z{%XUc##3d@~%hN-Oh67gl?D~hjV z@x5-~;l2uW9Js$3_Vo~da2eMjvYg}s{d8X-{d*;g^hX_KYezdF|`?c|@QWv9C)Qf~a57#YX6foREE& zo0a7K@M5!^{E=CX<>c)EuWItk;RBE=#y93{(s!93mJ?3lJ=#dFz7uXVLWCQN%O3`( zNI^pBb%hPg%PYwtS6OM}TofJL#CsE}4KD&+RyIUQIr%?=6<0w{mnd3s*l-Ypm4SlXwJaQm&J}4DdYy zlC6^!-$|hY=f?)F7YdL(^qr)rJ$*w^Hm-?~ZsO3zqHmk3aI?G19s9DV)rhVk0&wnb zPq!i}Cu;B{chuea48(gg)CR@e{5=T7LCDt}#7P0-jc5K7#lRN-0a45zCm9Achy)}X zo`HnSvAvaqZdIxymg=xlWgPXN#KwR4Vgn_FK}WIJE;nRJqAcu6+;U@=t24ti>TZy! z3`GT9ZW;G$FB2m+CkoX{vxpJB4Zm8?SaT^8C(}!OP zU-Hz?r!wWJnCQ!iJjFOJniVZi zhMKlZNx_yXF^;uLDy@N*MfW#*&~fb*BRlyl?q?!3W$6*0RDlkqFg_Xt$!}fxk!6&m za5)D=c_8ip4QUZrbCJ3Isl$q~6*yKvv;3q|aZs;mrmULEyW^nXh&Hk8CiAaEZ!jLx zJQ(pT$=**)(GeC}qbxA$1V(9~R`8Ma);I`Wg_DZ*HUX)N>u6cM)Ks(Jyp4gQ_Rfgo#HHpmB|}( zSxjxm7}}sk%Vy|$9x$Y6iP_eQgd31nxtzTJ@1-Y0U(P49f5IGS% z*3v~kWS~Y5e@~VT6sbs~Qe>j1(n9sOittf#Cz|0a5G-aFY8~n{i}N*dmzEnP+RMs| z`M)3u8chHioTvqguODa>P1~cB_EaBxEJ@bKD5+g+^wJtRqsq(8YStuI&G)gI?_(8~ z&XPguy^I8XEc-sP^C7UJ8f7cBoJ+~LvdsZAls>|PFc&M3^a3V>7&N2sw4Dhih8%Vo zo%j((C}f06_<9%#T)Bf0BbF(R3SoW67-Xm1SjCpTl2k6rLMC~=fiz6UOhz6HTmxZG zKX63e6FA=lTTmQsRo!)nwmC0 zaJ^Q%t5C0z+?Edcky0fZRsC}TZDVUixY8d7VSuh)Vuwl4C}}85nCrBe$FkncAE?Ip z?)B<1tX|4254N?KmS~kN-(rsd%%&9OjG1zVY;54L|qtU#5xGOhWv%qrYkn$7C2 zbP6mKtN*4L7U6n1*FbzySTQfS`TR|$(#bgU%11UjCemUT;7WE#WAbHQM0rs0KA}Qf zOyH-`y9DJqLnvE>cb=*u?{H$uAy&MNKctag;8gNvoKU3-&AgggA&ffJ;j@rr!(j`( zPz2Q=v^u^lW@xLs)4nZDqEcnv-Xi+sv&k`eHS*>yer`rJ^y`hU;{*gr;t(r7U>}j( z>_Qxr#0aE@{N5N|yFRC=3*;vu!WDR?J<<>`Gh9iVhlq zwY^|_le9aA)feXsyX?3^0rUbY9T2ro9zzBRSqAybJ7hKR(98`N7wNpsB$Bj}chp~O zH#8oh)&E1?>MO{f8(INAbFF|eyRE<-!hQ|c%S4N=LGukswBn%mxPdr;VIMQfYfZ0> z0wmbOUnVuxYQiNp*&cwXL}Ikj;hHr%2Htp(2{|pH$&hIlK*h4rXCAZIQ~-;5Z!D+e z%i)oh)037nOgTOBiE9hQkToJk(NQT|QHx*_Y6GWL9Jkyo`l-_f4H7b}IKv%NtBUXp zLA^PAK?8Jx!NB26@uqnHKXGVU78HOg6P?spOVFhYpI*eOFfFOt*h<8DUMF;C5es>W zTNui0k?e`&HDN^z^D;E$-RK=afb>yppx5(FSb2{0~jc4nK z1l5md4)_p(f$qn0S=e1hWCY9ymJ=8>PaMk7>QSS=wwg%sUSNE{nva&UtQ#Ga-OwQu zvR!eD@bODrWZNnccPJ}EQ?>d^jIM~Naj1_hSiJe{b<_vxRQ0iH@+}}ES9?or|H@lw zSdi$=H{#oASe)q1hkYjvD<^vMVQ;5l#YArw2C>Di3L!Y@6teRM=4HIf2)QdN8KBKR!P-Y;#zs!xhAQK4<3NA^kWZkUe&T5Wq{HZzxka(!kyp#CXD%gL&B3^$dv?yYA9CLbp-!2 zGhjt74=@@2uMrAUbW(eZwaXTa)j=2z?NF@&B4bsXCiG+-pM6-h<@&IuVh9om-3TL! zm->MEV(Lw3n+u36Aiiln=p#GY$#O!8E4DL00!ciM45vQi4R6h8#Y|7_=%ppG$r2>V zMiRjrsY#SB=zD#uNC~Q;lZax>SeMjF7upn3ze!^)vDjdMg(;P$q(Xf}ZIW6t$kZ!a zGiQXE6ATB82~r~03~UcEC*4ERr%gkYq!PNr~Iv z%L^&aadcA6z#pN$+lD`qYGbZQ0Tm!*!ZA-g!P@X=vcPK;M#oSa`S7Z1+iZ-j#}pkl zyZZp4LzYps713`e%y0OEc0CDd=^#)Wci8ZUmyK<{;1w#-hCf^PmO>_3Z0(`-H*WY- zVcCX1m5d7MBG0R77bN2lK`)|(WqW3|Js1gT`Mls2YmwWp5L>skLQDy)5EGuN2DDym zNezmq{-Y=?L)-MYj)CoPYM6Wow(e zS&ugC75SIS3@nBF9TgNuIrx=6#bm1aAJh+H`tnXv+$qd`BKQ@B;?fZ*sy?h6*K^rx zt;h_&5TXUi1}+;Jx!CinS`D|-kq~Cfn{KON15-Do)_RSc3z8<31k=+vF`#+0=xf@? zRI^X}h;^Vo2G##94oi~k97sTkj7~}`q?)TbhyX&U74;QYAG?Y4yeiWk%!LWM*wt|3 zo}k~L+U4XeLE2_7cS|Rlbzm(;<#P-ewCi&6_KrC^1!gs{0Bt&e2#OuVpcBUFOhy&} zb6z2kJqv;Sx=Dqx2-_gkTy40!T=_wKUs5xXc<{g{yNSi!nV3HaKm`)`Nw`JKGeqL1 zoR}Ay;c)%b&6z9+o=YV$gD24wnFzKS2kO!kukx9G9R2{)ZStt;%g}e-P?JPeXd|>P z>Icu-iuTMxTqqv5Sx`gjk&48N>s9P}6Soz)B=Oj*CYL#Lc2IrAOQn0+f(2i**-7*y zJ25zr9Ol(_0m$dY0P?3(B(Xn50@2thlB#y)fFq_Ce~<+In0mBBUweNU8JiTqfr!;G z04rxI4S|&{dxX6nuj&y}7M4~bFtBD~8QzG0DE8;1CFh#xs__TYrMBAKj zR!XMfIFQK_!R8Ez%F>)KVh8MOpEl_sKMjpi6HPvW50g)@QrZD#k8K^OUXQ|940959 zmKX*XOK;<# zG%l`k?~^PM*R?yZ;;QcjF+GSrM(nErOA9G1AMu;OwH*8%;GQn*dZ(k$((#ikC?0sl zP&`~u{RiPm_T_~2pAUoT^HCK0Q&8X#boeCW#IzJ^mf^1YKZjAlV+o}j(M*1^bThJ5i;kQV(}5<09kEJ*oTFn&1^q4h zjb`~?_w;AbfiuYny_SC+0SK@A6Jyw@2tTt_5Au*C*&_UeT6kQpW)pt!CpxZnSn$TS zdeag#?I1Et3R-aJSxPbhlEbj{Qt-{Nvvec9+&m55e@8D=s!89YluA2BnbUYU+zmVq zLJ~ZNyxXxZIZI3Y*$mTvj8f>Hss2vagq9a5EfohmSUhe!$UC; z+euSM^C{CcnIPrVD^XdgPYhJ+S0L->pS&I+|!{2aK0BM`kG7Cq;f7 zeL~AlEs*>mC2a4cIRz#wH7YYobi@w=v;mWj?|m5b(Z;M}m)MxeE{S&>GscoBOY;U| za_g8;4mjH~X5S34`lFNjXX_asG-^l{+QFEGA9*C4*(f@dqZy_CgcOAif}v+InT!o& z7~Ch~K>ZQDYe=MR^PXlU&S?X8M8#W1Dr`k)?~3oG7T&68arFPyXn7heGU)Sw3>HLHvup5T7%vlkrDy#@zOeO-x^p zG2P_dr6j9rn5u&6yP=u4k!a(o5VghyudUxo*Zfq9#L0Urq8+>yg^%d1DDL2`2)o`2 zHA?z256PfdPB#&6gcm^Dt=a^#oX(g+%)1{i7zaWZeIa zFbvJ+#DWxiz>E;77ia*rEL3w{Cv-RqJ(v$Yl7-3-+DSW>g&xm`ek=<;nGgMB7Wye> zg(s@juZP)`&z9E@nevBjobpkynZ6Naxhdc6IOWSBQ|nCm!|9X{Ic}TsYnt*I8&T?( zDSwBl*c_j0XnfmzR>u9^5zlDMo}P_%@_=RjoE+`l$3W`DVoD%F*5B4h!o!$kCDSl9 zo-!*PlP>cDT!j1{9F+8tyO{Z&2Lr6>fwxM!F3Zp%jxB&mgF4vG3nOYOlZh#*>q&$) zsD&oGcFoah^L1*&u@s`jKShltW z*0w@gbK!<&pv$4GUgFm`G*F7z^g(bL3#mFK5{tg2xYR!tRDX5nmVuAHkJhy6M{61# z32tF%>b38yc^`zhr#~X%`afFh3~`sgua;f*lEb^{wOAwWlX?o1Sgk36)5*E2&!!9T2BmiOwPAKa58h{j32ro_|DA+)AGNii|ZV_TFd) zY{X_BzD0+Pf`8+wnRt|}KzemX*bW_vRX|NQQT@JPhnjp9p4Bird`)kB`2%apRRi06 zKQ&3!pix`<^z}c9KJ8f3+kgC;&U+GPltMF#sYkOIdl3U3NNV{jucPv5ltMpBp$mT_ z9Vio1<5N<;e}zW76VTa0m}3nUXRqo;KvA+^so7Pf_p5`SWYI4PzjUQiPEN1FlYqHgmqj<cH0VQh36MTzp zd5owZI*7(Qm;&FSpzFzFoR*E2GOM-h%|wu1S+4%~sjY~fSr98BKA8~FE%iWa;!!U$ zYy}c)MWYe%7e^Unl`T~bH zT3ULABHizuNv z5hW9lh|(Z7UivXCbjMKGufCKzo&CfPJK#eW*X3*?oRSnp;%wSZ!XF6nm#P*f>~s>B zIHM56nFe~e0fHzb^_embZRF2{9#N zyb4lu?bQ-`@4n;<1LYU!w4{V~;wb_|h%LW?KUC$~D&WB;4hkA^EI3p@Dz~hVCw8?V z=I);lNt`eu>N&OPr^0fEUpKURT396sQo6;Fmafms% zto4cK!PLi_vf$R%aXrBn*DjoFhFxbAZR8C_FX~AhQvc8amRro4Oo-`9A0!qI4D@<1U9xGVOJHLBHgZi9S3x?1m-;p;S(^ zm(LxLh&=?v@@}sf%oo!AWhuq(cc>(#hse#zJ5FTt=zoZlt38^1;T$dca=MoJ)$O_i zH^9w$3^$JGG1|CAkJ-kpu2 z@=<|s515H;PF%XjK`3<(w@B=&TH!RgrH1y3n)+}xKq;Ztg*&awR|BajKRX{{VFhtc z8Ggxi3s%zNw8FDzS%O(NdQbE1m^K>E04f7l=jDLnS?~ z`e0wp+R=m?PH~c*6L!+125lLnn6T-jAB}DQC;5TwiXy}w>2ahw+8C(Sp~unaQ;mim zpYfgfM8kS`lCygR9tSNvW_^FjBWTnHCsJqm>t~QSVJ|0!&d9TqgKZ1!0B{2jVq1WY zWp(!;a*$OH;`=xw0CUz{-znY8=tdwiEu#@5CB`}pXZ6wI6bVH){2-!KC#4+oT$;*! z#8Eeuu^VJ}ii-hCZr_7k3vdu@sqBb19#jY~xWNbeICba_Qw6B@i~H2dIewutb`2`$ zvVzedm<|HQ17@x>5GJy;_ZXBEVWg4~Kf}?lvr%Df5Rav#%d3ASm6Qx=^6nQ?K-xmQ zoV=;Xk4qi^xd9J<47fyVzLQ1vAy5rajHMh--c_Vv?~hBqsFGEZ`pvZw1}nag9M5Hu z^wtBGQz>^RFDgbaz+=dY=xpK!sw@l3QpW=$~OL-KZv)8vyDRLKXOH1jNB z|Ap+4%xKVJjW{NQQ?tQQASSY^dZSYn8t)EOedflheC;l+{YwQJbh2v6hSRXC3Y)c{ zSvviQ>cNtK7X(RfHkB`2!Zb~_hH%9N58D<{49KpEj~K+T*35}ot7Wy9%3)8s7{gU9 zxE_05aBIjDeaoJ(f<4)`X3w%K+#05uHyebYYq(jXb{(oHjEOuZuTlkA4#INHc^zQv)NyN3LgdA zodKDh$|OnZ4h1k%#vevCTgp}pJ?`ix-y!HXKXN@yas)| z9oRHxd#ElbVV%t}exX8(D0pj<-~7V$AQ`To!4p#P#G}$JM?p7Py`cC#9}v$`kS~2$ ze!L_9-%}rwA8oE|yQf}Ps@rz1#oFD#u~bf*UZ&}YdpY*=y-`TzhLFMyAsnhoe%@cs zDUZ2M4kNRSDzDhNLyrb#W2WX}hj}pe%;~{-Tp2bJR<7K2WXPup2EXeuUWRPtGeSkQ z1CFfNXg`kf^eD3{>JB*+N}QqgE>1FQQn_SUdY=ks%F#Jbz3it50|AA5z#xYX5HSzcLg>t@V3`Oa23 z&#P1>;c9#Gw5bjs;qX?@{r(~F12^}Jn|HZlQ-&RSBB5*^f#G*~pf129g4kWrY|Vn% zkp}{X(T`GQ7s%BE{qS)`g1J~fp&7!X?FdMKFzh9@;u@%uJ#>Oz?k$ewhniVNXW;I&z6!Z;YxE9+k}T}lrRFXUTKWG zo(5-Dsja^;fTG7{-G|XLbpljxoCcT0$y<*r-N9)0VcTA#ShF+*4P$Of45pEz{w@#< z&M_*G!8wRlpblfzy0O&sq%C7FsGH`1%8bdu5jWN~Cdb^^PmVMPO`1rIt0}QIk`GWh zdg{0v{BP@z&;bv!Tw@3TD!_=2`8gjR*=o( z`Y{X>ZcXgnDJirsw?rp1q_{1FX=Pi8W|pnF*u$|c1cKZa!mU)>LQuMknyuLW-Ye6L zR^!i4G?%^cbgCr!Gr`*RQ%=5}24Yf|l6Sf(B07u>oosq@O8rKsAb_XSJYB{Z@Qji9;f*`aHwW(PJ0R}3RinaqXk;3QFj`>aX5`0@?4`z^83Y&pr5okE*p19cSnkK#f+bD5At)IV+9J z1Vrc9&ge7htQBOZFLz@Elw@|N;q;!f@&OJqSwOox;m~ro2q(zOAt#7H;i09enV!jU zjjkGkyviEVRGIx!S9GCX>V;r`n*y?NfDB}I*A44UU8dqI8bld#107XIysC;*UUmKC z=r!$RK*o-}froTyYAa^7P3yxj%aH9@kggpxtI6IE!EBV13EJUGbCC&}f(1yHn?T@E#&d&Q=<{>EF zKo-eQO(>BYd=TXdHw{@~#jGGM8?l1eSBS^3+8AYaH2pGc9QIR)7VQt)W`Iia5|fx| zxl&ZG8-SK8fT_duTP_%t^mRQejoW!($X#A(++lAq4mf~2eXs|PA}Mu2DCr&=fom%O^aJG!AI`aYdVkdSXqYc3D7k zB*E!ea|ifZ50=U6^#VFaUq|DgTeo0y*DTq0OM~S3EouqQ=_E9HSpVl1rDrOP8-JXZIT$H0I%LI3d z-tG22#C@YRl{MsU&Qg!4P^L&-I@;szl)Jd(8rFj>3GLsY{Uq5%lB4(c?KT=El^Wko z6>ZRTQVo&n*!|oEYbr{^+TBeRZ-63F?IqP6_xJ5174~6+PUgyTeGm5y4!!3U+%9|XU#7)(wQ*lk!`H|%bQ z)?v8xLaK_}RY#?I727X))wJS6gv?;{S@>%Te~s{xyD1ItRr=Qz{<=gA+}CUAd+X<> zqc;@(2I0GK5ck%n>MUpAQR*?%)Xd5^mEuiOKq>Xlf}xWA_Sx?)ej&*>b^3+p8x<54 z@3N5Hub|g&40`RxpjU4UI&O=WlrT%ZI4ya*PAYUp-d@4fWpL8)mpb7ucf;jmQJ2U% z%4^Y$rWtMny2;%Jgl+nS<3xbcGzlGa%`6lRNujqAI^b?ibKU~f?`}yUnlaie62!|< zQ>fDBaE{A|x-1lawPXKCG}G_*d+!1l7$YaVcVRzoI2~-gu;RDI)b?xtjn4s5*uEPq zYbyaRPri*e$2`{Xdh$*Nz}3mqzmUZkZzs=YFnpZ6kO7{Xlb18NhO_(|8L$W7jSSce z@a+tMGn02RfOVnd>0eB1gCUb=GhkR%_a-l7FnpN2oB_jPi{9iL84UX+Z)Cu*n4>rO zb_TO_lDv}vy3YCO%rx2y@N5PQ0lbjSD#&@m(>|_bD84%=he~l7pUZw`H2oCxQ*ryf zkLI_d&dFokqIhWVpO;BpHa3ueY_dBGUyM+edT7L}&0cwEaHx)PGImMBiBO6X*J4s)rS1Nv`>y+igFcmZeh`|nx=Ppfj^aX0rPF8 z+l9)Yu^=>RTL6fWMxh_d5*q#H{OW&Q4r{4{x;H~(mDIS$!5wXoT_7~-mNhM$*(+#d z8OzYfZWKJ*@Bu1_WIx!Ha$+1J6ZB8N1M>SefzA*wAb^;L2AlJ@P{b;xo|Nz!ywjSY4xb~Ft3xR_R+zUQ-#x`WdN`qx5v(<@(tsW52b;QD1C5v9i#$ zDya;w)Q_pyQC%RkWygOp`KC%PbxW>)l#=6*P_jAlRI3B!ek#!+1Jf$|gj05D<6b5~ zU4y4iE1i~DNhe=m8udY);94$A$>xXx5<`=S6$<=4bK(M`j!4{8k|N7!o0K8rkuA+t zQQJB|7Q4~jD#K38S1yy76{!M`ROuH7%8jg3{JrK+Zo&+@0$x0eMAGHg%AuVhT(@A1 z+XG#YP1n#Evod{%S$oB-{E%48+UsTB|Gh67?D(Yzja~Uj$u83GGD6%Xc4cFYG3M7Q z*@Dq7zc$3$ovjVI!EASq5u>g4$m8qcCfkIxNaV>h`^|ix5Uwo+Mdls$Ye^D_w(tX+ zaCtvNE`srjJufg}*F~&LHd7aIF{BeuP z0o>#Lz{DKE7sg|_QNU-4A9$}rqp=1=w&2hD{=;ahvF0@1*mJK5j5o$nuHL%&f!TE| zrBaamz!JSF*!2VNky92wurB#m*JS+c>H2~9+~^19TW)*0e&9WB4}M^1fnk@@$d&^s zjoO%kw%CuZS(YCdRo0Y7tTeY*RpJMZ-QF)Yv$}*CDihT=W0v>h{;03qn}cd47M#BJ zmtph$EcLMEwZ=}qE&kzngrez!_YYgc=#~b`?%w2VcIGI?Aq6p2OKv{U*+#$(@vruT?AXRA=@c=>W1kQfU4>pGo27w(BjB$C3w`fh2SdQ z7NWI_t+{X&ZwtXy%vEUS&J?wDi4vb{er7PrJdckYB7!n8=j52xDjqm8TC8-PvWPhP z$-w$SW-g0Ur))v9Tbw#&3!3lZ)G1rg3@GGfgaP24vZ6u&@01mV0(hrv0dZmU1IF+P zdZ(;Z*Rs;%l-<${#znM-Q+CVnq)doA+T5f4wOZzq#TU(X1{f<{xaq6b`ZbRn?f7Jw zFQHl%FCyFXU#{6sD2n&)_MYUe)a~u-Md0dAjkh!Zxz-}CLZInBJ>2b)ceg85>Td5z zel1PWT|5;nGfyBcOn9q1uVob}>zA@J^KC_7aUCp|_uN3gO6B6rrR)hL#c)c^RLIs> zbC}d5fC7eBxtbvK1M~R^wiBf^*D10h%aifhA*%MlQBZu2Qkg`|9d2#s6Fla4LeIAI zN6d=a$p^pShtQr=_{UD;Ymbr-*A9?^d{O2r>O&B9_n9#*0*MZ_849A2zKb)+?=#CL z0_|?05$K$qhBP^?K@RFgRAdfpFCCg9JYQr*4s3~H<^${mYXH&@g%5rwuV;X?;#7)I zE<3qQ2}ACtZ?%pC+smPB9K8ekhH=c$2V3u0oU&&nN?rN0MDh1RZsx%L(?_m%VE;#r z+{}Ufr;l8d+S|p2SlLfee=N%FxluTHA<%r0Y&FUqogk?9ky z2BWR+z1LDouV?cGOIap}Fe0d54xrsOf$k<$&=62$jzmGIn9gntk;=)|G@k~58}~>k z4Kxc)0=wa=f>R1fV&9T2u(?snzI|7gmVHgvY)H$!P7zI`0qu^A6MxZ*%q$RT(;YfPZUZFkF|9zm616pvR32^r z*Kj;~rSL={-N`eI#l!|j@U=HPXW^$d7c>VlRNmxnvUcZBTWHTdcI<$iwy-Pi2$6pQ z-+iF%*W8})pt`4bHUi^kp;K@*;1PlLHl{a1Dh(biOf-6K7*WvF8I)`(h7YTuaG~Wh z`R2S9Vndzc<9H+^$)&+`8k}i9W`5-)khe~Ibavw|$78s0w;q+o7MmcPZvInm5zHs*MW0ni2&`G)jlJ=72KV=y^H(G{xEcR zpxdSU_5Lt??^~gH!P7l`7VusTL+!r&AKBh}Cu|(`qt?q+i`uixxgj|d3ml$cG_|tA zqt?LEk)Dc{>yF|#W2lt~hn{-PP4_Z6vu z&UQ)Rj24g68Tmx~*mnlqo8BzLm_h3fjJRW66Z@Du=FjbjCg`DPLUX6E&N#fDjf1{B zXk-$nWFm#0&HmckvRA01+S?;G7E4w^5p4e9U+;tFtMO6=QjilMeh>!CcE7=jcJK-; za@4f|3vJ_887$&fyulRM2&+&`y5wnJVP}_{UG|w&`$A)l&rKEmf%Y=J~9m2ig3`7ys}=Y&uiQb&)TMc#ks@$++g@^3tU+z+bR^rqrh1> z9yNA^7Wg((3I7JR3}R>x`|m1z1hOiEXY6`8{SlCuI$Q|t?3Jkk;xSfq=sIrnQW-a? za(zp})Vq?NTa4cef`F?BUku-?z0Yg@@4ZhqZ+~yZ`q>Pf4z{FN2^`_xxVSOg>%}*Q zdzEfWcuX7VrUaWu6~oUCEI!|0*D#rj5G31)AXn=i#Mx2^i1z0VIi!Sv$DyWX*iG6| z0W|0|t&YtHxXwPt2cO28Kjga!oiA8iu#i<75PxrO8qaQVhqxol_EXc=OTj z9Cf&2(r`3KD+2l;JzFLGL{3f+AeTpz-M9YuQf~uFYZMS(SDBMTSU#lX3}bH)q!Tkf zK*yiH3Joo)&y7Bs8=9uU3UFVyYyA>>c%Ecy>KGRun|(q=y9v__8CG0L=MMV`^+bPw z?_0XvijtE>6Q3SZIUwoSNSYJIrm~qMqm*2GG8O1llsuIIS`BB}U>`jk0e1%|X^>)y z&~OjBD{fi5v=j%jAyh)yq)}mA11+rRJKFt4DZfFy=zM#++amN%s)C9)?lN7kui7}Q zLWl6$Q7Ckt6~af-DNdhf)f$KnZNOeVSs``x7#6j=8u&b`Qz5RYMReLdloiqybP>(3 zcUwbmOqr!izBL?9jonbS(NIB0o4a&xQ5Rzjc(w(^ap=d>b;`cZx$8&N~e-S!Yab-vH8ug;K9Yfih} zEo`n%v)Dy-`sQnz<&cW(=Z^2@ZhaG0%ariSA^%=-NAUH+;QqV;HSBV`AL8eb)v#Y= z*za!cp68<7b*PlNN{HnF4@iWRT$6%f$^Ev~l|>cEz?2(C((Aw-c$dMusrXx({d5ki zAL24VN2|2Ak-9UUp8} z7)gh-q}*80NeUZ6VmgS##tGMu#z0d4tv6mq=>#ShYvWq)D!YSP0yF3cs)tl6Rw2zs z8wBb_P4UnOknP*BxIIAoY*Pt^;WvcjzWIggL9!d4c#P@+dkjcb4%|)k33i7d>^IfT z;RMciflc*$F%x8|mGX85lelo2qzYyBbi*J|KPtk`4n_KS%M4LU|c>& zKX>lAbtw+`gx8MufB0KIL>TEUADT_7H|<=Sl@$inecdK8>Kr~>ZFN&pFyKDiqUN42#aS3j(cCcu(WxGd$5We7CZ+q7qa`(1U( zPIMAk(4#nLsP38-UGZPjmtB%q-+zLWjfw7u@}FMdd5SrREVj9yv5HPXb||GAe8iZS z6~2B~K|F>kfKH;j$>oc=@Be|Wg6x!X_9>xhLB*y7 zsnNEet4%KrtEY+5qiZZ>KFX)l`7VM+1}Tj)fw)XE{l z>7vhL0~4^VEK^z-;!b9*NMzq>%GwoykE|%!W)i32MOWCc??s3=NtrK%X%11*knpe$ zD0!B+)B5a7UgTw9Bv8^$*r&C&e>}=ka5~|G%k$WFR%1`~1h{JaTy`U?p1bs-{&OHbB8e|+)A_nWI zot`)Pvukpklnl76ivPqsM_$(Kg`-h<=nAF+6AsgpZrRuyh3gVk_0V|Hmcw0C9Wu_X z@7ltkY2fai0*AH+T4lnWLj~QUh*YQcl-Y&I;ztFXHwp_L> zBd3p!L}my{r7a`@-zp?|?5930b1~w4#aziXiQACn`f?xv9K_uJG{^b!S*>xZ<*FgmG!T1<7|F}?K3x6`w`J{?K9l%+RxRJpJT0V#D9VIIQ_ z0*@i{O%RI^(H1VYk7zFGenJu_pltvmMiU5e5)^%r_GE`K_yX^k*0DZa;j7qMf`V6I z6>T3Vg7xaJ_-jk;0`9J(|KG;R zZ}JeIw2W;jH?kzeJ-7ncMoc4YI$qI?6{7+pUF2^oY`IpTsg~-t)qls$V$Ry$EJXO9 zd9%2*dV90nzX#3Y*6jMZt%G=!W=(y+gZPtJ|Hf}pKqWB!jGv$HQ}r`~9i$1|w#=k2 z3OFd<`H)%NL^(x@u3B9F+Xj?-}5s;Y5s))EhjZ4!^v>50Vkxq|Mav>jHi+@DNqo*GfXTqY9C9eR`qk@VdpxBB zOfiE2)%FrY5H~h=UY`!FKGPAZ72>gJdb1aTh zE}dVvYh#l#gP?irVRbUD~#oK5O{>5$Ze*wHd7ylLBhjZ{h;2o8S|9tts$=e+{ zcrpxvo*aBUh3DGs*7*zV)`DxVyY}2l%dJ1U*4o%Sw{*d+FS;MSwApr-=T<7h0?C@>1(jcYdzDvGkZbuOT`&ce&-pr(J8cb-uOM z-aNI&pe-%9`SsQHjZL@OT07f5mj!ODx98eR>ucM?H|OV8TiXLKtZy#ulz3_F!lky` zT>9A-WbJA0m!YXV4GP{%o3r>Y0grEk^YMReTfE?W{Fi}m%jLfYd}j{+m2L1>fb;DU zoGM|1scZuD^nj zj{N8G{}+H8+u)Dq;2+->FIe#zUCeE4%srmcN?uldmvs4d{0?xw9fI@i_+8*eu3o|U zcKqJ9c)|I0oEMFsHX8SYn=9)Fq9E9vgFm(n{y6YZF8&9%!A}7*De|Ak*%yGPa_}!~ zi~q%K@vFf3zMThlx%`43&cSQIhjQ@xw)BGY=`UNvmj1qN@crB19|69J)C+U%xkr~4+UL%-md>7Q&#$g;BJz7s+V30YREibKY&Mmeaa&~T0 z9bH;;Do&UUf*OD7r)2yt{zS8PEBfw*)`iWx7go>Dt*)-m)9{JArmfvs5RF&!HY9Z2 z;vAFi!aeSS1g-nQkDI`Cce?XSYp2&Qw>HkvmY`m#2e(uH3sk5%>VvX>tebuAv2ONG z{{M#j8kh5}^XnUr(=i%o6NQlC&OFW-qzwv!m#I^9^gBG2XS2Ovy|7Gl;X8y25Az^j zZOY@s^JfrL2p5h#J$7Nq(0%+#=7{z+?Q~uC{%$u4bL-4ybn?vlrL~34dtCcmOLEXHZPIU| z1p9=91b>Gz9C?46r^fcrhFthN^0&TWWW9!b&G>nL@xeGe-$7JiQl z{uO1YZ*gzk`h0+=@U=Cga->;_%kq4Tw95ObG*6ayetn~5-CjK3zI*M`dAc1uMjFxJ zB7ef|*kfbx&)CG+f^JvKc)Juy8weSCUqdU|?hdUpE6%-GEM%*4#( z%<-A2ndzCCnc0~WvtzU4vlFwEv&UzrW~XOoW@l$loS=y(sQv^+pCH=_f;Q&X&bHiU zdv2qhj!`z6K4uYlw7I%8Z>;U-69*n}K4|P7fhtgcNr z$MA|c$Yen9DtSb^{|is!iS*HILrz7S`dTwe_|XIE2bVYqPzy=J{-E(xB#f zY!6TA4bMX>VemxHg^l%vOYk~i~*#_yV) zxNFRfE>5?m#>ZQ&dB>0*KQ=ygY<%kW(xY>$Br73@NK`|&KY#2D-8|b`+a=j_V#" + ], + "version": "0.1.0", + "files": [ + "cesiumjs_gsplat_utils_bg.wasm", + "cesiumjs_gsplat_utils.js", + "cesiumjs_gsplat_utils.d.ts" + ], + "main": "cesiumjs_gsplat_utils.js", + "types": "cesiumjs_gsplat_utils.d.ts", + "sideEffects": [ + "./snippets/*" + ] +} \ No newline at end of file From baf322d2582d8ebef5fae73bb6c6b700a563af9d Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Fri, 6 Dec 2024 09:53:07 -0600 Subject: [PATCH 43/97] Rendering fixes and tweaks --- .../Scene/Model/GaussianSplatPipelineStage.js | 8 ++++++- .../GaussianSplatTexturePipelineStage.js | 6 +++++ .../engine/Source/Scene/PrimitiveLoadPlan.js | 1 + packages/engine/Source/Scene/Scene.js | 2 +- .../Source/Shaders/Model/GaussianSplatFS.glsl | 3 +-- .../Source/Shaders/Model/GaussianSplatVS.glsl | 23 +++++++++++++++++-- 6 files changed, 37 insertions(+), 6 deletions(-) diff --git a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js index 6e96569bf540..7fff231bff75 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js @@ -85,7 +85,7 @@ GaussianSplatPipelineStage.process = function ( shaderBuilder.addAttribute("vec2", "a_screenQuadPosition"); shaderBuilder.addAttribute("float", "a_dummy"); - shaderBuilder.addAttribute("vec3", "a_splatPosition"); + shaderBuilder.addAttribute("uvec3", "a_splatPosition"); shaderBuilder.addAttribute("vec4", "a_splatColor"); //shaderBuilder.addAttribute("float", "a_splatOpacity"); @@ -101,6 +101,8 @@ GaussianSplatPipelineStage.process = function ( shaderBuilder.addUniform("float", "u_focalY", ShaderDestination.VERTEX); shaderBuilder.addUniform("float", "u_splatScale", ShaderDestination.VERTEX); + shaderBuilder.addUniform("mat4", "u_scalingMatrix", ShaderDestination.VERTEX); + const uniformMap = renderResources.uniformMap; const cam = frameState.camera; const width = frameState.context.drawingBufferWidth; @@ -131,6 +133,10 @@ GaussianSplatPipelineStage.process = function ( return renderResources.model?.style?.splatScale ?? 1.0; }; + uniformMap.u_scalingMatrix = function () { + return renderResources.model.sceneGraph.components.nodes[0].matrix; + }; + const timer = new CesiumPerformanceTimer(); const radixSort = () => { diff --git a/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js index d36589364608..41d50c8c6383 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js @@ -102,6 +102,8 @@ GaussianSplatTexturePipelineStage.process = function ( ShaderDestination.VERTEX, ); + shaderBuilder.addUniform("mat4", "u_scalingMatrix", ShaderDestination.VERTEX); + shaderBuilder.addUniform("float", "u_splatScale", ShaderDestination.VERTEX); const uniformMap = renderResources.uniformMap; @@ -115,6 +117,10 @@ GaussianSplatTexturePipelineStage.process = function ( return primitive.gaussianSplatTexture; }; + uniformMap.u_scalingMatrix = function () { + return renderResources.model.sceneGraph.components.nodes[0].matrix; + }; + const timer = new CesiumPerformanceTimer(); const radixWasmSimd = async () => { async function ensureWasmInitialized() { diff --git a/packages/engine/Source/Scene/PrimitiveLoadPlan.js b/packages/engine/Source/Scene/PrimitiveLoadPlan.js index 0491b15b6316..cfa038c71f30 100644 --- a/packages/engine/Source/Scene/PrimitiveLoadPlan.js +++ b/packages/engine/Source/Scene/PrimitiveLoadPlan.js @@ -271,6 +271,7 @@ function setupGaussianSplatBuffers(loadPlan, context) { function generateSplatTexture(loadPlan, context) { loadPlan.primitive.gaussianSplatTexturePending = true; + GaussianSplatTextureGenerator.generateFromAttrs( loadPlan.primitive.attributes, loadPlan.primitive.attributes[0].count, diff --git a/packages/engine/Source/Scene/Scene.js b/packages/engine/Source/Scene/Scene.js index 2bc0a02f3ea6..cfaf2da03a19 100644 --- a/packages/engine/Source/Scene/Scene.js +++ b/packages/engine/Source/Scene/Scene.js @@ -2393,7 +2393,7 @@ function performGaussianSplatPass(scene, passState, frustumCommands) { commands.length = frustumCommands.indices[Pass.GAUSSIAN_SPLATS]; //still necessary? - mergeSort(commands, frontToBack, scene.camera.positionWC); + mergeSort(commands, backToFront, scene.camera.positionWC); for (let i = 0; i < commands.length; ++i) { executeCommand(commands[i], scene, passState); diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl index 7b6e2078e942..0af1ce0437ea 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl @@ -2,8 +2,7 @@ void gaussianSplatStage(inout vec4 color, in ProcessedAttributes attributes) { mediump float A = dot(v_vertPos, v_vertPos); if(A > 1.0) discard; - mediump float alpha = clamp(v_splatColor.a * 2., 0., 1.); - mediump float B = exp(-A * 4.0) *alpha; + mediump float B = exp(-A * 4.0) * (v_splatColor.a); color = vec4(v_splatColor.rgb * B, B); } diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl index e864af58eb73..8c510a2b1c94 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl @@ -72,15 +72,25 @@ vec3 calcCov2D(vec3 worldPos, float focal_x, float focal_y, float tan_fovx, floa return vec3(cov[0][0], cov[0][1], cov[1][1]); } +vec3 dequantizePos(uvec3 qPos) { + vec3 normalizedPos = vec3(qPos) / 65535.0; + + vec4 worldPos = u_scalingMatrix * vec4(normalizedPos, 1.0); + + return vec3(worldPos); +} + + void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) { mat4 viewMatrix = czm_modelView; - vec4 clipPosition = czm_modelViewProjection * vec4(a_splatPosition ,1.0); + vec3 deqPos = dequantizePos(a_splatPosition); + vec4 clipPosition = czm_modelViewProjection * vec4(deqPos ,1.0); positionClip = clipPosition; float[6] cov3D; calcCov3D(attributes.scale, attributes.rotation, cov3D); - vec3 cov = calcCov2D(a_splatPosition, u_focalX, u_focalY, u_tan_fovX, u_tan_fovY, cov3D, viewMatrix); + vec3 cov = calcCov2D(deqPos, u_focalX, u_focalY, u_tan_fovX, u_tan_fovY, cov3D, viewMatrix); float mid = (cov.x + cov.z) / 2.0; float radius = length(vec2((cov.x - cov.z) / 2.0, cov.y)); @@ -134,10 +144,19 @@ vec4 calcCovVectors(vec3 worldPos, mat3 Vrk, mat3 viewmatrix) { highp vec4 discardVec = vec4(0.0, 0.0, 2.0, 1.0); +vec4 dequantizePos(uvec4 qPos) { + vec3 normalizedPos = vec3(qPos) / 65535.0; + + vec4 worldPos = u_scalingMatrix * vec4(normalizedPos, 1.0); + + return worldPos; +} + void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) { uint texIdx = uint(a_splatIndex); ivec2 posCoord = ivec2((texIdx & 0x3ffu) << 1, texIdx >> 10); vec4 splatPosition = vec4( uintBitsToFloat(uvec4(texelFetch(u_splatAttributeTexture, posCoord, 0))) ); + //vec4 splatPosition = dequantizePos(uvec4(texelFetch(u_splatAttributeTexture, posCoord, 0))); vec4 splatViewPos = czm_modelView * vec4(splatPosition.xyz, 1.0); vec4 clipPosition = czm_projection * splatViewPos; From bf4ab9dca72402472f454963e3d8dc74ba974a0c Mon Sep 17 00:00:00 2001 From: Jason Sobotka Date: Tue, 10 Dec 2024 16:50:40 -0600 Subject: [PATCH 44/97] lets try and clean up this branch with the new prettier changes --- .github/actions/check-for-CLA/index.js | 10 +- Apps/CesiumViewer/CesiumViewer.js | 6 +- Apps/CesiumViewer/index.html | 2 +- Apps/HelloWorld.html | 2 +- Apps/Sandcastle/CesiumSandcastle.js | 72 +- Apps/Sandcastle/LinkButton.js | 4 +- Apps/Sandcastle/Sandcastle-client.js | 20 +- Apps/Sandcastle/Sandcastle-header.js | 4 +- .../gallery/3D Models Coloring.html | 10 +- Apps/Sandcastle/gallery/3D Models.html | 14 +- .../gallery/3D Tiles 1.1 CDB Yemen.html | 52 +- ...les 1.1 Photogrammetry Classification.html | 10 +- .../gallery/3D Tiles 1.1 Photogrammetry.html | 18 +- .../gallery/3D Tiles 1.1 S2 Globe.html | 8 +- .../gallery/3D Tiles Adjust Height.html | 14 +- Apps/Sandcastle/gallery/3D Tiles BIM.html | 42 +- .../3D Tiles Batch Table Hierarchy.html | 4 +- .../gallery/3D Tiles Clipping Planes.html | 21 +- Apps/Sandcastle/gallery/3D Tiles Compare.html | 2 +- .../gallery/3D Tiles Feature Picking.html | 26 +- .../gallery/3D Tiles Feature Styling.html | 2 +- Apps/Sandcastle/gallery/3D Tiles Formats.html | 6 +- .../gallery/3D Tiles Inspector.html | 4 +- .../gallery/3D Tiles Interactivity.html | 19 +- .../Sandcastle/gallery/3D Tiles Interior.html | 6 +- ...D Tiles Photogrammetry Classification.html | 6 +- .../gallery/3D Tiles Photogrammetry.html | 2 +- .../3D Tiles Point Cloud Classification.html | 8 +- .../gallery/3D Tiles Point Cloud Shading.html | 25 +- .../gallery/3D Tiles Point Cloud Styling.html | 4 +- .../gallery/3D Tiles Point Cloud.html | 6 +- .../3D Tiles Terrain Classification.html | 2 +- .../3D Tiles Vertical Exaggeration.html | 6 +- Apps/Sandcastle/gallery/AEC Clipping.html | 8 +- .../gallery/Aerometrex San Francisco.html | 18 +- .../Sandcastle/gallery/Ambient Occlusion.html | 14 +- Apps/Sandcastle/gallery/ArcGIS MapServer.html | 6 +- .../ArcGIS Tiled Elevation Terrain.html | 9 +- Apps/Sandcastle/gallery/ArcticDEM.html | 19 +- Apps/Sandcastle/gallery/Atmosphere.html | 4 +- Apps/Sandcastle/gallery/Bathymetry.html | 28 +- Apps/Sandcastle/gallery/Billboards.html | 8 +- .../gallery/Bing Maps Labels Only.html | 10 +- Apps/Sandcastle/gallery/Bloom.html | 6 +- Apps/Sandcastle/gallery/Blue Marble.html | 4 +- Apps/Sandcastle/gallery/Box.html | 2 +- Apps/Sandcastle/gallery/CZML 3D Tiles.html | 4 +- .../gallery/CZML Billboard and Label.html | 2 +- Apps/Sandcastle/gallery/CZML Box.html | 2 +- .../gallery/CZML Circles and Ellipses.html | 2 +- Apps/Sandcastle/gallery/CZML Colors.html | 2 +- .../gallery/CZML Cones and Cylinders.html | 2 +- Apps/Sandcastle/gallery/CZML Corridor.html | 2 +- .../gallery/CZML Custom Properties.html | 19 +- .../CZML Model - Node Transformations.html | 29 +- .../gallery/CZML Model Articulations.html | 4 +- .../gallery/CZML Model Data URL.html | 7 +- Apps/Sandcastle/gallery/CZML Model.html | 4 +- Apps/Sandcastle/gallery/CZML Path.html | 8054 ++--------------- .../gallery/CZML Point - Time Dynamic.html | 20 +- Apps/Sandcastle/gallery/CZML Point.html | 2 +- ...ML Polygon - Interpolating References.html | 46 +- ...ZML Polygon - Intervals, Availability.html | 67 +- Apps/Sandcastle/gallery/CZML Polygon.html | 46 +- .../gallery/CZML Polyline Volume.html | 57 +- Apps/Sandcastle/gallery/CZML Polyline.html | 2 +- .../gallery/CZML Position Definitions.html | 2 +- Apps/Sandcastle/gallery/CZML Rectangle.html | 2 +- .../gallery/CZML Reference Properties.html | 19 +- .../gallery/CZML Spheres and Ellipsoids.html | 2 +- Apps/Sandcastle/gallery/CZML Wall.html | 39 +- Apps/Sandcastle/gallery/CZML ZIndex.html | 19 +- Apps/Sandcastle/gallery/CZML.html | 6 +- .../gallery/Callback Position Property.html | 10 +- .../Sandcastle/gallery/Callback Property.html | 8 +- Apps/Sandcastle/gallery/Camera Tutorial.html | 6 +- Apps/Sandcastle/gallery/Camera.html | 12 +- Apps/Sandcastle/gallery/Cardboard.html | 8 +- .../gallery/Cartographic Limit Rectangle.html | 6 +- Apps/Sandcastle/gallery/Cesium Inspector.html | 12 +- .../gallery/Cesium OSM Buildings.html | 2 +- Apps/Sandcastle/gallery/Cesium Widget.html | 2 +- .../gallery/Cesium World Terrain.html | 6 +- .../gallery/Circles and Ellipses.html | 2 +- .../gallery/Clamp Entities to Ground.html | 38 +- .../gallery/Clamp Model to Ground.html | 8 +- .../Sandcastle/gallery/Clamp to 3D Model.html | 4 +- .../gallery/Classification Types.html | 48 +- Apps/Sandcastle/gallery/Classification.html | 82 +- Apps/Sandcastle/gallery/Clipping Regions.html | 18 +- Apps/Sandcastle/gallery/Clock.html | 2 +- Apps/Sandcastle/gallery/Cloud Parameters.html | 12 +- Apps/Sandcastle/gallery/Clouds.html | 6 +- Apps/Sandcastle/gallery/Clustering.html | 8 +- Apps/Sandcastle/gallery/Corridor.html | 23 +- .../Sandcastle/gallery/Custom DataSource.html | 6 +- Apps/Sandcastle/gallery/Custom Geocoder.html | 4 +- .../Custom Per-Feature Post Process.html | 4 +- .../gallery/Custom Post Process.html | 4 +- .../gallery/Custom Shaders 3D Tiles.html | 6 +- .../gallery/Custom Shaders Models.html | 12 +- .../Custom Shaders Property Textures.html | 2 +- .../gallery/Cylinders and Cones.html | 2 +- .../gallery/DataSource Ordering.html | 2 +- Apps/Sandcastle/gallery/Depth of Field.html | 8 +- .../gallery/Distance Display Conditions.html | 2 +- .../gallery/Drawing on Terrain.html | 8 +- Apps/Sandcastle/gallery/Earth at Night.html | 18 +- .../gallery/Elevation Band Material.html | 4 +- Apps/Sandcastle/gallery/Export KML.html | 6 +- Apps/Sandcastle/gallery/FXAA.html | 6 +- Apps/Sandcastle/gallery/Fog Post Process.html | 8 +- Apps/Sandcastle/gallery/GPX.html | 16 +- .../gallery/GeoJSON and TopoJSON.html | 10 +- .../gallery/GeoJSON simplestyle.html | 4 +- .../gallery/Geometry Height Reference.html | 6 +- .../gallery/Geometry and Appearances.html | 172 +- Apps/Sandcastle/gallery/Globe Interior.html | 8 +- ...342\200\223 Water Mask Elevation Map.html" | 6 +- Apps/Sandcastle/gallery/Globe Materials.html | 32 +- .../gallery/Globe Translucency.html | 146 +- .../gallery/Google Earth Enterprise.html | 11 +- ...alistic 3D Tiles with Building Insert.html | 6 +- .../Google Photorealistic 3D Tiles.html | 6 +- Apps/Sandcastle/gallery/HTML Overlays.html | 4 +- Apps/Sandcastle/gallery/HeadingPitchRoll.html | 14 +- Apps/Sandcastle/gallery/Hello World.html | 2 +- .../gallery/High Dynamic Range.html | 14 +- .../gallery/I3S 3D Object Layer.html | 6 +- .../gallery/I3S Building Scene Layer.html | 8 +- .../gallery/I3S Feature Picking.html | 6 +- .../gallery/I3S IntegratedMesh Layer.html | 6 +- .../gallery/Image-Based Lighting.html | 24 +- .../gallery/Imagery Adjustment.html | 2 +- .../gallery/Imagery Color To Alpha.html | 4 +- Apps/Sandcastle/gallery/Imagery Cutout.html | 14 +- .../gallery/Imagery Layers Manipulation.html | 35 +- .../gallery/Imagery Layers Split.html | 8 +- .../Imagery Layers Texture Filters.html | 10 +- Apps/Sandcastle/gallery/Imagery Layers.html | 6 +- Apps/Sandcastle/gallery/Interpolation.html | 12 +- Apps/Sandcastle/gallery/Japan Buildings.html | 46 +- Apps/Sandcastle/gallery/KML Tours.html | 6 +- Apps/Sandcastle/gallery/KML.html | 16 +- Apps/Sandcastle/gallery/Labels.html | 31 +- Apps/Sandcastle/gallery/LensFlare.html | 12 +- Apps/Sandcastle/gallery/Lighting.html | 31 +- .../Sandcastle/gallery/LocalToFixedFrame.html | 12 +- Apps/Sandcastle/gallery/MSAA.html | 14 +- .../Manually Controlled Animation.html | 20 +- Apps/Sandcastle/gallery/Map Pins.html | 16 +- Apps/Sandcastle/gallery/Materials.html | 19 +- .../gallery/Montreal Point Cloud.html | 38 +- Apps/Sandcastle/gallery/Moon.html | 53 +- Apps/Sandcastle/gallery/Multi-part CZML.html | 11 +- .../gallery/Multiple Synced Views.html | 8 +- Apps/Sandcastle/gallery/Natural Earth II.html | 4 +- Apps/Sandcastle/gallery/Offline.html | 6 +- Apps/Sandcastle/gallery/PAMAP Terrain.html | 21 +- .../gallery/Parallels and Meridians.html | 32 +- .../gallery/Partial Ellipsoids.html | 12 +- .../gallery/Particle System Fireworks.html | 22 +- .../gallery/Particle System Tails.html | 28 +- .../gallery/Particle System Weather.html | 30 +- Apps/Sandcastle/gallery/Particle System.html | 12 +- .../gallery/Per-Feature Post Processing.html | 6 +- .../gallery/Physically-Based Materials.html | 34 +- Apps/Sandcastle/gallery/Picking.html | 43 +- Apps/Sandcastle/gallery/Plane.html | 2 +- Apps/Sandcastle/gallery/Points.html | 2 +- Apps/Sandcastle/gallery/Polygon.html | 141 +- Apps/Sandcastle/gallery/Polyline Dash.html | 37 +- Apps/Sandcastle/gallery/Polyline Volume.html | 35 +- Apps/Sandcastle/gallery/Polyline.html | 23 +- .../gallery/Polylines on 3D Tiles.html | 28 +- Apps/Sandcastle/gallery/Post Processing.html | 10 +- .../gallery/Procedural Terrain.html | 6 +- Apps/Sandcastle/gallery/Projection.html | 2 +- Apps/Sandcastle/gallery/Rectangle.html | 5 +- .../gallery/Resolution Scaling.html | 2 +- Apps/Sandcastle/gallery/Rotatable 2D Map.html | 2 +- .../gallery/Sample Height from 3D Tiles.html | 12 +- .../gallery/Scene Rendering Performance.html | 4 +- Apps/Sandcastle/gallery/Sentinel-2.html | 4 +- Apps/Sandcastle/gallery/Shadows.html | 18 +- .../gallery/Show or Hide Entities.html | 2 +- .../gallery/Spheres and Ellipsoids.html | 2 +- Apps/Sandcastle/gallery/SplitDirection.html | 2 +- Apps/Sandcastle/gallery/Star Burst.html | 28 +- .../gallery/Terrain Clipping Planes.html | 50 +- .../gallery/Terrain Exaggeration.html | 10 +- Apps/Sandcastle/gallery/Terrain.html | 64 +- .../gallery/Time Dynamic Point Cloud.html | 4 +- .../gallery/Time Dynamic Wheels.html | 14 +- .../Sandcastle/gallery/Underground Color.html | 6 +- Apps/Sandcastle/gallery/Video.html | 9 +- Apps/Sandcastle/gallery/Voxel Picking.html | 12 +- Apps/Sandcastle/gallery/Voxels.html | 46 +- Apps/Sandcastle/gallery/Wall.html | 62 +- .../gallery/Washington DC 2017.html | 4 +- .../gallery/Web Map Service (WMS).html | 7 +- .../Web Map Tile Service with Time.html | 5 +- .../gallery/Z-Indexing Geometry.html | 6 +- .../development/3D Models Articulations.html | 80 +- .../development/3D Models Node Explorer.html | 16 +- .../gallery/development/3D Models.html | 16 +- .../3D Tiles Performance Testing.html | 30 +- .../gallery/development/3D Tiles Picking.html | 4 +- .../gallery/development/3D Tiles Split.html | 6 +- .../development/BillboardClampToGround.html | 8 +- .../development/Billboards Instancing.html | 6 +- .../gallery/development/Billboards.html | 8 +- .../gallery/development/Box Outline.html | 6 +- Apps/Sandcastle/gallery/development/Box.html | 8 +- .../gallery/development/Circle Outline.html | 6 +- .../gallery/development/Circle.html | 12 +- .../development/Coplanar Polygon Outline.html | 58 +- .../gallery/development/Coplanar Polygon.html | 72 +- .../gallery/development/Corridor Outline.html | 18 +- .../gallery/development/Corridor.html | 33 +- .../gallery/development/Custom Primitive.html | 17 +- .../gallery/development/Cylinder Outline.html | 6 +- .../gallery/development/Cylinder.html | 8 +- .../development/Display Conditions.html | 19 +- .../gallery/development/Ellipse Outline.html | 4 +- .../gallery/development/Ellipse.html | 4 +- .../development/Ellipsoid Outline.html | 6 +- .../development/Ellipsoid Surface.html | 10 +- .../gallery/development/Ellipsoid.html | 6 +- Apps/Sandcastle/gallery/development/Fog.html | 6 +- .../gallery/development/Frustum.html | 16 +- ...fset Attribute box cylinder ellipsoid.html | 48 +- .../Geometry Offset Attribute.html | 44 +- .../development/Geometry and Appearances.html | 284 +- .../development/Ground Polyline Material.html | 25 +- .../Ground Primitive Materials.html | 18 +- .../gallery/development/Ground Primitive.html | 82 +- .../gallery/development/Labels.html | 4 +- .../development/Many Clipping Planes.html | 14 +- .../gallery/development/Material.html | 20 +- .../gallery/development/Multiple Shadows.html | 6 +- .../development/Per Instance Color.html | 10 +- .../gallery/development/Pick From Ray.html | 18 +- .../gallery/development/Picking.html | 82 +- .../gallery/development/PointPrimitives.html | 20 +- .../gallery/development/Polygon Outline.html | 43 +- .../Polygon Texture Coordinates.html | 141 +- .../gallery/development/Polygon.html | 118 +- .../gallery/development/Polyline Color.html | 6 +- .../development/Polyline Material.html | 23 +- .../development/Polyline Volume Outline.html | 18 +- .../gallery/development/Polyline Volume.html | 37 +- .../gallery/development/Polyline.html | 20 +- .../development/Polylines On Terrain.html | 50 +- .../gallery/development/Polylines.html | 27 +- .../development/Rectangle Outline.html | 4 +- .../gallery/development/Rectangle.html | 8 +- .../gallery/development/Shadows.html | 48 +- .../gallery/development/Simple Polyline.html | 8 +- .../gallery/development/Sphere Outline.html | 6 +- .../gallery/development/Sphere.html | 6 +- .../development/Terrain Entity Batching.html | 32 +- .../development/Terrain Performance.html | 38 +- .../gallery/development/Terrain Tweaks.html | 4 +- .../gallery/development/Volumes.html | 8 +- .../gallery/development/Wall Outline.html | 6 +- Apps/Sandcastle/gallery/development/Wall.html | 50 +- .../gallery/glTF PBR Extensions.html | 26 +- Apps/Sandcastle/index.html | 4 +- Apps/Sandcastle/standalone.html | 4 +- .../templates/bucket-requirejs.html | 2 +- Apps/Sandcastle/templates/bucket.html | 2 +- Apps/TimelineDemo/TimelineDemo.js | 12 +- Apps/TimelineDemo/index.html | 2 +- CHANGES.md | 2 +- .../Contributors/CodingGuide/README.md | 11 +- .../PerformanceTestingGuide/README.md | 4 +- .../Contributors/TestingGuide/README.md | 16 +- Documentation/OfflineGuide/README.md | 6 +- Specs/Cesium3DTilesTester.js | 28 +- Specs/Data/WMS/GetFeatureInfo.html | 2 +- Specs/DomEventSimulator.js | 14 +- Specs/ImplicitTilingTester.js | 61 +- Specs/MetadataTester.js | 12 +- Specs/MockImageryProvider.js | 8 +- Specs/MockTerrainProvider.js | 66 +- Specs/ShaderBuilderTester.js | 66 +- Specs/SpecRunner.html | 4 +- Specs/TerrainTileProcessor.js | 23 +- Specs/TestWorkers/transferArrayBuffer.js | 15 +- Specs/addDefaultMatchers.js | 28 +- Specs/concatTypedArrays.js | 2 +- Specs/createDynamicGeometryUpdaterSpecs.js | 14 +- Specs/createFrameState.js | 10 +- ...reateGeometryUpdaterGroundGeometrySpecs.js | 66 +- Specs/createGeometryUpdaterSpecs.js | 84 +- Specs/createPackableArraySpecs.js | 2 +- Specs/createPackableSpecs.js | 4 +- Specs/createScene.js | 6 +- Specs/customizeJasmine.js | 2 +- Specs/e2e/cesium.html | 2 +- Specs/e2e/models.spec.js | 18 +- Specs/e2e/picking.spec.js | 6 +- Specs/getWebGLStub.js | 14 +- Specs/karma-main.js | 2 +- Specs/pick.js | 4 +- Specs/pollToPromise.js | 2 +- Specs/spec-main.js | 2 +- Specs/testDefinitionChanged.js | 4 +- Specs/testMaterialDefinitionChanged.js | 4 +- Tools/jsdoc/cesium_template/publish.js | 8 +- .../static/javascript/cesiumDoc.js | 2 +- .../static/javascript/html5.js | 9 +- .../static/styles/jsdoc-default.css | 4 +- index.html | 2 +- index.release.html | 4 +- .../Source/Core/ApproximateTerrainHeights.js | 30 +- .../ArcGISTiledElevationTerrainProvider.js | 68 +- .../Source/Core/AttributeCompression.js | 24 +- .../Source/Core/AxisAlignedBoundingBox.js | 4 +- .../Source/Core/BingMapsGeocoderService.js | 2 +- .../engine/Source/Core/BoundingRectangle.js | 6 +- packages/engine/Source/Core/BoundingSphere.js | 88 +- packages/engine/Source/Core/BoxGeometry.js | 12 +- .../engine/Source/Core/BoxOutlineGeometry.js | 6 +- packages/engine/Source/Core/Cartesian2.js | 14 +- packages/engine/Source/Core/Cartesian3.js | 40 +- packages/engine/Source/Core/Cartesian4.js | 16 +- packages/engine/Source/Core/Cartographic.js | 12 +- .../engine/Source/Core/CatmullRomSpline.js | 12 +- .../Source/Core/CesiumTerrainProvider.js | 134 +- packages/engine/Source/Core/Check.js | 22 +- packages/engine/Source/Core/CircleGeometry.js | 12 +- .../Source/Core/CircleOutlineGeometry.js | 8 +- packages/engine/Source/Core/Clock.js | 8 +- packages/engine/Source/Core/Color.js | 19 +- .../Core/ColorGeometryInstanceAttribute.js | 2 +- .../engine/Source/Core/ComponentDatatype.js | 8 +- .../Source/Core/CompressedTextureBuffer.js | 4 +- packages/engine/Source/Core/ConstantSpline.js | 2 +- .../Source/Core/CoplanarPolygonGeometry.js | 85 +- .../Core/CoplanarPolygonGeometryLibrary.js | 10 +- .../Core/CoplanarPolygonOutlineGeometry.js | 12 +- .../engine/Source/Core/CorridorGeometry.js | 154 +- .../Source/Core/CorridorGeometryLibrary.js | 90 +- .../Source/Core/CorridorOutlineGeometry.js | 32 +- packages/engine/Source/Core/CullingVolume.js | 8 +- .../Core/CustomHeightmapTerrainProvider.js | 26 +- .../engine/Source/Core/CylinderGeometry.js | 16 +- .../Source/Core/CylinderGeometryLibrary.js | 2 +- .../Source/Core/CylinderOutlineGeometry.js | 8 +- packages/engine/Source/Core/DeveloperError.js | 2 +- ...splayConditionGeometryInstanceAttribute.js | 41 +- .../Source/Core/DoubleEndedPriorityQueue.js | 4 +- .../Source/Core/EarthOrientationParameters.js | 46 +- .../Core/EarthOrientationParametersSample.js | 2 +- .../engine/Source/Core/EllipseGeometry.js | 109 +- .../Source/Core/EllipseGeometryLibrary.js | 24 +- .../Source/Core/EllipseOutlineGeometry.js | 38 +- packages/engine/Source/Core/Ellipsoid.js | 58 +- .../engine/Source/Core/EllipsoidGeodesic.js | 33 +- .../engine/Source/Core/EllipsoidGeometry.js | 17 +- .../Source/Core/EllipsoidOutlineGeometry.js | 8 +- .../engine/Source/Core/EllipsoidRhumbLine.js | 65 +- .../Source/Core/EllipsoidTangentPlane.js | 32 +- .../Source/Core/EllipsoidTerrainProvider.js | 21 +- .../engine/Source/Core/EllipsoidalOccluder.js | 240 +- .../engine/Source/Core/FeatureDetection.js | 8 +- .../engine/Source/Core/FrustumGeometry.js | 28 +- .../Source/Core/FrustumOutlineGeometry.js | 8 +- .../Source/Core/GeographicTilingScheme.js | 12 +- packages/engine/Source/Core/Geometry.js | 22 +- .../engine/Source/Core/GeometryAttribute.js | 2 +- .../engine/Source/Core/GeometryInstance.js | 2 +- .../Source/Core/GeometryInstanceAttribute.js | 2 +- .../engine/Source/Core/GeometryPipeline.js | 270 +- .../Core/GoogleEarthEnterpriseMetadata.js | 37 +- .../Core/GoogleEarthEnterpriseTerrainData.js | 49 +- .../GoogleEarthEnterpriseTerrainProvider.js | 38 +- .../GoogleEarthEnterpriseTileInformation.js | 4 +- packages/engine/Source/Core/GoogleMaps.js | 2 +- packages/engine/Source/Core/GregorianDate.js | 8 +- .../Source/Core/GroundPolylineGeometry.js | 208 +- .../engine/Source/Core/HeadingPitchRoll.js | 14 +- .../Source/Core/HeightmapTerrainData.js | 112 +- .../Source/Core/HeightmapTessellator.js | 45 +- .../Core/HermitePolynomialApproximation.js | 16 +- packages/engine/Source/Core/HermiteSpline.js | 22 +- packages/engine/Source/Core/HilbertOrder.js | 2 +- packages/engine/Source/Core/Iau2006XysData.js | 12 +- .../engine/Source/Core/IauOrientationAxes.js | 4 +- .../Source/Core/IauOrientationParameters.js | 2 +- packages/engine/Source/Core/IndexDatatype.js | 10 +- .../engine/Source/Core/IntersectionTests.js | 114 +- .../engine/Source/Core/Intersections2D.js | 6 +- .../engine/Source/Core/IonGeocoderService.js | 4 +- packages/engine/Source/Core/IonResource.js | 10 +- packages/engine/Source/Core/Iso8601.js | 6 +- packages/engine/Source/Core/JulianDate.js | 56 +- packages/engine/Source/Core/KTX2Transcoder.js | 4 +- .../Core/LagrangePolynomialApproximation.js | 2 +- .../engine/Source/Core/LinearApproximation.js | 8 +- packages/engine/Source/Core/LinearSpline.js | 4 +- packages/engine/Source/Core/Math.js | 8 +- packages/engine/Source/Core/Matrix2.js | 6 +- packages/engine/Source/Core/Matrix3.js | 32 +- packages/engine/Source/Core/Matrix4.js | 58 +- .../engine/Source/Core/MorphWeightSpline.js | 4 +- packages/engine/Source/Core/NearFarScalar.js | 2 +- packages/engine/Source/Core/Occluder.js | 106 +- .../Source/Core/OpenCageGeocoderService.js | 4 +- .../engine/Source/Core/OrientedBoundingBox.js | 103 +- .../engine/Source/Core/OrthographicFrustum.js | 18 +- .../Core/OrthographicOffCenterFrustum.js | 26 +- .../Source/Core/PeliasGeocoderService.js | 2 +- .../engine/Source/Core/PerspectiveFrustum.js | 18 +- .../Core/PerspectiveOffCenterFrustum.js | 28 +- packages/engine/Source/Core/PinBuilder.js | 2 +- packages/engine/Source/Core/Plane.js | 18 +- packages/engine/Source/Core/PlaneGeometry.js | 2 +- .../engine/Source/Core/PolygonGeometry.js | 189 +- .../Source/Core/PolygonGeometryLibrary.js | 100 +- .../Source/Core/PolygonOutlineGeometry.js | 100 +- .../engine/Source/Core/PolygonPipeline.js | 62 +- .../engine/Source/Core/PolylineGeometry.js | 20 +- .../engine/Source/Core/PolylinePipeline.js | 50 +- .../Source/Core/PolylineVolumeGeometry.js | 18 +- .../Core/PolylineVolumeGeometryLibrary.js | 102 +- .../Core/PolylineVolumeOutlineGeometry.js | 12 +- .../Source/Core/QuadraticRealPolynomial.js | 2 +- .../Source/Core/QuantizedMeshTerrainData.js | 56 +- .../Source/Core/QuarticRealPolynomial.js | 2 +- packages/engine/Source/Core/Quaternion.js | 38 +- .../engine/Source/Core/QuaternionSpline.js | 4 +- packages/engine/Source/Core/Rectangle.js | 28 +- .../Source/Core/RectangleCollisionChecker.js | 6 +- .../engine/Source/Core/RectangleGeometry.js | 107 +- .../Source/Core/RectangleGeometryLibrary.js | 16 +- .../Source/Core/RectangleOutlineGeometry.js | 38 +- .../engine/Source/Core/RequestScheduler.js | 12 +- packages/engine/Source/Core/Resource.js | 48 +- packages/engine/Source/Core/S2Cell.js | 96 +- .../Source/Core/ScreenSpaceEventHandler.js | 107 +- .../Core/Simon1994PlanetaryPositions.js | 26 +- .../Source/Core/SimplePolylineGeometry.js | 14 +- packages/engine/Source/Core/SphereGeometry.js | 4 +- .../Source/Core/SphereOutlineGeometry.js | 6 +- packages/engine/Source/Core/Spline.js | 2 +- packages/engine/Source/Core/SteppedSpline.js | 2 +- packages/engine/Source/Core/Stereographic.js | 18 +- packages/engine/Source/Core/TaskProcessor.js | 26 +- .../engine/Source/Core/TerrainEncoding.js | 71 +- packages/engine/Source/Core/TerrainMesh.js | 2 +- .../engine/Source/Core/TerrainProvider.js | 24 +- .../engine/Source/Core/TileAvailability.js | 56 +- .../engine/Source/Core/TileProviderError.js | 10 +- packages/engine/Source/Core/TilingScheme.js | 2 +- packages/engine/Source/Core/TimeInterval.js | 8 +- .../Source/Core/TimeIntervalCollection.js | 31 +- packages/engine/Source/Core/Tipsify.js | 4 +- packages/engine/Source/Core/Transforms.js | 106 +- .../Source/Core/TranslationRotationScale.js | 2 +- .../Source/Core/TridiagonalSystemSolver.js | 8 +- .../Source/Core/VRTheWorldTerrainProvider.js | 54 +- packages/engine/Source/Core/VertexFormat.js | 12 +- .../Source/Core/VerticalExaggeration.js | 8 +- .../engine/Source/Core/VideoSynchronizer.js | 2 +- packages/engine/Source/Core/WallGeometry.js | 36 +- .../engine/Source/Core/WallGeometryLibrary.js | 14 +- .../engine/Source/Core/WallOutlineGeometry.js | 16 +- .../Source/Core/WebMercatorProjection.js | 11 +- .../Source/Core/WebMercatorTilingScheme.js | 26 +- .../Source/Core/WireframeIndexGenerator.js | 16 +- .../Source/Core/arrayRemoveDuplicates.js | 2 +- packages/engine/Source/Core/buildModuleUrl.js | 4 +- .../Core/decodeGoogleEarthEnterpriseData.js | 6 +- .../Core/decodeVectorPolylinePositions.js | 8 +- packages/engine/Source/Core/destroyObject.js | 2 +- .../Source/Core/getJsonFromTypedArray.js | 2 +- packages/engine/Source/Core/getMagic.js | 2 +- .../Source/Core/loadImageFromTypedArray.js | 4 +- packages/engine/Source/Core/loadKTX2.js | 2 +- .../engine/Source/Core/pointInsideTriangle.js | 2 +- .../Core/resizeImageToNextPowerOfTwo.js | 2 +- packages/engine/Source/Core/sampleTerrain.js | 14 +- .../Source/Core/sampleTerrainMostDetailed.js | 14 +- .../Source/Core/scaleToGeodeticSurface.js | 6 +- packages/engine/Source/Core/srgbToLinear.js | 2 +- .../engine/Source/Core/writeTextToCanvas.js | 2 +- .../Source/DataSources/BillboardGraphics.js | 26 +- .../Source/DataSources/BillboardVisualizer.js | 48 +- .../Source/DataSources/BoxGeometryUpdater.js | 65 +- .../engine/Source/DataSources/BoxGraphics.js | 6 +- .../DataSources/CallbackPositionProperty.js | 6 +- .../DataSources/Cesium3DTilesetGraphics.js | 2 +- .../DataSources/Cesium3DTilesetVisualizer.js | 12 +- .../CheckerboardMaterialProperty.js | 4 +- .../DataSources/ColorMaterialProperty.js | 2 +- .../DataSources/CompositeEntityCollection.js | 29 +- .../DataSources/CompositeMaterialProperty.js | 12 +- .../DataSources/CompositePositionProperty.js | 9 +- .../Source/DataSources/CompositeProperty.js | 4 +- .../DataSources/ConstantPositionProperty.js | 4 +- .../DataSources/CorridorGeometryUpdater.js | 117 +- .../Source/DataSources/CorridorGraphics.js | 12 +- .../DataSources/CylinderGeometryUpdater.js | 82 +- .../Source/DataSources/CylinderGraphics.js | 8 +- .../Source/DataSources/CzmlDataSource.js | 733 +- .../DataSources/DataSourceCollection.js | 2 +- .../Source/DataSources/DataSourceDisplay.js | 46 +- .../DataSources/DynamicGeometryBatch.js | 4 +- .../DataSources/DynamicGeometryUpdater.js | 32 +- .../DataSources/EllipseGeometryUpdater.js | 130 +- .../Source/DataSources/EllipseGraphics.js | 14 +- .../DataSources/EllipsoidGeometryUpdater.js | 174 +- .../Source/DataSources/EllipsoidGraphics.js | 10 +- packages/engine/Source/DataSources/Entity.js | 26 +- .../Source/DataSources/EntityCluster.js | 56 +- .../Source/DataSources/EntityCollection.js | 14 +- .../engine/Source/DataSources/EntityView.js | 46 +- .../Source/DataSources/GeoJsonDataSource.js | 50 +- .../Source/DataSources/GeometryUpdater.js | 19 +- .../Source/DataSources/GeometryUpdaterSet.js | 6 +- .../Source/DataSources/GeometryVisualizer.js | 136 +- .../Source/DataSources/GpxDataSource.js | 20 +- .../DataSources/GridMaterialProperty.js | 10 +- .../DataSources/GroundGeometryUpdater.js | 12 +- .../DataSources/ImageMaterialProperty.js | 4 +- .../Source/DataSources/KmlDataSource.js | 424 +- .../Source/DataSources/LabelGraphics.js | 28 +- .../Source/DataSources/LabelVisualizer.js | 48 +- .../Source/DataSources/ModelGraphics.js | 38 +- .../Source/DataSources/ModelVisualizer.js | 67 +- .../DataSources/NodeTransformationProperty.js | 6 +- .../engine/Source/DataSources/PathGraphics.js | 4 +- .../Source/DataSources/PathVisualizer.js | 78 +- .../DataSources/PlaneGeometryUpdater.js | 75 +- .../Source/DataSources/PlaneGraphics.js | 4 +- .../Source/DataSources/PointGraphics.js | 16 +- .../Source/DataSources/PointVisualizer.js | 58 +- .../DataSources/PolygonGeometryUpdater.js | 132 +- .../Source/DataSources/PolygonGraphics.js | 18 +- .../PolylineArrowMaterialProperty.js | 2 +- .../PolylineDashMaterialProperty.js | 8 +- .../DataSources/PolylineGeometryUpdater.js | 83 +- .../PolylineGlowMaterialProperty.js | 6 +- .../Source/DataSources/PolylineGraphics.js | 8 +- .../PolylineOutlineMaterialProperty.js | 6 +- .../Source/DataSources/PolylineVisualizer.js | 82 +- .../PolylineVolumeGeometryUpdater.js | 138 +- .../DataSources/PolylineVolumeGraphics.js | 4 +- .../Source/DataSources/PositionProperty.js | 6 +- .../DataSources/PositionPropertyArray.js | 6 +- .../engine/Source/DataSources/Property.js | 2 +- .../Source/DataSources/PropertyArray.js | 2 +- .../engine/Source/DataSources/PropertyBag.js | 10 +- .../DataSources/RectangleGeometryUpdater.js | 131 +- .../Source/DataSources/RectangleGraphics.js | 12 +- .../Source/DataSources/ReferenceProperty.js | 12 +- .../engine/Source/DataSources/Rotation.js | 4 +- .../DataSources/SampledPositionProperty.js | 12 +- .../Source/DataSources/SampledProperty.js | 32 +- .../DataSources/ScaledPositionProperty.js | 4 +- .../DataSources/StaticGeometryColorBatch.js | 82 +- .../StaticGeometryPerMaterialBatch.js | 80 +- .../StaticGroundGeometryColorBatch.js | 53 +- .../StaticGroundGeometryPerMaterialBatch.js | 90 +- .../StaticGroundPolylinePerMaterialBatch.js | 87 +- .../DataSources/StaticOutlineGeometryBatch.js | 57 +- .../DataSources/StripeMaterialProperty.js | 4 +- .../DataSources/TerrainOffsetProperty.js | 22 +- .../TimeIntervalCollectionPositionProperty.js | 58 +- .../TimeIntervalCollectionProperty.js | 2 +- .../VelocityOrientationProperty.js | 6 +- .../DataSources/VelocityVectorProperty.js | 8 +- .../Source/DataSources/WallGeometryUpdater.js | 50 +- .../engine/Source/DataSources/WallGraphics.js | 8 +- .../DataSources/createPropertyDescriptor.js | 6 +- .../engine/Source/DataSources/exportKml.js | 176 +- .../engine/Source/DataSources/getElement.js | 2 +- .../heightReferenceOnEntityPropertyChanged.js | 8 +- .../Source/Renderer/AutomaticUniforms.js | 2 +- packages/engine/Source/Renderer/Buffer.js | 36 +- .../engine/Source/Renderer/ClearCommand.js | 2 +- .../engine/Source/Renderer/ComputeEngine.js | 2 +- packages/engine/Source/Renderer/CubeMap.js | 40 +- .../engine/Source/Renderer/CubeMapFace.js | 38 +- .../engine/Source/Renderer/DrawCommand.js | 8 +- .../engine/Source/Renderer/Framebuffer.js | 46 +- .../Source/Renderer/FramebufferManager.js | 46 +- .../Source/Renderer/MultisampleFramebuffer.js | 8 +- .../engine/Source/Renderer/RenderState.js | 72 +- .../engine/Source/Renderer/Renderbuffer.js | 6 +- packages/engine/Source/Renderer/Sampler.js | 4 +- .../engine/Source/Renderer/ShaderBuilder.js | 16 +- .../engine/Source/Renderer/ShaderCache.js | 26 +- .../engine/Source/Renderer/ShaderFunction.js | 2 +- .../engine/Source/Renderer/ShaderProgram.js | 30 +- .../engine/Source/Renderer/ShaderSource.js | 80 +- .../engine/Source/Renderer/UniformState.js | 120 +- .../engine/Source/Renderer/VertexArray.js | 42 +- .../Source/Renderer/VertexArrayFacade.js | 31 +- .../Source/Renderer/createUniformArray.js | 8 +- .../Source/Renderer/demodernizeShader.js | 10 +- .../engine/Source/Renderer/loadCubeMap.js | 2 +- .../Scene/ArcGisMapServerImageryProvider.js | 78 +- .../engine/Source/Scene/ArcGisMapService.js | 9 +- packages/engine/Source/Scene/AutoExposure.js | 9 +- packages/engine/Source/Scene/Axis.js | 12 +- packages/engine/Source/Scene/B3dmParser.js | 18 +- packages/engine/Source/Scene/BatchTable.js | 14 +- .../Source/Scene/BatchTableHierarchy.js | 121 +- packages/engine/Source/Scene/BatchTexture.js | 4 +- packages/engine/Source/Scene/Billboard.js | 88 +- .../Source/Scene/BillboardCollection.js | 164 +- .../Source/Scene/BingMapsImageryProvider.js | 26 +- .../Source/Scene/BoundingVolumeSemantics.js | 20 +- packages/engine/Source/Scene/BoxEmitter.js | 2 +- packages/engine/Source/Scene/BufferLoader.js | 2 +- packages/engine/Source/Scene/Camera.js | 319 +- .../Source/Scene/CameraEventAggregator.js | 36 +- .../engine/Source/Scene/CameraFlightPath.js | 50 +- packages/engine/Source/Scene/Cesium3DTile.js | 184 +- .../Source/Scene/Cesium3DTileBatchTable.js | 122 +- .../Scene/Cesium3DTileContentFactory.js | 14 +- .../Source/Scene/Cesium3DTileFeature.js | 2 +- .../Source/Scene/Cesium3DTileFeatureTable.js | 16 +- .../Source/Scene/Cesium3DTileOptimizations.js | 2 +- .../Source/Scene/Cesium3DTilePointFeature.js | 22 +- .../Scene/Cesium3DTilesVoxelProvider.js | 34 +- .../Scene/Cesium3DTilesetBaseTraversal.js | 24 +- .../Source/Scene/Cesium3DTilesetCache.js | 4 +- .../Source/Scene/Cesium3DTilesetHeatmap.js | 10 +- .../Source/Scene/Cesium3DTilesetMetadata.js | 4 +- .../Cesium3DTilesetMostDetailedTraversal.js | 4 +- .../Scene/Cesium3DTilesetSkipTraversal.js | 20 +- .../Source/Scene/Cesium3DTilesetStatistics.js | 2 +- .../Source/Scene/Cesium3DTilesetTraversal.js | 18 +- .../Source/Scene/ClassificationPrimitive.js | 139 +- .../Source/Scene/ClippingPlaneCollection.js | 80 +- .../engine/Source/Scene/ClippingPolygon.js | 16 +- .../Source/Scene/ClippingPolygonCollection.js | 125 +- .../engine/Source/Scene/CloudCollection.js | 13 +- .../Source/Scene/Composite3DTileContent.js | 12 +- .../Source/Scene/ConditionsExpression.js | 10 +- .../engine/Source/Scene/ContentMetadata.js | 8 +- packages/engine/Source/Scene/CreditDisplay.js | 16 +- packages/engine/Source/Scene/CumulusCloud.js | 8 +- .../engine/Source/Scene/DebugAppearance.js | 4 +- .../Source/Scene/DebugCameraPrimitive.js | 4 +- .../engine/Source/Scene/DebugInspector.js | 6 +- .../Source/Scene/DebugModelMatrixPrimitive.js | 8 +- packages/engine/Source/Scene/DepthPlane.js | 14 +- .../engine/Source/Scene/DerivedCommand.js | 56 +- .../Scene/DiscardMissingTileImagePolicy.js | 2 +- packages/engine/Source/Scene/DracoLoader.js | 6 +- .../engine/Source/Scene/EllipsoidPrimitive.js | 14 +- .../Scene/EllipsoidSurfaceAppearance.js | 6 +- .../engine/Source/Scene/Empty3DTileContent.js | 2 +- packages/engine/Source/Scene/Expression.js | 182 +- packages/engine/Source/Scene/Fog.js | 49 +- .../engine/Source/Scene/FrameRateMonitor.js | 44 +- .../Source/Scene/Geometry3DTileContent.js | 40 +- .../Source/Scene/GetFeatureInfoFormat.js | 29 +- packages/engine/Source/Scene/Globe.js | 59 +- packages/engine/Source/Scene/GlobeDepth.js | 33 +- .../Source/Scene/GlobeSurfaceShaderSet.js | 22 +- .../engine/Source/Scene/GlobeSurfaceTile.js | 66 +- .../Source/Scene/GlobeSurfaceTileProvider.js | 251 +- .../engine/Source/Scene/GlobeTranslucency.js | 8 +- .../Scene/GlobeTranslucencyFramebuffer.js | 18 +- .../Source/Scene/GlobeTranslucencyState.js | 88 +- .../Source/Scene/GltfBufferViewLoader.js | 4 +- .../Source/Scene/GltfIndexBufferLoader.js | 10 +- .../engine/Source/Scene/GltfJsonLoader.js | 4 +- packages/engine/Source/Scene/GltfLoader.js | 3 + .../Scene/GltfStructuralMetadataLoader.js | 17 +- .../engine/Source/Scene/GltfTextureLoader.js | 10 +- .../Source/Scene/GltfVertexBufferLoader.js | 25 +- .../GoogleEarthEnterpriseImageryProvider.js | 18 +- .../GoogleEarthEnterpriseMapsProvider.js | 18 +- .../Source/Scene/GridImageryProvider.js | 4 +- .../Source/Scene/GroundPolylinePrimitive.js | 77 +- .../engine/Source/Scene/GroundPrimitive.js | 92 +- packages/engine/Source/Scene/GroupMetadata.js | 8 +- .../engine/Source/Scene/I3SDataProvider.js | 24 +- packages/engine/Source/Scene/I3SDecoder.js | 15 +- packages/engine/Source/Scene/I3SField.js | 16 +- packages/engine/Source/Scene/I3SGeometry.js | 28 +- packages/engine/Source/Scene/I3SLayer.js | 36 +- packages/engine/Source/Scene/I3SNode.js | 32 +- packages/engine/Source/Scene/I3SSublayer.js | 8 +- packages/engine/Source/Scene/I3SSymbology.js | 16 +- packages/engine/Source/Scene/I3dmParser.js | 18 +- .../engine/Source/Scene/ImageBasedLighting.js | 48 +- packages/engine/Source/Scene/Imagery.js | 6 +- packages/engine/Source/Scene/ImageryLayer.js | 130 +- .../Source/Scene/ImageryLayerCollection.js | 28 +- .../Source/Scene/ImageryLayerFeatureInfo.js | 39 +- .../engine/Source/Scene/ImageryProvider.js | 2 +- .../Source/Scene/Implicit3DTileContent.js | 71 +- .../Scene/ImplicitAvailabilityBitstream.js | 4 +- .../Source/Scene/ImplicitMetadataView.js | 4 +- .../engine/Source/Scene/ImplicitSubtree.js | 59 +- .../Source/Scene/ImplicitSubtreeCache.js | 2 +- .../Source/Scene/ImplicitSubtreeMetadata.js | 10 +- .../Source/Scene/ImplicitTileCoordinates.js | 22 +- .../engine/Source/Scene/ImplicitTileset.js | 4 +- .../Source/Scene/InvertClassification.js | 14 +- .../engine/Source/Scene/IonImageryProvider.js | 12 +- packages/engine/Source/Scene/JobScheduler.js | 8 +- packages/engine/Source/Scene/Label.js | 58 +- .../engine/Source/Scene/LabelCollection.js | 32 +- .../Source/Scene/MapboxImageryProvider.js | 6 +- .../Scene/MapboxStyleImageryProvider.js | 8 +- packages/engine/Source/Scene/Material.js | 53 +- .../engine/Source/Scene/MaterialAppearance.js | 8 +- packages/engine/Source/Scene/Megatexture.js | 45 +- .../Source/Scene/MetadataClassProperty.js | 22 +- .../Source/Scene/MetadataComponentType.js | 2 +- .../engine/Source/Scene/MetadataEntity.js | 16 +- packages/engine/Source/Scene/MetadataEnum.js | 2 +- .../engine/Source/Scene/MetadataPicking.js | 33 +- .../Source/Scene/MetadataSchemaLoader.js | 2 +- packages/engine/Source/Scene/MetadataTable.js | 4 +- .../Source/Scene/MetadataTableProperty.js | 44 +- .../Source/Scene/Model/AlphaPipelineStage.js | 4 +- .../Scene/Model/AtmospherePipelineStage.js | 6 +- .../engine/Source/Scene/Model/B3dmLoader.js | 16 +- .../Scene/Model/BatchTexturePipelineStage.js | 4 +- .../Scene/Model/CPUStylingPipelineStage.js | 8 +- .../Model/ClassificationModelDrawCommand.js | 16 +- .../Model/ClassificationPipelineStage.js | 10 +- .../engine/Source/Scene/Model/CustomShader.js | 18 +- .../Scene/Model/CustomShaderPipelineStage.js | 75 +- .../Model/DequantizationPipelineStage.js | 10 +- .../Model/Extensions/Gpm/GltfGpmLoader.js | 28 +- .../Model/Extensions/Gpm/GltfGpmLocal.js | 16 +- .../Gpm/GltfMeshPrimitiveGpmLoader.js | 48 +- .../Scene/Model/FeatureIdPipelineStage.js | 80 +- .../Scene/Model/GaussianSplatPipelineStage.js | 219 +- .../GaussianSplatTexturePipelineStage.js | 377 +- .../Source/Scene/Model/GeoJsonLoader.js | 34 +- .../Scene/Model/GeometryPipelineStage.js | 3 +- .../engine/Source/Scene/Model/I3dmLoader.js | 72 +- .../Model/ImageBasedLightingPipelineStage.js | 30 +- .../Scene/Model/InstancingPipelineStage.js | 172 +- .../Scene/Model/LightingPipelineStage.js | 8 +- .../Scene/Model/MaterialPipelineStage.js | 171 +- .../Model/MetadataPickingPipelineStage.js | 18 +- .../Scene/Model/MetadataPipelineStage.js | 86 +- packages/engine/Source/Scene/Model/Model.js | 16 +- .../Scene/Model/ModelAnimationChannel.js | 4 +- .../Scene/Model/ModelAnimationCollection.js | 18 +- .../Source/Scene/Model/ModelArticulation.js | 4 +- .../Scene/Model/ModelArticulationStage.js | 8 +- .../Model/ModelClippingPlanesPipelineStage.js | 22 +- .../ModelClippingPolygonsPipelineStage.js | 12 +- .../Scene/Model/ModelColorPipelineStage.js | 25 +- .../Source/Scene/Model/ModelDrawCommand.js | 10 +- .../Source/Scene/Model/ModelDrawCommands.js | 39 +- .../Source/Scene/Model/ModelFeatureTable.js | 10 +- .../Scene/Model/ModelMatrixUpdateStage.js | 16 +- .../Scene/Model/ModelRenderResources.js | 2 +- .../Source/Scene/Model/ModelRuntimeNode.js | 30 +- .../Scene/Model/ModelRuntimePrimitive.js | 6 +- .../Source/Scene/Model/ModelSceneGraph.js | 80 +- .../Model/ModelSilhouettePipelineStage.js | 8 +- .../engine/Source/Scene/Model/ModelSkin.js | 8 +- .../Scene/Model/ModelSplitterPipelineStage.js | 17 +- .../engine/Source/Scene/Model/ModelUtility.js | 10 +- .../Scene/Model/MorphTargetsPipelineStage.js | 36 +- .../Source/Scene/Model/NodeRenderResources.js | 2 +- .../Model/NodeStatisticsPipelineStage.js | 2 +- .../Scene/Model/PickingPipelineStage.js | 10 +- .../engine/Source/Scene/Model/PntsLoader.js | 14 +- .../Model/PointCloudStylingPipelineStage.js | 45 +- .../Scene/Model/PrimitiveOutlineGenerator.js | 12 +- .../Model/PrimitiveOutlinePipelineStage.js | 14 +- .../Scene/Model/PrimitiveRenderResources.js | 4 +- .../Model/PrimitiveStatisticsPipelineStage.js | 2 +- .../Scene/Model/SceneMode2DPipelineStage.js | 46 +- .../Model/SelectedFeatureIdPipelineStage.js | 20 +- .../Scene/Model/SkinningPipelineStage.js | 10 +- .../Source/Scene/Model/StyleCommandsNeeded.js | 2 +- .../Source/Scene/Model/TextureManager.js | 4 +- .../Source/Scene/Model/TextureUniform.js | 6 +- .../Scene/Model/TilesetPipelineStage.js | 4 +- .../VerticalExaggerationPipelineStage.js | 8 +- .../Scene/Model/WireframePipelineStage.js | 14 +- .../engine/Source/Scene/Model/pickModel.js | 52 +- .../engine/Source/Scene/ModelComponents.js | 8 +- packages/engine/Source/Scene/Moon.js | 11 +- .../Source/Scene/Multiple3DTileContent.js | 29 +- packages/engine/Source/Scene/OIT.js | 52 +- .../Scene/OpenStreetMapImageryProvider.js | 15 +- .../Scene/OrderedGroundPrimitiveCollection.js | 4 +- packages/engine/Source/Scene/Particle.js | 6 +- .../engine/Source/Scene/ParticleEmitter.js | 2 +- .../engine/Source/Scene/ParticleSystem.js | 64 +- .../Scene/PerInstanceColorAppearance.js | 2 +- packages/engine/Source/Scene/PickDepth.js | 4 +- .../Source/Scene/PickDepthFramebuffer.js | 2 +- .../engine/Source/Scene/PickFramebuffer.js | 10 +- packages/engine/Source/Scene/Picking.js | 172 +- packages/engine/Source/Scene/PntsParser.js | 56 +- packages/engine/Source/Scene/PointCloud.js | 77 +- .../Source/Scene/PointCloudEyeDomeLighting.js | 12 +- .../engine/Source/Scene/PointCloudShading.js | 6 +- .../engine/Source/Scene/PointPrimitive.js | 59 +- .../Source/Scene/PointPrimitiveCollection.js | 67 +- packages/engine/Source/Scene/Polyline.js | 18 +- .../engine/Source/Scene/PolylineCollection.js | 112 +- .../Source/Scene/PolylineColorAppearance.js | 6 +- .../Scene/PolylineMaterialAppearance.js | 6 +- .../engine/Source/Scene/PostProcessStage.js | 21 +- .../Scene/PostProcessStageCollection.js | 43 +- .../Source/Scene/PostProcessStageComposite.js | 4 +- .../Source/Scene/PostProcessStageLibrary.js | 10 +- .../Scene/PostProcessStageTextureCache.js | 20 +- packages/engine/Source/Scene/Primitive.js | 186 +- .../Source/Scene/PrimitiveCollection.js | 2 +- .../engine/Source/Scene/PrimitiveLoadPlan.js | 58 +- .../engine/Source/Scene/PrimitivePipeline.js | 60 +- packages/engine/Source/Scene/PropertyTable.js | 10 +- .../Source/Scene/PropertyTextureProperty.js | 12 +- .../engine/Source/Scene/QuadtreePrimitive.js | 114 +- packages/engine/Source/Scene/QuadtreeTile.js | 8 +- .../Source/Scene/QuadtreeTileProvider.js | 2 +- packages/engine/Source/Scene/ResourceCache.js | 14 +- .../engine/Source/Scene/ResourceCacheKey.js | 30 +- .../engine/Source/Scene/SceneFramebuffer.js | 4 +- .../engine/Source/Scene/SceneTransforms.js | 60 +- .../engine/Source/Scene/SceneTransitioner.js | 94 +- .../Scene/ScreenSpaceCameraController.js | 313 +- .../Scene/SensorVolumePortionToDisplay.js | 2 +- packages/engine/Source/Scene/ShadowMap.js | 143 +- .../engine/Source/Scene/ShadowMapShader.js | 18 +- .../Source/Scene/ShadowVolumeAppearance.js | 78 +- .../Source/Scene/SingleTileImageryProvider.js | 6 +- packages/engine/Source/Scene/SkyAtmosphere.js | 16 +- packages/engine/Source/Scene/SkyBox.js | 15 +- packages/engine/Source/Scene/SpatialNode.js | 26 +- packages/engine/Source/Scene/SphereEmitter.js | 2 +- .../engine/Source/Scene/StructuralMetadata.js | 2 +- .../engine/Source/Scene/StyleExpression.js | 2 +- packages/engine/Source/Scene/Sun.js | 18 +- .../engine/Source/Scene/SunPostProcess.js | 12 +- .../engine/Source/Scene/TerrainFillMesh.js | 313 +- packages/engine/Source/Scene/TextureAtlas.js | 22 +- .../engine/Source/Scene/TileBoundingRegion.js | 62 +- .../engine/Source/Scene/TileBoundingS2Cell.js | 77 +- .../engine/Source/Scene/TileBoundingSphere.js | 4 +- .../Scene/TileCoordinatesImageryProvider.js | 6 +- packages/engine/Source/Scene/TileImagery.js | 18 +- .../Scene/TileMapServiceImageryProvider.js | 51 +- packages/engine/Source/Scene/TileMetadata.js | 8 +- .../Source/Scene/TileOrientedBoundingBox.js | 12 +- .../Source/Scene/Tileset3DTileContent.js | 2 +- .../engine/Source/Scene/TilesetMetadata.js | 8 +- .../engine/Source/Scene/TimeDynamicImagery.js | 6 +- .../Source/Scene/TimeDynamicPointCloud.js | 18 +- .../Scene/TranslucentTileClassification.js | 91 +- .../engine/Source/Scene/TweenCollection.js | 18 +- .../Scene/UrlTemplateImageryProvider.js | 46 +- .../Scene/Vector3DTileClampedPolylines.js | 42 +- .../Source/Scene/Vector3DTileContent.js | 77 +- .../Source/Scene/Vector3DTileGeometry.js | 19 +- .../engine/Source/Scene/Vector3DTilePoints.js | 40 +- .../Source/Scene/Vector3DTilePolygons.js | 12 +- .../Source/Scene/Vector3DTilePolylines.js | 16 +- .../Source/Scene/Vector3DTilePrimitive.js | 61 +- packages/engine/Source/Scene/View.js | 16 +- packages/engine/Source/Scene/VoxelBoxShape.js | 68 +- packages/engine/Source/Scene/VoxelCell.js | 14 +- packages/engine/Source/Scene/VoxelContent.js | 2 +- .../engine/Source/Scene/VoxelCylinderShape.js | 96 +- .../Source/Scene/VoxelEllipsoidShape.js | 180 +- .../engine/Source/Scene/VoxelPrimitive.js | 160 +- .../Source/Scene/VoxelRenderResources.js | 26 +- .../engine/Source/Scene/VoxelTraversal.js | 82 +- .../Scene/WebMapServiceImageryProvider.js | 24 +- .../Scene/WebMapTileServiceImageryProvider.js | 16 +- .../Source/Scene/buildVoxelDrawCommands.js | 24 +- .../Scene/computeFlyToLocationForRectangle.js | 12 +- .../Scene/createBillboardPointCallback.js | 4 +- .../Scene/createElevationBandMaterial.js | 16 +- .../createGooglePhotorealistic3DTileset.js | 2 +- .../Source/Scene/createOsmBuildingsAsync.js | 2 +- .../Scene/createTangentSpaceDebugPrimitive.js | 14 +- .../Source/Scene/findContentMetadata.js | 4 +- .../engine/Source/Scene/findTileMetadata.js | 4 +- .../engine/Source/Scene/getBinaryAccessor.js | 2 +- .../Source/Scene/getClipAndStyleCode.js | 2 +- .../Source/Scene/getClippingFunction.js | 2 +- .../engine/Source/Scene/parseBatchTable.js | 45 +- .../Scene/parseFeatureMetadataLegacy.js | 6 +- .../Source/Scene/parseStructuralMetadata.js | 6 +- .../Source/Scene/processVoxelProperties.js | 61 +- .../Source/Shaders/Model/GaussianSplatVS.glsl | 17 +- packages/engine/Source/Widget/CesiumWidget.js | 17 +- .../engine/Source/Workers/combineGeometry.js | 7 +- .../Source/Workers/createCircleGeometry.js | 4 +- .../Workers/createCircleOutlineGeometry.js | 4 +- .../createCoplanarPolygonOutlineGeometry.js | 2 +- .../Workers/createCorridorOutlineGeometry.js | 4 +- .../Workers/createEllipsoidOutlineGeometry.js | 2 +- .../engine/Source/Workers/createGeometry.js | 9 +- .../Workers/createGroundPolylineGeometry.js | 2 +- .../Workers/createPolylineVolumeGeometry.js | 4 +- .../createPolylineVolumeOutlineGeometry.js | 8 +- .../Workers/createRectangleOutlineGeometry.js | 2 +- .../Workers/createSimplePolylineGeometry.js | 4 +- .../Workers/createTaskProcessorWorker.js | 2 +- .../createVectorTileClampedPolylines.js | 60 +- .../Workers/createVectorTileGeometries.js | 36 +- .../Source/Workers/createVectorTilePoints.js | 6 +- .../Workers/createVectorTilePolygons.js | 22 +- .../Workers/createVectorTilePolylines.js | 14 +- ...VerticesFromGoogleEarthEnterpriseBuffer.js | 59 +- .../createVerticesFromQuantizedTerrainMesh.js | 120 +- packages/engine/Source/Workers/decodeDraco.js | 46 +- .../decodeGoogleEarthEnterprisePacket.js | 6 +- packages/engine/Source/Workers/decodeI3S.js | 106 +- .../engine/Source/Workers/transcodeKTX2.js | 18 +- .../Source/Workers/transferTypedArrayTest.js | 2 +- .../Workers/upsampleQuantizedTerrainMesh.js | 73 +- .../Core/ApproximateTerrainHeightsSpec.js | 18 +- ...ArcGISTiledElevationTerrainProviderSpec.js | 92 +- .../Specs/Core/AttributeCompressionSpec.js | 314 +- .../Specs/Core/AxisAlignedBoundingBoxSpec.js | 36 +- .../Specs/Core/BingMapsGeocoderServiceSpec.js | 10 +- .../Specs/Core/BoundingRectangleSpec.js | 41 +- .../engine/Specs/Core/BoundingSphereSpec.js | 138 +- packages/engine/Specs/Core/BoxGeometrySpec.js | 14 +- .../Specs/Core/BoxOutlineGeometrySpec.js | 10 +- packages/engine/Specs/Core/Cartesian2Spec.js | 106 +- packages/engine/Specs/Core/Cartesian3Spec.js | 209 +- packages/engine/Specs/Core/Cartesian4Spec.js | 172 +- .../Core/CartographicGeocoderServiceSpec.js | 8 +- .../engine/Specs/Core/CartographicSpec.js | 32 +- .../engine/Specs/Core/CatmullRomSplineSpec.js | 24 +- .../Specs/Core/CesiumTerrainProviderSpec.js | 173 +- .../engine/Specs/Core/CircleGeometrySpec.js | 22 +- .../Specs/Core/CircleOutlineGeometrySpec.js | 10 +- packages/engine/Specs/Core/ClockSpec.js | 40 +- .../ColorGeometryInstanceAttributeSpec.js | 32 +- packages/engine/Specs/Core/ColorSpec.js | 95 +- .../Specs/Core/ComponentDatatypeSpec.js | 94 +- .../Specs/Core/CoplanarPolygonGeometrySpec.js | 109 +- .../CoplanarPolygonOutlineGeometrySpec.js | 73 +- .../engine/Specs/Core/CorridorGeometrySpec.js | 147 +- .../Specs/Core/CorridorOutlineGeometrySpec.js | 91 +- .../engine/Specs/Core/CullingVolumeSpec.js | 96 +- .../CustomHeightmapTerrainProviderSpec.js | 13 +- .../engine/Specs/Core/CylinderGeometrySpec.js | 24 +- .../Specs/Core/CylinderOutlineGeometrySpec.js | 33 +- ...yConditionGeometryInstanceAttributeSpec.js | 19 +- .../Core/DistanceDisplayConditionSpec.js | 14 +- .../Core/EarthOrientationParametersSpec.js | 26 +- .../engine/Specs/Core/EllipseGeometrySpec.js | 46 +- .../Specs/Core/EllipseOutlineGeometrySpec.js | 16 +- .../Specs/Core/EllipsoidGeodesicSpec.js | 34 +- .../Specs/Core/EllipsoidGeometrySpec.js | 45 +- .../Core/EllipsoidOutlineGeometrySpec.js | 83 +- .../Specs/Core/EllipsoidRhumbLineSpec.js | 251 +- packages/engine/Specs/Core/EllipsoidSpec.js | 160 +- .../Specs/Core/EllipsoidTangentPlaneSpec.js | 52 +- .../Core/EllipsoidTerrainProviderSpec.js | 2 +- .../Specs/Core/EllipsoidalOccluderSpec.js | 137 +- .../Specs/Core/EncodedCartesian3Spec.js | 2 +- .../engine/Specs/Core/FeatureDetectionSpec.js | 12 +- .../engine/Specs/Core/FrustumGeometrySpec.js | 27 +- .../Specs/Core/FrustumOutlineGeometrySpec.js | 4 +- packages/engine/Specs/Core/FullscreenSpec.js | 2 +- .../Specs/Core/GeographicProjectionSpec.js | 12 +- .../Specs/Core/GeographicTilingSchemeSpec.js | 63 +- .../Specs/Core/GeometryAttributeSpec.js | 52 +- .../engine/Specs/Core/GeometryInstanceSpec.js | 12 +- .../engine/Specs/Core/GeometryPipelineSpec.js | 1077 +-- packages/engine/Specs/Core/GeometrySpec.js | 44 +- .../Core/GoogleEarthEnterpriseMetadataSpec.js | 137 +- .../GoogleEarthEnterpriseTerrainDataSpec.js | 10 +- ...oogleEarthEnterpriseTerrainProviderSpec.js | 91 +- .../Specs/Core/GroundPolylineGeometrySpec.js | 206 +- .../engine/Specs/Core/HeadingPitchRollSpec.js | 90 +- packages/engine/Specs/Core/HeapSpec.js | 2 +- .../Specs/Core/HeightmapTerrainDataSpec.js | 413 +- .../HermitePolynomialApproximationSpec.js | 50 +- .../engine/Specs/Core/HermiteSplineSpec.js | 24 +- .../engine/Specs/Core/Iau2006XysDataSpec.js | 6 +- .../Specs/Core/IauOrientationAxesSpec.js | 2 +- .../engine/Specs/Core/IndexDatatypeSpec.js | 34 +- .../Specs/Core/IntersectionTestsSpec.js | 198 +- .../engine/Specs/Core/Intersections2DSpec.js | 300 +- .../Specs/Core/IonGeocoderServiceSpec.js | 4 +- packages/engine/Specs/Core/IonResourceSpec.js | 40 +- packages/engine/Specs/Core/JulianDateSpec.js | 138 +- .../LagrangePolynomialApproximationSpec.js | 32 +- .../Specs/Core/LinearApproximationSpec.js | 8 +- .../engine/Specs/Core/LinearSplineSpec.js | 4 +- packages/engine/Specs/Core/MathSpec.js | 87 +- packages/engine/Specs/Core/Matrix2Spec.js | 30 +- packages/engine/Specs/Core/Matrix3Spec.js | 184 +- packages/engine/Specs/Core/Matrix4Spec.js | 731 +- .../engine/Specs/Core/NearFarScalarSpec.js | 11 +- packages/engine/Specs/Core/OccluderSpec.js | 44 +- .../Specs/Core/OpenCageGeocoderServiceSpec.js | 6 +- .../Specs/Core/OrientedBoundingBoxSpec.js | 452 +- .../Specs/Core/OrthographicFrustumSpec.js | 20 +- .../Core/OrthographicOffCenterFrustumSpec.js | 14 +- .../Specs/Core/PeliasGeocoderServiceSpec.js | 14 +- .../Specs/Core/PerspectiveFrustumSpec.js | 26 +- .../Core/PerspectiveOffCenterFrustumSpec.js | 16 +- packages/engine/Specs/Core/PinBuilderSpec.js | 6 +- .../engine/Specs/Core/PixelDatatypeSpec.js | 8 +- packages/engine/Specs/Core/PixelFormatSpec.js | 4 +- .../engine/Specs/Core/PlaneGeometrySpec.js | 6 +- packages/engine/Specs/Core/PlaneSpec.js | 18 +- .../Specs/Core/PolygonGeometryLibrarySpec.js | 354 +- .../engine/Specs/Core/PolygonGeometrySpec.js | 1013 +-- .../Specs/Core/PolygonOutlineGeometrySpec.js | 393 +- .../engine/Specs/Core/PolygonPipelineSpec.js | 181 +- .../engine/Specs/Core/PolylineGeometrySpec.js | 178 +- .../engine/Specs/Core/PolylinePipelineSpec.js | 21 +- .../Specs/Core/PolylineVolumeGeometrySpec.js | 132 +- .../Core/PolylineVolumeOutlineGeometrySpec.js | 82 +- .../Specs/Core/QuadraticRealPolynomialSpec.js | 4 +- .../Core/QuantizedMeshTerrainDataSpec.js | 135 +- .../Specs/Core/QuarticRealPolynomialSpec.js | 14 +- packages/engine/Specs/Core/QuaternionSpec.js | 188 +- .../engine/Specs/Core/QuaternionSplineSpec.js | 6 +- packages/engine/Specs/Core/RaySpec.js | 18 +- .../Specs/Core/RectangleGeometrySpec.js | 136 +- .../Core/RectangleOutlineGeometrySpec.js | 67 +- packages/engine/Specs/Core/RectangleSpec.js | 196 +- .../Specs/Core/RequestErrorEventSpec.js | 2 +- .../engine/Specs/Core/RequestSchedulerSpec.js | 76 +- packages/engine/Specs/Core/ResourceSpec.js | 558 +- packages/engine/Specs/Core/S2CellSpec.js | 32 +- .../Specs/Core/ScreenSpaceEventHandlerSpec.js | 271 +- .../Core/ShowGeometryInstanceAttributeSpec.js | 2 +- .../Core/Simon1994PlanetaryPositionsSpec.js | 11 +- .../Specs/Core/SimplePolylineGeometrySpec.js | 67 +- .../engine/Specs/Core/SphereGeometrySpec.js | 12 +- .../Specs/Core/SphereOutlineGeometrySpec.js | 2 +- packages/engine/Specs/Core/SphericalSpec.js | 8 +- packages/engine/Specs/Core/SplineSpec.js | 2 +- .../engine/Specs/Core/StereographicSpec.js | 106 +- .../engine/Specs/Core/TaskProcessorSpec.js | 54 +- .../engine/Specs/Core/TerrainEncodingSpec.js | 60 +- .../engine/Specs/Core/TileAvailabilitySpec.js | 64 +- .../Specs/Core/TimeIntervalCollectionSpec.js | 164 +- .../engine/Specs/Core/TimeIntervalSpec.js | 32 +- packages/engine/Specs/Core/TipsifySpec.js | 240 +- packages/engine/Specs/Core/TransformsSpec.js | 511 +- .../Core/TranslationRotationScaleSpec.js | 2 +- .../Specs/Core/TridiagonalSystemSolverSpec.js | 9 +- .../engine/Specs/Core/TrustedServersSpec.js | 28 +- .../Core/VRTheWorldTerrainProviderSpec.js | 28 +- .../engine/Specs/Core/VertexFormatSpec.js | 13 +- .../Specs/Core/VerticalExaggerationSpec.js | 20 +- .../Specs/Core/VideoSynchronizerSpec.js | 6 +- .../engine/Specs/Core/WallGeometrySpec.js | 269 +- .../Specs/Core/WallOutlineGeometrySpec.js | 121 +- .../Specs/Core/WebMercatorProjectionSpec.js | 56 +- .../Specs/Core/WebMercatorTilingSchemeSpec.js | 103 +- .../Specs/Core/WireframeIndexGeneratorSpec.js | 10 +- .../Specs/Core/appendForwardSlashSpec.js | 4 +- .../Specs/Core/arrayRemoveDuplicatesSpec.js | 50 +- .../Specs/Core/barycentricCoordinatesSpec.js | 32 +- .../engine/Specs/Core/buildModuleUrlSpec.js | 4 +- packages/engine/Specs/Core/createGuidSpec.js | 3 +- .../engine/Specs/Core/getAbsoluteUriSpec.js | 4 +- packages/engine/Specs/Core/getBaseUriSpec.js | 6 +- .../Specs/Core/getExtensionFromUriSpec.js | 4 +- .../Specs/Core/getFilenameFromUriSpec.js | 4 +- .../Specs/Core/getStringFromTypedArraySpec.js | 8 +- .../engine/Specs/Core/isCrossOriginUrlSpec.js | 2 +- .../Specs/Core/loadImageFromTypedArraySpec.js | 6 +- packages/engine/Specs/Core/loadKTX2Spec.js | 42 +- .../Specs/Core/pointInsideTriangleSpec.js | 24 +- .../Core/sampleTerrainMostDetailedSpec.js | 14 +- .../engine/Specs/Core/sampleTerrainSpec.js | 143 +- .../DataSources/BillboardGraphicsSpec.js | 52 +- .../DataSources/BillboardVisualizerSpec.js | 102 +- .../DataSources/BoxGeometryUpdaterSpec.js | 30 +- .../Specs/DataSources/BoxGraphicsSpec.js | 14 +- .../CallbackPositionPropertySpec.js | 22 +- .../Cesium3DTilesetGraphicsSpec.js | 2 +- .../Cesium3DTilesetVisualizerSpec.js | 28 +- .../CheckerboardMaterialPropertySpec.js | 8 +- .../DataSources/ColorMaterialPropertySpec.js | 6 +- .../CompositeEntityCollectionSpec.js | 48 +- .../CompositePositionPropertySpec.js | 38 +- .../ConstantPositionPropertySpec.js | 32 +- .../CorridorGeometryUpdaterSpec.js | 26 +- .../Specs/DataSources/CorridorGraphicsSpec.js | 20 +- .../CylinderGeometryUpdaterSpec.js | 28 +- .../Specs/DataSources/CylinderGraphicsSpec.js | 12 +- .../Specs/DataSources/CzmlDataSourceSpec.js | 4754 +++++----- .../DataSources/DataSourceCollectionSpec.js | 30 +- .../DataSources/DataSourceDisplaySpec.js | 38 +- .../DataSources/DynamicGeometryUpdaterSpec.js | 8 +- .../DataSources/EllipseGeometryUpdaterSpec.js | 20 +- .../Specs/DataSources/EllipseGraphicsSpec.js | 20 +- .../EllipsoidGeometryUpdaterSpec.js | 42 +- .../DataSources/EllipsoidGraphicsSpec.js | 20 +- .../Specs/DataSources/EntityClusterSpec.js | 73 +- .../Specs/DataSources/EntityCollectionSpec.js | 26 +- .../engine/Specs/DataSources/EntitySpec.js | 12 +- .../Specs/DataSources/EntityViewSpec.js | 16 +- .../DataSources/GeoJsonDataSourceSpec.js | 191 +- .../DataSources/GeometryUpdaterSetSpec.js | 2 +- .../Specs/DataSources/GeometryUpdaterSpec.js | 2 +- .../DataSources/GeometryVisualizerSpec.js | 128 +- .../Specs/DataSources/GpxDataSourceSpec.js | 78 +- .../DataSources/GridMaterialPropertySpec.js | 30 +- .../DataSources/GroundGeometryUpdaterSpec.js | 34 +- .../DataSources/ImageMaterialPropertySpec.js | 16 +- .../Specs/DataSources/KmlDataSourceSpec.js | 934 +- .../Specs/DataSources/KmlTourFlyToSpec.js | 24 +- .../engine/Specs/DataSources/KmlTourSpec.js | 24 +- .../Specs/DataSources/LabelGraphicsSpec.js | 42 +- .../Specs/DataSources/LabelVisualizerSpec.js | 68 +- .../Specs/DataSources/ModelGraphicsSpec.js | 52 +- .../Specs/DataSources/ModelVisualizerSpec.js | 97 +- .../NodeTransformationPropertySpec.js | 16 +- .../Specs/DataSources/PathGraphicsSpec.js | 14 +- .../Specs/DataSources/PathVisualizerSpec.js | 130 +- .../DataSources/PlaneGeometryUpdaterSpec.js | 14 +- .../Specs/DataSources/PlaneGraphicsSpec.js | 16 +- .../Specs/DataSources/PointGraphicsSpec.js | 28 +- .../Specs/DataSources/PointVisualizerSpec.js | 56 +- .../DataSources/PolygonGeometryUpdaterSpec.js | 50 +- .../Specs/DataSources/PolygonGraphicsSpec.js | 22 +- .../PolylineArrowMaterialPropertySpec.js | 6 +- .../PolylineDashMaterialPropertySpec.js | 32 +- .../PolylineGeometryUpdaterSpec.js | 73 +- .../PolylineGlowMaterialPropertySpec.js | 10 +- .../Specs/DataSources/PolylineGraphicsSpec.js | 22 +- .../PolylineOutlineMaterialPropertySpec.js | 22 +- .../DataSources/PolylineVisualizerSpec.js | 82 +- .../PolylineVolumeGeometryUpdaterSpec.js | 20 +- .../DataSources/PolylineVolumeGraphicsSpec.js | 20 +- .../DataSources/PositionPropertyArraySpec.js | 2 +- .../Specs/DataSources/PropertyBagSpec.js | 2 +- .../RectangleGeometryUpdaterSpec.js | 18 +- .../DataSources/RectangleGraphicsSpec.js | 16 +- .../DataSources/ReferencePropertySpec.js | 42 +- .../SampledPositionPropertySpec.js | 56 +- .../Specs/DataSources/SampledPropertySpec.js | 109 +- .../StaticGeometryColorBatchSpec.js | 26 +- .../StaticGeometryPerMaterialBatchSpec.js | 28 +- .../StaticGroundGeometryColorBatchSpec.js | 18 +- ...taticGroundGeometryPerMaterialBatchSpec.js | 20 +- ...taticGroundPolylinePerMaterialBatchSpec.js | 30 +- .../StaticOutlineGeometryBatchSpec.js | 20 +- .../DataSources/StripeMaterialPropertySpec.js | 10 +- .../DataSources/TerrainOffsetPropertySpec.js | 6 +- ...eIntervalCollectionPositionPropertySpec.js | 28 +- .../VelocityOrientationPropertySpec.js | 16 +- .../DataSources/VelocityVectorPropertySpec.js | 8 +- .../DataSources/WallGeometryUpdaterSpec.js | 18 +- .../Specs/DataSources/WallGraphicsSpec.js | 10 +- .../engine/Specs/DataSources/exportKmlSpec.js | 26 +- .../Specs/Renderer/AutomaticUniformSpec.js | 248 +- packages/engine/Specs/Renderer/BufferSpec.js | 2 +- .../Specs/Renderer/BuiltinFunctionsSpec.js | 2 +- packages/engine/Specs/Renderer/ClearSpec.js | 6 +- .../Specs/Renderer/ComputeCommandSpec.js | 2 +- packages/engine/Specs/Renderer/ContextSpec.js | 12 +- packages/engine/Specs/Renderer/CubeMapSpec.js | 66 +- packages/engine/Specs/Renderer/DrawSpec.js | 101 +- .../Specs/Renderer/FramebufferManagerSpec.js | 4 +- .../engine/Specs/Renderer/FramebufferSpec.js | 45 +- .../Renderer/MultisampleFramebufferSpec.js | 4 +- .../engine/Specs/Renderer/RenderStateSpec.js | 122 +- .../engine/Specs/Renderer/RenderbufferSpec.js | 2 +- packages/engine/Specs/Renderer/SamplerSpec.js | 6 +- .../Specs/Renderer/ShaderBuilderSpec.js | 110 +- .../engine/Specs/Renderer/ShaderCacheSpec.js | 18 +- .../Specs/Renderer/ShaderDestinationSpec.js | 12 +- .../Specs/Renderer/ShaderProgramSpec.js | 2 +- .../engine/Specs/Renderer/ShaderSourceSpec.js | 7 +- .../engine/Specs/Renderer/TextureCacheSpec.js | 2 +- packages/engine/Specs/Renderer/TextureSpec.js | 137 +- packages/engine/Specs/Renderer/UniformSpec.js | 8 +- .../Specs/Renderer/VertexArrayFacadeSpec.js | 68 +- .../Specs/Renderer/VertexArrayFactorySpec.js | 72 +- .../engine/Specs/Renderer/VertexArraySpec.js | 6 +- .../engine/Specs/Renderer/loadCubeMapSpec.js | 2 +- packages/engine/Specs/Scene/AppearanceSpec.js | 2 +- .../ArcGisMapServerImageryProviderSpec.js | 164 +- .../engine/Specs/Scene/AttributeTypeSpec.js | 2 +- packages/engine/Specs/Scene/AxisSpec.js | 2 +- packages/engine/Specs/Scene/B3dmParserSpec.js | 8 +- .../Specs/Scene/BatchTableHierarchySpec.js | 16 +- packages/engine/Specs/Scene/BatchTableSpec.js | 18 +- .../engine/Specs/Scene/BatchTextureSpec.js | 2 +- .../Specs/Scene/BillboardCollectionSpec.js | 112 +- .../Scene/BingMapsImageryProviderSpec.js | 54 +- .../Scene/BoundingVolumeSemanticsSpec.js | 72 +- packages/engine/Specs/Scene/BoxEmitterSpec.js | 2 +- .../engine/Specs/Scene/BufferLoaderSpec.js | 10 +- .../Specs/Scene/CameraEventAggregatorSpec.js | 12 +- .../Specs/Scene/CameraFlightPathSpec.js | 66 +- packages/engine/Specs/Scene/CameraSpec.js | 682 +- .../Specs/Scene/Cesium3DTileBatchTableSpec.js | 189 +- .../Specs/Scene/Cesium3DTileFeatureSpec.js | 98 +- .../Scene/Cesium3DTileFeatureTableSpec.js | 14 +- .../engine/Specs/Scene/Cesium3DTileSpec.js | 170 +- .../Specs/Scene/Cesium3DTileStyleSpec.js | 186 +- .../Scene/Cesium3DTilesVoxelProviderSpec.js | 2 +- .../Scene/Cesium3DTilesetBaseTraversalSpec.js | 2 +- .../Specs/Scene/Cesium3DTilesetHeatmapSpec.js | 8 +- ...esium3DTilesetMostDetailedTraversalSpec.js | 2 +- .../Scene/Cesium3DTilesetSkipTraversalSpec.js | 2 +- .../engine/Specs/Scene/Cesium3DTilesetSpec.js | 2549 +++--- .../engine/Specs/Scene/CircleEmitterSpec.js | 2 +- .../Scene/ClassificationPrimitiveSpec.js | 41 +- .../Scene/ClippingPlaneCollectionSpec.js | 230 +- .../engine/Specs/Scene/ClippingPlaneSpec.js | 10 +- .../Scene/ClippingPolygonCollectionSpec.js | 79 +- .../engine/Specs/Scene/ClippingPolygonSpec.js | 154 +- .../engine/Specs/Scene/CloudCollectionSpec.js | 2 +- .../Specs/Scene/Composite3DTileContentSpec.js | 26 +- .../Specs/Scene/ConditionsExpressionSpec.js | 8 +- .../engine/Specs/Scene/ConeEmitterSpec.js | 2 +- .../engine/Specs/Scene/ContentMetadataSpec.js | 12 +- .../engine/Specs/Scene/CreditDisplaySpec.js | 10 +- .../engine/Specs/Scene/DebugAppearanceSpec.js | 14 +- .../Specs/Scene/DebugCameraPrimitiveSpec.js | 16 +- .../Scene/DebugModelMatrixPrimitiveSpec.js | 6 +- .../DeviceOrientationCameraControllerSpec.js | 8 +- .../Scene/DiscardEmptyTileImagePolicySpec.js | 4 +- .../DiscardMissingTileImagePolicySpec.js | 50 +- .../DynamicAtmosphereLightingTypeSpec.js | 10 +- .../Specs/Scene/EllipsoidPrimitiveSpec.js | 6 +- .../Scene/EllipsoidSurfaceAppearanceSpec.js | 4 +- packages/engine/Specs/Scene/ExpressionSpec.js | 430 +- .../Specs/Scene/FrameRateMonitorSpec.js | 2 +- .../Specs/Scene/Geometry3DTileContentSpec.js | 108 +- .../Specs/Scene/GeometryRenderingSpec.js | 395 +- packages/engine/Specs/Scene/GlobeSpec.js | 68 +- .../Scene/GlobeSurfaceTileProviderSpec.js | 548 +- .../Specs/Scene/GlobeSurfaceTileSpec.js | 43 +- .../Scene/GlobeTranslucencyFramebufferSpec.js | 8 +- .../Specs/Scene/GlobeTranslucencyStateSpec.js | 23 +- .../Specs/Scene/GltfBufferViewLoaderSpec.js | 18 +- packages/engine/Specs/Scene/GltfBuilder.js | 10 +- .../engine/Specs/Scene/GltfDracoLoaderSpec.js | 26 +- .../engine/Specs/Scene/GltfImageLoaderSpec.js | 38 +- .../Specs/Scene/GltfIndexBufferLoaderSpec.js | 94 +- .../engine/Specs/Scene/GltfJsonLoaderSpec.js | 50 +- packages/engine/Specs/Scene/GltfLoaderSpec.js | 1324 ++- .../engine/Specs/Scene/GltfLoaderUtilSpec.js | 12 +- .../Scene/GltfStructuralMetadataLoaderSpec.js | 56 +- .../Specs/Scene/GltfTextureLoaderSpec.js | 47 +- .../Specs/Scene/GltfVertexBufferLoaderSpec.js | 111 +- ...oogleEarthEnterpriseImageryProviderSpec.js | 58 +- .../GoogleEarthEnterpriseMapsProviderSpec.js | 98 +- .../Specs/Scene/GridImageryProviderSpec.js | 10 +- .../Scene/GroundPolylinePrimitiveSpec.js | 115 +- .../engine/Specs/Scene/GroundPrimitiveSpec.js | 103 +- .../engine/Specs/Scene/GroupMetadataSpec.js | 2 +- .../Specs/Scene/HeightmapTessellatorSpec.js | 142 +- .../engine/Specs/Scene/I3SDataProviderSpec.js | 134 +- packages/engine/Specs/Scene/I3SDecoderSpec.js | 14 +- packages/engine/Specs/Scene/I3SFieldSpec.js | 38 +- packages/engine/Specs/Scene/I3SLayerSpec.js | 34 +- packages/engine/Specs/Scene/I3SNodeSpec.js | 536 +- packages/engine/Specs/Scene/I3dmParserSpec.js | 2 +- .../Specs/Scene/ImageBasedLightingSpec.js | 14 +- .../Specs/Scene/ImageryLayerCollectionSpec.js | 44 +- .../engine/Specs/Scene/ImageryLayerSpec.js | 131 +- .../Specs/Scene/Implicit3DTileContentSpec.js | 170 +- .../Specs/Scene/ImplicitMetadataViewSpec.js | 77 +- .../Scene/ImplicitSubdivisionSchemeSpec.js | 2 +- .../Specs/Scene/ImplicitSubtreeCacheSpec.js | 12 +- .../engine/Specs/Scene/ImplicitSubtreeSpec.js | 515 +- .../Scene/ImplicitTileCoordinatesSpec.js | 184 +- .../engine/Specs/Scene/ImplicitTilesetSpec.js | 46 +- .../Scene/InstanceAttributeSemanticSpec.js | 4 +- .../Specs/Scene/IonImageryProviderSpec.js | 122 +- .../engine/Specs/Scene/KeyframeNodeSpec.js | 4 +- .../engine/Specs/Scene/LabelCollectionSpec.js | 182 +- .../Specs/Scene/MapboxImageryProviderSpec.js | 174 +- .../Scene/MapboxStyleImageryProviderSpec.js | 222 +- .../Specs/Scene/MaterialAppearanceSpec.js | 14 +- packages/engine/Specs/Scene/MaterialSpec.js | 24 +- .../engine/Specs/Scene/MegatextureSpec.js | 16 +- .../Specs/Scene/MetadataClassPropertySpec.js | 105 +- .../Specs/Scene/MetadataComponentTypeSpec.js | 178 +- .../engine/Specs/Scene/MetadataEntitySpec.js | 133 +- .../Specs/Scene/MetadataSchemaLoaderSpec.js | 8 +- .../Specs/Scene/MetadataTablePropertySpec.js | 17 +- .../engine/Specs/Scene/MetadataTableSpec.js | 14 +- .../Scene/Model/AlphaPipelineStageSpec.js | 8 +- .../Model/AtmospherePipelineStageSpec.js | 6 +- .../Specs/Scene/Model/B3dmLoaderSpec.js | 8 +- .../Model/BatchTexturePipelineStageSpec.js | 2 +- .../Model/CPUStylingPipelineStageSpec.js | 2 +- .../ClassificationModelDrawCommandSpec.js | 66 +- .../Model/ClassificationPipelineStageSpec.js | 14 +- .../Model/CustomShaderPipelineStageSpec.js | 94 +- .../Specs/Scene/Model/CustomShaderSpec.js | 84 +- .../Model/DequantizationPipelineStageSpec.js | 44 +- .../Model/Extensions/Gpm/GltfGpmLoaderSpec.js | 32 +- .../Gpm/GltfMeshPrimitiveGpmLoaderSpec.js | 12 +- .../Scene/Model/FeatureIdPipelineStageSpec.js | 106 +- .../Specs/Scene/Model/GeoJsonLoaderSpec.js | 10 +- .../Scene/Model/GeometryPipelineStageSpec.js | 598 +- .../Specs/Scene/Model/I3dmLoaderSpec.js | 62 +- .../ImageBasedLightingPipelineStageSpec.js | 34 +- .../Model/InstancingPipelineStageSpec.js | 357 +- .../Scene/Model/MaterialPipelineStageSpec.js | 19 +- .../Scene/Model/MetadataPipelineStageSpec.js | 496 +- .../Scene/Model/Model3DTileContentSpec.js | 276 +- .../Scene/Model/ModelAnimationChannelSpec.js | 58 +- .../Model/ModelAnimationCollectionSpec.js | 152 +- .../Specs/Scene/Model/ModelAnimationSpec.js | 24 +- .../Scene/Model/ModelArticulationSpec.js | 12 +- .../Scene/Model/ModelArticulationStageSpec.js | 30 +- .../ModelClippingPlanesPipelineStageSpec.js | 14 +- .../ModelClippingPolygonsPipelineStageSpec.js | 12 +- .../Model/ModelColorPipelineStageSpec.js | 10 +- .../Specs/Scene/Model/ModelDrawCommandSpec.js | 114 +- .../Scene/Model/ModelFeatureTableSpec.js | 6 +- .../Scene/Model/ModelMatrixUpdateStageSpec.js | 70 +- .../Scene/Model/ModelRenderResourcesSpec.js | 6 +- .../Specs/Scene/Model/ModelRuntimeNodeSpec.js | 12 +- .../Specs/Scene/Model/ModelSceneGraphSpec.js | 36 +- .../Model/ModelSilhouettePipelineStageSpec.js | 2 +- .../engine/Specs/Scene/Model/ModelSpec.js | 592 +- .../Specs/Scene/Model/ModelUtilitySpec.js | 62 +- .../Model/MorphTargetsPipelineStageSpec.js | 20 +- .../Scene/Model/NodeRenderResourcesSpec.js | 16 +- .../Model/NodeStatisticsPipelineStageSpec.js | 126 +- .../Scene/Model/PickingPipelineStageSpec.js | 10 +- .../Specs/Scene/Model/PntsLoaderSpec.js | 162 +- .../PointCloudStylingPipelineStageSpec.js | 90 +- .../Scene/Model/PrimitiveLoadPlanSpec.js | 24 +- .../Model/PrimitiveOutlineGeneratorSpec.js | 12 +- .../PrimitiveOutlinePipelineStageSpec.js | 8 +- .../Model/PrimitiveRenderResourcesSpec.js | 54 +- .../PrimitiveStatisticsPipelineStageSpec.js | 54 +- .../Model/SceneMode2DPipelineStageSpec.js | 22 +- .../SelectedFeatureIdPipelineStageSpec.js | 16 +- .../Scene/Model/SkinningPipelineStageSpec.js | 10 +- .../Specs/Scene/Model/TextureManagerSpec.js | 100 +- .../Specs/Scene/Model/TextureUniformSpec.js | 2 +- .../Scene/Model/TilesetPipelineStageSpec.js | 4 +- .../VerticalExaggerationPipelineStageSpec.js | 8 +- .../Scene/Model/WireframePipelineStageSpec.js | 150 +- .../Scene/Model/loadAndZoomToModelAsync.js | 2 +- .../engine/Specs/Scene/Model/pickModelSpec.js | 114 +- packages/engine/Specs/Scene/MoonSpec.js | 11 +- .../engine/Specs/Scene/MultifrustumSpec.js | 15 +- .../Specs/Scene/Multiple3DTileContentSpec.js | 76 +- .../Scene/OpenStreetMapImageryProviderSpec.js | 166 +- packages/engine/Specs/Scene/ParticleSpec.js | 8 +- .../engine/Specs/Scene/ParticleSystemSpec.js | 18 +- .../Scene/PerInstanceColorAppearanceSpec.js | 4 +- packages/engine/Specs/Scene/PickingSpec.js | 208 +- .../Scene/PointCloudEyeDomeLightingSpec.js | 8 +- .../Specs/Scene/PointCloudShadingSpec.js | 8 +- .../Scene/PointPrimitiveCollectionSpec.js | 28 +- .../Specs/Scene/PolylineCollectionSpec.js | 82 +- .../Scene/PolylineColorAppearanceSpec.js | 6 +- .../Scene/PolylineMaterialAppearanceSpec.js | 4 +- .../Scene/PostProcessStageCollectionSpec.js | 118 +- .../Scene/PostProcessStageCompositeSpec.js | 8 +- .../Scene/PostProcessStageLibrarySpec.js | 27 +- .../Specs/Scene/PostProcessStageSpec.js | 16 +- .../Specs/Scene/PrimitiveCollectionSpec.js | 7 +- .../Specs/Scene/PrimitiveCullingSpec.js | 17 +- .../Specs/Scene/PrimitivePipelineSpec.js | 20 +- packages/engine/Specs/Scene/PrimitiveSpec.js | 87 +- .../Specs/Scene/PropertyAttributeSpec.js | 4 +- .../engine/Specs/Scene/PropertyTableSpec.js | 16 +- .../Scene/PropertyTexturePropertySpec.js | 2 +- .../engine/Specs/Scene/PropertyTextureSpec.js | 2 +- .../Specs/Scene/QuadtreePrimitiveSpec.js | 137 +- .../engine/Specs/Scene/QuadtreeTileSpec.js | 12 +- .../Specs/Scene/ResourceCacheKeySpec.js | 36 +- .../engine/Specs/Scene/ResourceCacheSpec.js | 104 +- packages/engine/Specs/Scene/SceneSpec.js | 326 +- .../engine/Specs/Scene/SceneTransformsSpec.js | 74 +- .../Scene/ScreenSpaceCameraControllerSpec.js | 416 +- packages/engine/Specs/Scene/ShadowMapSpec.js | 96 +- .../Specs/Scene/ShadowVolumeAppearanceSpec.js | 163 +- .../Scene/SingleTileImageryProviderSpec.js | 72 +- .../engine/Specs/Scene/SkyAtmosphereSpec.js | 2 +- packages/engine/Specs/Scene/SkyBoxSpec.js | 12 +- .../Scene/SpecularEnvironmentCubeMapSpec.js | 17 +- .../engine/Specs/Scene/SphereEmitterSpec.js | 4 +- .../Specs/Scene/StructuralMetadataSpec.js | 4 +- packages/engine/Specs/Scene/SunSpec.js | 2 +- .../engine/Specs/Scene/TerrainFillMeshSpec.js | 202 +- .../engine/Specs/Scene/TextureAtlasSpec.js | 96 +- .../Specs/Scene/TileBoundingRegionSpec.js | 40 +- .../Specs/Scene/TileBoundingS2CellSpec.js | 32 +- .../Specs/Scene/TileBoundingSphereSpec.js | 4 +- .../TileCoordinatesImageryProviderSpec.js | 12 +- .../TileMapServiceImageryProviderSpec.js | 287 +- .../engine/Specs/Scene/TileMetadataSpec.js | 16 +- .../Scene/TileOrientedBoundingBoxSpec.js | 14 +- .../Specs/Scene/Tileset3DTileContentSpec.js | 10 +- .../engine/Specs/Scene/TilesetMetadataSpec.js | 2 +- .../Specs/Scene/TimeDynamicImagerySpec.js | 10 +- .../Specs/Scene/TimeDynamicPointCloudSpec.js | 154 +- .../TranslucentTileClassificationSpec.js | 101 +- .../engine/Specs/Scene/TweenCollectionSpec.js | 2 +- .../Scene/UrlTemplateImageryProviderSpec.js | 531 +- .../Scene/Vector3DTileClampedPolylinesSpec.js | 11 +- .../Specs/Scene/Vector3DTileContentSpec.js | 623 +- .../Specs/Scene/Vector3DTileGeometrySpec.js | 74 +- .../Specs/Scene/Vector3DTilePointsSpec.js | 65 +- .../Specs/Scene/Vector3DTilePolygonsSpec.js | 50 +- .../Specs/Scene/Vector3DTilePolylinesSpec.js | 26 +- .../Scene/VertexAttributeSemanticSpec.js | 14 +- .../engine/Specs/Scene/ViewportQuadSpec.js | 16 +- .../engine/Specs/Scene/VoxelBoxShapeSpec.js | 146 +- packages/engine/Specs/Scene/VoxelCellSpec.js | 16 +- .../Specs/Scene/VoxelCylinderShapeSpec.js | 86 +- .../Specs/Scene/VoxelEllipsoidShapeSpec.js | 62 +- .../engine/Specs/Scene/VoxelPrimitiveSpec.js | 8 +- .../engine/Specs/Scene/VoxelShapeTypeSpec.js | 18 +- .../engine/Specs/Scene/VoxelTraversalSpec.js | 32 +- .../Scene/WebMapServiceImageryProviderSpec.js | 648 +- .../WebMapTileServiceImageryProviderSpec.js | 77 +- .../Specs/Scene/buildVoxelDrawCommandsSpec.js | 2 +- .../computeFlyToLocationForRectangleSpec.js | 76 +- .../Scene/createElevationBandMaterialSpec.js | 6 +- .../createTangentSpaceDebugPrimitiveSpec.js | 2 +- .../Scene/createWorldImageryAsyncSpec.js | 2 +- .../engine/Specs/Scene/parseBatchTableSpec.js | 65 +- .../Scene/parseFeatureMetadataLegacySpec.js | 2 +- .../Scene/parseStructuralMetadataSpec.js | 6 +- .../Specs/Scene/processVoxelPropertiesSpec.js | 16 +- .../engine/Specs/Widget/CesiumWidgetSpec.js | 24 +- .../widgets/Source/Animation/Animation.js | 87 +- .../Source/Animation/AnimationViewModel.js | 13 +- .../BaseLayerPicker/BaseLayerPicker.css | 13 +- .../Source/BaseLayerPicker/BaseLayerPicker.js | 14 +- .../BaseLayerPickerViewModel.js | 19 +- .../createDefaultImageryProviderViewModels.js | 60 +- .../createDefaultTerrainProviderViewModels.js | 6 +- .../Cesium3DTilesInspector.js | 118 +- .../Cesium3DTilesInspectorViewModel.js | 14 +- .../Source/CesiumInspector/CesiumInspector.js | 40 +- .../CesiumInspectorViewModel.js | 8 +- .../FullscreenButton/FullscreenButton.js | 2 +- .../FullscreenButtonViewModel.js | 19 +- packages/widgets/Source/Geocoder/Geocoder.css | 4 +- packages/widgets/Source/Geocoder/Geocoder.js | 8 +- .../Source/Geocoder/GeocoderViewModel.js | 14 +- .../widgets/Source/HomeButton/HomeButton.js | 2 +- .../I3SBuildingSceneLayerExplorerViewModel.js | 7 +- packages/widgets/Source/InfoBox/InfoBox.css | 9 +- packages/widgets/Source/InfoBox/InfoBox.js | 10 +- packages/widgets/Source/InspectorShared.js | 16 +- .../NavigationHelpButton.css | 4 +- .../NavigationHelpButton.js | 28 +- .../PerformanceWatchdogViewModel.js | 6 +- .../ProjectionPicker/ProjectionPicker.css | 8 +- .../ProjectionPicker/ProjectionPicker.js | 6 +- .../ProjectionPickerViewModel.js | 20 +- .../SceneModePicker/SceneModePicker.css | 8 +- .../Source/SceneModePicker/SceneModePicker.js | 8 +- .../SelectionIndicator/SelectionIndicator.css | 4 +- .../SelectionIndicator/SelectionIndicator.js | 4 +- .../SelectionIndicatorViewModel.js | 8 +- .../widgets/Source/SvgPathBindingHandler.js | 2 +- packages/widgets/Source/Timeline/Timeline.js | 92 +- .../Source/Timeline/TimelineHighlightRange.js | 8 +- .../widgets/Source/Timeline/TimelineTrack.js | 6 +- packages/widgets/Source/VRButton/VRButton.js | 2 +- .../Source/VRButton/VRButtonViewModel.js | 9 +- packages/widgets/Source/Viewer/Viewer.js | 118 +- .../viewerCesium3DTilesInspectorMixin.js | 2 +- .../Viewer/viewerCesiumInspectorMixin.js | 2 +- .../Source/Viewer/viewerDragDropMixin.js | 8 +- .../Source/VoxelInspector/VoxelInspector.js | 68 +- .../VoxelInspector/VoxelInspectorViewModel.js | 51 +- .../widgets/Source/subscribeAndEvaluate.js | 2 +- .../Specs/Animation/AnimationViewModelSpec.js | 39 +- .../BaseLayerPickerViewModelSpec.js | 2 +- .../Cesium3DTilesInspectorSpec.js | 2 +- .../Cesium3DTilesInspectorViewModelSpec.js | 38 +- .../CesiumInspector/CesiumInspectorSpec.js | 2 +- .../CesiumInspectorViewModelSpec.js | 60 +- .../widgets/Specs/Geocoder/GeocoderSpec.js | 2 +- .../Specs/Geocoder/GeocoderViewModelSpec.js | 26 +- .../Specs/HomeButton/HomeButtonSpec.js | 2 +- .../HomeButton/HomeButtonViewModelSpec.js | 2 +- .../I3SBSLExplorer/I3SBSLExplorerSpec.js | 11 +- .../I3SBSLExplorerViewModelSpec.js | 28 +- packages/widgets/Specs/InfoBox/InfoBoxSpec.js | 2 +- .../PerformanceWatchdogSpec.js | 2 +- .../PerformanceWatchdogViewModelSpec.js | 2 +- .../ProjectionPicker/ProjectionPickerSpec.js | 6 +- .../ProjectionPickerViewModelSpec.js | 2 +- .../SceneModePicker/SceneModePickerSpec.js | 2 +- .../SceneModePickerViewModelSpec.js | 2 +- .../SelectionIndicatorSpec.js | 2 +- .../SelectionIndicatorViewModelSpec.js | 16 +- .../Specs/SvgPathBindingHandlerSpec.js | 13 +- packages/widgets/Specs/Viewer/ViewerSpec.js | 588 +- .../Specs/Viewer/viewerDragDropMixinSpec.js | 6 +- .../viewerPerformanceWatchdogMixinSpec.js | 4 +- .../VoxelInspector/VoxelInspectorSpec.js | 2 +- server.js | 62 +- 1514 files changed, 35460 insertions(+), 48409 deletions(-) diff --git a/.github/actions/check-for-CLA/index.js b/.github/actions/check-for-CLA/index.js index 56ece7b8e03b..68684a92624a 100644 --- a/.github/actions/check-for-CLA/index.js +++ b/.github/actions/check-for-CLA/index.js @@ -47,7 +47,7 @@ const getValuesFromGoogleSheet = async (sheetId, cellRanges) => { const checkIfIndividualCLAFound = async () => { const response = await getValuesFromGoogleSheet( GOOGLE_SHEETS_INFO.individualCLASheetId, - "D2:D" + "D2:D", ); const rows = response.data.values; @@ -68,7 +68,7 @@ const checkIfIndividualCLAFound = async () => { const checkIfCorporateCLAFound = async () => { const response = await getValuesFromGoogleSheet( GOOGLE_SHEETS_INFO.corporateCLASheetId, - "H2:H" + "H2:H", ); const rows = response.data.values; @@ -107,9 +107,9 @@ const getCommentBody = (hasSignedCLA, errorFoundOnCLACheck) => { const commentTemplate = fs.readFileSync( join( dirname(fileURLToPath(import.meta.url)), - "templates/pullRequestComment.hbs" + "templates/pullRequestComment.hbs", ), - "utf-8" + "utf-8", ); const getCommentFromTemplate = Handlebars.compile(commentTemplate); @@ -138,7 +138,7 @@ const postCommentOnPullRequest = async (hasSignedCLA, errorFoundOnCLACheck) => { accept: "application/vnd.github+json", "X-GitHub-Api-Version": "2022-11-28", }, - } + }, ); }; diff --git a/Apps/CesiumViewer/CesiumViewer.js b/Apps/CesiumViewer/CesiumViewer.js index 3a07da944985..37325b668f78 100644 --- a/Apps/CesiumViewer/CesiumViewer.js +++ b/Apps/CesiumViewer/CesiumViewer.js @@ -47,7 +47,7 @@ async function main() { let baseLayer; if (defined(endUserOptions.tmsImageryUrl)) { baseLayer = ImageryLayer.fromProviderAsync( - TileMapServiceImageryProvider.fromUrl(endUserOptions.tmsImageryUrl) + TileMapServiceImageryProvider.fromUrl(endUserOptions.tmsImageryUrl), ); } @@ -222,11 +222,11 @@ async function main() { let hpr = ""; if (defined(camera.heading)) { hpr = `,${CesiumMath.toDegrees(camera.heading)},${CesiumMath.toDegrees( - camera.pitch + camera.pitch, )},${CesiumMath.toDegrees(camera.roll)}`; } endUserOptions.view = `${CesiumMath.toDegrees( - position.longitude + position.longitude, )},${CesiumMath.toDegrees(position.latitude)},${position.height}${hpr}`; history.replaceState(undefined, "", `?${objectToQuery(endUserOptions)}`); } diff --git a/Apps/CesiumViewer/index.html b/Apps/CesiumViewer/index.html index 9bd37df259f2..5732efc965c0 100644 --- a/Apps/CesiumViewer/index.html +++ b/Apps/CesiumViewer/index.html @@ -1,4 +1,4 @@ - + diff --git a/Apps/HelloWorld.html b/Apps/HelloWorld.html index 277d7479d7b2..23831dbf99fe 100644 --- a/Apps/HelloWorld.html +++ b/Apps/HelloWorld.html @@ -1,4 +1,4 @@ - + diff --git a/Apps/Sandcastle/CesiumSandcastle.js b/Apps/Sandcastle/CesiumSandcastle.js index 9f8d87e99120..ddce4d5e04f4 100644 --- a/Apps/Sandcastle/CesiumSandcastle.js +++ b/Apps/Sandcastle/CesiumSandcastle.js @@ -94,7 +94,7 @@ require({ dojoscript, LinkButton, ClipboardJS, - pako + pako, ) { "use strict"; // attach clipboard handling to our Copy button @@ -234,7 +234,7 @@ require({ function clearRun() { domClass.remove( registry.byId("buttonRun").domNode, - "highlightToolbarButton" + "highlightToolbarButton", ); } @@ -336,8 +336,8 @@ require({ function openGalleryTooltip() { galleryTooltipTimer = undefined; - const selectedTabName = registry.byId("innerPanel").selectedChildWidget - .title; + const selectedTabName = + registry.byId("innerPanel").selectedChildWidget.title; let suffix = `${selectedTabName}Demos`; if (selectedTabName === "All") { suffix = "all"; @@ -395,7 +395,7 @@ require({ line = jsEditor.setGutterMarker( i, "searchGutter", - makeLineLabel(`Search: ${searchTerm}`, "searchMarker") + makeLineLabel(`Search: ${searchTerm}`, "searchMarker"), ); jsEditor.addLineClass(line, "text", "searchLine"); errorLines.push(line); @@ -415,7 +415,7 @@ require({ line = jsEditor.setGutterMarker( scriptLineToEditorLine(hint.line), "hintGutter", - makeLineLabel(hint.reason, "hintMarker") + makeLineLabel(hint.reason, "hintMarker"), ); jsEditor.addLineClass(line, "text", "hintLine"); errorLines.push(line); @@ -453,7 +453,7 @@ require({ { line: lineNumber - 1, ch: 0, - } + }, ); jsEditor.focus(); jsEditor.setSelection( @@ -464,7 +464,7 @@ require({ { line: lineNumber, ch: 0, - } + }, ); } } @@ -481,7 +481,7 @@ require({ line = jsEditor.setGutterMarker( lineNum, "highlightGutter", - makeLineLabel("highlighted by demo", "highlightMarker") + makeLineLabel("highlighted by demo", "highlightMarker"), ); jsEditor.addLineClass(line, "text", "highlightLine"); highlightLines.push(line); @@ -516,7 +516,7 @@ require({ demoContainer.scrollLeft -= (e.wheelDelta * 70) / 120; } }, - false + false, ); } else { demoContainer.addEventListener( @@ -526,7 +526,7 @@ require({ demoContainer.scrollLeft += (e.detail * 70) / 3; } }, - false + false, ); } } @@ -572,7 +572,7 @@ require({ Tab: "indentMore", "Shift-Tab": "indentLess", }, - } + }, ); window.onbeforeunload = function (e) { @@ -626,7 +626,7 @@ require({ appendConsole( "consoleError", "Make sure Cesium is built, see the Contributor's Guide for details.", - true + true, ); } }; @@ -673,7 +673,7 @@ require({ element.textContent = embedInSandcastleTemplate( jsEditor.getValue(), - isFirefox + isFirefox, ); bucketDoc.body.appendChild(element); } @@ -697,7 +697,7 @@ require({ appendConsole( "consoleError", `Error, first part of ${local.bucketName} must match first part of bucket.html exactly.`, - true + true, ); } else { const bodyAttributes = local.headers.match(/]*?)>/)[1]; @@ -719,7 +719,7 @@ require({ const pos = local.headers.indexOf(""); const extraHeaders = local.headers.substring( local.emptyBucket.length, - pos + pos, ); bucketDoc.head.innerHTML += extraHeaders; activateBucketScripts(bucketDoc); @@ -828,7 +828,7 @@ require({ appendConsole( "consoleError", `Unable to GET gist from GitHub API. This could be due to too many requests from your IP. Try again in an hour or copy and paste the code from the gist: https://gist.github.com/${queryObject.gist}`, - true + true, ); console.log(error); }); @@ -851,13 +851,13 @@ require({ const doc = parser.parseFromString(demo.code, "text/html"); const script = doc.querySelector( - 'script[id="cesium_sandcastle_script"]' + 'script[id="cesium_sandcastle_script"]', ); if (!script) { appendConsole( "consoleError", `Error reading source file: ${demo.name}`, - true + true, ); return; } @@ -867,7 +867,7 @@ require({ appendConsole( "consoleError", `Error reading source file: ${demo.name}`, - true + true, ); return; } @@ -903,7 +903,7 @@ require({ document.title = `${e.state.name} - Cesium Sandcastle`; } }, - false + false, ); window.addEventListener( @@ -930,7 +930,7 @@ require({ appendConsole( "consoleError", 'Documentation not available. Please run the "build-docs" build script to generate Cesium documentation.', - true + true, ); showGallery(); } @@ -938,7 +938,7 @@ require({ appendConsole( "consoleError", "Error loading gallery, please run the build script.", - true + true, ); } if (deferredLoadError) { @@ -946,9 +946,9 @@ require({ "consoleLog", `Unable to load demo named ${queryObject.src.replace( ".html", - "" + "", )}. Redirecting to HelloWorld.\n`, - true + true, ); } } @@ -970,7 +970,7 @@ require({ line = jsEditor.setGutterMarker( lineNumber, "errorGutter", - makeLineLabel(e.data.error, "errorMarker") + makeLineLabel(e.data.error, "errorMarker"), ); jsEditor.addLineClass(line, "text", "errorLine"); errorLines.push(line); @@ -986,7 +986,7 @@ require({ highlightLine(e.data.highlight); } }, - true + true, ); registry.byId("jsContainer").on("show", function () { @@ -1061,7 +1061,7 @@ require({ // we save a few bytes by omitting the leading [" and trailing "] since they are always the same jsonString = jsonString.substr(2, jsonString.length - 4); let base64String = btoa( - pako.deflate(jsonString, { raw: true, to: "string", level: 9 }) + pako.deflate(jsonString, { raw: true, to: "string", level: 9 }), ); base64String = base64String.replace(/\=+$/, ""); // remove padding @@ -1107,7 +1107,7 @@ require({ let confirmChange = true; if (demoHtml !== htmlText || demoCode !== jsText) { confirmChange = window.confirm( - "You have unsaved changes. Are you sure you want to navigate away from this demo?" + "You have unsaved changes. Are you sure you want to navigate away from this demo?", ); } if (confirmChange) { @@ -1132,7 +1132,7 @@ require({ local.headers }\n${htmlEditor.getValue()}\n` + `\n` + `\n` @@ -1143,20 +1143,20 @@ require({ let currentDemoName = queryObject.src; currentDemoName = currentDemoName.replace(".html", ""); const description = encodeHTML( - registry.byId("description").get("value").replace(/\n/g, "\\n") + registry.byId("description").get("value").replace(/\n/g, "\\n"), ).replace(/\"/g, """); const label = encodeHTML( - registry.byId("label").get("value").replace(/\n/g, "\\n") + registry.byId("label").get("value").replace(/\n/g, "\\n"), ).replace(/\"/g, """); let html = getDemoHtml(); html = html.replace( "", - `<meta name="description" content="${description}">\n <title>` + `<meta name="description" content="${description}">\n <title>`, ); html = html.replace( "<title>", - `<meta name="cesium-sandcastle-labels" content="${label}">\n <title>` + `<meta name="cesium-sandcastle-labels" content="${label}">\n <title>`, ); const octetBlob = new Blob([html], { @@ -1242,7 +1242,7 @@ require({ demo.description = description ? description : ""; const labelsMeta = doc.querySelector( - 'meta[name="cesium-sandcastle-labels"]' + 'meta[name="cesium-sandcastle-labels"]', ); const labels = labelsMeta && labelsMeta.getAttribute("content"); if (demo.isNew) { @@ -1356,7 +1356,7 @@ require({ let confirmChange = true; if (demoHtml !== htmlText || demoCode !== jsText) { confirmChange = window.confirm( - "You have unsaved changes. Are you sure you want to navigate away from this demo?" + "You have unsaved changes. Are you sure you want to navigate away from this demo?", ); } if (confirmChange) { diff --git a/Apps/Sandcastle/LinkButton.js b/Apps/Sandcastle/LinkButton.js index aa6a61ca5f73..6884c209065e 100644 --- a/Apps/Sandcastle/LinkButton.js +++ b/Apps/Sandcastle/LinkButton.js @@ -11,7 +11,7 @@ define([ _WidgetBase, declare, domClass, - template + template, ) { "use strict"; @@ -34,6 +34,6 @@ define([ this._set("label", content); (this.containerNode || this.focusNode).innerHTML = content; }, - } + }, ); }); diff --git a/Apps/Sandcastle/Sandcastle-client.js b/Apps/Sandcastle/Sandcastle-client.js index d6ebbb0e8858..5acd572c626e 100644 --- a/Apps/Sandcastle/Sandcastle-client.js +++ b/Apps/Sandcastle/Sandcastle-client.js @@ -22,7 +22,7 @@ { log: print(d1), }, - "*" + "*", ); }; @@ -33,7 +33,7 @@ { warn: defined(d1) ? d1.toString() : "undefined", }, - "*" + "*", ); }; @@ -45,7 +45,7 @@ { error: "undefined", }, - "*" + "*", ); return; } @@ -75,7 +75,7 @@ try { lineNumber = parseInt( stack.substring(lineStart + 1, lineEnd1), - 10 + 10, ); } catch (ex) {} /*eslint-enable no-empty*/ @@ -90,14 +90,14 @@ error: errorMsg, lineNumber: lineNumber, }, - "*" + "*", ); } else { window.parent.postMessage( { error: errorMsg, }, - "*" + "*", ); } }; @@ -129,7 +129,7 @@ url: url, lineNumber: lineNumber, }, - "*" + "*", ); } else { window.parent.postMessage( @@ -137,7 +137,7 @@ error: errorMsg, url: url, }, - "*" + "*", ); } console.originalError.apply(console, [errorMsg]); @@ -187,7 +187,7 @@ { highlight: Sandcastle.registered[i].lineNumber, }, - "*" + "*", ); return; } @@ -197,7 +197,7 @@ { highlight: 0, }, - "*" + "*", ); }; })(); diff --git a/Apps/Sandcastle/Sandcastle-header.js b/Apps/Sandcastle/Sandcastle-header.js index 58a042f62c12..5c9c0ad51570 100644 --- a/Apps/Sandcastle/Sandcastle-header.js +++ b/Apps/Sandcastle/Sandcastle-header.js @@ -24,7 +24,7 @@ document.body.className = document.body.className.replace( /(?:\s|^)sandcastle-loading(?:\s|$)/, - " " + " ", ); }, addToggleButton: function (text, checked, onchange, toolbarID) { @@ -101,7 +101,7 @@ if (window.location.protocol === "file:") { if ( window.confirm( - "You must host this app on a web server.\nSee contributor's guide for more info?" + "You must host this app on a web server.\nSee contributor's guide for more info?", ) ) { window.location = diff --git a/Apps/Sandcastle/gallery/3D Models Coloring.html b/Apps/Sandcastle/gallery/3D Models Coloring.html index a0df5ede65d0..57c0aedc1daa 100644 --- a/Apps/Sandcastle/gallery/3D Models Coloring.html +++ b/Apps/Sandcastle/gallery/3D Models Coloring.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -218,7 +218,7 @@ const position = Cesium.Cartesian3.fromDegrees( -123.0744619, 44.0503706, - height + height, ); const heading = Cesium.Math.toRadians(135); const pitch = 0; @@ -239,7 +239,7 @@ colorBlendAmount: parseFloat(viewModel.colorBlendAmount), silhouetteColor: getColor( viewModel.silhouetteColor, - viewModel.silhouetteAlpha + viewModel.silhouetteAlpha, ), silhouetteSize: parseFloat(viewModel.silhouetteSize), }, @@ -265,7 +265,7 @@ onselect: function () { createModel( "../../SampleData/models/CesiumBalloon/CesiumBalloon.glb", - 1000.0 + 1000.0, ); }, }, @@ -274,7 +274,7 @@ onselect: function () { createModel( "../../SampleData/models/CesiumMilkTruck/CesiumMilkTruck.glb", - 0 + 0, ); }, }, diff --git a/Apps/Sandcastle/gallery/3D Models.html b/Apps/Sandcastle/gallery/3D Models.html index 9be76299ec57..a2c16fc7a2af 100644 --- a/Apps/Sandcastle/gallery/3D Models.html +++ b/Apps/Sandcastle/gallery/3D Models.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -42,7 +42,7 @@ const position = Cesium.Cartesian3.fromDegrees( -123.0744619, 44.0503706, - height + height, ); const heading = Cesium.Math.toRadians(135); const pitch = 0; @@ -87,7 +87,7 @@ onselect: function () { createModel( "../../SampleData/models/CesiumBalloon/CesiumBalloon.glb", - 1000.0 + 1000.0, ); }, }, @@ -96,7 +96,7 @@ onselect: function () { createModel( "../../SampleData/models/CesiumMilkTruck/CesiumMilkTruck.glb", - 0 + 0, ); }, }, @@ -117,7 +117,7 @@ onselect: function () { createModel( "../../SampleData/models/DracoCompressed/CesiumMilkTruck.gltf", - 0 + 0, ); }, }, @@ -126,12 +126,12 @@ onselect: function () { if (!Cesium.FeatureDetection.supportsBasis(viewer.scene)) { window.alert( - "This browser does not support Basis Universal compressed textures" + "This browser does not support Basis Universal compressed textures", ); } createModel( "../../SampleData/models/CesiumBalloonKTX2/CesiumBalloonKTX2.glb", - 1000.0 + 1000.0, ); }, }, diff --git a/Apps/Sandcastle/gallery/3D Tiles 1.1 CDB Yemen.html b/Apps/Sandcastle/gallery/3D Tiles 1.1 CDB Yemen.html index 4fc2b457e456..99e12eb214b0 100644 --- a/Apps/Sandcastle/gallery/3D Tiles 1.1 CDB Yemen.html +++ b/Apps/Sandcastle/gallery/3D Tiles 1.1 CDB Yemen.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -41,7 +41,7 @@ const viewer = new Cesium.Viewer("cesiumContainer", {}); viewer.clock.currentTime = Cesium.JulianDate.fromIso8601( - "2021-11-09T07:27:37.016064475348684937Z" + "2021-11-09T07:27:37.016064475348684937Z", ); const scene = viewer.scene; scene.light.intensity = 7.0; @@ -51,68 +51,68 @@ destination: new Cesium.Cartesian3( 4397999.822774582, 4404502.67774069, - 1397782.4709840622 + 1397782.4709840622, ), direction: new Cesium.Cartesian3( -0.29335588497705106, -0.6066709587467911, - 0.7388454997917905 + 0.7388454997917905, ), up: new Cesium.Cartesian3( 0.6240972421637774, 0.46391380837591956, - 0.6287182283994301 + 0.6287182283994301, ), }, airport: { destination: new Cesium.Cartesian3( 4394719.151490939, 4402317.401942875, - 1406608.6602404779 + 1406608.6602404779, ), direction: new Cesium.Cartesian3( 0.4146699515908668, -0.8887814163588482, - 0.1952342828060377 + 0.1952342828060377, ), up: new Cesium.Cartesian3( 0.8415067525520951, 0.4561872920946922, - 0.28941240460723 + 0.28941240460723, ), }, crater: { destination: new Cesium.Cartesian3( 4398179.160380196, 4402518.469409466, - 1399161.7612076725 + 1399161.7612076725, ), direction: new Cesium.Cartesian3( -0.2800903637088597, -0.6348021519070498, - 0.7201219452923355 + 0.7201219452923355, ), up: new Cesium.Cartesian3( 0.6319189548885261, 0.4427783126727723, - 0.6361020360596605 + 0.6361020360596605, ), }, port: { destination: new Cesium.Cartesian3( 4399698.85724341, 4399019.639078034, - 1405153.7766045567 + 1405153.7766045567, ), direction: new Cesium.Cartesian3( -0.5651458936543287, 0.17696574231117793, - -0.8057873447342694 + -0.8057873447342694, ), up: new Cesium.Cartesian3( 0.4886488937394081, 0.8587605935024302, - -0.15411846642958343 + -0.15411846642958343, ), }, }; @@ -221,7 +221,7 @@ metadataOverlay.style.left = `${movement.endPosition.x}px`; tableHtmlScratch = `<table><thead><tr><td>Material:</td><th><tt>${feature.getProperty( - "name" + "name", )}</tt></tr></thead><tbody>`; materialsScratch = feature.getProperty("substrates"); @@ -231,7 +231,7 @@ for (i = 0; i < materialsScratch.length; i++) { tableHtmlScratch += `<tr><td><tt>${materialsScratch[i].slice( - 3 + 3, )}</tt></td><td style='text-align: right;'><tt>${ weightsScratch[i] }%</tt></td></tr>`; @@ -303,7 +303,7 @@ const propertyType = Cesium.defaultValue( property.componentType, - property.type + property.type, ); tableHtmlScratch += `<tr style='font-family: monospace;' title='${property.description}'><th>${property.name}</th><th><b>${property.id}</b></th><td>${propertyType}</td><td>${propertyValue}</td></tr>`; } @@ -411,15 +411,17 @@ } Sandcastle.addToolbarMenu(locations); - Sandcastle.addToggleButton("Enable terrain picking", enablePicking, function ( - checked - ) { - if (enablePicking) { - metadataOverlay.style.display = "none"; - } + Sandcastle.addToggleButton( + "Enable terrain picking", + enablePicking, + function (checked) { + if (enablePicking) { + metadataOverlay.style.display = "none"; + } - enablePicking = checked; - }); + enablePicking = checked; + }, + ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/3D Tiles 1.1 Photogrammetry Classification.html b/Apps/Sandcastle/gallery/3D Tiles 1.1 Photogrammetry Classification.html index 56982b4f9036..818e2987a5f1 100644 --- a/Apps/Sandcastle/gallery/3D Tiles 1.1 Photogrammetry Classification.html +++ b/Apps/Sandcastle/gallery/3D Tiles 1.1 Photogrammetry Classification.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -40,7 +40,7 @@ }); viewer.clock.currentTime = Cesium.JulianDate.fromIso8601( - "2021-11-09T20:27:37.016064475348684937Z" + "2021-11-09T20:27:37.016064475348684937Z", ); const scene = viewer.scene; @@ -50,12 +50,12 @@ destination: new Cesium.Cartesian3( -2703640.80485846, -4261161.990345464, - 3887439.511104276 + 3887439.511104276, ), orientation: new Cesium.HeadingPitchRoll( 0.22426651143535548, -0.2624145362506527, - 0.000006972977223185239 + 0.000006972977223185239, ), duration: 0, }); @@ -67,7 +67,7 @@ const translation = new Cesium.Cartesian3( -1.398521324920626, 0.7823052871729486, - 0.7015244410592609 + 0.7015244410592609, ); tileset.modelMatrix = Cesium.Matrix4.fromTranslation(translation); diff --git a/Apps/Sandcastle/gallery/3D Tiles 1.1 Photogrammetry.html b/Apps/Sandcastle/gallery/3D Tiles 1.1 Photogrammetry.html index 93db78acb336..c38b6c0d5cef 100644 --- a/Apps/Sandcastle/gallery/3D Tiles 1.1 Photogrammetry.html +++ b/Apps/Sandcastle/gallery/3D Tiles 1.1 Photogrammetry.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -174,10 +174,10 @@ // Create two primitive collections, one for each half of the screen. // This way we can clear one half of the screen at a time. const leftCollection = viewer.scene.primitives.add( - new Cesium.PrimitiveCollection() + new Cesium.PrimitiveCollection(), ); const rightCollection = viewer.scene.primitives.add( - new Cesium.PrimitiveCollection() + new Cesium.PrimitiveCollection(), ); // Load a tileset to one half of the screen, returning the tileset @@ -288,7 +288,7 @@ async function () { benchmarkTilesets(selectedTilesetName); }, - "toolbarSelect" + "toolbarSelect", ); // A note to the user that load time requires a button press @@ -305,9 +305,8 @@ } function updateLoadTime(side, tileLoadTimeSeconds) { - document.getElementById( - `${side}TileLoadTime` - ).innerHTML = tileLoadTimeSeconds.toPrecision(3); + document.getElementById(`${side}TileLoadTime`).innerHTML = + tileLoadTimeSeconds.toPrecision(3); } function updateStatsPanel(side, tileset) { @@ -319,9 +318,8 @@ const gpuMemoryBytes = stats.geometryByteLength + stats.texturesByteLength; const gpuMemoryMB = gpuMemoryBytes / 1024 / 1024; - document.getElementById( - `${side}GpuMemoryMB` - ).innerHTML = gpuMemoryMB.toPrecision(3); + document.getElementById(`${side}GpuMemoryMB`).innerHTML = + gpuMemoryMB.toPrecision(3); } // maximum SSE Slider ------------------------------------------------- diff --git a/Apps/Sandcastle/gallery/3D Tiles 1.1 S2 Globe.html b/Apps/Sandcastle/gallery/3D Tiles 1.1 S2 Globe.html index e3b1417133a2..6ca4f62f9dcc 100644 --- a/Apps/Sandcastle/gallery/3D Tiles 1.1 S2 Globe.html +++ b/Apps/Sandcastle/gallery/3D Tiles 1.1 S2 Globe.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -54,18 +54,18 @@ destination: new Cesium.Cartesian3( 762079.3157173397, -28363749.882652905, - 19814354.842565004 + 19814354.842565004, ), orientation: { direction: new Cesium.Cartesian3( -0.022007098944236157, 0.819079900508189, - -0.5732571885110153 + -0.5732571885110153, ), up: new Cesium.Cartesian3( -0.015396759850986286, 0.5730503851893346, - 0.8193754913471885 + 0.8193754913471885, ), }, easingFunction: Cesium.EasingFunction.QUADRATIC_IN_OUT, diff --git a/Apps/Sandcastle/gallery/3D Tiles Adjust Height.html b/Apps/Sandcastle/gallery/3D Tiles Adjust Height.html index 7c7ad4a6153c..902d9b7283da 100644 --- a/Apps/Sandcastle/gallery/3D Tiles Adjust Height.html +++ b/Apps/Sandcastle/gallery/3D Tiles Adjust Height.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -66,13 +66,13 @@ let tileset; try { tileset = await Cesium.Cesium3DTileset.fromUrl( - "../../SampleData/Cesium3DTiles/Tilesets/Tileset/tileset.json" + "../../SampleData/Cesium3DTiles/Tilesets/Tileset/tileset.json", ); viewer.scene.primitives.add(tileset); viewer.scene.globe.depthTestAgainstTerrain = true; viewer.zoomTo( tileset, - new Cesium.HeadingPitchRange(0.0, -0.5, tileset.boundingSphere.radius * 2.0) + new Cesium.HeadingPitchRange(0.0, -0.5, tileset.boundingSphere.radius * 2.0), ); } catch (error) { console.log(`Error loading tileset: ${error}`); @@ -85,22 +85,22 @@ } const cartographic = Cesium.Cartographic.fromCartesian( - tileset.boundingSphere.center + tileset.boundingSphere.center, ); const surface = Cesium.Cartesian3.fromRadians( cartographic.longitude, cartographic.latitude, - 0.0 + 0.0, ); const offset = Cesium.Cartesian3.fromRadians( cartographic.longitude, cartographic.latitude, - height + height, ); const translation = Cesium.Cartesian3.subtract( offset, surface, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); tileset.modelMatrix = Cesium.Matrix4.fromTranslation(translation); }); diff --git a/Apps/Sandcastle/gallery/3D Tiles BIM.html b/Apps/Sandcastle/gallery/3D Tiles BIM.html index 4fac7dda6b7b..0a46649510b1 100644 --- a/Apps/Sandcastle/gallery/3D Tiles BIM.html +++ b/Apps/Sandcastle/gallery/3D Tiles BIM.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -82,40 +82,10 @@ // Workaround until 3D Tiles has the concept of global batch ids: https://github.com/CesiumGS/3d-tiles/issues/265 const elementMap = {}; // Build a map of elements to features. const hiddenElements = [ - 112001, - 113180, - 131136, - 113167, - 71309, - 109652, - 111178, - 113156, - 113170, - 124846, - 114076, - 131122, - 113179, - 114325, - 131134, - 113164, - 113153, - 113179, - 109656, - 114095, - 114093, - 39225, - 39267, - 113149, - 113071, - 112003, - 39229, - 113160, - 39227, - 39234, - 113985, - 39230, - 112004, - 39223, + 112001, 113180, 131136, 113167, 71309, 109652, 111178, 113156, 113170, 124846, + 114076, 131122, 113179, 114325, 131134, 113164, 113153, 113179, 109656, 114095, + 114093, 39225, 39267, 113149, 113071, 112003, 39229, 113160, 39227, 39234, + 113985, 39230, 112004, 39223, ]; function getElement(feature) { @@ -182,7 +152,7 @@ viewer.zoomTo( tileset, - new Cesium.HeadingPitchRange(0.5, -0.2, tileset.boundingSphere.radius * 4.0) + new Cesium.HeadingPitchRange(0.5, -0.2, tileset.boundingSphere.radius * 4.0), ); tileset.colorBlendMode = Cesium.Cesium3DTileColorBlendMode.REPLACE; diff --git a/Apps/Sandcastle/gallery/3D Tiles Batch Table Hierarchy.html b/Apps/Sandcastle/gallery/3D Tiles Batch Table Hierarchy.html index 9d1bb4367ff4..d93c6ad78345 100644 --- a/Apps/Sandcastle/gallery/3D Tiles Batch Table Hierarchy.html +++ b/Apps/Sandcastle/gallery/3D Tiles Batch Table Hierarchy.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -95,7 +95,7 @@ let tileset; try { tileset = await Cesium.Cesium3DTileset.fromUrl( - "../../SampleData/Cesium3DTiles/Hierarchy/BatchTableHierarchy/tileset.json" + "../../SampleData/Cesium3DTiles/Hierarchy/BatchTableHierarchy/tileset.json", ); viewer.scene.primitives.add(tileset); diff --git a/Apps/Sandcastle/gallery/3D Tiles Clipping Planes.html b/Apps/Sandcastle/gallery/3D Tiles Clipping Planes.html index 26063bcdbcd3..1c864559a48b 100644 --- a/Apps/Sandcastle/gallery/3D Tiles Clipping Planes.html +++ b/Apps/Sandcastle/gallery/3D Tiles Clipping Planes.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -167,18 +167,17 @@ // Apply an additional matrix to center the clipping plane on the bounding sphere center. const transformCenter = Cesium.Matrix4.getTranslation( tileset.root.transform, - new Cesium.Cartesian3() - ); - const transformCartographic = Cesium.Cartographic.fromCartesian( - transformCenter + new Cesium.Cartesian3(), ); + const transformCartographic = + Cesium.Cartographic.fromCartesian(transformCenter); const boundingSphereCartographic = Cesium.Cartographic.fromCartesian( - tileset.boundingSphere.center + tileset.boundingSphere.center, ); const height = boundingSphereCartographic.height - transformCartographic.height; clippingPlanes.modelMatrix = Cesium.Matrix4.fromTranslation( - new Cesium.Cartesian3(0.0, 0.0, height) + new Cesium.Cartesian3(0.0, 0.0, height), ); } @@ -191,7 +190,7 @@ material: Cesium.Color.WHITE.withAlpha(0.1), plane: new Cesium.CallbackProperty( createPlaneUpdateFunction(plane), - false + false, ), outline: true, outlineColor: Cesium.Color.WHITE, @@ -243,7 +242,7 @@ material: Cesium.Color.WHITE.withAlpha(0.1), plane: new Cesium.CallbackProperty( createPlaneUpdateFunction(plane), - false + false, ), outline: true, outlineColor: Cesium.Color.WHITE, @@ -281,7 +280,9 @@ // Position the instanced tileset above terrain loadTileset( instancedUrl, - Cesium.Matrix4.fromTranslation(new Cesium.Cartesian3(15.0, -58.6, 50.825)) + Cesium.Matrix4.fromTranslation( + new Cesium.Cartesian3(15.0, -58.6, 50.825), + ), ); } else { loadModel(modelUrl); diff --git a/Apps/Sandcastle/gallery/3D Tiles Compare.html b/Apps/Sandcastle/gallery/3D Tiles Compare.html index 18cbff2f1e37..08e7df162bdf 100644 --- a/Apps/Sandcastle/gallery/3D Tiles Compare.html +++ b/Apps/Sandcastle/gallery/3D Tiles Compare.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/3D Tiles Feature Picking.html b/Apps/Sandcastle/gallery/3D Tiles Feature Picking.html index 0e1f5a5d3567..23ae523d1666 100644 --- a/Apps/Sandcastle/gallery/3D Tiles Feature Picking.html +++ b/Apps/Sandcastle/gallery/3D Tiles Feature Picking.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -43,12 +43,12 @@ const initialPosition = Cesium.Cartesian3.fromDegrees( -74.01881302800248, 40.69114333714821, - 753 + 753, ); const initialOrientation = new Cesium.HeadingPitchRoll.fromDegrees( 21.27879878293835, -21.34390550872461, - 0.0716951918898415 + 0.0716951918898415, ); viewer.scene.camera.setView({ destination: initialPosition, @@ -87,7 +87,7 @@ // Get default left click handler for when a feature is not picked on left click const clickHandler = viewer.screenSpaceEventHandler.getInputAction( - Cesium.ScreenSpaceEventType.LEFT_CLICK + Cesium.ScreenSpaceEventType.LEFT_CLICK, ); // Update the 'nameOverlay' for the given picked feature, @@ -114,20 +114,20 @@ "<tr><th>BIN</th><td>" }${pickedFeature.getProperty("BIN")}</td></tr>` + `<tr><th>DOITT ID</th><td>${pickedFeature.getProperty( - "DOITT_ID" + "DOITT_ID", )}</td></tr>` + `<tr><th>SOURCE ID</th><td>${pickedFeature.getProperty( - "SOURCE_ID" + "SOURCE_ID", )}</td></tr>` + `<tr><th>Longitude</th><td>${pickedFeature.getProperty( - "Longitude" + "Longitude", )}</td></tr>` + `<tr><th>Latitude</th><td>${pickedFeature.getProperty( - "Latitude" + "Latitude", )}</td></tr>` + `<tr><th>Height</th><td>${pickedFeature.getProperty("Height")}</td></tr>` + `<tr><th>Terrain Height (Ellipsoid)</th><td>${pickedFeature.getProperty( - "TerrainHeight" + "TerrainHeight", )}</td></tr>` + `</tbody></table>`; return description; @@ -137,12 +137,14 @@ // If silhouettes are not supported, change the feature color to yellow on mouse over and green on mouse click. if (Cesium.PostProcessStageLibrary.isSilhouetteSupported(viewer.scene)) { // Silhouettes are supported - const silhouetteBlue = Cesium.PostProcessStageLibrary.createEdgeDetectionStage(); + const silhouetteBlue = + Cesium.PostProcessStageLibrary.createEdgeDetectionStage(); silhouetteBlue.uniforms.color = Cesium.Color.BLUE; silhouetteBlue.uniforms.length = 0.01; silhouetteBlue.selected = []; - const silhouetteGreen = Cesium.PostProcessStageLibrary.createEdgeDetectionStage(); + const silhouetteGreen = + Cesium.PostProcessStageLibrary.createEdgeDetectionStage(); silhouetteGreen.uniforms.color = Cesium.Color.LIME; silhouetteGreen.uniforms.length = 0.01; silhouetteGreen.selected = []; @@ -151,7 +153,7 @@ Cesium.PostProcessStageLibrary.createSilhouetteStage([ silhouetteBlue, silhouetteGreen, - ]) + ]), ); // Silhouette a feature blue on hover. diff --git a/Apps/Sandcastle/gallery/3D Tiles Feature Styling.html b/Apps/Sandcastle/gallery/3D Tiles Feature Styling.html index 4c3ce3ce1655..2098504bb378 100644 --- a/Apps/Sandcastle/gallery/3D Tiles Feature Styling.html +++ b/Apps/Sandcastle/gallery/3D Tiles Feature Styling.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/3D Tiles Formats.html b/Apps/Sandcastle/gallery/3D Tiles Formats.html index 257586140b1d..f49fbd3af3fb 100644 --- a/Apps/Sandcastle/gallery/3D Tiles Formats.html +++ b/Apps/Sandcastle/gallery/3D Tiles Formats.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -160,8 +160,8 @@ new Cesium.HeadingPitchRange( 0, -2.0, - Math.max(100.0 - tileset.boundingSphere.radius, 0.0) - ) + Math.max(100.0 - tileset.boundingSphere.radius, 0.0), + ), ); const properties = tileset.properties; diff --git a/Apps/Sandcastle/gallery/3D Tiles Inspector.html b/Apps/Sandcastle/gallery/3D Tiles Inspector.html index 7b0eb33f284d..2a9ba14b51c6 100644 --- a/Apps/Sandcastle/gallery/3D Tiles Inspector.html +++ b/Apps/Sandcastle/gallery/3D Tiles Inspector.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -52,7 +52,7 @@ viewer.zoomTo( tileset, - new Cesium.HeadingPitchRange(0.0, -0.5, tileset.boundingSphere.radius / 4.0) + new Cesium.HeadingPitchRange(0.0, -0.5, tileset.boundingSphere.radius / 4.0), ); } catch (error) { console.log(`Error loading tileset: ${error}`); diff --git a/Apps/Sandcastle/gallery/3D Tiles Interactivity.html b/Apps/Sandcastle/gallery/3D Tiles Interactivity.html index 54b68e6946f8..906d460ffeca 100644 --- a/Apps/Sandcastle/gallery/3D Tiles Interactivity.html +++ b/Apps/Sandcastle/gallery/3D Tiles Interactivity.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -92,12 +92,12 @@ const initialPosition = Cesium.Cartesian3.fromDegrees( -74.01881302800248, 40.69114333714821, - 753 + 753, ); const initialOrientation = new Cesium.HeadingPitchRoll.fromDegrees( 21.27879878293835, -21.34390550872461, - 0.0716951918898415 + 0.0716951918898415, ); scene.camera.setView({ destination: initialPosition, @@ -194,11 +194,10 @@ const positionCartographic = new Cesium.Cartographic( longitude, latitude, - height * 0.5 - ); - const position = scene.globe.ellipsoid.cartographicToCartesian( - positionCartographic + height * 0.5, ); + const position = + scene.globe.ellipsoid.cartographicToCartesian(positionCartographic); const camera = scene.camera; const heading = camera.heading; @@ -223,17 +222,17 @@ pitch = Cesium.Math.clamp( pitch, -Cesium.Math.PI_OVER_TWO, - Cesium.Math.PI_OVER_TWO + Cesium.Math.PI_OVER_TWO, ); heading = Cesium.Math.zeroToTwoPi(heading) - Cesium.Math.PI_OVER_TWO; const pitchQuat = Cesium.Quaternion.fromAxisAngle( Cesium.Cartesian3.UNIT_Y, - -pitch + -pitch, ); const headingQuat = Cesium.Quaternion.fromAxisAngle( Cesium.Cartesian3.UNIT_Z, - -heading + -heading, ); const rotQuat = Cesium.Quaternion.multiply(headingQuat, pitchQuat, headingQuat); const rotMatrix = Cesium.Matrix3.fromQuaternion(rotQuat); diff --git a/Apps/Sandcastle/gallery/3D Tiles Interior.html b/Apps/Sandcastle/gallery/3D Tiles Interior.html index 2417bdb31385..c16ce8b088c8 100644 --- a/Apps/Sandcastle/gallery/3D Tiles Interior.html +++ b/Apps/Sandcastle/gallery/3D Tiles Interior.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -43,12 +43,12 @@ const initialPosition = new Cesium.Cartesian3( -1111583.3721328347, -5855888.151574568, - 2262561.444696748 + 2262561.444696748, ); const initialOrientation = new Cesium.HeadingPitchRoll.fromDegrees( 100.0, -15.0, - 0.0 + 0.0, ); viewer.scene.camera.setView({ destination: initialPosition, diff --git a/Apps/Sandcastle/gallery/3D Tiles Photogrammetry Classification.html b/Apps/Sandcastle/gallery/3D Tiles Photogrammetry Classification.html index 9a321db3bc17..022a732726e6 100644 --- a/Apps/Sandcastle/gallery/3D Tiles Photogrammetry Classification.html +++ b/Apps/Sandcastle/gallery/3D Tiles Photogrammetry Classification.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -51,7 +51,7 @@ classificationTilesetUrl, { classificationType: Cesium.ClassificationType.CESIUM_3D_TILE, - } + }, ); classificationTileset.style = new Cesium.Cesium3DTileStyle({ color: "rgba(255, 0, 0, 0.5)", @@ -63,7 +63,7 @@ classificationTilesetUrl, { show: false, - } + }, ); nonClassificationTileset.style = new Cesium.Cesium3DTileStyle({ color: "rgba(255, 0, 0, 0.5)", diff --git a/Apps/Sandcastle/gallery/3D Tiles Photogrammetry.html b/Apps/Sandcastle/gallery/3D Tiles Photogrammetry.html index ea1d8989f4b0..598ee7e9ac69 100644 --- a/Apps/Sandcastle/gallery/3D Tiles Photogrammetry.html +++ b/Apps/Sandcastle/gallery/3D Tiles Photogrammetry.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/3D Tiles Point Cloud Classification.html b/Apps/Sandcastle/gallery/3D Tiles Point Cloud Classification.html index d90d0b9edfc4..fedb3b12bb33 100644 --- a/Apps/Sandcastle/gallery/3D Tiles Point Cloud Classification.html +++ b/Apps/Sandcastle/gallery/3D Tiles Point Cloud Classification.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -50,7 +50,7 @@ "../../SampleData/Cesium3DTiles/Classification/PointCloud/tileset.json", { classificationType: Cesium.ClassificationType.CESIUM_3D_TILE, - } + }, ); viewer.scene.primitives.add(classificationTileset); @@ -75,12 +75,12 @@ destination: new Cesium.Cartesian3( 4401744.644145314, 225051.41078911052, - 4595420.374784433 + 4595420.374784433, ), orientation: new Cesium.HeadingPitchRoll( 5.646733805039757, -0.276607153839886, - 6.281110875400085 + 6.281110875400085, ), }); diff --git a/Apps/Sandcastle/gallery/3D Tiles Point Cloud Shading.html b/Apps/Sandcastle/gallery/3D Tiles Point Cloud Shading.html index 66bab5a4f390..3d3dc2a6c10b 100644 --- a/Apps/Sandcastle/gallery/3D Tiles Point Cloud Shading.html +++ b/Apps/Sandcastle/gallery/3D Tiles Point Cloud Shading.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -186,12 +186,12 @@ const initialPosition = Cesium.Cartesian3.fromRadians( -2.1344873183780484, 0.8071380277370774, - 5743.394497982162 + 5743.394497982162, ); const initialOrientation = new Cesium.HeadingPitchRoll.fromDegrees( 112.99596671210358, -21.34390550872461, - 0.0716951918898415 + 0.0716951918898415, ); viewer.scene.camera.setView({ destination: initialPosition, @@ -244,12 +244,12 @@ destination: new Cesium.Cartesian3( 4401744.644145314, 225051.41078911052, - 4595420.374784433 + 4595420.374784433, ), orientation: new Cesium.HeadingPitchRoll( 5.646733805039757, -0.276607153839886, - 6.281110875400085 + 6.281110875400085, ), }); @@ -296,9 +296,8 @@ .getObservable(viewModel, "geometricErrorScale") .subscribe(function (newValue) { if (Cesium.defined(viewModelTileset)) { - viewModelTileset.pointCloudShading.geometricErrorScale = parseFloat( - newValue - ); + viewModelTileset.pointCloudShading.geometricErrorScale = + parseFloat(newValue); } }); @@ -322,9 +321,8 @@ .getObservable(viewModel, "eyeDomeLightingStrength") .subscribe(function (newValue) { if (Cesium.defined(viewModelTileset)) { - viewModelTileset.pointCloudShading.eyeDomeLightingStrength = parseFloat( - newValue - ); + viewModelTileset.pointCloudShading.eyeDomeLightingStrength = + parseFloat(newValue); } }); @@ -332,9 +330,8 @@ .getObservable(viewModel, "eyeDomeLightingRadius") .subscribe(function (newValue) { if (Cesium.defined(viewModelTileset)) { - viewModelTileset.pointCloudShading.eyeDomeLightingRadius = parseFloat( - newValue - ); + viewModelTileset.pointCloudShading.eyeDomeLightingRadius = + parseFloat(newValue); } }); diff --git a/Apps/Sandcastle/gallery/3D Tiles Point Cloud Styling.html b/Apps/Sandcastle/gallery/3D Tiles Point Cloud Styling.html index 416d6e837859..ce6466ae5692 100644 --- a/Apps/Sandcastle/gallery/3D Tiles Point Cloud Styling.html +++ b/Apps/Sandcastle/gallery/3D Tiles Point Cloud Styling.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -39,7 +39,7 @@ let tileset; try { tileset = await Cesium.Cesium3DTileset.fromUrl( - "../../SampleData/Cesium3DTiles/PointCloud/PointCloudWithPerPointProperties/tileset.json" + "../../SampleData/Cesium3DTiles/PointCloud/PointCloudWithPerPointProperties/tileset.json", ); viewer.scene.primitives.add(tileset); viewer.zoomTo(tileset, new Cesium.HeadingPitchRange(0.0, -1.0, 50.0)); diff --git a/Apps/Sandcastle/gallery/3D Tiles Point Cloud.html b/Apps/Sandcastle/gallery/3D Tiles Point Cloud.html index 6c06a290c000..1758260e7447 100644 --- a/Apps/Sandcastle/gallery/3D Tiles Point Cloud.html +++ b/Apps/Sandcastle/gallery/3D Tiles Point Cloud.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -42,12 +42,12 @@ destination: new Cesium.Cartesian3( 4401744.644145314, 225051.41078911052, - 4595420.374784433 + 4595420.374784433, ), orientation: new Cesium.HeadingPitchRoll( 5.646733805039757, -0.276607153839886, - 6.281110875400085 + 6.281110875400085, ), }); diff --git a/Apps/Sandcastle/gallery/3D Tiles Terrain Classification.html b/Apps/Sandcastle/gallery/3D Tiles Terrain Classification.html index 613c2d1bc432..581814432c70 100644 --- a/Apps/Sandcastle/gallery/3D Tiles Terrain Classification.html +++ b/Apps/Sandcastle/gallery/3D Tiles Terrain Classification.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/3D Tiles Vertical Exaggeration.html b/Apps/Sandcastle/gallery/3D Tiles Vertical Exaggeration.html index 0fb2f717b8a6..4e636b2f001c 100644 --- a/Apps/Sandcastle/gallery/3D Tiles Vertical Exaggeration.html +++ b/Apps/Sandcastle/gallery/3D Tiles Vertical Exaggeration.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -90,12 +90,12 @@ <h1>Loading...</h1> destination: new Cesium.Cartesian3( -2710292.813384663, -4360657.061518585, - 3793571.786860543 + 3793571.786860543, ), orientation: new Cesium.HeadingPitchRoll( 5.794062761901799, -0.30293409742984756, - 0.0009187098191985044 + 0.0009187098191985044, ), }); diff --git a/Apps/Sandcastle/gallery/AEC Clipping.html b/Apps/Sandcastle/gallery/AEC Clipping.html index 6c275deabfd4..0fa60bf14964 100644 --- a/Apps/Sandcastle/gallery/AEC Clipping.html +++ b/Apps/Sandcastle/gallery/AEC Clipping.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -41,7 +41,7 @@ viewer.scene.skyAtmosphere.show = true; const currentTime = Cesium.JulianDate.fromIso8601( - "2020-01-09T23:00:39.018261982600961346Z" + "2020-01-09T23:00:39.018261982600961346Z", ); viewer.clock.currentTime = currentTime; @@ -66,7 +66,7 @@ viewer.dataSources.add(dataSource); footprint = dataSource.entities.values.find((entity) => - Cesium.defined(entity.polygon) + Cesium.defined(entity.polygon), ); footprint.polygon.outline = false; @@ -74,7 +74,7 @@ const cameraOffset = new Cesium.HeadingPitchRange( Cesium.Math.toRadians(95.0), Cesium.Math.toRadians(-75.0), - 800.0 + 800.0, ); viewer.zoomTo(footprint, cameraOffset); viewer.homeButton.viewModel.command.beforeExecute.addEventListener((e) => { diff --git a/Apps/Sandcastle/gallery/Aerometrex San Francisco.html b/Apps/Sandcastle/gallery/Aerometrex San Francisco.html index 2b83396a46e7..38ea645c9e3a 100755 --- a/Apps/Sandcastle/gallery/Aerometrex San Francisco.html +++ b/Apps/Sandcastle/gallery/Aerometrex San Francisco.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -48,12 +48,12 @@ destination: new Cesium.Cartesian3( -2703541.419456986, -4261164.971874713, - 3887416.257562123 + 3887416.257562123, ), orientation: new Cesium.HeadingPitchRoll( 5.959123393581913, -0.03131876941215883, - 0.0000033030489428043097 + 0.0000033030489428043097, ), duration: duration, }); @@ -65,12 +65,12 @@ destination: new Cesium.Cartesian3( -2704263.584923937, -4259336.981155519, - 3888978.5732662966 + 3888978.5732662966, ), orientation: new Cesium.HeadingPitchRoll( 2.4287691459386607, -0.49459905591668996, - 0.0000029701571779838787 + 0.0000029701571779838787, ), }); } @@ -81,12 +81,12 @@ destination: new Cesium.Cartesian3( -2702979.5635104137, -4261981.190435306, - 3887092.144148863 + 3887092.144148863, ), orientation: new Cesium.HeadingPitchRoll( 4.534265054628527, -0.08846186652294352, - 0.0000075141499165098935 + 0.0000075141499165098935, ), }); } @@ -97,12 +97,12 @@ destination: new Cesium.Cartesian3( -2705631.6783492276, -4259449.36938678, - 3887903.89229016 + 3887903.89229016, ), orientation: new Cesium.HeadingPitchRoll( 5.999439616451804, -0.20513082834763674, - 4.7213266807233367e-7 + 4.7213266807233367e-7, ), }); } diff --git a/Apps/Sandcastle/gallery/Ambient Occlusion.html b/Apps/Sandcastle/gallery/Ambient Occlusion.html index 20f0094aca54..c6017addff93 100644 --- a/Apps/Sandcastle/gallery/Ambient Occlusion.html +++ b/Apps/Sandcastle/gallery/Ambient Occlusion.html @@ -1,4 +1,4 @@ -ο»Ώ<!DOCTYPE html> +ο»Ώ<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -110,7 +110,7 @@ if (!Cesium.PostProcessStageLibrary.isAmbientOcclusionSupported(viewer.scene)) { window.alert( - "This browser does not support the ambient occlusion post process." + "This browser does not support the ambient occlusion post process.", ); } @@ -138,7 +138,7 @@ ambientOcclusion.enabled = Boolean(viewModel.show) || Boolean(viewModel.ambientOcclusionOnly); ambientOcclusion.uniforms.ambientOcclusionOnly = Boolean( - viewModel.ambientOcclusionOnly + viewModel.ambientOcclusionOnly, ); ambientOcclusion.uniforms.intensity = Number(viewModel.intensity); ambientOcclusion.uniforms.bias = Number(viewModel.bias); @@ -152,22 +152,22 @@ camera.position = new Cesium.Cartesian3( 1234127.2294710164, -5086011.666443127, - 3633337.0413351045 + 3633337.0413351045, ); camera.direction = new Cesium.Cartesian3( -0.5310064396211631, -0.30299013818088416, - -0.7913464078682514 + -0.7913464078682514, ); camera.right = new Cesium.Cartesian3( -0.8468592075426076, 0.1574051185945647, - 0.507989282604011 + 0.507989282604011, ); camera.up = Cesium.Cartesian3.cross( camera.right, camera.direction, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); try { diff --git a/Apps/Sandcastle/gallery/ArcGIS MapServer.html b/Apps/Sandcastle/gallery/ArcGIS MapServer.html index 75a7acd35698..2d02b7e7c5c9 100644 --- a/Apps/Sandcastle/gallery/ArcGIS MapServer.html +++ b/Apps/Sandcastle/gallery/ArcGIS MapServer.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -46,11 +46,11 @@ const viewer = new Cesium.Viewer("cesiumContainer", { baseLayer: Cesium.ImageryLayer.fromProviderAsync( Cesium.ArcGisMapServerImageryProvider.fromBasemapType( - Cesium.ArcGisBaseMapType.SATELLITE + Cesium.ArcGisBaseMapType.SATELLITE, // other supported styles include: // Cesium.ArcGisMapServerImageryProvider.HILLSHADE // Cesium.ArcGisMapServerImageryProvider.OCEANS - ) + ), ), }); diff --git a/Apps/Sandcastle/gallery/ArcGIS Tiled Elevation Terrain.html b/Apps/Sandcastle/gallery/ArcGIS Tiled Elevation Terrain.html index 708da69160a4..7b141571a260 100644 --- a/Apps/Sandcastle/gallery/ArcGIS Tiled Elevation Terrain.html +++ b/Apps/Sandcastle/gallery/ArcGIS Tiled Elevation Terrain.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -32,9 +32,10 @@ const viewer = new Cesium.Viewer("cesiumContainer"); try { - viewer.scene.terrainProvider = await Cesium.ArcGISTiledElevationTerrainProvider.fromUrl( - "https://elevation3d.arcgis.com/arcgis/rest/services/WorldElevation3D/Terrain3D/ImageServer" - ); + viewer.scene.terrainProvider = + await Cesium.ArcGISTiledElevationTerrainProvider.fromUrl( + "https://elevation3d.arcgis.com/arcgis/rest/services/WorldElevation3D/Terrain3D/ImageServer", + ); } catch (error) { window.alert(`Failed to load terrain. ${error}`); } //Sandcastle_End diff --git a/Apps/Sandcastle/gallery/ArcticDEM.html b/Apps/Sandcastle/gallery/ArcticDEM.html index 362d6e35825b..c9bad7bef52e 100644 --- a/Apps/Sandcastle/gallery/ArcticDEM.html +++ b/Apps/Sandcastle/gallery/ArcticDEM.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -37,9 +37,8 @@ try { // High-resolution arctic terrain from the Arctic DEM project (Release 4), tiled and hosted by Cesium ion. // https://www.pgc.umn.edu/data/arcticdem/ - viewer.scene.terrainProvider = await Cesium.CesiumTerrainProvider.fromIonAssetId( - 3956 - ); + viewer.scene.terrainProvider = + await Cesium.CesiumTerrainProvider.fromIonAssetId(3956); } catch (error) { window.alert(`Failed to load terrain. ${error}`); } @@ -54,7 +53,7 @@ destination: Cesium.Cartesian3.fromRadians( -2.6399828792482234, 1.0993550795541742, - 5795 + 5795, ), orientation: { heading: 3.8455, @@ -71,7 +70,7 @@ destination: Cesium.Cartesian3.fromRadians( -2.610708034601548, 1.0671172431736584, - 1900 + 1900, ), orientation: { heading: 4.6, @@ -88,7 +87,7 @@ destination: Cesium.Cartesian3.fromRadians( -2.6928866820212813, 1.072394255273859, - 3700 + 3700, ), orientation: { heading: 1.6308222948889464, @@ -105,7 +104,7 @@ destination: Cesium.Cartesian3.fromRadians( -2.6395623497608596, 1.0976443174490356, - 2070 + 2070, ), orientation: { heading: 6.068794108659519, @@ -116,14 +115,14 @@ }, }, ], - "toolbar" + "toolbar", ); viewer.scene.camera.flyTo({ destination: Cesium.Cartesian3.fromRadians( -2.6399828792482234, 1.0993550795541742, - 5795 + 5795, ), orientation: { heading: 3.8455, diff --git a/Apps/Sandcastle/gallery/Atmosphere.html b/Apps/Sandcastle/gallery/Atmosphere.html index 196d727bf8a1..1f2741655f23 100644 --- a/Apps/Sandcastle/gallery/Atmosphere.html +++ b/Apps/Sandcastle/gallery/Atmosphere.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -634,7 +634,7 @@ globe.atmosphereLightIntensity = 20.0; viewer.clock.currentTime = Cesium.JulianDate.fromIso8601( - "2022-03-23T11:31:42.34200000000419095Z" + "2022-03-23T11:31:42.34200000000419095Z", ); const canvas = viewer.canvas; diff --git a/Apps/Sandcastle/gallery/Bathymetry.html b/Apps/Sandcastle/gallery/Bathymetry.html index ee4942019d13..3a98117ed331 100644 --- a/Apps/Sandcastle/gallery/Bathymetry.html +++ b/Apps/Sandcastle/gallery/Bathymetry.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -119,12 +119,12 @@ scene.preRender.addEventListener(function (scene, time) { const surfaceNormal = globe.ellipsoid.geodeticSurfaceNormal( camera.positionWC, - scratchNormal + scratchNormal, ); const negativeNormal = Cesium.Cartesian3.negate(surfaceNormal, surfaceNormal); scene.light.direction = Cesium.Cartesian3.normalize( Cesium.Cartesian3.add(negativeNormal, camera.rightWC, surfaceNormal), - scene.light.direction + scene.light.direction, ); const zoomMagnitude = @@ -277,15 +277,17 @@ function (checked) { showElevationColorRamp = checked; updateGlobeMaterial(); - } + }, ); - Sandcastle.addToggleButton("Contour lines enabled", showContourLines, function ( - checked - ) { - showContourLines = checked; - updateGlobeMaterial(); - }); + Sandcastle.addToggleButton( + "Contour lines enabled", + showContourLines, + function (checked) { + showContourLines = checked; + updateGlobeMaterial(); + }, + ); Sandcastle.addToggleButton( "Invert contour line color", @@ -293,7 +295,7 @@ function (checked) { invertContourLines = checked; updateGlobeMaterial(); - } + }, ); // Vertical exaggeration @@ -320,12 +322,12 @@ destination: new Cesium.Cartesian3( -3877002.181627189, 5147948.256341475, - 864384.3423478723 + 864384.3423478723, ), orientation: new Cesium.HeadingPitchRoll( 5.914830423853524, -0.7139104486007932, - 0.00017507632714419685 + 0.00017507632714419685, ), }); diff --git a/Apps/Sandcastle/gallery/Billboards.html b/Apps/Sandcastle/gallery/Billboards.html index cb69ce4f0abc..bc52b7898978 100644 --- a/Apps/Sandcastle/gallery/Billboards.html +++ b/Apps/Sandcastle/gallery/Billboards.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -173,7 +173,7 @@ 1.0e3, 1.0, 1.5e6, - 0.0 + 0.0, ), translucencyByDistance: new Cesium.NearFarScalar(1.0e3, 1.0, 1.5e6, 0.1), }, @@ -257,12 +257,12 @@ destination: new Cesium.Cartesian3( -2357576.243142461, -3744417.5604860787, - 4581807.855903771 + 4581807.855903771, ), orientation: new Cesium.HeadingPitchRoll( 5.9920811504170475, -0.6032820429886212, - 6.28201303164098 + 6.28201303164098, ), }); } diff --git a/Apps/Sandcastle/gallery/Bing Maps Labels Only.html b/Apps/Sandcastle/gallery/Bing Maps Labels Only.html index 7161ffface77..f4c12739f24f 100644 --- a/Apps/Sandcastle/gallery/Bing Maps Labels Only.html +++ b/Apps/Sandcastle/gallery/Bing Maps Labels Only.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -73,27 +73,27 @@ // Add Bing Maps Aerial with Labels to the left panel const bingMapsAerialWithLabels = Cesium.ImageryLayer.fromProviderAsync( - Cesium.IonImageryProvider.fromAssetId(3) + Cesium.IonImageryProvider.fromAssetId(3), ); bingMapsAerialWithLabels.splitDirection = Cesium.SplitDirection.LEFT; layers.add(bingMapsAerialWithLabels); // Add Bing Maps Aerial (unlabeled) to the right panel const bingMapsAerial = Cesium.ImageryLayer.fromProviderAsync( - Cesium.IonImageryProvider.fromAssetId(2) + Cesium.IonImageryProvider.fromAssetId(2), ); bingMapsAerial.splitDirection = Cesium.SplitDirection.RIGHT; layers.add(bingMapsAerial); // Add high resolution Washington DC imagery to both panels. const imageryLayer = Cesium.ImageryLayer.fromProviderAsync( - Cesium.IonImageryProvider.fromAssetId(3827) + Cesium.IonImageryProvider.fromAssetId(3827), ); viewer.imageryLayers.add(imageryLayer); // Add Bing Maps Labels Only to the right panel const bingMapsLabelsOnly = Cesium.ImageryLayer.fromProviderAsync( - Cesium.IonImageryProvider.fromAssetId(2411391) + Cesium.IonImageryProvider.fromAssetId(2411391), ); bingMapsLabelsOnly.splitDirection = Cesium.SplitDirection.RIGHT; // Only show to the left of the slider. layers.add(bingMapsLabelsOnly); diff --git a/Apps/Sandcastle/gallery/Bloom.html b/Apps/Sandcastle/gallery/Bloom.html index 09b87b5f142b..25cbcc7fbde1 100644 --- a/Apps/Sandcastle/gallery/Bloom.html +++ b/Apps/Sandcastle/gallery/Bloom.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -162,12 +162,12 @@ const target = Cesium.Cartesian3.fromDegrees( initialLon + lonIncrement, lat, - height + 7.5 + height + 7.5, ); const offset = new Cesium.Cartesian3( -37.048378684557974, -24.852967044804245, - 4.352023653686047 + 4.352023653686047, ); viewer.scene.camera.lookAt(target, offset); //Sandcastle_End diff --git a/Apps/Sandcastle/gallery/Blue Marble.html b/Apps/Sandcastle/gallery/Blue Marble.html index c20bad8ddb20..626a53cfdcd3 100644 --- a/Apps/Sandcastle/gallery/Blue Marble.html +++ b/Apps/Sandcastle/gallery/Blue Marble.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -35,7 +35,7 @@ // Blue Marble Next Generation July, 2004 imagery from NASA const viewer = new Cesium.Viewer("cesiumContainer", { baseLayer: Cesium.ImageryLayer.fromProviderAsync( - Cesium.IonImageryProvider.fromAssetId(3845) + Cesium.IonImageryProvider.fromAssetId(3845), ), }); //Sandcastle_End diff --git a/Apps/Sandcastle/gallery/Box.html b/Apps/Sandcastle/gallery/Box.html index a49a75c505d5..52b433743102 100644 --- a/Apps/Sandcastle/gallery/Box.html +++ b/Apps/Sandcastle/gallery/Box.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/CZML 3D Tiles.html b/Apps/Sandcastle/gallery/CZML 3D Tiles.html index ab75ce381e43..9dbecfa905d6 100644 --- a/Apps/Sandcastle/gallery/CZML 3D Tiles.html +++ b/Apps/Sandcastle/gallery/CZML 3D Tiles.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -49,7 +49,7 @@ }); const dataSourcePromise = viewer.dataSources.add( - Cesium.CzmlDataSource.load(czml) + Cesium.CzmlDataSource.load(czml), ); dataSourcePromise diff --git a/Apps/Sandcastle/gallery/CZML Billboard and Label.html b/Apps/Sandcastle/gallery/CZML Billboard and Label.html index d6fa6a4bbf23..e53cc0e30c51 100644 --- a/Apps/Sandcastle/gallery/CZML Billboard and Label.html +++ b/Apps/Sandcastle/gallery/CZML Billboard and Label.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/CZML Box.html b/Apps/Sandcastle/gallery/CZML Box.html index 161c33bcb8f3..e5d8931c6256 100644 --- a/Apps/Sandcastle/gallery/CZML Box.html +++ b/Apps/Sandcastle/gallery/CZML Box.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/CZML Circles and Ellipses.html b/Apps/Sandcastle/gallery/CZML Circles and Ellipses.html index 854e2d26729a..d602d6e97ebc 100644 --- a/Apps/Sandcastle/gallery/CZML Circles and Ellipses.html +++ b/Apps/Sandcastle/gallery/CZML Circles and Ellipses.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/CZML Colors.html b/Apps/Sandcastle/gallery/CZML Colors.html index 04da5782a051..6e71fb0ecebd 100644 --- a/Apps/Sandcastle/gallery/CZML Colors.html +++ b/Apps/Sandcastle/gallery/CZML Colors.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/CZML Cones and Cylinders.html b/Apps/Sandcastle/gallery/CZML Cones and Cylinders.html index ce7c05897c70..56a80efcc87d 100644 --- a/Apps/Sandcastle/gallery/CZML Cones and Cylinders.html +++ b/Apps/Sandcastle/gallery/CZML Cones and Cylinders.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/CZML Corridor.html b/Apps/Sandcastle/gallery/CZML Corridor.html index 991254e3ca03..c603188156df 100644 --- a/Apps/Sandcastle/gallery/CZML Corridor.html +++ b/Apps/Sandcastle/gallery/CZML Corridor.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/CZML Custom Properties.html b/Apps/Sandcastle/gallery/CZML Custom Properties.html index c9b1d5de7e12..b20add92fe4e 100644 --- a/Apps/Sandcastle/gallery/CZML Custom Properties.html +++ b/Apps/Sandcastle/gallery/CZML Custom Properties.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -88,18 +88,7 @@ polygon: { positions: { cartographicDegrees: [ - -109.03, - 41, - 0, - -102.03, - 41, - 0, - -102.03, - 37, - 0, - -109.03, - 37, - 0, + -109.03, 41, 0, -102.03, 41, 0, -102.03, 37, 0, -109.03, 37, 0, ], }, material: { @@ -134,7 +123,7 @@ function setExtrudedHeight(propertyName) { loadedPromise.then(function () { const customPropertyObject = dataSource.entities.getById( - "custom_property_object" + "custom_property_object", ); const property = customPropertyObject.properties[propertyName]; const colorado = dataSource.entities.getById("colorado"); @@ -164,7 +153,7 @@ }, }, ], - "propertiesMenu" + "propertiesMenu", ); viewer.dataSources.add(dataSource); diff --git a/Apps/Sandcastle/gallery/CZML Model - Node Transformations.html b/Apps/Sandcastle/gallery/CZML Model - Node Transformations.html index d43c75192172..10de6e4428fe 100644 --- a/Apps/Sandcastle/gallery/CZML Model - Node Transformations.html +++ b/Apps/Sandcastle/gallery/CZML Model - Node Transformations.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -57,32 +57,19 @@ rotation: { epoch: "2015-01-01T00:00:00Z", unitQuaternion: [ - 0, - -0.23381920887303329, - -0.6909886782144156, - -0.0938384854833712, - 0.6775378681547408, - 10, - -0.4924076887347565, - -0.6304934596091216, - 0.20657864059632378, - 0.563327551886459, - 20, - -0.23381920887303329, - -0.6909886782144156, - -0.0938384854833712, - 0.6775378681547408, + 0, -0.23381920887303329, -0.6909886782144156, -0.0938384854833712, + 0.6775378681547408, 10, -0.4924076887347565, -0.6304934596091216, + 0.20657864059632378, 0.563327551886459, 20, -0.23381920887303329, + -0.6909886782144156, -0.0938384854833712, 0.6775378681547408, ], }, }, Skeleton_arm_joint_R__2_: { rotation: { unitQuaternion: [ - -0.2840422631464792, - -0.40211904424847345, + -0.2840422631464792, -0.40211904424847345, // eslint-disable-next-line no-loss-of-precision - 0.25175867757399086, - 0.7063888981321548, + 0.25175867757399086, 0.7063888981321548, ], }, }, @@ -96,7 +83,7 @@ }); const dataSourcePromise = viewer.dataSources.add( - Cesium.CzmlDataSource.load(czml) + Cesium.CzmlDataSource.load(czml), ); dataSourcePromise diff --git a/Apps/Sandcastle/gallery/CZML Model Articulations.html b/Apps/Sandcastle/gallery/CZML Model Articulations.html index 6aea025ed8a8..39d5206b6b63 100644 --- a/Apps/Sandcastle/gallery/CZML Model Articulations.html +++ b/Apps/Sandcastle/gallery/CZML Model Articulations.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -79,7 +79,7 @@ }); const dataSourcePromise = viewer.dataSources.add( - Cesium.CzmlDataSource.load(czml) + Cesium.CzmlDataSource.load(czml), ); dataSourcePromise diff --git a/Apps/Sandcastle/gallery/CZML Model Data URL.html b/Apps/Sandcastle/gallery/CZML Model Data URL.html index 3e69fd854a2c..88c54c659a2f 100644 --- a/Apps/Sandcastle/gallery/CZML Model Data URL.html +++ b/Apps/Sandcastle/gallery/CZML Model Data URL.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -68,8 +68,7 @@ { name: "19bd138d-63f9-4012-890c-30ab6b478824", byteLength: 648, - uri: - "data:application/octet-stream;base64,AACAvwAAgL8AAIC/AACAPwAAgD8AAIC/AACAPwAAgL8AAIC/AACAvwAAgD8AAIC/AACAvwAAgL8AAIC/AACAvwAAgD8AAIA/AACAvwAAgD8AAIC/AACAvwAAgL8AAIA/AACAvwAAgD8AAIC/AACAPwAAgD8AAIA/AACAPwAAgD8AAIC/AACAvwAAgD8AAIA/AACAPwAAgL8AAIC/AACAPwAAgD8AAIC/AACAPwAAgD8AAIA/AACAPwAAgL8AAIA/AACAvwAAgL8AAIC/AACAPwAAgL8AAIC/AACAPwAAgL8AAIA/AACAvwAAgL8AAIA/AACAvwAAgL8AAIA/AACAPwAAgL8AAIA/AACAPwAAgD8AAIA/AACAvwAAgD8AAIA/AAAAAAAAAAAAAIC/AAAAAAAAAAAAAIC/AAAAAAAAAAAAAIC/AAAAAAAAAAAAAIC/AACAvwAAAAAAAAAAAACAvwAAAAAAAAAAAACAvwAAAAAAAAAAAACAvwAAAAAAAAAAAAAAAAAAgD8AAAAAAAAAAAAAgD8AAAAAAAAAAAAAgD8AAAAAAAAAAAAAgD8AAAAAAACAPwAAAAAAAAAAAACAPwAAAAAAAAAAAACAPwAAAAAAAAAAAACAPwAAAAAAAAAAAAAAAAAAgL8AAAAAAAAAAAAAgL8AAAAAAAAAAAAAgL8AAAAAAAAAAAAAgL8AAAAAAAAAAAAAAAAAAIA/AAAAAAAAAAAAAIA/AAAAAAAAAAAAAIA/AAAAAAAAAAAAAIA/AAABAAIAAAADAAEABAAFAAYABAAHAAUACAAJAAoACAALAAkADAANAA4ADAAOAA8AEAARABIAEAASABMAFAAVABYAFAAWABcA", + uri: "data:application/octet-stream;base64,AACAvwAAgL8AAIC/AACAPwAAgD8AAIC/AACAPwAAgL8AAIC/AACAvwAAgD8AAIC/AACAvwAAgL8AAIC/AACAvwAAgD8AAIA/AACAvwAAgD8AAIC/AACAvwAAgL8AAIA/AACAvwAAgD8AAIC/AACAPwAAgD8AAIA/AACAPwAAgD8AAIC/AACAvwAAgD8AAIA/AACAPwAAgL8AAIC/AACAPwAAgD8AAIC/AACAPwAAgD8AAIA/AACAPwAAgL8AAIA/AACAvwAAgL8AAIC/AACAPwAAgL8AAIC/AACAPwAAgL8AAIA/AACAvwAAgL8AAIA/AACAvwAAgL8AAIA/AACAPwAAgL8AAIA/AACAPwAAgD8AAIA/AACAvwAAgD8AAIA/AAAAAAAAAAAAAIC/AAAAAAAAAAAAAIC/AAAAAAAAAAAAAIC/AAAAAAAAAAAAAIC/AACAvwAAAAAAAAAAAACAvwAAAAAAAAAAAACAvwAAAAAAAAAAAACAvwAAAAAAAAAAAAAAAAAAgD8AAAAAAAAAAAAAgD8AAAAAAAAAAAAAgD8AAAAAAAAAAAAAgD8AAAAAAACAPwAAAAAAAAAAAACAPwAAAAAAAAAAAACAPwAAAAAAAAAAAACAPwAAAAAAAAAAAAAAAAAAgL8AAAAAAAAAAAAAgL8AAAAAAAAAAAAAgL8AAAAAAAAAAAAAgL8AAAAAAAAAAAAAAAAAAIA/AAAAAAAAAAAAAIA/AAAAAAAAAAAAAIA/AAAAAAAAAAAAAIA/AAABAAIAAAADAAEABAAFAAYABAAHAAUACAAJAAoACAALAAkADAANAA4ADAAOAA8AEAARABIAEAASABMAFAAVABYAFAAWABcA", }, ], bufferViews: [ @@ -157,7 +156,7 @@ }); const dataSourcePromise = viewer.dataSources.add( - Cesium.CzmlDataSource.load(czml) + Cesium.CzmlDataSource.load(czml), ); dataSourcePromise diff --git a/Apps/Sandcastle/gallery/CZML Model.html b/Apps/Sandcastle/gallery/CZML Model.html index 53c76e91d4cc..ea0b1e03609a 100644 --- a/Apps/Sandcastle/gallery/CZML Model.html +++ b/Apps/Sandcastle/gallery/CZML Model.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -55,7 +55,7 @@ }); const dataSourcePromise = viewer.dataSources.add( - Cesium.CzmlDataSource.load(czml) + Cesium.CzmlDataSource.load(czml), ); dataSourcePromise diff --git a/Apps/Sandcastle/gallery/CZML Path.html b/Apps/Sandcastle/gallery/CZML Path.html index 186f19ea288b..99f234cefcf4 100644 --- a/Apps/Sandcastle/gallery/CZML Path.html +++ b/Apps/Sandcastle/gallery/CZML Path.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -75,7166 +75,898 @@ position: { epoch: "2012-08-04T10:00:00Z", cartographicDegrees: [ - 0, - -122.93797, - 39.50935, - 1776, - 10, - -122.93822, - 39.50918, - 1773, - 20, - -122.9385, - 39.50883, - 1772, - 30, - -122.93855, - 39.50842, - 1770, - 40, - -122.93868, - 39.50792, - 1770, - 50, - -122.93877, - 39.50743, - 1767, - 60, - -122.93862, - 39.50697, - 1771, - 70, - -122.93828, - 39.50648, - 1765, - 80, - -122.93818, - 39.50608, - 1770, - 90, - -122.93783, - 39.5057, - 1754, - 100, - -122.93777, - 39.50513, - 1732, - 110, - -122.93793, - 39.50458, - 1727, - 120, - -122.93815, - 39.50415, - 1717, - 130, - -122.9382, - 39.50362, - 1713, - 140, - -122.93818, - 39.5031, - 1703, - 150, - -122.93812, - 39.50258, - 1706, - 160, - -122.93792, - 39.5022, - 1707, - 170, - -122.93775, - 39.50177, - 1698, - 180, - -122.93745, - 39.50125, - 1693, - 190, - -122.93723, - 39.50073, - 1694, - 200, - -122.9373, - 39.50023, - 1702, - 210, - -122.93705, - 39.49987, - 1705, - 220, - -122.93642, - 39.4996, - 1699, - 230, - -122.93593, - 39.49927, - 1693, - 240, - -122.936, - 39.49895, - 1691, - 250, - -122.93645, - 39.49907, - 1689, - 260, - -122.93685, - 39.49915, - 1684, - 270, - -122.93708, - 39.4989, - 1680, - 280, - -122.93687, - 39.49843, - 1684, - 290, - -122.93685, - 39.49808, - 1691, - 300, - -122.93722, - 39.49815, - 1688, - 310, - -122.93712, - 39.49867, - 1680, - 320, - -122.93633, - 39.49893, - 1681, - 330, - -122.93615, - 39.4989, - 1682, - 340, - -122.936, - 39.49885, - 1682, - 350, - -122.93587, - 39.49877, - 1682, - 360, - -122.93577, - 39.49868, - 1683, - 370, - -122.93568, - 39.4986, - 1685, - 380, - -122.93563, - 39.49853, - 1685, - 390, - -122.9356, - 39.49845, - 1685, - 400, - -122.9356, - 39.4984, - 1685, - 410, - -122.93562, - 39.49835, - 1685, - 420, - -122.93565, - 39.4983, - 1686, - 430, - -122.93568, - 39.49827, - 1684, - 440, - -122.93572, - 39.49822, - 1684, - 450, - -122.93575, - 39.49817, - 1682, - 460, - -122.93577, - 39.49812, - 1682, - 470, - -122.93578, - 39.49805, - 1682, - 480, - -122.93573, - 39.498, - 1682, - 490, - -122.93567, - 39.49795, - 1681, - 500, - -122.93555, - 39.4979, - 1678, - 510, - -122.9354, - 39.49788, - 1676, - 520, - -122.93522, - 39.49792, - 1674, - 530, - -122.93502, - 39.49795, - 1674, - 540, - -122.93492, - 39.4981, - 1671, - 550, - -122.93483, - 39.49827, - 1669, - 560, - -122.93485, - 39.49842, - 1668, - 570, - -122.93493, - 39.49855, - 1665, - 580, - -122.93502, - 39.49868, - 1664, - 590, - -122.93517, - 39.4987, - 1665, - 600, - -122.9353, - 39.49873, - 1665, - 610, - -122.93543, - 39.49873, - 1663, - 620, - -122.93553, - 39.49873, - 1661, - 630, - -122.93567, - 39.49872, - 1660, - 640, - -122.93577, - 39.49868, - 1663, - 650, - -122.93585, - 39.49865, - 1665, - 660, - -122.93592, - 39.49862, - 1667, - 670, - -122.93597, - 39.4986, - 1665, - 680, - -122.93607, - 39.49857, - 1664, - 690, - -122.93617, - 39.49857, - 1664, - 700, - -122.93627, - 39.49857, - 1664, - 710, - -122.93638, - 39.49857, - 1664, - 720, - -122.9365, - 39.49857, - 1666, - 730, - -122.9366, - 39.49857, - 1666, - 740, - -122.93672, - 39.49852, - 1668, - 750, - -122.93678, - 39.49847, - 1672, - 760, - -122.93685, - 39.49842, - 1673, - 770, - -122.93693, - 39.4984, - 1672, - 780, - -122.937, - 39.49837, - 1670, - 790, - -122.93707, - 39.49832, - 1669, - 800, - -122.9371, - 39.49827, - 1671, - 810, - -122.93713, - 39.4982, - 1671, - 820, - -122.93712, - 39.49813, - 1669, - 830, - -122.93708, - 39.49805, - 1666, - 840, - -122.93702, - 39.49797, - 1663, - 850, - -122.9369, - 39.49787, - 1663, - 860, - -122.93675, - 39.4978, - 1664, - 870, - -122.93657, - 39.49773, - 1667, - 880, - -122.9364, - 39.49772, - 1670, - 890, - -122.93623, - 39.49773, - 1671, - 900, - -122.93608, - 39.49777, - 1670, - 910, - -122.93592, - 39.49777, - 1667, - 920, - -122.93573, - 39.49773, - 1667, - 930, - -122.93557, - 39.49767, - 1667, - 940, - -122.93547, - 39.49762, - 1666, - 950, - -122.93538, - 39.49753, - 1664, - 960, - -122.93537, - 39.49747, - 1661, - 970, - -122.93542, - 39.49738, - 1657, - 980, - -122.9355, - 39.49732, - 1655, - 990, - -122.9356, - 39.49727, - 1653, - 1000, - -122.93573, - 39.49725, - 1653, - 1010, - -122.93588, - 39.49725, - 1652, - 1020, - -122.93602, - 39.49728, - 1652, - 1030, - -122.93617, - 39.49732, - 1651, - 1040, - -122.93628, - 39.49738, - 1651, - 1050, - -122.93638, - 39.49745, - 1650, - 1060, - -122.9365, - 39.49752, - 1649, - 1070, - -122.93658, - 39.4976, - 1649, - 1080, - -122.93667, - 39.49768, - 1650, - 1090, - -122.93675, - 39.4978, - 1651, - 1100, - -122.93683, - 39.49788, - 1654, - 1110, - -122.93692, - 39.49797, - 1658, - 1120, - -122.93698, - 39.49803, - 1661, - 1130, - -122.93707, - 39.4981, - 1661, - 1140, - -122.93717, - 39.49817, - 1661, - 1150, - -122.93725, - 39.49822, - 1661, - 1160, - -122.93737, - 39.49825, - 1661, - 1170, - -122.93745, - 39.49823, - 1662, - 1180, - -122.93748, - 39.49817, - 1661, - 1190, - -122.93747, - 39.49808, - 1658, - 1200, - -122.93732, - 39.49803, - 1657, - 1210, - -122.93715, - 39.49807, - 1660, - 1220, - -122.937, - 39.49813, - 1663, - 1230, - -122.93692, - 39.49823, - 1666, - 1240, - -122.93688, - 39.49833, - 1666, - 1250, - -122.93692, - 39.49843, - 1665, - 1260, - -122.93698, - 39.49848, - 1663, - 1270, - -122.93708, - 39.4985, - 1661, - 1280, - -122.93717, - 39.49848, - 1660, - 1290, - -122.93725, - 39.49842, - 1659, - 1300, - -122.93728, - 39.49832, - 1661, - 1310, - -122.93725, - 39.49823, - 1663, - 1320, - -122.93717, - 39.49817, - 1663, - 1330, - -122.93705, - 39.49812, - 1666, - 1340, - -122.93692, - 39.49812, - 1666, - 1350, - -122.93675, - 39.49815, - 1667, - 1360, - -122.93663, - 39.49825, - 1668, - 1370, - -122.93657, - 39.49835, - 1670, - 1380, - -122.93655, - 39.49847, - 1672, - 1390, - -122.93657, - 39.49855, - 1673, - 1400, - -122.93663, - 39.49863, - 1673, - 1410, - -122.93675, - 39.49868, - 1671, - 1420, - -122.93685, - 39.49872, - 1672, - 1430, - -122.93695, - 39.4987, - 1674, - 1440, - -122.93702, - 39.49865, - 1677, - 1450, - -122.93703, - 39.49858, - 1679, - 1460, - -122.93705, - 39.4985, - 1680, - 1470, - -122.93702, - 39.49843, - 1681, - 1480, - -122.93693, - 39.49837, - 1682, - 1490, - -122.93682, - 39.49833, - 1683, - 1500, - -122.9367, - 39.49832, - 1683, - 1510, - -122.93653, - 39.4983, - 1684, - 1520, - -122.93638, - 39.49833, - 1686, - 1530, - -122.93627, - 39.49838, - 1688, - 1540, - -122.93613, - 39.49847, - 1688, - 1550, - -122.93605, - 39.49857, - 1688, - 1560, - -122.936, - 39.49868, - 1689, - 1570, - -122.93603, - 39.4988, - 1691, - 1580, - -122.93612, - 39.49888, - 1693, - 1590, - -122.9362, - 39.49893, - 1693, - 1600, - -122.9363, - 39.49893, - 1695, - 1610, - -122.93638, - 39.4989, - 1695, - 1620, - -122.93645, - 39.49883, - 1696, - 1630, - -122.93647, - 39.49877, - 1696, - 1640, - -122.93643, - 39.49868, - 1699, - 1650, - -122.93637, - 39.4986, - 1701, - 1660, - -122.93625, - 39.49857, - 1702, - 1670, - -122.9361, - 39.49857, - 1703, - 1680, - -122.93593, - 39.49858, - 1704, - 1690, - -122.9358, - 39.49862, - 1704, - 1700, - -122.93568, - 39.4987, - 1703, - 1710, - -122.93558, - 39.4988, - 1703, - 1720, - -122.93553, - 39.49892, - 1703, - 1730, - -122.93553, - 39.49905, - 1702, - 1740, - -122.93558, - 39.49917, - 1705, - 1750, - -122.93565, - 39.49925, - 1708, - 1760, - -122.93573, - 39.49932, - 1709, - 1770, - -122.93582, - 39.49933, - 1711, - 1780, - -122.93592, - 39.49932, - 1713, - 1790, - -122.93595, - 39.49927, - 1714, - 1800, - -122.93598, - 39.4992, - 1714, - 1810, - -122.93597, - 39.49912, - 1715, - 1820, - -122.93588, - 39.49903, - 1716, - 1830, - -122.93575, - 39.49897, - 1717, - 1840, - -122.9356, - 39.49895, - 1718, - 1850, - -122.93545, - 39.49895, - 1717, - 1860, - -122.93527, - 39.499, - 1717, - 1870, - -122.9351, - 39.49908, - 1719, - 1880, - -122.935, - 39.49918, - 1719, - 1890, - -122.93492, - 39.49932, - 1719, - 1900, - -122.93492, - 39.49945, - 1720, - 1910, - -122.93493, - 39.49957, - 1721, - 1920, - -122.935, - 39.49965, - 1722, - 1930, - -122.9351, - 39.49972, - 1724, - 1940, - -122.9352, - 39.49978, - 1726, - 1950, - -122.93528, - 39.4998, - 1727, - 1960, - -122.93535, - 39.49982, - 1728, - 1970, - -122.93543, - 39.49978, - 1727, - 1980, - -122.93548, - 39.49973, - 1726, - 1990, - -122.93552, - 39.49965, - 1728, - 2000, - -122.93548, - 39.49957, - 1729, - 2010, - -122.93542, - 39.49948, - 1728, - 2020, - -122.93527, - 39.49942, - 1729, - 2030, - -122.93512, - 39.49942, - 1731, - 2040, - -122.93495, - 39.49942, - 1732, - 2050, - -122.9348, - 39.49948, - 1731, - 2060, - -122.9347, - 39.49957, - 1732, - 2070, - -122.93463, - 39.49968, - 1733, - 2080, - -122.93462, - 39.49978, - 1733, - 2090, - -122.93463, - 39.4999, - 1732, - 2100, - -122.9347, - 39.49998, - 1733, - 2110, - -122.93478, - 39.50003, - 1736, - 2120, - -122.93487, - 39.50007, - 1739, - 2130, - -122.93495, - 39.50007, - 1740, - 2140, - -122.93502, - 39.50005, - 1741, - 2150, - -122.9351, - 39.5, - 1742, - 2160, - -122.93513, - 39.49993, - 1743, - 2170, - -122.93513, - 39.49985, - 1744, - 2180, - -122.9351, - 39.49977, - 1747, - 2190, - -122.93502, - 39.4997, - 1750, - 2200, - -122.9349, - 39.49967, - 1753, - 2210, - -122.93478, - 39.49965, - 1753, - 2220, - -122.93467, - 39.49965, - 1750, - 2230, - -122.9345, - 39.49967, - 1747, - 2240, - -122.93435, - 39.49973, - 1745, - 2250, - -122.9342, - 39.49983, - 1747, - 2260, - -122.9341, - 39.49995, - 1749, - 2270, - -122.93407, - 39.50003, - 1749, - 2280, - -122.93407, - 39.50013, - 1749, - 2290, - -122.9341, - 39.50025, - 1748, - 2300, - -122.9342, - 39.50032, - 1750, - 2310, - -122.9343, - 39.50037, - 1751, - 2320, - -122.9344, - 39.5004, - 1754, - 2330, - -122.9345, - 39.50042, - 1755, - 2340, - -122.93462, - 39.50042, - 1756, - 2350, - -122.93468, - 39.50038, - 1757, - 2360, - -122.93473, - 39.50032, - 1760, - 2370, - -122.93472, - 39.50025, - 1762, - 2380, - -122.93463, - 39.50017, - 1762, - 2390, - -122.93447, - 39.50012, - 1764, - 2400, - -122.93432, - 39.50013, - 1766, - 2410, - -122.93415, - 39.50015, - 1767, - 2420, - -122.93402, - 39.50023, - 1766, - 2430, - -122.9339, - 39.50032, - 1765, - 2440, - -122.9338, - 39.50043, - 1766, - 2450, - -122.93375, - 39.50057, - 1768, - 2460, - -122.93375, - 39.50068, - 1769, - 2470, - -122.9338, - 39.50077, - 1772, - 2480, - -122.93385, - 39.50082, - 1773, - 2490, - -122.93392, - 39.50085, - 1773, - 2500, - -122.93402, - 39.50088, - 1772, - 2510, - -122.93412, - 39.50087, - 1773, - 2520, - -122.93422, - 39.50083, - 1775, - 2530, - -122.9343, - 39.50078, - 1777, - 2540, - -122.93437, - 39.50073, - 1779, - 2550, - -122.9344, - 39.50067, - 1782, - 2560, - -122.93438, - 39.5006, - 1785, - 2570, - -122.93432, - 39.50053, - 1787, - 2580, - -122.9342, - 39.50048, - 1787, - 2590, - -122.93408, - 39.50048, - 1786, - 2600, - -122.93393, - 39.50052, - 1782, - 2610, - -122.93377, - 39.50057, - 1781, - 2620, - -122.93367, - 39.50065, - 1781, - 2630, - -122.93362, - 39.50083, - 1780, - 2640, - -122.93363, - 39.50098, - 1782, - 2650, - -122.93368, - 39.50108, - 1785, - 2660, - -122.93378, - 39.50118, - 1787, - 2670, - -122.93388, - 39.50125, - 1789, - 2680, - -122.93398, - 39.50128, - 1791, - 2690, - -122.93408, - 39.50128, - 1791, - 2700, - -122.93415, - 39.50125, - 1790, - 2710, - -122.9342, - 39.5012, - 1789, - 2720, - -122.93418, - 39.50113, - 1789, - 2730, - -122.93415, - 39.50108, - 1789, - 2740, - -122.93407, - 39.50102, - 1787, - 2750, - -122.93397, - 39.50098, - 1786, - 2760, - -122.93382, - 39.50097, - 1785, - 2770, - -122.93365, - 39.50097, - 1785, - 2780, - -122.9335, - 39.501, - 1785, - 2790, - -122.93337, - 39.50107, - 1782, - 2800, - -122.93323, - 39.50118, - 1782, - 2810, - -122.93317, - 39.5013, - 1783, - 2820, - -122.93317, - 39.50142, - 1785, - 2830, - -122.9332, - 39.50153, - 1786, - 2840, - -122.93327, - 39.50162, - 1786, - 2850, - -122.93337, - 39.50168, - 1785, - 2860, - -122.9335, - 39.50173, - 1787, - 2870, - -122.93365, - 39.50177, - 1790, - 2880, - -122.93377, - 39.50178, - 1791, - 2890, - -122.9339, - 39.50178, - 1791, - 2900, - -122.93402, - 39.50177, - 1790, - 2910, - -122.93413, - 39.50175, - 1788, - 2920, - -122.93425, - 39.50173, - 1786, - 2930, - -122.9344, - 39.50172, - 1785, - 2940, - -122.93452, - 39.5017, - 1785, - 2950, - -122.93465, - 39.50168, - 1785, - 2960, - -122.93477, - 39.50167, - 1784, - 2970, - -122.9349, - 39.50163, - 1781, - 2980, - -122.93503, - 39.5016, - 1778, - 2990, - -122.9351, - 39.50153, - 1778, - 3000, - -122.93512, - 39.50145, - 1781, - 3010, - -122.93507, - 39.50138, - 1781, - 3020, - -122.93498, - 39.50135, - 1778, - 3030, - -122.93485, - 39.50132, - 1775, - 3040, - -122.93468, - 39.50135, - 1773, - 3050, - -122.93453, - 39.50143, - 1772, - 3060, - -122.93442, - 39.50152, - 1773, - 3070, - -122.93435, - 39.50163, - 1772, - 3080, - -122.93435, - 39.50173, - 1770, - 3090, - -122.93442, - 39.50183, - 1767, - 3100, - -122.93453, - 39.50188, - 1766, - 3110, - -122.93467, - 39.50187, - 1765, - 3120, - -122.9348, - 39.50185, - 1764, - 3130, - -122.93488, - 39.50182, - 1763, - 3140, - -122.93498, - 39.50177, - 1763, - 3150, - -122.93505, - 39.50172, - 1761, - 3160, - -122.93512, - 39.50165, - 1759, - 3170, - -122.93522, - 39.50158, - 1757, - 3180, - -122.9353, - 39.50152, - 1757, - 3190, - -122.93538, - 39.50145, - 1757, - 3200, - -122.93545, - 39.50142, - 1755, - 3210, - -122.93553, - 39.50137, - 1751, - 3220, - -122.93562, - 39.5013, - 1746, - 3230, - -122.9357, - 39.50125, - 1744, - 3240, - -122.93578, - 39.50117, - 1741, - 3250, - -122.93583, - 39.50107, - 1741, - 3260, - -122.93587, - 39.50098, - 1741, - 3270, - -122.9359, - 39.5009, - 1740, - 3280, - -122.93592, - 39.5008, - 1739, - 3290, - -122.93592, - 39.50072, - 1739, - 3300, - -122.93585, - 39.50062, - 1741, - 3310, - -122.93577, - 39.50057, - 1744, - 3320, - -122.93565, - 39.50053, - 1743, - 3330, - -122.9355, - 39.50055, - 1741, - 3340, - -122.93535, - 39.50062, - 1737, - 3350, - -122.93522, - 39.50073, - 1735, - 3360, - -122.93517, - 39.50087, - 1735, - 3370, - -122.93518, - 39.50102, - 1735, - 3380, - -122.93525, - 39.50113, - 1733, - 3390, - -122.93537, - 39.5012, - 1731, - 3400, - -122.9355, - 39.50122, - 1728, - 3410, - -122.93565, - 39.5012, - 1726, - 3420, - -122.9358, - 39.50118, - 1726, - 3430, - -122.93595, - 39.50115, - 1726, - 3440, - -122.93607, - 39.50112, - 1728, - 3450, - -122.93617, - 39.50108, - 1731, - 3460, - -122.93625, - 39.50105, - 1734, - 3470, - -122.93633, - 39.50102, - 1736, - 3480, - -122.93638, - 39.50097, - 1737, - 3490, - -122.93642, - 39.50088, - 1738, - 3500, - -122.93638, - 39.5008, - 1738, - 3510, - -122.9363, - 39.50072, - 1739, - 3520, - -122.93617, - 39.50067, - 1740, - 3530, - -122.93602, - 39.50065, - 1742, - 3540, - -122.93583, - 39.50067, - 1742, - 3550, - -122.9357, - 39.50073, - 1743, - 3560, - -122.93558, - 39.50083, - 1744, - 3570, - -122.93552, - 39.50097, - 1745, - 3580, - -122.93552, - 39.50108, - 1744, - 3590, - -122.93557, - 39.5012, - 1744, - 3600, - -122.93567, - 39.50127, - 1743, - 3610, - -122.93577, - 39.50133, - 1743, - 3620, - -122.9359, - 39.50128, - 1747, - 3630, - -122.93595, - 39.50123, - 1752, - 3640, - -122.93598, - 39.50118, - 1755, - 3650, - -122.936, - 39.50112, - 1756, - 3660, - -122.936, - 39.50103, - 1756, - 3670, - -122.93595, - 39.50095, - 1757, - 3680, - -122.93585, - 39.50087, - 1761, - 3690, - -122.93572, - 39.50083, - 1764, - 3700, - -122.93557, - 39.50085, - 1767, - 3710, - -122.93543, - 39.5009, - 1769, - 3720, - -122.93532, - 39.50098, - 1768, - 3730, - -122.93522, - 39.5011, - 1767, - 3740, - -122.93518, - 39.50125, - 1766, - 3750, - -122.9352, - 39.5014, - 1766, - 3760, - -122.93527, - 39.50152, - 1768, - 3770, - -122.93537, - 39.5016, - 1770, - 3780, - -122.93548, - 39.50163, - 1773, - 3790, - -122.93558, - 39.50163, - 1773, - 3800, - -122.93567, - 39.50163, - 1774, - 3810, - -122.93577, - 39.5016, - 1776, - 3820, - -122.9358, - 39.50153, - 1778, - 3830, - -122.93578, - 39.50145, - 1780, - 3840, - -122.93573, - 39.50138, - 1781, - 3850, - -122.93563, - 39.5013, - 1781, - 3860, - -122.93547, - 39.50127, - 1781, - 3870, - -122.93527, - 39.50145, - 1783, - 3880, - -122.93515, - 39.50157, - 1786, - 3890, - -122.93513, - 39.5017, - 1790, - 3900, - -122.93515, - 39.50182, - 1793, - 3910, - -122.93522, - 39.50192, - 1797, - 3920, - -122.9353, - 39.50198, - 1797, - 3930, - -122.9354, - 39.502, - 1798, - 3940, - -122.93552, - 39.50197, - 1799, - 3950, - -122.93557, - 39.50192, - 1803, - 3960, - -122.93558, - 39.50185, - 1807, - 3970, - -122.93555, - 39.50177, - 1809, - 3980, - -122.93548, - 39.50168, - 1813, - 3990, - -122.93535, - 39.50163, - 1816, - 4000, - -122.93522, - 39.50163, - 1817, - 4010, - -122.93507, - 39.50163, - 1821, - 4020, - -122.93492, - 39.5017, - 1824, - 4030, - -122.9348, - 39.50177, - 1826, - 4040, - -122.9347, - 39.50187, - 1827, - 4050, - -122.93462, - 39.50198, - 1828, - 4060, - -122.9346, - 39.5021, - 1831, - 4070, - -122.93462, - 39.50223, - 1832, - 4080, - -122.93468, - 39.50235, - 1834, - 4090, - -122.93478, - 39.50243, - 1837, - 4100, - -122.93488, - 39.50247, - 1840, - 4110, - -122.93498, - 39.50248, - 1842, - 4120, - -122.93508, - 39.50247, - 1844, - 4130, - -122.93517, - 39.50242, - 1847, - 4140, - -122.93522, - 39.50238, - 1849, - 4150, - -122.93523, - 39.50232, - 1852, - 4160, - -122.9352, - 39.50225, - 1854, - 4170, - -122.93515, - 39.50217, - 1857, - 4180, - -122.93505, - 39.5021, - 1859, - 4190, - -122.93493, - 39.50207, - 1862, - 4200, - -122.9348, - 39.50205, - 1863, - 4210, - -122.93463, - 39.50207, - 1864, - 4220, - -122.9345, - 39.50213, - 1867, - 4230, - -122.93437, - 39.50223, - 1869, - 4240, - -122.9343, - 39.50237, - 1870, - 4250, - -122.93428, - 39.50248, - 1871, - 4260, - -122.9343, - 39.50262, - 1872, - 4270, - -122.93438, - 39.5027, - 1874, - 4280, - -122.93448, - 39.50277, - 1877, - 4290, - -122.9346, - 39.50277, - 1878, - 4300, - -122.9347, - 39.50273, - 1878, - 4310, - -122.93478, - 39.50265, - 1880, - 4320, - -122.9348, - 39.50257, - 1883, - 4330, - -122.9348, - 39.50247, - 1885, - 4340, - -122.93473, - 39.50238, - 1888, - 4350, - -122.93465, - 39.50232, - 1889, - 4360, - -122.93453, - 39.50227, - 1890, - 4370, - -122.93437, - 39.50225, - 1890, - 4380, - -122.93418, - 39.50227, - 1889, - 4390, - -122.93398, - 39.50233, - 1888, - 4400, - -122.93383, - 39.50245, - 1890, - 4410, - -122.93372, - 39.50257, - 1891, - 4420, - -122.93363, - 39.50272, - 1891, - 4430, - -122.93362, - 39.50285, - 1894, - 4440, - -122.93365, - 39.50298, - 1896, - 4450, - -122.93373, - 39.50312, - 1897, - 4460, - -122.93373, - 39.50312, - 1897, - 4470, - -122.93385, - 39.5032, - 1898, - 4480, - -122.93398, - 39.50327, - 1899, - 4490, - -122.9341, - 39.50328, - 1902, - 4500, - -122.93422, - 39.50328, - 1906, - 4510, - -122.93432, - 39.50325, - 1906, - 4520, - -122.93437, - 39.50322, - 1906, - 4530, - -122.9344, - 39.50313, - 1907, - 4540, - -122.9344, - 39.50307, - 1909, - 4550, - -122.93433, - 39.50302, - 1912, - 4560, - -122.93423, - 39.50295, - 1914, - 4570, - -122.93412, - 39.50292, - 1917, - 4580, - -122.93397, - 39.50292, - 1919, - 4590, - -122.9338, - 39.50295, - 1920, - 4600, - -122.93363, - 39.50303, - 1921, - 4610, - -122.93348, - 39.50315, - 1923, - 4620, - -122.9334, - 39.50328, - 1924, - 4630, - -122.93337, - 39.50342, - 1924, - 4640, - -122.9334, - 39.50357, - 1925, - 4650, - -122.93348, - 39.50367, - 1927, - 4660, - -122.93358, - 39.50372, - 1929, - 4670, - -122.93368, - 39.50373, - 1932, - 4680, - -122.93377, - 39.50373, - 1932, - 4690, - -122.93382, - 39.50368, - 1931, - 4700, - -122.93383, - 39.50362, - 1933, - 4710, - -122.93382, - 39.50355, - 1937, - 4720, - -122.93375, - 39.5035, - 1940, - 4730, - -122.93367, - 39.50345, - 1941, - 4740, - -122.93355, - 39.50342, - 1944, - 4750, - -122.9334, - 39.5034, - 1946, - 4760, - -122.93327, - 39.50342, - 1947, - 4770, - -122.93312, - 39.50347, - 1948, - 4780, - -122.93295, - 39.50353, - 1949, - 4790, - -122.93283, - 39.50363, - 1949, - 4800, - -122.93272, - 39.50377, - 1950, - 4810, - -122.93267, - 39.50388, - 1950, - 4820, - -122.93267, - 39.50402, - 1952, - 4830, - -122.93272, - 39.50415, - 1954, - 4840, - -122.93278, - 39.50423, - 1954, - 4850, - -122.93288, - 39.5043, - 1954, - 4860, - -122.933, - 39.50433, - 1954, - 4870, - -122.9331, - 39.50432, - 1956, - 4880, - -122.93322, - 39.50428, - 1958, - 4890, - -122.93327, - 39.50423, - 1960, - 4900, - -122.93327, - 39.50417, - 1964, - 4910, - -122.93323, - 39.50412, - 1967, - 4920, - -122.93317, - 39.50407, - 1968, - 4930, - -122.93308, - 39.50403, - 1966, - 4940, - -122.93295, - 39.504, - 1964, - 4950, - -122.9328, - 39.50397, - 1964, - 4960, - -122.93262, - 39.50395, - 1966, - 4970, - -122.93245, - 39.50397, - 1967, - 4980, - -122.9323, - 39.504, - 1970, - 4990, - -122.93215, - 39.50405, - 1971, - 5000, - -122.93203, - 39.50413, - 1970, - 5010, - -122.93192, - 39.50423, - 1967, - 5020, - -122.93182, - 39.5044, - 1965, - 5030, - -122.9318, - 39.50457, - 1964, - 5040, - -122.93185, - 39.50473, - 1966, - 5050, - -122.93193, - 39.50485, - 1968, - 5060, - -122.93202, - 39.50493, - 1969, - 5070, - -122.93215, - 39.50498, - 1969, - 5080, - -122.93227, - 39.50498, - 1969, - 5090, - -122.9324, - 39.50497, - 1969, - 5100, - -122.93252, - 39.50493, - 1970, - 5110, - -122.9326, - 39.5049, - 1973, - 5120, - -122.93267, - 39.50487, - 1977, - 5130, - -122.93273, - 39.50482, - 1980, - 5140, - -122.93275, - 39.50478, - 1981, - 5150, - -122.93273, - 39.50472, - 1982, - 5160, - -122.93267, - 39.50467, - 1982, - 5170, - -122.93253, - 39.50463, - 1981, - 5180, - -122.93237, - 39.50463, - 1979, - 5190, - -122.93217, - 39.50465, - 1978, - 5200, - -122.93197, - 39.50473, - 1977, - 5210, - -122.9318, - 39.50483, - 1976, - 5220, - -122.9317, - 39.50497, - 1975, - 5230, - -122.93165, - 39.50513, - 1974, - 5240, - -122.93168, - 39.50527, - 1972, - 5250, - -122.93177, - 39.50538, - 1970, - 5260, - -122.9319, - 39.50547, - 1967, - 5270, - -122.93222, - 39.50548, - 1963, - 5280, - -122.93232, - 39.50542, - 1969, - 5290, - -122.93242, - 39.50537, - 1973, - 5300, - -122.9325, - 39.50532, - 1977, - 5310, - -122.93257, - 39.50527, - 1978, - 5320, - -122.93263, - 39.50522, - 1978, - 5330, - -122.93273, - 39.50515, - 1979, - 5340, - -122.93282, - 39.50512, - 1981, - 5350, - -122.93293, - 39.5051, - 1984, - 5360, - -122.93307, - 39.50512, - 1989, - 5370, - -122.93317, - 39.50517, - 1993, - 5380, - -122.93327, - 39.50527, - 1994, - 5390, - -122.9333, - 39.50538, - 1996, - 5400, - -122.93328, - 39.50553, - 1996, - 5410, - -122.9332, - 39.50568, - 1995, - 5420, - -122.93308, - 39.50582, - 1997, - 5430, - -122.9329, - 39.50595, - 1999, - 5440, - -122.9327, - 39.50598, - 2002, - 5450, - -122.93255, - 39.50598, - 2009, - 5460, - -122.93243, - 39.50595, - 2012, - 5470, - -122.93237, - 39.5059, - 2011, - 5480, - -122.93232, - 39.50583, - 2010, - 5490, - -122.93235, - 39.50573, - 2011, - 5500, - -122.93243, - 39.50567, - 2013, - 5510, - -122.93255, - 39.50567, - 2017, - 5520, - -122.93268, - 39.5057, - 2018, - 5530, - -122.93278, - 39.50578, - 2019, - 5540, - -122.93287, - 39.50593, - 2021, - 5550, - -122.93295, - 39.50605, - 2022, - 5560, - -122.93305, - 39.50613, - 2023, - 5570, - -122.93315, - 39.5062, - 2023, - 5580, - -122.93323, - 39.50618, - 2026, - 5590, - -122.93328, - 39.50612, - 2027, - 5600, - -122.93323, - 39.50607, - 2028, - 5610, - -122.93313, - 39.50602, - 2029, - 5620, - -122.93297, - 39.506, - 2031, - 5630, - -122.9328, - 39.50605, - 2034, - 5640, - -122.93267, - 39.50612, - 2036, - 5650, - -122.93253, - 39.50623, - 2037, - 5660, - -122.93247, - 39.50633, - 2036, - 5670, - -122.93242, - 39.50648, - 2032, - 5680, - -122.93242, - 39.50665, - 2032, - 5690, - -122.93248, - 39.50678, - 2035, - 5700, - -122.93258, - 39.50688, - 2038, - 5710, - -122.9327, - 39.50693, - 2038, - 5720, - -122.93282, - 39.50693, - 2038, - 5730, - -122.9329, - 39.50688, - 2039, - 5740, - -122.93293, - 39.5068, - 2042, - 5750, - -122.93293, - 39.50673, - 2045, - 5760, - -122.93292, - 39.50665, - 2046, - 5770, - -122.93293, - 39.50655, - 2049, - 5780, - -122.933, - 39.5065, - 2056, - 5790, - -122.93305, - 39.50648, - 2063, - 5800, - -122.93312, - 39.50652, - 2064, - 5810, - -122.93322, - 39.50655, - 2062, - 5820, - -122.93333, - 39.50662, - 2061, - 5830, - -122.93345, - 39.50675, - 2061, - 5840, - -122.9335, - 39.5069, - 2061, - 5850, - -122.9335, - 39.50705, - 2062, - 5860, - -122.93345, - 39.50722, - 2063, - 5870, - -122.93335, - 39.50735, - 2063, - 5880, - -122.93322, - 39.50745, - 2062, - 5890, - -122.93303, - 39.50753, - 2064, - 5900, - -122.93287, - 39.50755, - 2067, - 5910, - -122.93273, - 39.50753, - 2070, - 5920, - -122.93262, - 39.5075, - 2072, - 5930, - -122.93253, - 39.50745, - 2075, - 5940, - -122.93248, - 39.5074, - 2079, - 5950, - -122.93247, - 39.50735, - 2080, - 5960, - -122.93245, - 39.50727, - 2082, - 5970, - -122.93248, - 39.5072, - 2084, - 5980, - -122.93257, - 39.50715, - 2087, - 5990, - -122.93267, - 39.50712, - 2091, - 6000, - -122.93277, - 39.50713, - 2094, - 6010, - -122.93288, - 39.50717, - 2095, - 6020, - -122.933, - 39.50723, - 2096, - 6030, - -122.93308, - 39.50733, - 2096, - 6040, - -122.93315, - 39.50747, - 2098, - 6050, - -122.9332, - 39.5076, - 2099, - 6060, - -122.93323, - 39.50773, - 2098, - 6070, - -122.93322, - 39.50788, - 2095, - 6080, - -122.9332, - 39.50805, - 2094, - 6090, - -122.93312, - 39.5082, - 2093, - 6100, - -122.933, - 39.50835, - 2093, - 6110, - -122.93287, - 39.50845, - 2094, - 6120, - -122.93272, - 39.50855, - 2095, - 6130, - -122.93255, - 39.5086, - 2095, - 6140, - -122.93238, - 39.50862, - 2096, - 6150, - -122.93225, - 39.50858, - 2097, - 6160, - -122.93215, - 39.50853, - 2098, - 6170, - -122.9321, - 39.50847, - 2100, - 6180, - -122.93207, - 39.50842, - 2101, - 6190, - -122.93208, - 39.50835, - 2103, - 6200, - -122.9321, - 39.50828, - 2106, - 6210, - -122.93213, - 39.50823, - 2109, - 6220, - -122.93217, - 39.50818, - 2111, - 6230, - -122.93222, - 39.50815, - 2113, - 6240, - -122.93228, - 39.50813, - 2113, - 6250, - -122.93235, - 39.50812, - 2112, - 6260, - -122.93247, - 39.5081, - 2111, - 6270, - -122.93258, - 39.5081, - 2110, - 6280, - -122.93273, - 39.50812, - 2110, - 6290, - -122.93288, - 39.50812, - 2111, - 6300, - -122.933, - 39.50812, - 2113, - 6310, - -122.9331, - 39.50808, - 2115, - 6320, - -122.93315, - 39.50803, - 2116, - 6330, - -122.93318, - 39.50798, - 2116, - 6340, - -122.93315, - 39.50793, - 2116, - 6350, - -122.9331, - 39.50788, - 2115, - 6360, - -122.93298, - 39.50783, - 2114, - 6370, - -122.93283, - 39.50783, - 2115, - 6380, - -122.93268, - 39.50787, - 2116, - 6390, - -122.93253, - 39.50792, - 2118, - 6400, - -122.9324, - 39.508, - 2120, - 6410, - -122.9323, - 39.50812, - 2122, - 6420, - -122.93222, - 39.50825, - 2122, - 6430, - -122.93218, - 39.50838, - 2122, - 6440, - -122.9322, - 39.50852, - 2123, - 6450, - -122.93228, - 39.50865, - 2122, - 6460, - -122.9324, - 39.50873, - 2123, - 6470, - -122.93253, - 39.5088, - 2123, - 6480, - -122.93263, - 39.50882, - 2124, - 6490, - -122.93272, - 39.50882, - 2121, - 6500, - -122.93282, - 39.50878, - 2117, - 6510, - -122.9329, - 39.50873, - 2116, - 6520, - -122.93298, - 39.50868, - 2117, - 6530, - -122.93307, - 39.50863, - 2119, - 6540, - -122.93312, - 39.5086, - 2119, - 6550, - -122.93318, - 39.50857, - 2117, - 6560, - -122.93327, - 39.50853, - 2116, - 6570, - -122.93335, - 39.5085, - 2115, - 6580, - -122.93343, - 39.50847, - 2114, - 6590, - -122.93352, - 39.50843, - 2114, - 6600, - -122.93362, - 39.50842, - 2114, - 6610, - -122.93372, - 39.50842, - 2114, - 6620, - -122.93382, - 39.50843, - 2108, - 6630, - -122.93395, - 39.5085, - 2101, - 6640, - -122.93408, - 39.50862, - 2096, - 6650, - -122.93422, - 39.50872, - 2094, - 6660, - -122.93437, - 39.50882, - 2094, - 6670, - -122.93448, - 39.5089, - 2093, - 6680, - -122.9346, - 39.50898, - 2090, - 6690, - -122.93472, - 39.50907, - 2085, - 6700, - -122.93485, - 39.50913, - 2081, - 6710, - -122.935, - 39.50922, - 2075, - 6720, - -122.93515, - 39.50927, - 2071, - 6730, - -122.9353, - 39.50933, - 2069, - 6740, - -122.93543, - 39.50938, - 2068, - 6750, - -122.93552, - 39.50943, - 2065, - 6760, - -122.93563, - 39.50947, - 2060, - 6770, - -122.93575, - 39.5095, - 2054, - 6780, - -122.93588, - 39.50952, - 2050, - 6790, - -122.93602, - 39.5095, - 2048, - 6800, - -122.93615, - 39.5095, - 2046, - 6810, - -122.93625, - 39.50947, - 2044, - 6820, - -122.93635, - 39.50943, - 2041, - 6830, - -122.93645, - 39.5094, - 2037, - 6840, - -122.93655, - 39.50935, - 2032, - 6850, - -122.93667, - 39.5093, - 2027, - 6860, - -122.93673, - 39.50923, - 2024, - 6870, - -122.93682, - 39.50915, - 2022, - 6880, - -122.93687, - 39.50908, - 2019, - 6890, - -122.93692, - 39.509, - 2015, - 6900, - -122.93695, - 39.5089, - 2011, - 6910, - -122.93695, - 39.5088, - 2009, - 6920, - -122.93697, - 39.5087, - 2007, - 6930, - -122.93698, - 39.50858, - 2005, - 6940, - -122.93698, - 39.5085, - 2003, - 6950, - -122.93698, - 39.50838, - 1998, - 6960, - -122.937, - 39.50825, - 1996, - 6970, - -122.937, - 39.50812, - 1997, - 6980, - -122.937, - 39.50798, - 1998, - 6990, - -122.93698, - 39.50787, - 1998, - 7000, - -122.93697, - 39.50775, - 1996, - 7010, - -122.93695, - 39.50763, - 1993, - 7020, - -122.93693, - 39.50753, - 1990, - 7030, - -122.93693, - 39.50742, - 1987, - 7040, - -122.93695, - 39.50732, - 1983, - 7050, - -122.93687, - 39.50673, - 1967, - 7060, - -122.93663, - 39.5061, - 1948, - 7070, - -122.93645, - 39.50553, - 1942, - 7080, - -122.93627, - 39.50498, - 1934, - 7090, - -122.93595, - 39.50445, - 1930, - 7100, - -122.93558, - 39.50385, - 1917, - 7110, - -122.93542, - 39.50317, - 1907, - 7120, - -122.93533, - 39.50258, - 1911, - 7130, - -122.93567, - 39.50247, - 1907, - 7140, - -122.93553, - 39.50302, - 1897, - 7150, - -122.93473, - 39.50302, - 1897, - 7160, - -122.93457, - 39.50282, - 1892, - 7170, - -122.93453, - 39.5027, - 1892, - 7180, - -122.93452, - 39.50262, - 1893, - 7190, - -122.93448, - 39.50253, - 1892, - 7200, - -122.93445, - 39.50243, - 1888, - 7210, - -122.93443, - 39.50233, - 1885, - 7220, - -122.93442, - 39.50222, - 1883, - 7230, - -122.93442, - 39.5021, - 1883, - 7240, - -122.93442, - 39.502, - 1883, - 7250, - -122.9344, - 39.50188, - 1882, - 7260, - -122.93438, - 39.5018, - 1881, - 7270, - -122.93433, - 39.50168, - 1879, - 7280, - -122.93427, - 39.50157, - 1876, - 7290, - -122.9342, - 39.50147, - 1875, - 7300, - -122.93413, - 39.50135, - 1874, - 7310, - -122.9341, - 39.50123, - 1875, - 7320, - -122.9341, - 39.50115, - 1876, - 7330, - -122.9341, - 39.50105, - 1876, - 7340, - -122.93415, - 39.50097, - 1873, - 7350, - -122.9342, - 39.5009, - 1871, - 7360, - -122.93425, - 39.50082, - 1871, - 7370, - -122.93432, - 39.50077, - 1872, - 7380, - -122.93437, - 39.50072, - 1871, - 7390, - -122.93443, - 39.50068, - 1868, - 7400, - -122.93452, - 39.50063, - 1864, - 7410, - -122.9346, - 39.50058, - 1863, - 7420, - -122.93468, - 39.50053, - 1862, - 7430, - -122.93477, - 39.50047, - 1859, - 7440, - -122.93487, - 39.50042, - 1857, - 7450, - -122.93495, - 39.50037, - 1856, - 7460, - -122.93505, - 39.5003, - 1856, - 7470, - -122.9351, - 39.50023, - 1856, - 7480, - -122.93513, - 39.50018, - 1855, - 7490, - -122.93517, - 39.50012, - 1854, - 7500, - -122.9352, - 39.50005, - 1853, - 7510, - -122.93522, - 39.49998, - 1851, - 7520, - -122.93523, - 39.4999, - 1849, - 7530, - -122.93523, - 39.49983, - 1848, - 7540, - -122.93522, - 39.49975, - 1847, - 7550, - -122.93522, - 39.49968, - 1846, - 7560, - -122.93522, - 39.4996, - 1844, - 7570, - -122.93522, - 39.4995, - 1843, - 7580, - -122.93522, - 39.4994, - 1845, - 7590, - -122.93522, - 39.4993, - 1847, - 7600, - -122.93522, - 39.4992, - 1850, - 7610, - -122.93518, - 39.49912, - 1852, - 7620, - -122.93512, - 39.49903, - 1856, - 7630, - -122.93503, - 39.499, - 1860, - 7640, - -122.93493, - 39.49898, - 1861, - 7650, - -122.93482, - 39.499, - 1861, - 7660, - -122.93468, - 39.49905, - 1859, - 7670, - -122.93453, - 39.49913, - 1858, - 7680, - -122.93442, - 39.4993, - 1858, - 7690, - -122.9344, - 39.49945, - 1861, - 7700, - -122.9344, - 39.49958, - 1866, - 7710, - -122.93445, - 39.4997, - 1868, - 7720, - -122.93453, - 39.49978, - 1869, - 7730, - -122.93465, - 39.49987, - 1869, - 7740, - -122.93477, - 39.4999, - 1869, - 7750, - -122.93488, - 39.4999, - 1871, - 7760, - -122.93498, - 39.49987, - 1873, - 7770, - -122.93503, - 39.49982, - 1876, - 7780, - -122.93503, - 39.49975, - 1878, - 7790, - -122.93503, - 39.49968, - 1879, - 7800, - -122.93498, - 39.49962, - 1882, - 7810, - -122.93488, - 39.49958, - 1884, - 7820, - -122.93475, - 39.49955, - 1884, - 7830, - -122.9346, - 39.49955, - 1886, - 7840, - -122.93442, - 39.4996, - 1887, - 7850, - -122.93427, - 39.49968, - 1890, - 7860, - -122.93415, - 39.4998, - 1894, - 7870, - -122.93408, - 39.4999, - 1897, - 7880, - -122.93405, - 39.50003, - 1898, - 7890, - -122.93405, - 39.50017, - 1898, - 7900, - -122.93412, - 39.50028, - 1898, - 7910, - -122.93422, - 39.5004, - 1897, - 7920, - -122.93437, - 39.50047, - 1899, - 7930, - -122.93447, - 39.5005, - 1901, - 7940, - -122.9346, - 39.5005, - 1903, - 7950, - -122.93468, - 39.50048, - 1904, - 7960, - -122.93472, - 39.50043, - 1906, - 7970, - -122.93473, - 39.50037, - 1909, - 7980, - -122.93473, - 39.50032, - 1911, - 7990, - -122.93468, - 39.50027, - 1913, - 8000, - -122.93462, - 39.5002, - 1915, - 8010, - -122.93452, - 39.50017, - 1918, - 8020, - -122.93437, - 39.50015, - 1921, - 8030, - -122.93422, - 39.50017, - 1923, - 8040, - -122.93405, - 39.50022, - 1923, - 8050, - -122.93385, - 39.50033, - 1923, - 8060, - -122.93375, - 39.50045, - 1924, - 8070, - -122.9337, - 39.50062, - 1925, - 8080, - -122.93373, - 39.50078, - 1928, - 8090, - -122.93382, - 39.50088, - 1930, - 8100, - -122.93395, - 39.50095, - 1931, - 8110, - -122.93407, - 39.50097, - 1931, - 8120, - -122.93415, - 39.50093, - 1932, - 8130, - -122.9342, - 39.50088, - 1933, - 8140, - -122.9342, - 39.50082, - 1935, - 8150, - -122.93415, - 39.50075, - 1938, - 8160, - -122.93403, - 39.50072, - 1941, - 8170, - -122.9339, - 39.5007, - 1943, - 8180, - -122.93373, - 39.5007, - 1945, - 8190, - -122.93358, - 39.50075, - 1947, - 8200, - -122.9334, - 39.50085, - 1949, - 8210, - -122.93327, - 39.50097, - 1952, - 8220, - -122.93318, - 39.5011, - 1954, - 8230, - -122.93317, - 39.50125, - 1955, - 8240, - -122.93322, - 39.50138, - 1955, - 8250, - -122.93332, - 39.5015, - 1954, - 8260, - -122.93345, - 39.50157, - 1954, - 8270, - -122.93358, - 39.50158, - 1954, - 8280, - -122.93368, - 39.50157, - 1955, - 8290, - -122.93378, - 39.50152, - 1956, - 8300, - -122.93382, - 39.50145, - 1956, - 8310, - -122.93385, - 39.50138, - 1956, - 8320, - -122.93385, - 39.50128, - 1955, - 8330, - -122.9338, - 39.5012, - 1958, - 8340, - -122.93373, - 39.50113, - 1962, - 8350, - -122.93363, - 39.5011, - 1963, - 8360, - -122.9335, - 39.50107, - 1963, - 8370, - -122.93333, - 39.50107, - 1964, - 8380, - -122.93315, - 39.5011, - 1963, - 8390, - -122.93297, - 39.50117, - 1964, - 8400, - -122.9328, - 39.5013, - 1965, - 8410, - -122.93272, - 39.50145, - 1969, - 8420, - -122.9327, - 39.50162, - 1973, - 8430, - -122.93275, - 39.50175, - 1975, - 8440, - -122.93285, - 39.50183, - 1978, - 8450, - -122.933, - 39.50187, - 1979, - 8460, - -122.9331, - 39.50185, - 1979, - 8470, - -122.93318, - 39.5018, - 1981, - 8480, - -122.9332, - 39.50172, - 1983, - 8490, - -122.93317, - 39.50167, - 1985, - 8500, - -122.93308, - 39.5016, - 1988, - 8510, - -122.93297, - 39.50158, - 1989, - 8520, - -122.93282, - 39.50158, - 1990, - 8530, - -122.93263, - 39.50162, - 1993, - 8540, - -122.9325, - 39.50172, - 1994, - 8550, - -122.93237, - 39.50183, - 1995, - 8560, - -122.93232, - 39.502, - 1997, - 8570, - -122.93233, - 39.50213, - 1999, - 8580, - -122.93242, - 39.50227, - 2003, - 8590, - -122.93252, - 39.50233, - 2005, - 8600, - -122.93263, - 39.50237, - 2006, - 8610, - -122.93275, - 39.50235, - 2006, - 8620, - -122.93283, - 39.50232, - 2005, - 8630, - -122.93288, - 39.50223, - 2003, - 8640, - -122.93287, - 39.50212, - 2004, - 8650, - -122.9328, - 39.50205, - 2004, - 8660, - -122.93265, - 39.50198, - 2004, - 8670, - -122.9325, - 39.50197, - 2005, - 8680, - -122.93232, - 39.50198, - 2006, - 8690, - -122.93212, - 39.50203, - 2008, - 8700, - -122.93197, - 39.50213, - 2012, - 8710, - -122.93185, - 39.50225, - 2014, - 8720, - -122.93178, - 39.5024, - 2016, - 8730, - -122.93178, - 39.50255, - 2018, - 8740, - -122.93185, - 39.50268, - 2021, - 8750, - -122.93195, - 39.50277, - 2023, - 8760, - -122.93205, - 39.50282, - 2024, - 8770, - -122.93217, - 39.50285, - 2025, - 8780, - -122.93227, - 39.50282, - 2025, - 8790, - -122.93235, - 39.50277, - 2025, - 8800, - -122.93242, - 39.50268, - 2025, - 8810, - -122.93242, - 39.50258, - 2024, - 8820, - -122.93237, - 39.50248, - 2024, - 8830, - -122.93228, - 39.5024, - 2023, - 8840, - -122.93217, - 39.50233, - 2023, - 8850, - -122.93202, - 39.5023, - 2023, - 8860, - -122.93185, - 39.50227, - 2023, - 8870, - -122.93167, - 39.50228, - 2025, - 8880, - -122.93147, - 39.50232, - 2028, - 8890, - -122.93133, - 39.5024, - 2030, - 8900, - -122.93118, - 39.5025, - 2031, - 8910, - -122.93113, - 39.50265, - 2033, - 8920, - -122.93112, - 39.50278, - 2035, - 8930, - -122.93118, - 39.50292, - 2038, - 8940, - -122.93128, - 39.503, - 2040, - 8950, - -122.9314, - 39.50302, - 2041, - 8960, - -122.9315, - 39.50298, - 2040, - 8970, - -122.93155, - 39.5029, - 2041, - 8980, - -122.93157, - 39.50282, - 2041, - 8990, - -122.93147, - 39.50273, - 2043, - 9000, - -122.93137, - 39.50268, - 2044, - 9010, - -122.93122, - 39.50265, - 2045, - 9020, - -122.93107, - 39.50265, - 2045, - 9030, - -122.9309, - 39.50268, - 2045, - 9040, - -122.93073, - 39.50277, - 2046, - 9050, - -122.9306, - 39.50288, - 2049, - 9060, - -122.93053, - 39.50303, - 2052, - 9070, - -122.9305, - 39.50315, - 2055, - 9080, - -122.93052, - 39.50327, - 2057, - 9090, - -122.93057, - 39.50338, - 2059, - 9100, - -122.93065, - 39.50347, - 2060, - 9110, - -122.93077, - 39.50352, - 2062, - 9120, - -122.93088, - 39.50352, - 2062, - 9130, - -122.93098, - 39.5035, - 2063, - 9140, - -122.93107, - 39.50343, - 2062, - 9150, - -122.9311, - 39.50335, - 2063, - 9160, - -122.93112, - 39.50325, - 2063, - 9170, - -122.93108, - 39.50315, - 2063, - 9180, - -122.93102, - 39.50307, - 2063, - 9190, - -122.93093, - 39.50298, - 2064, - 9200, - -122.9308, - 39.50292, - 2064, - 9210, - -122.93068, - 39.50287, - 2064, - 9220, - -122.93052, - 39.50283, - 2065, - 9230, - -122.93035, - 39.50285, - 2065, - 9240, - -122.93022, - 39.50292, - 2065, - 9250, - -122.93007, - 39.50302, - 2066, - 9260, - -122.93, - 39.50313, - 2066, - 9270, - -122.93, - 39.50327, - 2066, - 9280, - -122.93005, - 39.5034, - 2067, - 9290, - -122.93015, - 39.5035, - 2068, - 9300, - -122.93027, - 39.50355, - 2069, - 9310, - -122.93038, - 39.50355, - 2070, - 9320, - -122.9305, - 39.50353, - 2070, - 9330, - -122.9306, - 39.50348, - 2069, - 9340, - -122.93065, - 39.5034, - 2065, - 9350, - -122.93068, - 39.5033, - 2063, - 9360, - -122.93065, - 39.50318, - 2064, - 9370, - -122.9306, - 39.50308, - 2062, - 9380, - -122.93048, - 39.50298, - 2059, - 9390, - -122.93033, - 39.50292, - 2057, - 9400, - -122.93017, - 39.50288, - 2055, - 9410, - -122.92998, - 39.50288, - 2054, - 9420, - -122.9298, - 39.50293, - 2052, - 9430, - -122.92963, - 39.503, - 2050, - 9440, - -122.9295, - 39.50313, - 2048, - 9450, - -122.92943, - 39.50327, - 2047, - 9460, - -122.92945, - 39.5034, - 2048, - 9470, - -122.92945, - 39.5034, - 2048, - 9480, - -122.9295, - 39.5035, - 2049, - 9490, - -122.92958, - 39.5036, - 2050, - 9500, - -122.9297, - 39.50367, - 2051, - 9510, - -122.9298, - 39.5037, - 2052, - 9520, - -122.92992, - 39.50368, - 2053, - 9530, - -122.93002, - 39.50363, - 2052, - 9540, - -122.93007, - 39.50355, - 2051, - 9550, - -122.93008, - 39.50343, - 2048, - 9560, - -122.93002, - 39.50332, - 2046, - 9570, - -122.92992, - 39.50322, - 2044, - 9580, - -122.92975, - 39.50315, - 2041, - 9590, - -122.92957, - 39.50312, - 2039, - 9600, - -122.92935, - 39.50315, - 2037, - 9610, - -122.92917, - 39.50323, - 2033, - 9620, - -122.92903, - 39.50337, - 2031, - 9630, - -122.92895, - 39.50353, - 2029, - 9640, - -122.92897, - 39.50367, - 2029, - 9650, - -122.92903, - 39.5038, - 2030, - 9660, - -122.92913, - 39.5039, - 2033, - 9670, - -122.92923, - 39.50397, - 2036, - 9680, - -122.92935, - 39.50402, - 2037, - 9690, - -122.92947, - 39.50405, - 2036, - 9700, - -122.92958, - 39.50403, - 2035, - 9710, - -122.9297, - 39.50398, - 2031, - 9720, - -122.92982, - 39.50392, - 2028, - 9730, - -122.92992, - 39.50388, - 2028, - 9740, - -122.93002, - 39.50388, - 2028, - 9750, - -122.93008, - 39.5039, - 2028, - 9760, - -122.93015, - 39.50395, - 2023, - 9770, - -122.93022, - 39.50403, - 2015, - 9780, - -122.93032, - 39.50412, - 2013, - 9790, - -122.93043, - 39.5042, - 2013, - 9800, - -122.93053, - 39.50423, - 2014, - 9810, - -122.93063, - 39.50423, - 2013, - 9820, - -122.93073, - 39.50422, - 2011, - 9830, - -122.9308, - 39.50415, - 2007, - 9840, - -122.93085, - 39.50407, - 2004, - 9850, - -122.9309, - 39.50395, - 2002, - 9860, - -122.93095, - 39.50387, - 2001, - 9870, - -122.93098, - 39.50377, - 2001, - 9880, - -122.93102, - 39.50368, - 2000, - 9890, - -122.93105, - 39.50358, - 1999, - 9900, - -122.93107, - 39.50348, - 1998, - 9910, - -122.93108, - 39.5034, - 1997, - 9920, - -122.93108, - 39.5033, - 1993, - 9930, - -122.9311, - 39.50317, - 1989, - 9940, - -122.93113, - 39.50307, - 1987, - 9950, - -122.93117, - 39.50295, - 1989, - 9960, - -122.93118, - 39.50288, - 1990, - 9970, - -122.93123, - 39.5028, - 1988, - 9980, - -122.93127, - 39.50272, - 1983, - 9990, - -122.93133, - 39.50262, - 1980, - 10000, - -122.93142, - 39.50252, - 1979, - 10010, - -122.93148, - 39.50242, - 1980, - 10020, - -122.93153, - 39.50235, - 1980, - 10030, - -122.93155, - 39.50225, - 1979, - 10040, - -122.93153, - 39.50217, - 1978, - 10050, - -122.93147, - 39.50208, - 1976, - 10060, - -122.93133, - 39.50202, - 1971, - 10070, - -122.93117, - 39.50198, - 1967, - 10080, - -122.93098, - 39.50202, - 1963, - 10090, - -122.93078, - 39.5021, - 1960, - 10100, - -122.93067, - 39.5022, - 1961, - 10110, - -122.93057, - 39.50232, - 1961, - 10120, - -122.93052, - 39.50242, - 1961, - 10130, - -122.93048, - 39.50253, - 1960, - 10140, - -122.93047, - 39.50263, - 1958, - 10150, - -122.9305, - 39.50272, - 1956, - 10160, - -122.93057, - 39.50278, - 1954, - 10170, - -122.93065, - 39.50285, - 1951, - 10180, - -122.93075, - 39.50287, - 1949, - 10190, - -122.93087, - 39.50285, - 1945, - 10200, - -122.93097, - 39.50282, - 1941, - 10210, - -122.93107, - 39.50275, - 1939, - 10220, - -122.93115, - 39.50267, - 1936, - 10230, - -122.93122, - 39.5026, - 1934, - 10240, - -122.93128, - 39.50252, - 1932, - 10250, - -122.93135, - 39.50242, - 1929, - 10260, - -122.93142, - 39.50233, - 1926, - 10270, - -122.93148, - 39.50225, - 1924, - 10280, - -122.93152, - 39.50218, - 1924, - 10290, - -122.93157, - 39.50212, - 1923, - 10300, - -122.93163, - 39.50205, - 1920, - 10310, - -122.93172, - 39.50202, - 1915, - 10320, - -122.9318, - 39.50195, - 1909, - 10330, - -122.93188, - 39.50187, - 1904, - 10340, - -122.93197, - 39.50178, - 1900, - 10350, - -122.93208, - 39.5017, - 1896, - 10360, - -122.93218, - 39.50162, - 1896, - 10370, - -122.93228, - 39.50157, - 1897, - 10380, - -122.93237, - 39.50152, - 1897, - 10390, - -122.93243, - 39.50147, - 1894, - 10400, - -122.93252, - 39.50142, - 1891, - 10410, - -122.93262, - 39.50137, - 1890, - 10420, - -122.93268, - 39.50132, - 1888, - 10430, - -122.93302, - 39.50147, - 1877, - 10440, - -122.9323, - 39.5019, - 1865, - 10450, - -122.93167, - 39.50163, - 1851, - 10460, - -122.93178, - 39.50137, - 1842, - 10470, - -122.93185, - 39.5013, - 1840, - 10480, - -122.93193, - 39.50122, - 1838, - 10490, - -122.93202, - 39.50115, - 1835, - 10500, - -122.9321, - 39.50108, - 1833, - 10510, - -122.93218, - 39.50102, - 1831, - 10520, - -122.93227, - 39.50095, - 1829, - 10530, - -122.93235, - 39.50088, - 1827, - 10540, - -122.93243, - 39.50082, - 1824, - 10550, - -122.9325, - 39.50075, - 1822, - 10560, - -122.93258, - 39.50067, - 1819, - 10570, - -122.93263, - 39.5006, - 1818, - 10580, - -122.93268, - 39.50053, - 1816, - 10590, - -122.93275, - 39.50045, - 1813, - 10600, - -122.93282, - 39.50038, - 1811, - 10610, - -122.93288, - 39.5003, - 1807, - 10620, - -122.93295, - 39.50023, - 1804, - 10630, - -122.93307, - 39.50017, - 1800, - 10640, - -122.93318, - 39.5001, - 1795, - 10650, - -122.9333, - 39.50005, - 1793, - 10660, - -122.93342, - 39.5, - 1791, - 10670, - -122.93353, - 39.49995, - 1789, - 10680, - -122.93363, - 39.49992, - 1787, - 10690, - -122.93373, - 39.49987, - 1784, - 10700, - -122.93385, - 39.49982, - 1782, - 10710, - -122.93393, - 39.49978, - 1779, - 10720, - -122.93403, - 39.49973, - 1777, - 10730, - -122.93412, - 39.4997, - 1775, - 10740, - -122.9342, - 39.49965, - 1773, - 10750, - -122.9343, - 39.4996, - 1769, - 10760, - -122.93438, - 39.49955, - 1764, - 10770, - -122.93478, - 39.49922, - 1749, - 10780, - -122.93517, - 39.49888, - 1733, - 10790, - -122.93577, - 39.49863, - 1739, - 10800, - -122.93617, - 39.49847, - 1742, - 10810, - -122.93622, - 39.49908, - 1743, - 10820, - -122.93563, - 39.4991, - 1748, - 10830, - -122.936, - 39.49908, - 1753, - 10840, - -122.93605, - 39.49922, - 1753, - 10850, - -122.93602, - 39.49937, - 1753, - 10860, - -122.93592, - 39.49948, - 1754, - 10870, - -122.93577, - 39.49958, - 1756, - 10880, - -122.9356, - 39.4996, - 1756, - 10890, - -122.93545, - 39.49957, - 1755, - 10900, - -122.93535, - 39.49948, - 1755, - 10910, - -122.93535, - 39.4994, - 1756, - 10920, - -122.9354, - 39.49933, - 1756, - 10930, - -122.93548, - 39.49932, - 1757, - 10940, - -122.93558, - 39.49932, - 1758, - 10950, - -122.9357, - 39.49937, - 1758, - 10960, - -122.93577, - 39.49947, - 1759, - 10970, - -122.93582, - 39.4996, - 1759, - 10980, - -122.9358, - 39.49973, - 1759, - 10990, - -122.93568, - 39.49987, - 1759, - 11000, - -122.9355, - 39.49995, - 1761, - 11010, - -122.93533, - 39.49997, - 1763, - 11020, - -122.9352, - 39.49995, - 1763, - 11030, - -122.93508, - 39.49992, - 1763, - 11040, - -122.93505, - 39.49985, - 1761, - 11050, - -122.9351, - 39.49978, - 1759, - 11060, - -122.93522, - 39.49975, - 1759, - 11070, - -122.93533, - 39.49977, - 1759, - 11080, - -122.93545, - 39.49982, - 1760, - 11090, - -122.93557, - 39.49988, - 1763, - 11100, - -122.93565, - 39.49997, - 1765, - 11110, - -122.9357, - 39.50007, - 1767, - 11120, - -122.93573, - 39.50018, - 1767, - 11130, - -122.93573, - 39.50033, - 1765, - 11140, - -122.9357, - 39.50047, - 1762, - 11150, - -122.9356, - 39.50062, - 1761, - 11160, - -122.93547, - 39.50077, - 1761, - 11170, - -122.93533, - 39.50087, - 1762, - 11180, - -122.93518, - 39.50093, - 1763, - 11190, - -122.93503, - 39.501, - 1763, - 11200, - -122.93488, - 39.50103, - 1760, - 11210, - -122.93472, - 39.50103, - 1757, - 11220, - -122.93457, - 39.501, - 1757, - 11230, - -122.93445, - 39.50095, - 1757, - 11240, - -122.93438, - 39.50088, - 1758, - 11250, - -122.93437, - 39.50083, - 1758, - 11260, - -122.9344, - 39.50077, - 1756, - 11270, - -122.93448, - 39.50073, - 1755, - 11280, - -122.93458, - 39.50073, - 1756, - 11290, - -122.9347, - 39.50075, - 1758, - 11300, - -122.9348, - 39.50078, - 1760, - 11310, - -122.9349, - 39.5008, - 1761, - 11320, - -122.93502, - 39.50083, - 1762, - 11330, - -122.9351, - 39.50083, - 1762, - 11340, - -122.93517, - 39.50083, - 1762, - 11350, - -122.93522, - 39.5008, - 1763, - 11360, - -122.93523, - 39.50077, - 1763, - 11370, - -122.93525, - 39.50072, - 1763, - 11380, - -122.93525, - 39.50067, - 1763, - 11390, - -122.93525, - 39.50062, - 1764, - 11400, - -122.93527, - 39.50055, - 1766, - 11410, - -122.93527, - 39.5005, - 1766, - 11420, - -122.93528, - 39.50045, - 1766, - 11430, - -122.93533, - 39.50042, - 1765, - 11440, - -122.93538, - 39.5004, - 1764, - 11450, - -122.93545, - 39.50042, - 1763, - 11460, - -122.9355, - 39.50047, - 1760, - 11470, - -122.93558, - 39.50053, - 1756, - 11480, - -122.93568, - 39.50065, - 1750, - 11490, - -122.9358, - 39.50077, - 1747, - 11500, - -122.93592, - 39.50087, - 1744, - 11510, - -122.93605, - 39.50087, - 1750, - 11520, - -122.93615, - 39.50088, - 1751, - 11530, - -122.93623, - 39.50088, - 1751, - 11540, - -122.9363, - 39.50087, - 1751, - 11550, - -122.93637, - 39.50085, - 1751, - 11560, - -122.93643, - 39.50083, - 1750, - 11570, - -122.93648, - 39.5008, - 1749, - 11580, - -122.93653, - 39.50075, - 1748, - 11590, - -122.93655, - 39.50068, - 1747, - 11600, - -122.93655, - 39.50062, - 1747, - 11610, - -122.93653, - 39.50053, - 1749, - 11620, - -122.93652, - 39.50047, - 1749, - 11630, - -122.93648, - 39.5004, - 1749, - 11640, - -122.93643, - 39.50032, - 1751, - 11650, - -122.93638, - 39.50023, - 1753, - 11660, - -122.93633, - 39.50018, - 1754, - 11670, - -122.93628, - 39.50012, - 1753, - 11680, - -122.93622, - 39.50005, - 1753, - 11690, - -122.93617, - 39.49997, - 1753, - 11700, - -122.9361, - 39.49988, - 1751, - 11710, - -122.93605, - 39.49982, - 1751, - 11720, - -122.93605, - 39.49973, - 1751, - 11730, - -122.93607, - 39.49968, - 1752, - 11740, - -122.93612, - 39.49967, - 1753, - 11750, - -122.93618, - 39.49967, - 1753, - 11760, - -122.93627, - 39.49972, - 1753, - 11770, - -122.93635, - 39.49985, - 1752, - 11780, - -122.93638, - 39.49998, - 1753, - 11790, - -122.93635, - 39.50015, - 1757, - 11800, - -122.93627, - 39.50028, - 1762, - 11810, - -122.93615, - 39.5004, - 1763, - 11820, - -122.93598, - 39.50048, - 1762, - 11830, - -122.9358, - 39.50057, - 1761, - 11840, - -122.93563, - 39.5006, - 1760, - 11850, - -122.93543, - 39.50045, - 1761, - 11860, - -122.93533, - 39.50037, - 1760, - 11870, - -122.93528, - 39.50028, - 1759, - 11880, - -122.93528, - 39.5002, - 1758, - 11890, - -122.9353, - 39.50013, - 1757, - 11900, - -122.93533, - 39.50008, - 1758, - 11910, - -122.9354, - 39.50005, - 1759, - 11920, - -122.93545, - 39.50003, - 1760, - 11930, - -122.93552, - 39.50003, - 1759, - 11940, - -122.93558, - 39.50005, - 1759, - 11950, - -122.93565, - 39.50008, - 1760, - 11960, - -122.93573, - 39.50013, - 1761, - 11970, - -122.93582, - 39.50017, - 1760, - 11980, - -122.9359, - 39.5002, - 1757, - 11990, - -122.936, - 39.50025, - 1753, - 12000, - -122.93612, - 39.50027, - 1750, - 12010, - -122.93625, - 39.50028, - 1747, - 12020, - -122.93635, - 39.50025, - 1745, - 12030, - -122.93643, - 39.50022, - 1743, - 12040, - -122.9365, - 39.50018, - 1741, - 12050, - -122.93653, - 39.50013, - 1739, - 12060, - -122.93657, - 39.50008, - 1736, - 12070, - -122.93658, - 39.50002, - 1731, - 12080, - -122.93658, - 39.49993, - 1729, - 12090, - -122.93655, - 39.49983, - 1728, - 12100, - -122.9365, - 39.49978, - 1728, - 12110, - -122.93643, - 39.49972, - 1726, - 12120, - -122.93635, - 39.49965, - 1724, - 12130, - -122.93627, - 39.4996, - 1722, - 12140, - -122.9362, - 39.49953, - 1721, - 12150, - -122.93612, - 39.49945, - 1719, - 12160, - -122.93605, - 39.49938, - 1718, - 12170, - -122.93595, - 39.4993, - 1717, - 12180, - -122.93588, - 39.49923, - 1717, - 12190, - -122.9358, - 39.49918, - 1716, - 12200, - -122.9357, - 39.4991, - 1715, - 12210, - -122.93562, - 39.49903, - 1715, - 12220, - -122.93552, - 39.49898, - 1717, - 12230, - -122.93542, - 39.49892, - 1716, - 12240, - -122.93533, - 39.49887, - 1715, - 12250, - -122.93525, - 39.49878, - 1713, - 12260, - -122.93525, - 39.49858, - 1697, - 12270, - -122.93578, - 39.49835, - 1686, - 12280, - -122.93625, - 39.49823, - 1697, - 12290, - -122.93633, - 39.49793, - 1706, - 12300, - -122.93563, - 39.49818, - 1708, - 12310, - -122.936, - 39.49847, - 1719, - 12320, - -122.93622, - 39.49838, - 1724, - 12330, - -122.93628, - 39.49833, - 1727, - 12340, - -122.9363, - 39.49825, - 1731, - 12350, - -122.93628, - 39.49818, - 1733, - 12360, - -122.9362, - 39.49812, - 1735, - 12370, - -122.93607, - 39.49808, - 1735, - 12380, - -122.93593, - 39.49808, - 1735, - 12390, - -122.93573, - 39.49815, - 1736, - 12400, - -122.93558, - 39.49827, - 1735, - 12410, - -122.9355, - 39.49842, - 1740, - 12420, - -122.93548, - 39.49857, - 1746, - 12430, - -122.9355, - 39.49867, - 1749, - 12440, - -122.93557, - 39.49875, - 1749, - 12450, - -122.9357, - 39.49882, - 1750, - 12460, - -122.93582, - 39.49882, - 1751, - 12470, - -122.9359, - 39.49878, - 1750, - 12480, - -122.93593, - 39.49872, - 1748, - 12490, - -122.93588, - 39.49863, - 1750, - 12500, - -122.93577, - 39.49857, - 1752, - 12510, - -122.93562, - 39.49853, - 1756, - 12520, - -122.93543, - 39.49853, - 1760, - 12530, - -122.93523, - 39.49857, - 1763, - 12540, - -122.93507, - 39.49863, - 1764, - 12550, - -122.9349, - 39.49873, - 1765, - 12560, - -122.93477, - 39.49887, - 1769, - 12570, - -122.93468, - 39.49902, - 1772, - 12580, - -122.93467, - 39.4992, - 1775, - 12590, - -122.93467, - 39.49932, - 1776, - 12600, - -122.93473, - 39.49943, - 1776, - 12610, - -122.93483, - 39.49953, - 1774, - 12620, - -122.93493, - 39.49957, - 1771, - 12630, - -122.93507, - 39.49957, - 1767, - 12640, - -122.93518, - 39.49952, - 1769, - 12650, - -122.93527, - 39.49947, - 1771, - 12660, - -122.93532, - 39.4994, - 1771, - 12670, - -122.93532, - 39.49933, - 1770, - 12680, - -122.93525, - 39.49923, - 1768, - 12690, - -122.93517, - 39.4991, - 1767, - 12700, - -122.93517, - 39.49898, - 1767, - 12710, - -122.93523, - 39.49888, - 1769, - 12720, - -122.9353, - 39.4988, - 1772, - 12730, - -122.93535, - 39.49875, - 1776, - 12740, - -122.93543, - 39.4987, - 1778, - 12750, - -122.93552, - 39.49867, - 1779, - 12760, - -122.93563, - 39.49863, - 1780, - 12770, - -122.93577, - 39.4986, - 1784, - 12780, - -122.9359, - 39.49858, - 1787, - 12790, - -122.93603, - 39.49858, - 1787, - 12800, - -122.93615, - 39.49857, - 1787, - 12810, - -122.93625, - 39.49853, - 1788, - 12820, - -122.93633, - 39.49847, - 1789, - 12830, - -122.93633, - 39.49842, - 1789, - 12840, - -122.93628, - 39.49833, - 1792, - 12850, - -122.9362, - 39.49828, - 1794, - 12860, - -122.93608, - 39.49823, - 1795, - 12870, - -122.93592, - 39.49822, - 1797, - 12880, - -122.93573, - 39.49825, - 1798, - 12890, - -122.93558, - 39.49833, - 1799, - 12900, - -122.93545, - 39.49847, - 1800, - 12910, - -122.93542, - 39.4986, - 1802, - 12920, - -122.93545, - 39.49872, - 1806, - 12930, - -122.93553, - 39.4988, - 1809, - 12940, - -122.93563, - 39.49883, - 1810, - 12950, - -122.93575, - 39.49883, - 1812, - 12960, - -122.9358, - 39.49878, - 1815, - 12970, - -122.9358, - 39.49873, - 1817, - 12980, - -122.93575, - 39.49867, - 1819, - 12990, - -122.93567, - 39.49862, - 1819, - 13000, - -122.93553, - 39.49857, - 1820, - 13010, - -122.93535, - 39.49853, - 1823, - 13020, - -122.93518, - 39.49855, - 1823, - 13030, - -122.935, - 39.49862, - 1824, - 13040, - -122.93485, - 39.49872, - 1827, - 13050, - -122.93477, - 39.49882, - 1831, - 13060, - -122.93472, - 39.49892, - 1833, - 13070, - -122.93472, - 39.49903, - 1833, - 13080, - -122.93478, - 39.49913, - 1833, - 13090, - -122.93492, - 39.49923, - 1832, - 13100, - -122.93505, - 39.49928, - 1832, - 13110, - -122.93517, - 39.49925, - 1839, - 13120, - -122.93525, - 39.49923, - 1841, - 13130, - -122.9353, - 39.49918, - 1841, - 13140, - -122.9353, - 39.4991, - 1841, - 13150, - -122.93525, - 39.499, - 1840, - 13160, - -122.93517, - 39.49887, - 1842, - 13170, - -122.93513, - 39.49875, - 1846, - 13180, - -122.93515, - 39.49865, - 1851, - 13190, - -122.93522, - 39.49857, - 1854, - 13200, - -122.93532, - 39.49853, - 1855, - 13210, - -122.93543, - 39.49857, - 1856, - 13220, - -122.93555, - 39.49863, - 1860, - 13230, - -122.93562, - 39.49875, - 1861, - 13240, - -122.93563, - 39.49888, - 1861, - 13250, - -122.93558, - 39.49905, - 1862, - 13260, - -122.93548, - 39.49917, - 1863, - 13270, - -122.93532, - 39.49927, - 1865, - 13280, - -122.93515, - 39.49932, - 1867, - 13290, - -122.935, - 39.49933, - 1868, - 13300, - -122.93485, - 39.4993, - 1869, - 13310, - -122.93475, - 39.49923, - 1870, - 13320, - -122.9347, - 39.49915, - 1872, - 13330, - -122.9347, - 39.49907, - 1875, - 13340, - -122.93475, - 39.49902, - 1877, - 13350, - -122.93482, - 39.499, - 1878, - 13360, - -122.93493, - 39.499, - 1878, - 13370, - -122.93505, - 39.49905, - 1878, - 13380, - -122.93515, - 39.49917, - 1881, - 13390, - -122.9352, - 39.49928, - 1883, - 13400, - -122.93518, - 39.49943, - 1885, - 13410, - -122.93512, - 39.49957, - 1884, - 13420, - -122.935, - 39.49968, - 1883, - 13430, - -122.93482, - 39.4998, - 1883, - 13440, - -122.93465, - 39.49987, - 1883, - 13450, - -122.93447, - 39.49985, - 1884, - 13460, - -122.9343, - 39.49983, - 1885, - 13470, - -122.93418, - 39.49977, - 1885, - 13480, - -122.9341, - 39.4997, - 1885, - 13490, - -122.93405, - 39.4996, - 1888, - 13500, - -122.93407, - 39.49953, - 1892, - 13510, - -122.93413, - 39.49948, - 1895, - 13520, - -122.93422, - 39.49948, - 1899, - 13530, - -122.93432, - 39.49952, - 1901, - 13540, - -122.9344, - 39.4996, - 1902, - 13550, - -122.93447, - 39.49972, - 1902, - 13560, - -122.93448, - 39.49985, - 1904, - 13570, - -122.93445, - 39.5, - 1905, - 13580, - -122.93435, - 39.50013, - 1905, - 13590, - -122.9342, - 39.50027, - 1905, - 13600, - -122.93403, - 39.50033, - 1905, - 13610, - -122.93383, - 39.50037, - 1904, - 13620, - -122.93365, - 39.50037, - 1906, - 13630, - -122.93352, - 39.50032, - 1905, - 13640, - -122.93342, - 39.50025, - 1904, - 13650, - -122.9334, - 39.50015, - 1903, - 13660, - -122.93347, - 39.5001, - 1905, - 13670, - -122.93358, - 39.50007, - 1908, - 13680, - -122.9337, - 39.50008, - 1911, - 13690, - -122.93378, - 39.50015, - 1913, - 13700, - -122.93385, - 39.50025, - 1915, - 13710, - -122.93388, - 39.50037, - 1916, - 13720, - -122.93387, - 39.5005, - 1914, - 13730, - -122.9338, - 39.50067, - 1913, - 13740, - -122.93367, - 39.5008, - 1913, - 13750, - -122.93348, - 39.50092, - 1915, - 13760, - -122.93332, - 39.50097, - 1916, - 13770, - -122.93315, - 39.50098, - 1916, - 13780, - -122.933, - 39.50097, - 1915, - 13790, - -122.93288, - 39.50092, - 1912, - 13800, - -122.93278, - 39.50085, - 1910, - 13810, - -122.93275, - 39.50073, - 1910, - 13820, - -122.9328, - 39.50067, - 1911, - 13830, - -122.93288, - 39.50062, - 1911, - 13840, - -122.93298, - 39.50058, - 1909, - 13850, - -122.93313, - 39.50058, - 1908, - 13860, - -122.93328, - 39.5006, - 1913, - 13870, - -122.9334, - 39.50062, - 1916, - 13880, - -122.93352, - 39.5006, - 1918, - 13890, - -122.93358, - 39.50058, - 1920, - 13900, - -122.93363, - 39.50055, - 1921, - 13910, - -122.93365, - 39.50048, - 1921, - 13920, - -122.93363, - 39.50042, - 1921, - 13930, - -122.93357, - 39.50033, - 1921, - 13940, - -122.93347, - 39.50027, - 1924, - 13950, - -122.93335, - 39.50022, - 1927, - 13960, - -122.93322, - 39.50017, - 1928, - 13970, - -122.93307, - 39.50008, - 1929, - 13980, - -122.93297, - 39.50002, - 1929, - 13990, - -122.93298, - 39.49992, - 1934, - 14000, - -122.93305, - 39.49985, - 1937, - 14010, - -122.93313, - 39.49982, - 1938, - 14020, - -122.93323, - 39.49982, - 1938, - 14030, - -122.93335, - 39.49985, - 1936, - 14040, - -122.93345, - 39.49993, - 1937, - 14050, - -122.93353, - 39.50005, - 1938, - 14060, - -122.93357, - 39.50015, - 1939, - 14070, - -122.9336, - 39.50027, - 1939, - 14080, - -122.93358, - 39.5004, - 1938, - 14090, - -122.93355, - 39.50053, - 1937, - 14100, - -122.93348, - 39.50067, - 1937, - 14110, - -122.93338, - 39.50078, - 1937, - 14120, - -122.93327, - 39.50088, - 1939, - 14130, - -122.93312, - 39.50098, - 1941, - 14140, - -122.933, - 39.50105, - 1941, - 14150, - -122.93283, - 39.50113, - 1940, - 14160, - -122.93265, - 39.50118, - 1941, - 14170, - -122.9325, - 39.50122, - 1941, - 14180, - -122.9323, - 39.50123, - 1940, - 14190, - -122.9321, - 39.50122, - 1940, - 14200, - -122.93195, - 39.50118, - 1943, - 14210, - -122.93185, - 39.5011, - 1945, - 14220, - -122.93183, - 39.50103, - 1945, - 14230, - -122.93185, - 39.50095, - 1946, - 14240, - -122.93193, - 39.5009, - 1949, - 14250, - -122.93203, - 39.50088, - 1951, - 14260, - -122.93217, - 39.50092, - 1952, - 14270, - -122.93223, - 39.50102, - 1954, - 14280, - -122.93227, - 39.50113, - 1956, - 14290, - -122.93223, - 39.50128, - 1957, - 14300, - -122.93213, - 39.50142, - 1958, - 14310, - -122.93197, - 39.50153, - 1960, - 14320, - -122.93178, - 39.50158, - 1961, - 14330, - -122.93162, - 39.5016, - 1962, - 14340, - -122.93143, - 39.50158, - 1964, - 14350, - -122.9313, - 39.50155, - 1964, - 14360, - -122.93117, - 39.50148, - 1964, - 14370, - -122.93107, - 39.5014, - 1965, - 14380, - -122.93105, - 39.5013, - 1967, - 14390, - -122.9311, - 39.50122, - 1969, - 14400, - -122.93118, - 39.50118, - 1970, - 14410, - -122.9313, - 39.50118, - 1973, - 14420, - -122.93138, - 39.50125, - 1975, - 14430, - -122.93145, - 39.50137, - 1976, - 14440, - -122.93145, - 39.5015, - 1977, - 14450, - -122.9314, - 39.50165, - 1979, - 14460, - -122.9313, - 39.50177, - 1981, - 14470, - -122.93113, - 39.50188, - 1981, - 14480, - -122.93113, - 39.50188, - 1981, - 14490, - -122.93093, - 39.50193, - 1983, - 14500, - -122.93078, - 39.50193, - 1984, - 14510, - -122.93062, - 39.5019, - 1987, - 14520, - -122.9305, - 39.50183, - 1988, - 14530, - -122.93043, - 39.50177, - 1989, - 14540, - -122.93042, - 39.50168, - 1989, - 14550, - -122.93047, - 39.5016, - 1989, - 14560, - -122.93057, - 39.50157, - 1991, - 14570, - -122.93067, - 39.50158, - 1993, - 14580, - -122.93077, - 39.50163, - 1994, - 14590, - -122.93085, - 39.50173, - 1995, - 14600, - -122.93088, - 39.50185, - 1995, - 14610, - -122.93087, - 39.502, - 1997, - 14620, - -122.9308, - 39.50213, - 2000, - 14630, - -122.93067, - 39.50225, - 2001, - 14640, - -122.93048, - 39.50232, - 2001, - 14650, - -122.9303, - 39.50232, - 2002, - 14660, - -122.93015, - 39.50228, - 2002, - 14670, - -122.9301, - 39.50217, - 2002, - 14680, - -122.93012, - 39.50207, - 2001, - 14690, - -122.93018, - 39.50203, - 2004, - 14700, - -122.93028, - 39.50202, - 2006, - 14710, - -122.93037, - 39.50205, - 2006, - 14720, - -122.93045, - 39.50215, - 2005, - 14730, - -122.9305, - 39.50227, - 2005, - 14740, - -122.93052, - 39.5024, - 2006, - 14750, - -122.93053, - 39.50255, - 2006, - 14760, - -122.93057, - 39.50267, - 2007, - 14770, - -122.93065, - 39.50277, - 2007, - 14780, - -122.93075, - 39.50282, - 2010, - 14790, - -122.93082, - 39.50283, - 2009, - 14800, - -122.93088, - 39.5028, - 2008, - 14810, - -122.93095, - 39.50275, - 2005, - 14820, - -122.93097, - 39.50265, - 2003, - 14830, - -122.93092, - 39.50253, - 2002, - 14840, - -122.93083, - 39.50245, - 2001, - 14850, - -122.93072, - 39.50235, - 2001, - 14860, - -122.93057, - 39.5023, - 2002, - 14870, - -122.93042, - 39.50228, - 2003, - 14880, - -122.93022, - 39.5023, - 2003, - 14890, - -122.93007, - 39.50237, - 2004, - 14900, - -122.92992, - 39.50245, - 2003, - 14910, - -122.9298, - 39.50258, - 2003, - 14920, - -122.92973, - 39.5027, - 2003, - 14930, - -122.92972, - 39.50283, - 2004, - 14940, - -122.92975, - 39.50293, - 2005, - 14950, - -122.92978, - 39.50302, - 2006, - 14960, - -122.92983, - 39.50308, - 2006, - 14970, - -122.9299, - 39.50312, - 2006, - 14980, - -122.92997, - 39.50315, - 2005, - 14990, - -122.93005, - 39.50315, - 2003, - 15000, - -122.93013, - 39.50315, - 2001, - 15010, - -122.93023, - 39.50312, - 2000, - 15020, - -122.93032, - 39.50305, - 2000, - 15030, - -122.93037, - 39.50297, - 2002, - 15040, - -122.9304, - 39.50287, - 2003, - 15050, - -122.9304, - 39.50278, - 2004, - 15060, - -122.93037, - 39.5027, - 2004, - 15070, - -122.93033, - 39.50262, - 2002, - 15080, - -122.93028, - 39.50252, - 2000, - 15090, - -122.93023, - 39.50242, - 1999, - 15100, - -122.93015, - 39.50233, - 1999, - 15110, - -122.93005, - 39.50225, - 1999, - 15120, - -122.92993, - 39.50218, - 1998, - 15130, - -122.92982, - 39.50213, - 1997, - 15140, - -122.92968, - 39.5021, - 1993, - 15150, - -122.92953, - 39.50203, - 1988, - 15160, - -122.92942, - 39.50195, - 1985, - 15170, - -122.92932, - 39.50185, - 1985, - 15180, - -122.92927, - 39.50173, - 1984, - 15190, - -122.92928, - 39.50165, - 1984, - 15200, - -122.92932, - 39.50158, - 1982, - 15210, - -122.9294, - 39.50153, - 1980, - 15220, - -122.9295, - 39.5015, - 1977, - 15230, - -122.92962, - 39.50148, - 1976, - 15240, - -122.92973, - 39.50148, - 1975, - 15250, - -122.92985, - 39.5015, - 1974, - 15260, - -122.92997, - 39.50153, - 1973, - 15270, - -122.93008, - 39.50157, - 1971, - 15280, - -122.93023, - 39.50162, - 1970, - 15290, - -122.93035, - 39.50167, - 1971, - 15300, - -122.93047, - 39.50168, - 1972, - 15310, - -122.93058, - 39.5017, - 1973, - 15320, - -122.93067, - 39.5017, - 1973, - 15330, - -122.93077, - 39.50172, - 1972, - 15340, - -122.93087, - 39.5017, - 1970, - 15350, - -122.93097, - 39.5017, - 1969, - 15360, - -122.93107, - 39.5017, - 1966, - 15370, - -122.93118, - 39.5017, - 1963, - 15380, - -122.93132, - 39.50172, - 1961, - 15390, - -122.93147, - 39.50173, - 1959, - 15400, - -122.93158, - 39.50173, - 1958, - 15410, - -122.9321, - 39.50158, - 1953, - 15420, - -122.93253, - 39.50128, - 1954, - 15430, - -122.93303, - 39.50127, - 1948, - 15440, - -122.9335, - 39.50163, - 1948, - 15450, - -122.93335, - 39.50227, - 1940, - 15460, - -122.93292, - 39.50223, - 1930, - 15470, - -122.93285, - 39.50215, - 1929, - 15480, - -122.93282, - 39.50205, - 1929, - 15490, - -122.9328, - 39.50197, - 1928, - 15500, - -122.93283, - 39.5019, - 1927, - 15510, - -122.93287, - 39.50182, - 1928, - 15520, - -122.9329, - 39.50177, - 1927, - 15530, - -122.93295, - 39.50172, - 1925, - 15540, - -122.93303, - 39.50165, - 1925, - 15550, - -122.9331, - 39.5016, - 1928, - 15560, - -122.93317, - 39.50155, - 1930, - 15570, - -122.93322, - 39.5015, - 1931, - 15580, - -122.93325, - 39.50145, - 1931, - 15590, - -122.93328, - 39.50138, - 1931, - 15600, - -122.93333, - 39.50132, - 1932, - 15610, - -122.9334, - 39.50127, - 1931, - 15620, - -122.9335, - 39.50123, - 1933, - 15630, - -122.93358, - 39.50127, - 1935, - 15640, - -122.93368, - 39.50132, - 1935, - 15650, - -122.93377, - 39.50142, - 1934, - 15660, - -122.93383, - 39.50153, - 1933, - 15670, - -122.93387, - 39.50168, - 1932, - 15680, - -122.93383, - 39.50185, - 1932, - 15690, - -122.93373, - 39.502, - 1933, - 15700, - -122.93358, - 39.50212, - 1935, - 15710, - -122.9334, - 39.50218, - 1936, - 15720, - -122.93323, - 39.5022, - 1936, - 15730, - -122.93307, - 39.50217, - 1936, - 15740, - -122.93297, - 39.50212, - 1936, - 15750, - -122.9329, - 39.50205, - 1936, - 15760, - -122.9329, - 39.50198, - 1938, - 15770, - -122.93295, - 39.50197, - 1936, - 15780, - -122.93302, - 39.50195, - 1935, - 15790, - -122.9331, - 39.50197, - 1934, - 15800, - -122.9332, - 39.50202, - 1934, - 15810, - -122.93328, - 39.50212, - 1933, - 15820, - -122.93337, - 39.50222, - 1932, - 15830, - -122.93342, - 39.50237, - 1931, - 15840, - -122.93342, - 39.50253, - 1931, - 15850, - -122.93337, - 39.50268, - 1932, - 15860, - -122.93327, - 39.50282, - 1933, - 15870, - -122.93313, - 39.50292, - 1934, - 15880, - -122.93298, - 39.50298, - 1934, - 15890, - -122.9328, - 39.50302, - 1935, - 15900, - -122.93267, - 39.50302, - 1936, - 15910, - -122.93253, - 39.50297, - 1936, - 15920, - -122.93243, - 39.50292, - 1936, - 15930, - -122.93242, - 39.50285, - 1935, - 15940, - -122.93245, - 39.5028, - 1934, - 15950, - -122.93252, - 39.50278, - 1934, - 15960, - -122.93258, - 39.50277, - 1932, - 15970, - -122.9327, - 39.50277, - 1929, - 15980, - -122.93282, - 39.50278, - 1927, - 15990, - -122.93295, - 39.5028, - 1927, - 16000, - -122.93307, - 39.5028, - 1927, - 16010, - -122.93317, - 39.5028, - 1928, - 16020, - -122.93323, - 39.50278, - 1927, - 16030, - -122.9333, - 39.50277, - 1925, - 16040, - -122.93335, - 39.50273, - 1922, - 16050, - -122.9334, - 39.50268, - 1915, - 16060, - -122.93347, - 39.50262, - 1908, - 16070, - -122.93353, - 39.50252, - 1903, - 16080, - -122.93362, - 39.50243, - 1903, - 16090, - -122.93365, - 39.50237, - 1905, - 16100, - -122.93367, - 39.50232, - 1907, - 16110, - -122.93368, - 39.50227, - 1908, - 16120, - -122.93368, - 39.50223, - 1905, - 16130, - -122.9337, - 39.50217, - 1900, - 16140, - -122.93373, - 39.5021, - 1897, - 16150, - -122.93377, - 39.50202, - 1899, - 16160, - -122.93378, - 39.50195, - 1901, - 16170, - -122.93378, - 39.5019, - 1900, - 16180, - -122.93382, - 39.50183, - 1899, - 16190, - -122.93383, - 39.50177, - 1898, - 16200, - -122.93387, - 39.5017, - 1898, - 16210, - -122.9339, - 39.50163, - 1896, - 16220, - -122.93393, - 39.50157, - 1894, - 16230, - -122.93397, - 39.5015, - 1892, - 16240, - -122.93407, - 39.50115, - 1873, - 16250, - -122.9341, - 39.50062, - 1862, - 16260, - -122.93403, - 39.5001, - 1848, - 16270, - -122.93383, - 39.49948, - 1839, - 16280, - -122.93358, - 39.49892, - 1833, - 16290, - -122.93328, - 39.49837, - 1828, - 16300, - -122.93292, - 39.49788, - 1815, - 16310, - -122.9326, - 39.49735, - 1800, - 16320, - -122.93222, - 39.4968, - 1786, - 16330, - -122.93188, - 39.49625, - 1775, - 16340, - -122.93157, - 39.49568, - 1759, - 16350, - -122.93127, - 39.49512, - 1746, - 16360, - -122.93097, - 39.49458, - 1731, - 16370, - -122.93072, - 39.4941, - 1720, - 16380, - -122.93053, - 39.49353, - 1721, - 16390, - -122.93033, - 39.493, - 1728, - 16400, - -122.9301, - 39.49247, - 1728, - 16410, - -122.93002, - 39.49192, - 1709, - 16420, - -122.93, - 39.49135, - 1697, - 16430, - -122.92987, - 39.49075, - 1687, - 16440, - -122.9297, - 39.49017, - 1675, - 16450, - -122.92952, - 39.4896, - 1668, - 16460, - -122.9295, - 39.4891, - 1669, - 16470, - -122.92942, - 39.4886, - 1675, - 16480, - -122.92933, - 39.48797, - 1663, - 16490, - -122.92928, - 39.48737, - 1663, - 16500, - -122.92922, - 39.48675, - 1648, - 16510, - -122.92917, - 39.48617, - 1637, - 16520, - -122.92907, - 39.48562, - 1624, - 16530, - -122.92898, - 39.48517, - 1619, - 16540, - -122.92895, - 39.48473, - 1598, - 16550, - -122.92912, - 39.48413, - 1595, - 16560, - -122.92912, - 39.4835, - 1588, - 16570, - -122.92917, - 39.48288, - 1587, - 16580, - -122.92935, - 39.48238, - 1588, - 16590, - -122.92967, - 39.48192, - 1582, - 16600, - -122.92993, - 39.4815, - 1575, - 16610, - -122.9302, - 39.4811, - 1572, - 16620, - -122.93047, - 39.48068, - 1560, - 16630, - -122.93072, - 39.4802, - 1549, - 16640, - -122.93113, - 39.4798, - 1541, - 16650, - -122.93157, - 39.47938, - 1527, - 16660, - -122.93192, - 39.47892, - 1519, - 16670, - -122.93225, - 39.47847, - 1523, - 16680, - -122.93258, - 39.47808, - 1521, - 16690, - -122.9329, - 39.47765, - 1510, - 16700, - -122.93322, - 39.47722, - 1500, - 16710, - -122.93363, - 39.47685, - 1499, - 16720, - -122.93398, - 39.47647, - 1486, - 16730, - -122.93443, - 39.4761, - 1475, - 16740, - -122.93483, - 39.47568, - 1456, - 16750, - -122.93515, - 39.47517, - 1444, - 16760, - -122.93555, - 39.47477, - 1439, - 16770, - -122.93597, - 39.47425, - 1433, - 16780, - -122.93628, - 39.4739, - 1426, - 16790, - -122.9366, - 39.47355, - 1426, - 16800, - -122.93688, - 39.47317, - 1422, - 16810, - -122.93725, - 39.47275, - 1423, - 16820, - -122.93772, - 39.47232, - 1428, - 16830, - -122.93812, - 39.47192, - 1425, - 16840, - -122.93848, - 39.4715, - 1426, - 16850, - -122.93893, - 39.47112, - 1428, - 16860, - -122.93928, - 39.47067, - 1434, - 16870, - -122.9395, - 39.47022, - 1438, - 16880, - -122.93978, - 39.46978, - 1441, - 16890, - -122.94, - 39.46937, - 1438, - 16900, - -122.94023, - 39.4689, - 1432, - 16910, - -122.94053, - 39.46838, - 1415, - 16920, - -122.94075, - 39.46785, - 1399, - 16930, - -122.94093, - 39.46727, - 1383, - 16940, - -122.94118, - 39.46663, - 1367, - 16950, - -122.94137, - 39.466, - 1354, - 16960, - -122.94152, - 39.46533, - 1339, - 16970, - -122.94167, - 39.46467, - 1323, - 16980, - -122.94177, - 39.46402, - 1308, - 16990, - -122.94182, - 39.46335, - 1293, - 17000, - -122.94182, - 39.46272, - 1280, - 17010, - -122.94185, - 39.46208, - 1266, - 17020, - -122.9419, - 39.46143, - 1255, - 17030, - -122.94197, - 39.46075, - 1242, - 17040, - -122.94205, - 39.46008, - 1234, - 17050, - -122.94217, - 39.45943, - 1222, - 17060, - -122.94218, - 39.45878, - 1209, - 17070, - -122.94205, - 39.45812, - 1200, - 17080, - -122.94192, - 39.45747, - 1187, - 17090, - -122.94203, - 39.4568, - 1177, - 17100, - -122.94225, - 39.45618, - 1165, - 17110, - -122.9425, - 39.45553, - 1157, - 17120, - -122.94272, - 39.45493, - 1148, - 17130, - -122.94292, - 39.4543, - 1133, - 17140, - -122.94312, - 39.45367, - 1119, - 17150, - -122.9434, - 39.45308, - 1103, - 17160, - -122.94367, - 39.45247, - 1087, - 17170, - -122.94385, - 39.45185, - 1078, - 17180, - -122.94388, - 39.45123, - 1063, - 17190, - -122.94397, - 39.45062, - 1050, - 17200, - -122.94408, - 39.45, - 1035, - 17210, - -122.9442, - 39.44938, - 1025, - 17220, - -122.94438, - 39.4488, - 1014, - 17230, - -122.94447, - 39.44822, - 1004, - 17240, - -122.94462, - 39.44762, - 995, - 17250, - -122.94485, - 39.4471, - 992, - 17260, - -122.945, - 39.44657, - 980, - 17270, - -122.94523, - 39.44602, - 974, - 17280, - -122.9456, - 39.44557, - 964, - 17290, - -122.94595, - 39.44507, - 955, - 17300, - -122.94635, - 39.4446, - 941, - 17310, - -122.94675, - 39.44413, - 932, - 17320, - -122.94708, - 39.4437, - 922, - 17330, - -122.94752, - 39.44325, - 911, - 17340, - -122.94783, - 39.44278, - 905, - 17350, - -122.94788, - 39.4423, - 897, - 17360, - -122.9476, - 39.44187, - 886, - 17370, - -122.94702, - 39.44158, - 874, - 17380, - -122.9468, - 39.44117, - 867, - 17390, - -122.94737, - 39.4411, - 849, - 17400, - -122.94797, - 39.44112, - 836, - 17410, - -122.94862, - 39.44107, - 822, - 17420, - -122.94877, - 39.4408, - 812, - 17430, - -122.948, - 39.44087, - 806, - 17440, - -122.94803, - 39.44145, - 784, - 17450, - -122.9483, - 39.44157, - 777, - 17460, - -122.94843, - 39.4416, - 774, - 17470, - -122.94857, - 39.44163, - 773, - 17480, - -122.94868, - 39.44165, - 771, - 17490, - -122.9488, - 39.44167, - 769, - 17500, - -122.9489, - 39.44167, - 768, - 17510, - -122.949, - 39.44163, - 764, - 17520, - -122.94912, - 39.4416, - 759, - 17530, - -122.9492, - 39.44153, - 754, - 17540, - -122.94927, - 39.44143, - 749, - 17550, - -122.94932, - 39.44132, - 743, - 17560, - -122.9493, - 39.44117, - 737, - 17570, - -122.94925, - 39.44103, - 731, - 17580, - -122.94912, - 39.44088, - 727, - 17590, - -122.94897, - 39.44077, - 723, - 17600, - -122.94878, - 39.44067, - 719, - 17610, - -122.94863, - 39.44057, - 717, - 17620, - -122.94843, - 39.44048, - 712, - 17630, - -122.94822, - 39.4404, - 708, - 17640, - -122.94802, - 39.44033, - 704, - 17650, - -122.9478, - 39.44025, - 700, - 17660, - -122.9476, - 39.4402, - 697, - 17670, - -122.94738, - 39.44013, - 693, - 17680, - -122.94717, - 39.44005, - 689, - 17690, - -122.94697, - 39.44, - 685, - 17700, - -122.94673, - 39.43993, - 680, - 17710, - -122.9465, - 39.43988, - 676, - 17720, - -122.94627, - 39.43985, - 671, - 17730, - -122.94602, - 39.43982, - 666, - 17740, - -122.94578, - 39.43978, - 661, - 17750, - -122.94555, - 39.43977, - 657, - 17760, - -122.94528, - 39.43975, - 653, - 17770, - -122.94403, - 39.43993, - 627, - 17780, - -122.9434, - 39.44068, - 622, - 17790, - -122.94432, - 39.44108, - 597, - 17800, - -122.94505, - 39.44122, - 580, - 17810, - -122.94555, - 39.44123, - 572, - 17820, - -122.94562, - 39.44123, - 571, - 17830, - -122.94563, - 39.44123, - 571, - 17840, - -122.94562, - 39.44125, - 569, - 17850, - -122.94563, - 39.44125, - 569, - 17860, - -122.94563, - 39.44125, - 569, - 17870, - -122.94565, - 39.44125, - 568, - 17880, - -122.94563, - 39.44125, - 567, - 17890, - -122.94563, - 39.44125, - 566, + 0, -122.93797, 39.50935, 1776, 10, -122.93822, 39.50918, 1773, 20, + -122.9385, 39.50883, 1772, 30, -122.93855, 39.50842, 1770, 40, -122.93868, + 39.50792, 1770, 50, -122.93877, 39.50743, 1767, 60, -122.93862, 39.50697, + 1771, 70, -122.93828, 39.50648, 1765, 80, -122.93818, 39.50608, 1770, 90, + -122.93783, 39.5057, 1754, 100, -122.93777, 39.50513, 1732, 110, + -122.93793, 39.50458, 1727, 120, -122.93815, 39.50415, 1717, 130, + -122.9382, 39.50362, 1713, 140, -122.93818, 39.5031, 1703, 150, + -122.93812, 39.50258, 1706, 160, -122.93792, 39.5022, 1707, 170, + -122.93775, 39.50177, 1698, 180, -122.93745, 39.50125, 1693, 190, + -122.93723, 39.50073, 1694, 200, -122.9373, 39.50023, 1702, 210, + -122.93705, 39.49987, 1705, 220, -122.93642, 39.4996, 1699, 230, + -122.93593, 39.49927, 1693, 240, -122.936, 39.49895, 1691, 250, + -122.93645, 39.49907, 1689, 260, -122.93685, 39.49915, 1684, 270, + -122.93708, 39.4989, 1680, 280, -122.93687, 39.49843, 1684, 290, + -122.93685, 39.49808, 1691, 300, -122.93722, 39.49815, 1688, 310, + -122.93712, 39.49867, 1680, 320, -122.93633, 39.49893, 1681, 330, + -122.93615, 39.4989, 1682, 340, -122.936, 39.49885, 1682, 350, -122.93587, + 39.49877, 1682, 360, -122.93577, 39.49868, 1683, 370, -122.93568, 39.4986, + 1685, 380, -122.93563, 39.49853, 1685, 390, -122.9356, 39.49845, 1685, + 400, -122.9356, 39.4984, 1685, 410, -122.93562, 39.49835, 1685, 420, + -122.93565, 39.4983, 1686, 430, -122.93568, 39.49827, 1684, 440, + -122.93572, 39.49822, 1684, 450, -122.93575, 39.49817, 1682, 460, + -122.93577, 39.49812, 1682, 470, -122.93578, 39.49805, 1682, 480, + -122.93573, 39.498, 1682, 490, -122.93567, 39.49795, 1681, 500, + -122.93555, 39.4979, 1678, 510, -122.9354, 39.49788, 1676, 520, + -122.93522, 39.49792, 1674, 530, -122.93502, 39.49795, 1674, 540, + -122.93492, 39.4981, 1671, 550, -122.93483, 39.49827, 1669, 560, + -122.93485, 39.49842, 1668, 570, -122.93493, 39.49855, 1665, 580, + -122.93502, 39.49868, 1664, 590, -122.93517, 39.4987, 1665, 600, + -122.9353, 39.49873, 1665, 610, -122.93543, 39.49873, 1663, 620, + -122.93553, 39.49873, 1661, 630, -122.93567, 39.49872, 1660, 640, + -122.93577, 39.49868, 1663, 650, -122.93585, 39.49865, 1665, 660, + -122.93592, 39.49862, 1667, 670, -122.93597, 39.4986, 1665, 680, + -122.93607, 39.49857, 1664, 690, -122.93617, 39.49857, 1664, 700, + -122.93627, 39.49857, 1664, 710, -122.93638, 39.49857, 1664, 720, + -122.9365, 39.49857, 1666, 730, -122.9366, 39.49857, 1666, 740, + -122.93672, 39.49852, 1668, 750, -122.93678, 39.49847, 1672, 760, + -122.93685, 39.49842, 1673, 770, -122.93693, 39.4984, 1672, 780, -122.937, + 39.49837, 1670, 790, -122.93707, 39.49832, 1669, 800, -122.9371, 39.49827, + 1671, 810, -122.93713, 39.4982, 1671, 820, -122.93712, 39.49813, 1669, + 830, -122.93708, 39.49805, 1666, 840, -122.93702, 39.49797, 1663, 850, + -122.9369, 39.49787, 1663, 860, -122.93675, 39.4978, 1664, 870, + -122.93657, 39.49773, 1667, 880, -122.9364, 39.49772, 1670, 890, + -122.93623, 39.49773, 1671, 900, -122.93608, 39.49777, 1670, 910, + -122.93592, 39.49777, 1667, 920, -122.93573, 39.49773, 1667, 930, + -122.93557, 39.49767, 1667, 940, -122.93547, 39.49762, 1666, 950, + -122.93538, 39.49753, 1664, 960, -122.93537, 39.49747, 1661, 970, + -122.93542, 39.49738, 1657, 980, -122.9355, 39.49732, 1655, 990, + -122.9356, 39.49727, 1653, 1000, -122.93573, 39.49725, 1653, 1010, + -122.93588, 39.49725, 1652, 1020, -122.93602, 39.49728, 1652, 1030, + -122.93617, 39.49732, 1651, 1040, -122.93628, 39.49738, 1651, 1050, + -122.93638, 39.49745, 1650, 1060, -122.9365, 39.49752, 1649, 1070, + -122.93658, 39.4976, 1649, 1080, -122.93667, 39.49768, 1650, 1090, + -122.93675, 39.4978, 1651, 1100, -122.93683, 39.49788, 1654, 1110, + -122.93692, 39.49797, 1658, 1120, -122.93698, 39.49803, 1661, 1130, + -122.93707, 39.4981, 1661, 1140, -122.93717, 39.49817, 1661, 1150, + -122.93725, 39.49822, 1661, 1160, -122.93737, 39.49825, 1661, 1170, + -122.93745, 39.49823, 1662, 1180, -122.93748, 39.49817, 1661, 1190, + -122.93747, 39.49808, 1658, 1200, -122.93732, 39.49803, 1657, 1210, + -122.93715, 39.49807, 1660, 1220, -122.937, 39.49813, 1663, 1230, + -122.93692, 39.49823, 1666, 1240, -122.93688, 39.49833, 1666, 1250, + -122.93692, 39.49843, 1665, 1260, -122.93698, 39.49848, 1663, 1270, + -122.93708, 39.4985, 1661, 1280, -122.93717, 39.49848, 1660, 1290, + -122.93725, 39.49842, 1659, 1300, -122.93728, 39.49832, 1661, 1310, + -122.93725, 39.49823, 1663, 1320, -122.93717, 39.49817, 1663, 1330, + -122.93705, 39.49812, 1666, 1340, -122.93692, 39.49812, 1666, 1350, + -122.93675, 39.49815, 1667, 1360, -122.93663, 39.49825, 1668, 1370, + -122.93657, 39.49835, 1670, 1380, -122.93655, 39.49847, 1672, 1390, + -122.93657, 39.49855, 1673, 1400, -122.93663, 39.49863, 1673, 1410, + -122.93675, 39.49868, 1671, 1420, -122.93685, 39.49872, 1672, 1430, + -122.93695, 39.4987, 1674, 1440, -122.93702, 39.49865, 1677, 1450, + -122.93703, 39.49858, 1679, 1460, -122.93705, 39.4985, 1680, 1470, + -122.93702, 39.49843, 1681, 1480, -122.93693, 39.49837, 1682, 1490, + -122.93682, 39.49833, 1683, 1500, -122.9367, 39.49832, 1683, 1510, + -122.93653, 39.4983, 1684, 1520, -122.93638, 39.49833, 1686, 1530, + -122.93627, 39.49838, 1688, 1540, -122.93613, 39.49847, 1688, 1550, + -122.93605, 39.49857, 1688, 1560, -122.936, 39.49868, 1689, 1570, + -122.93603, 39.4988, 1691, 1580, -122.93612, 39.49888, 1693, 1590, + -122.9362, 39.49893, 1693, 1600, -122.9363, 39.49893, 1695, 1610, + -122.93638, 39.4989, 1695, 1620, -122.93645, 39.49883, 1696, 1630, + -122.93647, 39.49877, 1696, 1640, -122.93643, 39.49868, 1699, 1650, + -122.93637, 39.4986, 1701, 1660, -122.93625, 39.49857, 1702, 1670, + -122.9361, 39.49857, 1703, 1680, -122.93593, 39.49858, 1704, 1690, + -122.9358, 39.49862, 1704, 1700, -122.93568, 39.4987, 1703, 1710, + -122.93558, 39.4988, 1703, 1720, -122.93553, 39.49892, 1703, 1730, + -122.93553, 39.49905, 1702, 1740, -122.93558, 39.49917, 1705, 1750, + -122.93565, 39.49925, 1708, 1760, -122.93573, 39.49932, 1709, 1770, + -122.93582, 39.49933, 1711, 1780, -122.93592, 39.49932, 1713, 1790, + -122.93595, 39.49927, 1714, 1800, -122.93598, 39.4992, 1714, 1810, + -122.93597, 39.49912, 1715, 1820, -122.93588, 39.49903, 1716, 1830, + -122.93575, 39.49897, 1717, 1840, -122.9356, 39.49895, 1718, 1850, + -122.93545, 39.49895, 1717, 1860, -122.93527, 39.499, 1717, 1870, + -122.9351, 39.49908, 1719, 1880, -122.935, 39.49918, 1719, 1890, + -122.93492, 39.49932, 1719, 1900, -122.93492, 39.49945, 1720, 1910, + -122.93493, 39.49957, 1721, 1920, -122.935, 39.49965, 1722, 1930, + -122.9351, 39.49972, 1724, 1940, -122.9352, 39.49978, 1726, 1950, + -122.93528, 39.4998, 1727, 1960, -122.93535, 39.49982, 1728, 1970, + -122.93543, 39.49978, 1727, 1980, -122.93548, 39.49973, 1726, 1990, + -122.93552, 39.49965, 1728, 2000, -122.93548, 39.49957, 1729, 2010, + -122.93542, 39.49948, 1728, 2020, -122.93527, 39.49942, 1729, 2030, + -122.93512, 39.49942, 1731, 2040, -122.93495, 39.49942, 1732, 2050, + -122.9348, 39.49948, 1731, 2060, -122.9347, 39.49957, 1732, 2070, + -122.93463, 39.49968, 1733, 2080, -122.93462, 39.49978, 1733, 2090, + -122.93463, 39.4999, 1732, 2100, -122.9347, 39.49998, 1733, 2110, + -122.93478, 39.50003, 1736, 2120, -122.93487, 39.50007, 1739, 2130, + -122.93495, 39.50007, 1740, 2140, -122.93502, 39.50005, 1741, 2150, + -122.9351, 39.5, 1742, 2160, -122.93513, 39.49993, 1743, 2170, -122.93513, + 39.49985, 1744, 2180, -122.9351, 39.49977, 1747, 2190, -122.93502, + 39.4997, 1750, 2200, -122.9349, 39.49967, 1753, 2210, -122.93478, + 39.49965, 1753, 2220, -122.93467, 39.49965, 1750, 2230, -122.9345, + 39.49967, 1747, 2240, -122.93435, 39.49973, 1745, 2250, -122.9342, + 39.49983, 1747, 2260, -122.9341, 39.49995, 1749, 2270, -122.93407, + 39.50003, 1749, 2280, -122.93407, 39.50013, 1749, 2290, -122.9341, + 39.50025, 1748, 2300, -122.9342, 39.50032, 1750, 2310, -122.9343, + 39.50037, 1751, 2320, -122.9344, 39.5004, 1754, 2330, -122.9345, 39.50042, + 1755, 2340, -122.93462, 39.50042, 1756, 2350, -122.93468, 39.50038, 1757, + 2360, -122.93473, 39.50032, 1760, 2370, -122.93472, 39.50025, 1762, 2380, + -122.93463, 39.50017, 1762, 2390, -122.93447, 39.50012, 1764, 2400, + -122.93432, 39.50013, 1766, 2410, -122.93415, 39.50015, 1767, 2420, + -122.93402, 39.50023, 1766, 2430, -122.9339, 39.50032, 1765, 2440, + -122.9338, 39.50043, 1766, 2450, -122.93375, 39.50057, 1768, 2460, + -122.93375, 39.50068, 1769, 2470, -122.9338, 39.50077, 1772, 2480, + -122.93385, 39.50082, 1773, 2490, -122.93392, 39.50085, 1773, 2500, + -122.93402, 39.50088, 1772, 2510, -122.93412, 39.50087, 1773, 2520, + -122.93422, 39.50083, 1775, 2530, -122.9343, 39.50078, 1777, 2540, + -122.93437, 39.50073, 1779, 2550, -122.9344, 39.50067, 1782, 2560, + -122.93438, 39.5006, 1785, 2570, -122.93432, 39.50053, 1787, 2580, + -122.9342, 39.50048, 1787, 2590, -122.93408, 39.50048, 1786, 2600, + -122.93393, 39.50052, 1782, 2610, -122.93377, 39.50057, 1781, 2620, + -122.93367, 39.50065, 1781, 2630, -122.93362, 39.50083, 1780, 2640, + -122.93363, 39.50098, 1782, 2650, -122.93368, 39.50108, 1785, 2660, + -122.93378, 39.50118, 1787, 2670, -122.93388, 39.50125, 1789, 2680, + -122.93398, 39.50128, 1791, 2690, -122.93408, 39.50128, 1791, 2700, + -122.93415, 39.50125, 1790, 2710, -122.9342, 39.5012, 1789, 2720, + -122.93418, 39.50113, 1789, 2730, -122.93415, 39.50108, 1789, 2740, + -122.93407, 39.50102, 1787, 2750, -122.93397, 39.50098, 1786, 2760, + -122.93382, 39.50097, 1785, 2770, -122.93365, 39.50097, 1785, 2780, + -122.9335, 39.501, 1785, 2790, -122.93337, 39.50107, 1782, 2800, + -122.93323, 39.50118, 1782, 2810, -122.93317, 39.5013, 1783, 2820, + -122.93317, 39.50142, 1785, 2830, -122.9332, 39.50153, 1786, 2840, + -122.93327, 39.50162, 1786, 2850, -122.93337, 39.50168, 1785, 2860, + -122.9335, 39.50173, 1787, 2870, -122.93365, 39.50177, 1790, 2880, + -122.93377, 39.50178, 1791, 2890, -122.9339, 39.50178, 1791, 2900, + -122.93402, 39.50177, 1790, 2910, -122.93413, 39.50175, 1788, 2920, + -122.93425, 39.50173, 1786, 2930, -122.9344, 39.50172, 1785, 2940, + -122.93452, 39.5017, 1785, 2950, -122.93465, 39.50168, 1785, 2960, + -122.93477, 39.50167, 1784, 2970, -122.9349, 39.50163, 1781, 2980, + -122.93503, 39.5016, 1778, 2990, -122.9351, 39.50153, 1778, 3000, + -122.93512, 39.50145, 1781, 3010, -122.93507, 39.50138, 1781, 3020, + -122.93498, 39.50135, 1778, 3030, -122.93485, 39.50132, 1775, 3040, + -122.93468, 39.50135, 1773, 3050, -122.93453, 39.50143, 1772, 3060, + -122.93442, 39.50152, 1773, 3070, -122.93435, 39.50163, 1772, 3080, + -122.93435, 39.50173, 1770, 3090, -122.93442, 39.50183, 1767, 3100, + -122.93453, 39.50188, 1766, 3110, -122.93467, 39.50187, 1765, 3120, + -122.9348, 39.50185, 1764, 3130, -122.93488, 39.50182, 1763, 3140, + -122.93498, 39.50177, 1763, 3150, -122.93505, 39.50172, 1761, 3160, + -122.93512, 39.50165, 1759, 3170, -122.93522, 39.50158, 1757, 3180, + -122.9353, 39.50152, 1757, 3190, -122.93538, 39.50145, 1757, 3200, + -122.93545, 39.50142, 1755, 3210, -122.93553, 39.50137, 1751, 3220, + -122.93562, 39.5013, 1746, 3230, -122.9357, 39.50125, 1744, 3240, + -122.93578, 39.50117, 1741, 3250, -122.93583, 39.50107, 1741, 3260, + -122.93587, 39.50098, 1741, 3270, -122.9359, 39.5009, 1740, 3280, + -122.93592, 39.5008, 1739, 3290, -122.93592, 39.50072, 1739, 3300, + -122.93585, 39.50062, 1741, 3310, -122.93577, 39.50057, 1744, 3320, + -122.93565, 39.50053, 1743, 3330, -122.9355, 39.50055, 1741, 3340, + -122.93535, 39.50062, 1737, 3350, -122.93522, 39.50073, 1735, 3360, + -122.93517, 39.50087, 1735, 3370, -122.93518, 39.50102, 1735, 3380, + -122.93525, 39.50113, 1733, 3390, -122.93537, 39.5012, 1731, 3400, + -122.9355, 39.50122, 1728, 3410, -122.93565, 39.5012, 1726, 3420, + -122.9358, 39.50118, 1726, 3430, -122.93595, 39.50115, 1726, 3440, + -122.93607, 39.50112, 1728, 3450, -122.93617, 39.50108, 1731, 3460, + -122.93625, 39.50105, 1734, 3470, -122.93633, 39.50102, 1736, 3480, + -122.93638, 39.50097, 1737, 3490, -122.93642, 39.50088, 1738, 3500, + -122.93638, 39.5008, 1738, 3510, -122.9363, 39.50072, 1739, 3520, + -122.93617, 39.50067, 1740, 3530, -122.93602, 39.50065, 1742, 3540, + -122.93583, 39.50067, 1742, 3550, -122.9357, 39.50073, 1743, 3560, + -122.93558, 39.50083, 1744, 3570, -122.93552, 39.50097, 1745, 3580, + -122.93552, 39.50108, 1744, 3590, -122.93557, 39.5012, 1744, 3600, + -122.93567, 39.50127, 1743, 3610, -122.93577, 39.50133, 1743, 3620, + -122.9359, 39.50128, 1747, 3630, -122.93595, 39.50123, 1752, 3640, + -122.93598, 39.50118, 1755, 3650, -122.936, 39.50112, 1756, 3660, + -122.936, 39.50103, 1756, 3670, -122.93595, 39.50095, 1757, 3680, + -122.93585, 39.50087, 1761, 3690, -122.93572, 39.50083, 1764, 3700, + -122.93557, 39.50085, 1767, 3710, -122.93543, 39.5009, 1769, 3720, + -122.93532, 39.50098, 1768, 3730, -122.93522, 39.5011, 1767, 3740, + -122.93518, 39.50125, 1766, 3750, -122.9352, 39.5014, 1766, 3760, + -122.93527, 39.50152, 1768, 3770, -122.93537, 39.5016, 1770, 3780, + -122.93548, 39.50163, 1773, 3790, -122.93558, 39.50163, 1773, 3800, + -122.93567, 39.50163, 1774, 3810, -122.93577, 39.5016, 1776, 3820, + -122.9358, 39.50153, 1778, 3830, -122.93578, 39.50145, 1780, 3840, + -122.93573, 39.50138, 1781, 3850, -122.93563, 39.5013, 1781, 3860, + -122.93547, 39.50127, 1781, 3870, -122.93527, 39.50145, 1783, 3880, + -122.93515, 39.50157, 1786, 3890, -122.93513, 39.5017, 1790, 3900, + -122.93515, 39.50182, 1793, 3910, -122.93522, 39.50192, 1797, 3920, + -122.9353, 39.50198, 1797, 3930, -122.9354, 39.502, 1798, 3940, + -122.93552, 39.50197, 1799, 3950, -122.93557, 39.50192, 1803, 3960, + -122.93558, 39.50185, 1807, 3970, -122.93555, 39.50177, 1809, 3980, + -122.93548, 39.50168, 1813, 3990, -122.93535, 39.50163, 1816, 4000, + -122.93522, 39.50163, 1817, 4010, -122.93507, 39.50163, 1821, 4020, + -122.93492, 39.5017, 1824, 4030, -122.9348, 39.50177, 1826, 4040, + -122.9347, 39.50187, 1827, 4050, -122.93462, 39.50198, 1828, 4060, + -122.9346, 39.5021, 1831, 4070, -122.93462, 39.50223, 1832, 4080, + -122.93468, 39.50235, 1834, 4090, -122.93478, 39.50243, 1837, 4100, + -122.93488, 39.50247, 1840, 4110, -122.93498, 39.50248, 1842, 4120, + -122.93508, 39.50247, 1844, 4130, -122.93517, 39.50242, 1847, 4140, + -122.93522, 39.50238, 1849, 4150, -122.93523, 39.50232, 1852, 4160, + -122.9352, 39.50225, 1854, 4170, -122.93515, 39.50217, 1857, 4180, + -122.93505, 39.5021, 1859, 4190, -122.93493, 39.50207, 1862, 4200, + -122.9348, 39.50205, 1863, 4210, -122.93463, 39.50207, 1864, 4220, + -122.9345, 39.50213, 1867, 4230, -122.93437, 39.50223, 1869, 4240, + -122.9343, 39.50237, 1870, 4250, -122.93428, 39.50248, 1871, 4260, + -122.9343, 39.50262, 1872, 4270, -122.93438, 39.5027, 1874, 4280, + -122.93448, 39.50277, 1877, 4290, -122.9346, 39.50277, 1878, 4300, + -122.9347, 39.50273, 1878, 4310, -122.93478, 39.50265, 1880, 4320, + -122.9348, 39.50257, 1883, 4330, -122.9348, 39.50247, 1885, 4340, + -122.93473, 39.50238, 1888, 4350, -122.93465, 39.50232, 1889, 4360, + -122.93453, 39.50227, 1890, 4370, -122.93437, 39.50225, 1890, 4380, + -122.93418, 39.50227, 1889, 4390, -122.93398, 39.50233, 1888, 4400, + -122.93383, 39.50245, 1890, 4410, -122.93372, 39.50257, 1891, 4420, + -122.93363, 39.50272, 1891, 4430, -122.93362, 39.50285, 1894, 4440, + -122.93365, 39.50298, 1896, 4450, -122.93373, 39.50312, 1897, 4460, + -122.93373, 39.50312, 1897, 4470, -122.93385, 39.5032, 1898, 4480, + -122.93398, 39.50327, 1899, 4490, -122.9341, 39.50328, 1902, 4500, + -122.93422, 39.50328, 1906, 4510, -122.93432, 39.50325, 1906, 4520, + -122.93437, 39.50322, 1906, 4530, -122.9344, 39.50313, 1907, 4540, + -122.9344, 39.50307, 1909, 4550, -122.93433, 39.50302, 1912, 4560, + -122.93423, 39.50295, 1914, 4570, -122.93412, 39.50292, 1917, 4580, + -122.93397, 39.50292, 1919, 4590, -122.9338, 39.50295, 1920, 4600, + -122.93363, 39.50303, 1921, 4610, -122.93348, 39.50315, 1923, 4620, + -122.9334, 39.50328, 1924, 4630, -122.93337, 39.50342, 1924, 4640, + -122.9334, 39.50357, 1925, 4650, -122.93348, 39.50367, 1927, 4660, + -122.93358, 39.50372, 1929, 4670, -122.93368, 39.50373, 1932, 4680, + -122.93377, 39.50373, 1932, 4690, -122.93382, 39.50368, 1931, 4700, + -122.93383, 39.50362, 1933, 4710, -122.93382, 39.50355, 1937, 4720, + -122.93375, 39.5035, 1940, 4730, -122.93367, 39.50345, 1941, 4740, + -122.93355, 39.50342, 1944, 4750, -122.9334, 39.5034, 1946, 4760, + -122.93327, 39.50342, 1947, 4770, -122.93312, 39.50347, 1948, 4780, + -122.93295, 39.50353, 1949, 4790, -122.93283, 39.50363, 1949, 4800, + -122.93272, 39.50377, 1950, 4810, -122.93267, 39.50388, 1950, 4820, + -122.93267, 39.50402, 1952, 4830, -122.93272, 39.50415, 1954, 4840, + -122.93278, 39.50423, 1954, 4850, -122.93288, 39.5043, 1954, 4860, + -122.933, 39.50433, 1954, 4870, -122.9331, 39.50432, 1956, 4880, + -122.93322, 39.50428, 1958, 4890, -122.93327, 39.50423, 1960, 4900, + -122.93327, 39.50417, 1964, 4910, -122.93323, 39.50412, 1967, 4920, + -122.93317, 39.50407, 1968, 4930, -122.93308, 39.50403, 1966, 4940, + -122.93295, 39.504, 1964, 4950, -122.9328, 39.50397, 1964, 4960, + -122.93262, 39.50395, 1966, 4970, -122.93245, 39.50397, 1967, 4980, + -122.9323, 39.504, 1970, 4990, -122.93215, 39.50405, 1971, 5000, + -122.93203, 39.50413, 1970, 5010, -122.93192, 39.50423, 1967, 5020, + -122.93182, 39.5044, 1965, 5030, -122.9318, 39.50457, 1964, 5040, + -122.93185, 39.50473, 1966, 5050, -122.93193, 39.50485, 1968, 5060, + -122.93202, 39.50493, 1969, 5070, -122.93215, 39.50498, 1969, 5080, + -122.93227, 39.50498, 1969, 5090, -122.9324, 39.50497, 1969, 5100, + -122.93252, 39.50493, 1970, 5110, -122.9326, 39.5049, 1973, 5120, + -122.93267, 39.50487, 1977, 5130, -122.93273, 39.50482, 1980, 5140, + -122.93275, 39.50478, 1981, 5150, -122.93273, 39.50472, 1982, 5160, + -122.93267, 39.50467, 1982, 5170, -122.93253, 39.50463, 1981, 5180, + -122.93237, 39.50463, 1979, 5190, -122.93217, 39.50465, 1978, 5200, + -122.93197, 39.50473, 1977, 5210, -122.9318, 39.50483, 1976, 5220, + -122.9317, 39.50497, 1975, 5230, -122.93165, 39.50513, 1974, 5240, + -122.93168, 39.50527, 1972, 5250, -122.93177, 39.50538, 1970, 5260, + -122.9319, 39.50547, 1967, 5270, -122.93222, 39.50548, 1963, 5280, + -122.93232, 39.50542, 1969, 5290, -122.93242, 39.50537, 1973, 5300, + -122.9325, 39.50532, 1977, 5310, -122.93257, 39.50527, 1978, 5320, + -122.93263, 39.50522, 1978, 5330, -122.93273, 39.50515, 1979, 5340, + -122.93282, 39.50512, 1981, 5350, -122.93293, 39.5051, 1984, 5360, + -122.93307, 39.50512, 1989, 5370, -122.93317, 39.50517, 1993, 5380, + -122.93327, 39.50527, 1994, 5390, -122.9333, 39.50538, 1996, 5400, + -122.93328, 39.50553, 1996, 5410, -122.9332, 39.50568, 1995, 5420, + -122.93308, 39.50582, 1997, 5430, -122.9329, 39.50595, 1999, 5440, + -122.9327, 39.50598, 2002, 5450, -122.93255, 39.50598, 2009, 5460, + -122.93243, 39.50595, 2012, 5470, -122.93237, 39.5059, 2011, 5480, + -122.93232, 39.50583, 2010, 5490, -122.93235, 39.50573, 2011, 5500, + -122.93243, 39.50567, 2013, 5510, -122.93255, 39.50567, 2017, 5520, + -122.93268, 39.5057, 2018, 5530, -122.93278, 39.50578, 2019, 5540, + -122.93287, 39.50593, 2021, 5550, -122.93295, 39.50605, 2022, 5560, + -122.93305, 39.50613, 2023, 5570, -122.93315, 39.5062, 2023, 5580, + -122.93323, 39.50618, 2026, 5590, -122.93328, 39.50612, 2027, 5600, + -122.93323, 39.50607, 2028, 5610, -122.93313, 39.50602, 2029, 5620, + -122.93297, 39.506, 2031, 5630, -122.9328, 39.50605, 2034, 5640, + -122.93267, 39.50612, 2036, 5650, -122.93253, 39.50623, 2037, 5660, + -122.93247, 39.50633, 2036, 5670, -122.93242, 39.50648, 2032, 5680, + -122.93242, 39.50665, 2032, 5690, -122.93248, 39.50678, 2035, 5700, + -122.93258, 39.50688, 2038, 5710, -122.9327, 39.50693, 2038, 5720, + -122.93282, 39.50693, 2038, 5730, -122.9329, 39.50688, 2039, 5740, + -122.93293, 39.5068, 2042, 5750, -122.93293, 39.50673, 2045, 5760, + -122.93292, 39.50665, 2046, 5770, -122.93293, 39.50655, 2049, 5780, + -122.933, 39.5065, 2056, 5790, -122.93305, 39.50648, 2063, 5800, + -122.93312, 39.50652, 2064, 5810, -122.93322, 39.50655, 2062, 5820, + -122.93333, 39.50662, 2061, 5830, -122.93345, 39.50675, 2061, 5840, + -122.9335, 39.5069, 2061, 5850, -122.9335, 39.50705, 2062, 5860, + -122.93345, 39.50722, 2063, 5870, -122.93335, 39.50735, 2063, 5880, + -122.93322, 39.50745, 2062, 5890, -122.93303, 39.50753, 2064, 5900, + -122.93287, 39.50755, 2067, 5910, -122.93273, 39.50753, 2070, 5920, + -122.93262, 39.5075, 2072, 5930, -122.93253, 39.50745, 2075, 5940, + -122.93248, 39.5074, 2079, 5950, -122.93247, 39.50735, 2080, 5960, + -122.93245, 39.50727, 2082, 5970, -122.93248, 39.5072, 2084, 5980, + -122.93257, 39.50715, 2087, 5990, -122.93267, 39.50712, 2091, 6000, + -122.93277, 39.50713, 2094, 6010, -122.93288, 39.50717, 2095, 6020, + -122.933, 39.50723, 2096, 6030, -122.93308, 39.50733, 2096, 6040, + -122.93315, 39.50747, 2098, 6050, -122.9332, 39.5076, 2099, 6060, + -122.93323, 39.50773, 2098, 6070, -122.93322, 39.50788, 2095, 6080, + -122.9332, 39.50805, 2094, 6090, -122.93312, 39.5082, 2093, 6100, + -122.933, 39.50835, 2093, 6110, -122.93287, 39.50845, 2094, 6120, + -122.93272, 39.50855, 2095, 6130, -122.93255, 39.5086, 2095, 6140, + -122.93238, 39.50862, 2096, 6150, -122.93225, 39.50858, 2097, 6160, + -122.93215, 39.50853, 2098, 6170, -122.9321, 39.50847, 2100, 6180, + -122.93207, 39.50842, 2101, 6190, -122.93208, 39.50835, 2103, 6200, + -122.9321, 39.50828, 2106, 6210, -122.93213, 39.50823, 2109, 6220, + -122.93217, 39.50818, 2111, 6230, -122.93222, 39.50815, 2113, 6240, + -122.93228, 39.50813, 2113, 6250, -122.93235, 39.50812, 2112, 6260, + -122.93247, 39.5081, 2111, 6270, -122.93258, 39.5081, 2110, 6280, + -122.93273, 39.50812, 2110, 6290, -122.93288, 39.50812, 2111, 6300, + -122.933, 39.50812, 2113, 6310, -122.9331, 39.50808, 2115, 6320, + -122.93315, 39.50803, 2116, 6330, -122.93318, 39.50798, 2116, 6340, + -122.93315, 39.50793, 2116, 6350, -122.9331, 39.50788, 2115, 6360, + -122.93298, 39.50783, 2114, 6370, -122.93283, 39.50783, 2115, 6380, + -122.93268, 39.50787, 2116, 6390, -122.93253, 39.50792, 2118, 6400, + -122.9324, 39.508, 2120, 6410, -122.9323, 39.50812, 2122, 6420, + -122.93222, 39.50825, 2122, 6430, -122.93218, 39.50838, 2122, 6440, + -122.9322, 39.50852, 2123, 6450, -122.93228, 39.50865, 2122, 6460, + -122.9324, 39.50873, 2123, 6470, -122.93253, 39.5088, 2123, 6480, + -122.93263, 39.50882, 2124, 6490, -122.93272, 39.50882, 2121, 6500, + -122.93282, 39.50878, 2117, 6510, -122.9329, 39.50873, 2116, 6520, + -122.93298, 39.50868, 2117, 6530, -122.93307, 39.50863, 2119, 6540, + -122.93312, 39.5086, 2119, 6550, -122.93318, 39.50857, 2117, 6560, + -122.93327, 39.50853, 2116, 6570, -122.93335, 39.5085, 2115, 6580, + -122.93343, 39.50847, 2114, 6590, -122.93352, 39.50843, 2114, 6600, + -122.93362, 39.50842, 2114, 6610, -122.93372, 39.50842, 2114, 6620, + -122.93382, 39.50843, 2108, 6630, -122.93395, 39.5085, 2101, 6640, + -122.93408, 39.50862, 2096, 6650, -122.93422, 39.50872, 2094, 6660, + -122.93437, 39.50882, 2094, 6670, -122.93448, 39.5089, 2093, 6680, + -122.9346, 39.50898, 2090, 6690, -122.93472, 39.50907, 2085, 6700, + -122.93485, 39.50913, 2081, 6710, -122.935, 39.50922, 2075, 6720, + -122.93515, 39.50927, 2071, 6730, -122.9353, 39.50933, 2069, 6740, + -122.93543, 39.50938, 2068, 6750, -122.93552, 39.50943, 2065, 6760, + -122.93563, 39.50947, 2060, 6770, -122.93575, 39.5095, 2054, 6780, + -122.93588, 39.50952, 2050, 6790, -122.93602, 39.5095, 2048, 6800, + -122.93615, 39.5095, 2046, 6810, -122.93625, 39.50947, 2044, 6820, + -122.93635, 39.50943, 2041, 6830, -122.93645, 39.5094, 2037, 6840, + -122.93655, 39.50935, 2032, 6850, -122.93667, 39.5093, 2027, 6860, + -122.93673, 39.50923, 2024, 6870, -122.93682, 39.50915, 2022, 6880, + -122.93687, 39.50908, 2019, 6890, -122.93692, 39.509, 2015, 6900, + -122.93695, 39.5089, 2011, 6910, -122.93695, 39.5088, 2009, 6920, + -122.93697, 39.5087, 2007, 6930, -122.93698, 39.50858, 2005, 6940, + -122.93698, 39.5085, 2003, 6950, -122.93698, 39.50838, 1998, 6960, + -122.937, 39.50825, 1996, 6970, -122.937, 39.50812, 1997, 6980, -122.937, + 39.50798, 1998, 6990, -122.93698, 39.50787, 1998, 7000, -122.93697, + 39.50775, 1996, 7010, -122.93695, 39.50763, 1993, 7020, -122.93693, + 39.50753, 1990, 7030, -122.93693, 39.50742, 1987, 7040, -122.93695, + 39.50732, 1983, 7050, -122.93687, 39.50673, 1967, 7060, -122.93663, + 39.5061, 1948, 7070, -122.93645, 39.50553, 1942, 7080, -122.93627, + 39.50498, 1934, 7090, -122.93595, 39.50445, 1930, 7100, -122.93558, + 39.50385, 1917, 7110, -122.93542, 39.50317, 1907, 7120, -122.93533, + 39.50258, 1911, 7130, -122.93567, 39.50247, 1907, 7140, -122.93553, + 39.50302, 1897, 7150, -122.93473, 39.50302, 1897, 7160, -122.93457, + 39.50282, 1892, 7170, -122.93453, 39.5027, 1892, 7180, -122.93452, + 39.50262, 1893, 7190, -122.93448, 39.50253, 1892, 7200, -122.93445, + 39.50243, 1888, 7210, -122.93443, 39.50233, 1885, 7220, -122.93442, + 39.50222, 1883, 7230, -122.93442, 39.5021, 1883, 7240, -122.93442, 39.502, + 1883, 7250, -122.9344, 39.50188, 1882, 7260, -122.93438, 39.5018, 1881, + 7270, -122.93433, 39.50168, 1879, 7280, -122.93427, 39.50157, 1876, 7290, + -122.9342, 39.50147, 1875, 7300, -122.93413, 39.50135, 1874, 7310, + -122.9341, 39.50123, 1875, 7320, -122.9341, 39.50115, 1876, 7330, + -122.9341, 39.50105, 1876, 7340, -122.93415, 39.50097, 1873, 7350, + -122.9342, 39.5009, 1871, 7360, -122.93425, 39.50082, 1871, 7370, + -122.93432, 39.50077, 1872, 7380, -122.93437, 39.50072, 1871, 7390, + -122.93443, 39.50068, 1868, 7400, -122.93452, 39.50063, 1864, 7410, + -122.9346, 39.50058, 1863, 7420, -122.93468, 39.50053, 1862, 7430, + -122.93477, 39.50047, 1859, 7440, -122.93487, 39.50042, 1857, 7450, + -122.93495, 39.50037, 1856, 7460, -122.93505, 39.5003, 1856, 7470, + -122.9351, 39.50023, 1856, 7480, -122.93513, 39.50018, 1855, 7490, + -122.93517, 39.50012, 1854, 7500, -122.9352, 39.50005, 1853, 7510, + -122.93522, 39.49998, 1851, 7520, -122.93523, 39.4999, 1849, 7530, + -122.93523, 39.49983, 1848, 7540, -122.93522, 39.49975, 1847, 7550, + -122.93522, 39.49968, 1846, 7560, -122.93522, 39.4996, 1844, 7570, + -122.93522, 39.4995, 1843, 7580, -122.93522, 39.4994, 1845, 7590, + -122.93522, 39.4993, 1847, 7600, -122.93522, 39.4992, 1850, 7610, + -122.93518, 39.49912, 1852, 7620, -122.93512, 39.49903, 1856, 7630, + -122.93503, 39.499, 1860, 7640, -122.93493, 39.49898, 1861, 7650, + -122.93482, 39.499, 1861, 7660, -122.93468, 39.49905, 1859, 7670, + -122.93453, 39.49913, 1858, 7680, -122.93442, 39.4993, 1858, 7690, + -122.9344, 39.49945, 1861, 7700, -122.9344, 39.49958, 1866, 7710, + -122.93445, 39.4997, 1868, 7720, -122.93453, 39.49978, 1869, 7730, + -122.93465, 39.49987, 1869, 7740, -122.93477, 39.4999, 1869, 7750, + -122.93488, 39.4999, 1871, 7760, -122.93498, 39.49987, 1873, 7770, + -122.93503, 39.49982, 1876, 7780, -122.93503, 39.49975, 1878, 7790, + -122.93503, 39.49968, 1879, 7800, -122.93498, 39.49962, 1882, 7810, + -122.93488, 39.49958, 1884, 7820, -122.93475, 39.49955, 1884, 7830, + -122.9346, 39.49955, 1886, 7840, -122.93442, 39.4996, 1887, 7850, + -122.93427, 39.49968, 1890, 7860, -122.93415, 39.4998, 1894, 7870, + -122.93408, 39.4999, 1897, 7880, -122.93405, 39.50003, 1898, 7890, + -122.93405, 39.50017, 1898, 7900, -122.93412, 39.50028, 1898, 7910, + -122.93422, 39.5004, 1897, 7920, -122.93437, 39.50047, 1899, 7930, + -122.93447, 39.5005, 1901, 7940, -122.9346, 39.5005, 1903, 7950, + -122.93468, 39.50048, 1904, 7960, -122.93472, 39.50043, 1906, 7970, + -122.93473, 39.50037, 1909, 7980, -122.93473, 39.50032, 1911, 7990, + -122.93468, 39.50027, 1913, 8000, -122.93462, 39.5002, 1915, 8010, + -122.93452, 39.50017, 1918, 8020, -122.93437, 39.50015, 1921, 8030, + -122.93422, 39.50017, 1923, 8040, -122.93405, 39.50022, 1923, 8050, + -122.93385, 39.50033, 1923, 8060, -122.93375, 39.50045, 1924, 8070, + -122.9337, 39.50062, 1925, 8080, -122.93373, 39.50078, 1928, 8090, + -122.93382, 39.50088, 1930, 8100, -122.93395, 39.50095, 1931, 8110, + -122.93407, 39.50097, 1931, 8120, -122.93415, 39.50093, 1932, 8130, + -122.9342, 39.50088, 1933, 8140, -122.9342, 39.50082, 1935, 8150, + -122.93415, 39.50075, 1938, 8160, -122.93403, 39.50072, 1941, 8170, + -122.9339, 39.5007, 1943, 8180, -122.93373, 39.5007, 1945, 8190, + -122.93358, 39.50075, 1947, 8200, -122.9334, 39.50085, 1949, 8210, + -122.93327, 39.50097, 1952, 8220, -122.93318, 39.5011, 1954, 8230, + -122.93317, 39.50125, 1955, 8240, -122.93322, 39.50138, 1955, 8250, + -122.93332, 39.5015, 1954, 8260, -122.93345, 39.50157, 1954, 8270, + -122.93358, 39.50158, 1954, 8280, -122.93368, 39.50157, 1955, 8290, + -122.93378, 39.50152, 1956, 8300, -122.93382, 39.50145, 1956, 8310, + -122.93385, 39.50138, 1956, 8320, -122.93385, 39.50128, 1955, 8330, + -122.9338, 39.5012, 1958, 8340, -122.93373, 39.50113, 1962, 8350, + -122.93363, 39.5011, 1963, 8360, -122.9335, 39.50107, 1963, 8370, + -122.93333, 39.50107, 1964, 8380, -122.93315, 39.5011, 1963, 8390, + -122.93297, 39.50117, 1964, 8400, -122.9328, 39.5013, 1965, 8410, + -122.93272, 39.50145, 1969, 8420, -122.9327, 39.50162, 1973, 8430, + -122.93275, 39.50175, 1975, 8440, -122.93285, 39.50183, 1978, 8450, + -122.933, 39.50187, 1979, 8460, -122.9331, 39.50185, 1979, 8470, + -122.93318, 39.5018, 1981, 8480, -122.9332, 39.50172, 1983, 8490, + -122.93317, 39.50167, 1985, 8500, -122.93308, 39.5016, 1988, 8510, + -122.93297, 39.50158, 1989, 8520, -122.93282, 39.50158, 1990, 8530, + -122.93263, 39.50162, 1993, 8540, -122.9325, 39.50172, 1994, 8550, + -122.93237, 39.50183, 1995, 8560, -122.93232, 39.502, 1997, 8570, + -122.93233, 39.50213, 1999, 8580, -122.93242, 39.50227, 2003, 8590, + -122.93252, 39.50233, 2005, 8600, -122.93263, 39.50237, 2006, 8610, + -122.93275, 39.50235, 2006, 8620, -122.93283, 39.50232, 2005, 8630, + -122.93288, 39.50223, 2003, 8640, -122.93287, 39.50212, 2004, 8650, + -122.9328, 39.50205, 2004, 8660, -122.93265, 39.50198, 2004, 8670, + -122.9325, 39.50197, 2005, 8680, -122.93232, 39.50198, 2006, 8690, + -122.93212, 39.50203, 2008, 8700, -122.93197, 39.50213, 2012, 8710, + -122.93185, 39.50225, 2014, 8720, -122.93178, 39.5024, 2016, 8730, + -122.93178, 39.50255, 2018, 8740, -122.93185, 39.50268, 2021, 8750, + -122.93195, 39.50277, 2023, 8760, -122.93205, 39.50282, 2024, 8770, + -122.93217, 39.50285, 2025, 8780, -122.93227, 39.50282, 2025, 8790, + -122.93235, 39.50277, 2025, 8800, -122.93242, 39.50268, 2025, 8810, + -122.93242, 39.50258, 2024, 8820, -122.93237, 39.50248, 2024, 8830, + -122.93228, 39.5024, 2023, 8840, -122.93217, 39.50233, 2023, 8850, + -122.93202, 39.5023, 2023, 8860, -122.93185, 39.50227, 2023, 8870, + -122.93167, 39.50228, 2025, 8880, -122.93147, 39.50232, 2028, 8890, + -122.93133, 39.5024, 2030, 8900, -122.93118, 39.5025, 2031, 8910, + -122.93113, 39.50265, 2033, 8920, -122.93112, 39.50278, 2035, 8930, + -122.93118, 39.50292, 2038, 8940, -122.93128, 39.503, 2040, 8950, + -122.9314, 39.50302, 2041, 8960, -122.9315, 39.50298, 2040, 8970, + -122.93155, 39.5029, 2041, 8980, -122.93157, 39.50282, 2041, 8990, + -122.93147, 39.50273, 2043, 9000, -122.93137, 39.50268, 2044, 9010, + -122.93122, 39.50265, 2045, 9020, -122.93107, 39.50265, 2045, 9030, + -122.9309, 39.50268, 2045, 9040, -122.93073, 39.50277, 2046, 9050, + -122.9306, 39.50288, 2049, 9060, -122.93053, 39.50303, 2052, 9070, + -122.9305, 39.50315, 2055, 9080, -122.93052, 39.50327, 2057, 9090, + -122.93057, 39.50338, 2059, 9100, -122.93065, 39.50347, 2060, 9110, + -122.93077, 39.50352, 2062, 9120, -122.93088, 39.50352, 2062, 9130, + -122.93098, 39.5035, 2063, 9140, -122.93107, 39.50343, 2062, 9150, + -122.9311, 39.50335, 2063, 9160, -122.93112, 39.50325, 2063, 9170, + -122.93108, 39.50315, 2063, 9180, -122.93102, 39.50307, 2063, 9190, + -122.93093, 39.50298, 2064, 9200, -122.9308, 39.50292, 2064, 9210, + -122.93068, 39.50287, 2064, 9220, -122.93052, 39.50283, 2065, 9230, + -122.93035, 39.50285, 2065, 9240, -122.93022, 39.50292, 2065, 9250, + -122.93007, 39.50302, 2066, 9260, -122.93, 39.50313, 2066, 9270, -122.93, + 39.50327, 2066, 9280, -122.93005, 39.5034, 2067, 9290, -122.93015, + 39.5035, 2068, 9300, -122.93027, 39.50355, 2069, 9310, -122.93038, + 39.50355, 2070, 9320, -122.9305, 39.50353, 2070, 9330, -122.9306, + 39.50348, 2069, 9340, -122.93065, 39.5034, 2065, 9350, -122.93068, + 39.5033, 2063, 9360, -122.93065, 39.50318, 2064, 9370, -122.9306, + 39.50308, 2062, 9380, -122.93048, 39.50298, 2059, 9390, -122.93033, + 39.50292, 2057, 9400, -122.93017, 39.50288, 2055, 9410, -122.92998, + 39.50288, 2054, 9420, -122.9298, 39.50293, 2052, 9430, -122.92963, 39.503, + 2050, 9440, -122.9295, 39.50313, 2048, 9450, -122.92943, 39.50327, 2047, + 9460, -122.92945, 39.5034, 2048, 9470, -122.92945, 39.5034, 2048, 9480, + -122.9295, 39.5035, 2049, 9490, -122.92958, 39.5036, 2050, 9500, + -122.9297, 39.50367, 2051, 9510, -122.9298, 39.5037, 2052, 9520, + -122.92992, 39.50368, 2053, 9530, -122.93002, 39.50363, 2052, 9540, + -122.93007, 39.50355, 2051, 9550, -122.93008, 39.50343, 2048, 9560, + -122.93002, 39.50332, 2046, 9570, -122.92992, 39.50322, 2044, 9580, + -122.92975, 39.50315, 2041, 9590, -122.92957, 39.50312, 2039, 9600, + -122.92935, 39.50315, 2037, 9610, -122.92917, 39.50323, 2033, 9620, + -122.92903, 39.50337, 2031, 9630, -122.92895, 39.50353, 2029, 9640, + -122.92897, 39.50367, 2029, 9650, -122.92903, 39.5038, 2030, 9660, + -122.92913, 39.5039, 2033, 9670, -122.92923, 39.50397, 2036, 9680, + -122.92935, 39.50402, 2037, 9690, -122.92947, 39.50405, 2036, 9700, + -122.92958, 39.50403, 2035, 9710, -122.9297, 39.50398, 2031, 9720, + -122.92982, 39.50392, 2028, 9730, -122.92992, 39.50388, 2028, 9740, + -122.93002, 39.50388, 2028, 9750, -122.93008, 39.5039, 2028, 9760, + -122.93015, 39.50395, 2023, 9770, -122.93022, 39.50403, 2015, 9780, + -122.93032, 39.50412, 2013, 9790, -122.93043, 39.5042, 2013, 9800, + -122.93053, 39.50423, 2014, 9810, -122.93063, 39.50423, 2013, 9820, + -122.93073, 39.50422, 2011, 9830, -122.9308, 39.50415, 2007, 9840, + -122.93085, 39.50407, 2004, 9850, -122.9309, 39.50395, 2002, 9860, + -122.93095, 39.50387, 2001, 9870, -122.93098, 39.50377, 2001, 9880, + -122.93102, 39.50368, 2000, 9890, -122.93105, 39.50358, 1999, 9900, + -122.93107, 39.50348, 1998, 9910, -122.93108, 39.5034, 1997, 9920, + -122.93108, 39.5033, 1993, 9930, -122.9311, 39.50317, 1989, 9940, + -122.93113, 39.50307, 1987, 9950, -122.93117, 39.50295, 1989, 9960, + -122.93118, 39.50288, 1990, 9970, -122.93123, 39.5028, 1988, 9980, + -122.93127, 39.50272, 1983, 9990, -122.93133, 39.50262, 1980, 10000, + -122.93142, 39.50252, 1979, 10010, -122.93148, 39.50242, 1980, 10020, + -122.93153, 39.50235, 1980, 10030, -122.93155, 39.50225, 1979, 10040, + -122.93153, 39.50217, 1978, 10050, -122.93147, 39.50208, 1976, 10060, + -122.93133, 39.50202, 1971, 10070, -122.93117, 39.50198, 1967, 10080, + -122.93098, 39.50202, 1963, 10090, -122.93078, 39.5021, 1960, 10100, + -122.93067, 39.5022, 1961, 10110, -122.93057, 39.50232, 1961, 10120, + -122.93052, 39.50242, 1961, 10130, -122.93048, 39.50253, 1960, 10140, + -122.93047, 39.50263, 1958, 10150, -122.9305, 39.50272, 1956, 10160, + -122.93057, 39.50278, 1954, 10170, -122.93065, 39.50285, 1951, 10180, + -122.93075, 39.50287, 1949, 10190, -122.93087, 39.50285, 1945, 10200, + -122.93097, 39.50282, 1941, 10210, -122.93107, 39.50275, 1939, 10220, + -122.93115, 39.50267, 1936, 10230, -122.93122, 39.5026, 1934, 10240, + -122.93128, 39.50252, 1932, 10250, -122.93135, 39.50242, 1929, 10260, + -122.93142, 39.50233, 1926, 10270, -122.93148, 39.50225, 1924, 10280, + -122.93152, 39.50218, 1924, 10290, -122.93157, 39.50212, 1923, 10300, + -122.93163, 39.50205, 1920, 10310, -122.93172, 39.50202, 1915, 10320, + -122.9318, 39.50195, 1909, 10330, -122.93188, 39.50187, 1904, 10340, + -122.93197, 39.50178, 1900, 10350, -122.93208, 39.5017, 1896, 10360, + -122.93218, 39.50162, 1896, 10370, -122.93228, 39.50157, 1897, 10380, + -122.93237, 39.50152, 1897, 10390, -122.93243, 39.50147, 1894, 10400, + -122.93252, 39.50142, 1891, 10410, -122.93262, 39.50137, 1890, 10420, + -122.93268, 39.50132, 1888, 10430, -122.93302, 39.50147, 1877, 10440, + -122.9323, 39.5019, 1865, 10450, -122.93167, 39.50163, 1851, 10460, + -122.93178, 39.50137, 1842, 10470, -122.93185, 39.5013, 1840, 10480, + -122.93193, 39.50122, 1838, 10490, -122.93202, 39.50115, 1835, 10500, + -122.9321, 39.50108, 1833, 10510, -122.93218, 39.50102, 1831, 10520, + -122.93227, 39.50095, 1829, 10530, -122.93235, 39.50088, 1827, 10540, + -122.93243, 39.50082, 1824, 10550, -122.9325, 39.50075, 1822, 10560, + -122.93258, 39.50067, 1819, 10570, -122.93263, 39.5006, 1818, 10580, + -122.93268, 39.50053, 1816, 10590, -122.93275, 39.50045, 1813, 10600, + -122.93282, 39.50038, 1811, 10610, -122.93288, 39.5003, 1807, 10620, + -122.93295, 39.50023, 1804, 10630, -122.93307, 39.50017, 1800, 10640, + -122.93318, 39.5001, 1795, 10650, -122.9333, 39.50005, 1793, 10660, + -122.93342, 39.5, 1791, 10670, -122.93353, 39.49995, 1789, 10680, + -122.93363, 39.49992, 1787, 10690, -122.93373, 39.49987, 1784, 10700, + -122.93385, 39.49982, 1782, 10710, -122.93393, 39.49978, 1779, 10720, + -122.93403, 39.49973, 1777, 10730, -122.93412, 39.4997, 1775, 10740, + -122.9342, 39.49965, 1773, 10750, -122.9343, 39.4996, 1769, 10760, + -122.93438, 39.49955, 1764, 10770, -122.93478, 39.49922, 1749, 10780, + -122.93517, 39.49888, 1733, 10790, -122.93577, 39.49863, 1739, 10800, + -122.93617, 39.49847, 1742, 10810, -122.93622, 39.49908, 1743, 10820, + -122.93563, 39.4991, 1748, 10830, -122.936, 39.49908, 1753, 10840, + -122.93605, 39.49922, 1753, 10850, -122.93602, 39.49937, 1753, 10860, + -122.93592, 39.49948, 1754, 10870, -122.93577, 39.49958, 1756, 10880, + -122.9356, 39.4996, 1756, 10890, -122.93545, 39.49957, 1755, 10900, + -122.93535, 39.49948, 1755, 10910, -122.93535, 39.4994, 1756, 10920, + -122.9354, 39.49933, 1756, 10930, -122.93548, 39.49932, 1757, 10940, + -122.93558, 39.49932, 1758, 10950, -122.9357, 39.49937, 1758, 10960, + -122.93577, 39.49947, 1759, 10970, -122.93582, 39.4996, 1759, 10980, + -122.9358, 39.49973, 1759, 10990, -122.93568, 39.49987, 1759, 11000, + -122.9355, 39.49995, 1761, 11010, -122.93533, 39.49997, 1763, 11020, + -122.9352, 39.49995, 1763, 11030, -122.93508, 39.49992, 1763, 11040, + -122.93505, 39.49985, 1761, 11050, -122.9351, 39.49978, 1759, 11060, + -122.93522, 39.49975, 1759, 11070, -122.93533, 39.49977, 1759, 11080, + -122.93545, 39.49982, 1760, 11090, -122.93557, 39.49988, 1763, 11100, + -122.93565, 39.49997, 1765, 11110, -122.9357, 39.50007, 1767, 11120, + -122.93573, 39.50018, 1767, 11130, -122.93573, 39.50033, 1765, 11140, + -122.9357, 39.50047, 1762, 11150, -122.9356, 39.50062, 1761, 11160, + -122.93547, 39.50077, 1761, 11170, -122.93533, 39.50087, 1762, 11180, + -122.93518, 39.50093, 1763, 11190, -122.93503, 39.501, 1763, 11200, + -122.93488, 39.50103, 1760, 11210, -122.93472, 39.50103, 1757, 11220, + -122.93457, 39.501, 1757, 11230, -122.93445, 39.50095, 1757, 11240, + -122.93438, 39.50088, 1758, 11250, -122.93437, 39.50083, 1758, 11260, + -122.9344, 39.50077, 1756, 11270, -122.93448, 39.50073, 1755, 11280, + -122.93458, 39.50073, 1756, 11290, -122.9347, 39.50075, 1758, 11300, + -122.9348, 39.50078, 1760, 11310, -122.9349, 39.5008, 1761, 11320, + -122.93502, 39.50083, 1762, 11330, -122.9351, 39.50083, 1762, 11340, + -122.93517, 39.50083, 1762, 11350, -122.93522, 39.5008, 1763, 11360, + -122.93523, 39.50077, 1763, 11370, -122.93525, 39.50072, 1763, 11380, + -122.93525, 39.50067, 1763, 11390, -122.93525, 39.50062, 1764, 11400, + -122.93527, 39.50055, 1766, 11410, -122.93527, 39.5005, 1766, 11420, + -122.93528, 39.50045, 1766, 11430, -122.93533, 39.50042, 1765, 11440, + -122.93538, 39.5004, 1764, 11450, -122.93545, 39.50042, 1763, 11460, + -122.9355, 39.50047, 1760, 11470, -122.93558, 39.50053, 1756, 11480, + -122.93568, 39.50065, 1750, 11490, -122.9358, 39.50077, 1747, 11500, + -122.93592, 39.50087, 1744, 11510, -122.93605, 39.50087, 1750, 11520, + -122.93615, 39.50088, 1751, 11530, -122.93623, 39.50088, 1751, 11540, + -122.9363, 39.50087, 1751, 11550, -122.93637, 39.50085, 1751, 11560, + -122.93643, 39.50083, 1750, 11570, -122.93648, 39.5008, 1749, 11580, + -122.93653, 39.50075, 1748, 11590, -122.93655, 39.50068, 1747, 11600, + -122.93655, 39.50062, 1747, 11610, -122.93653, 39.50053, 1749, 11620, + -122.93652, 39.50047, 1749, 11630, -122.93648, 39.5004, 1749, 11640, + -122.93643, 39.50032, 1751, 11650, -122.93638, 39.50023, 1753, 11660, + -122.93633, 39.50018, 1754, 11670, -122.93628, 39.50012, 1753, 11680, + -122.93622, 39.50005, 1753, 11690, -122.93617, 39.49997, 1753, 11700, + -122.9361, 39.49988, 1751, 11710, -122.93605, 39.49982, 1751, 11720, + -122.93605, 39.49973, 1751, 11730, -122.93607, 39.49968, 1752, 11740, + -122.93612, 39.49967, 1753, 11750, -122.93618, 39.49967, 1753, 11760, + -122.93627, 39.49972, 1753, 11770, -122.93635, 39.49985, 1752, 11780, + -122.93638, 39.49998, 1753, 11790, -122.93635, 39.50015, 1757, 11800, + -122.93627, 39.50028, 1762, 11810, -122.93615, 39.5004, 1763, 11820, + -122.93598, 39.50048, 1762, 11830, -122.9358, 39.50057, 1761, 11840, + -122.93563, 39.5006, 1760, 11850, -122.93543, 39.50045, 1761, 11860, + -122.93533, 39.50037, 1760, 11870, -122.93528, 39.50028, 1759, 11880, + -122.93528, 39.5002, 1758, 11890, -122.9353, 39.50013, 1757, 11900, + -122.93533, 39.50008, 1758, 11910, -122.9354, 39.50005, 1759, 11920, + -122.93545, 39.50003, 1760, 11930, -122.93552, 39.50003, 1759, 11940, + -122.93558, 39.50005, 1759, 11950, -122.93565, 39.50008, 1760, 11960, + -122.93573, 39.50013, 1761, 11970, -122.93582, 39.50017, 1760, 11980, + -122.9359, 39.5002, 1757, 11990, -122.936, 39.50025, 1753, 12000, + -122.93612, 39.50027, 1750, 12010, -122.93625, 39.50028, 1747, 12020, + -122.93635, 39.50025, 1745, 12030, -122.93643, 39.50022, 1743, 12040, + -122.9365, 39.50018, 1741, 12050, -122.93653, 39.50013, 1739, 12060, + -122.93657, 39.50008, 1736, 12070, -122.93658, 39.50002, 1731, 12080, + -122.93658, 39.49993, 1729, 12090, -122.93655, 39.49983, 1728, 12100, + -122.9365, 39.49978, 1728, 12110, -122.93643, 39.49972, 1726, 12120, + -122.93635, 39.49965, 1724, 12130, -122.93627, 39.4996, 1722, 12140, + -122.9362, 39.49953, 1721, 12150, -122.93612, 39.49945, 1719, 12160, + -122.93605, 39.49938, 1718, 12170, -122.93595, 39.4993, 1717, 12180, + -122.93588, 39.49923, 1717, 12190, -122.9358, 39.49918, 1716, 12200, + -122.9357, 39.4991, 1715, 12210, -122.93562, 39.49903, 1715, 12220, + -122.93552, 39.49898, 1717, 12230, -122.93542, 39.49892, 1716, 12240, + -122.93533, 39.49887, 1715, 12250, -122.93525, 39.49878, 1713, 12260, + -122.93525, 39.49858, 1697, 12270, -122.93578, 39.49835, 1686, 12280, + -122.93625, 39.49823, 1697, 12290, -122.93633, 39.49793, 1706, 12300, + -122.93563, 39.49818, 1708, 12310, -122.936, 39.49847, 1719, 12320, + -122.93622, 39.49838, 1724, 12330, -122.93628, 39.49833, 1727, 12340, + -122.9363, 39.49825, 1731, 12350, -122.93628, 39.49818, 1733, 12360, + -122.9362, 39.49812, 1735, 12370, -122.93607, 39.49808, 1735, 12380, + -122.93593, 39.49808, 1735, 12390, -122.93573, 39.49815, 1736, 12400, + -122.93558, 39.49827, 1735, 12410, -122.9355, 39.49842, 1740, 12420, + -122.93548, 39.49857, 1746, 12430, -122.9355, 39.49867, 1749, 12440, + -122.93557, 39.49875, 1749, 12450, -122.9357, 39.49882, 1750, 12460, + -122.93582, 39.49882, 1751, 12470, -122.9359, 39.49878, 1750, 12480, + -122.93593, 39.49872, 1748, 12490, -122.93588, 39.49863, 1750, 12500, + -122.93577, 39.49857, 1752, 12510, -122.93562, 39.49853, 1756, 12520, + -122.93543, 39.49853, 1760, 12530, -122.93523, 39.49857, 1763, 12540, + -122.93507, 39.49863, 1764, 12550, -122.9349, 39.49873, 1765, 12560, + -122.93477, 39.49887, 1769, 12570, -122.93468, 39.49902, 1772, 12580, + -122.93467, 39.4992, 1775, 12590, -122.93467, 39.49932, 1776, 12600, + -122.93473, 39.49943, 1776, 12610, -122.93483, 39.49953, 1774, 12620, + -122.93493, 39.49957, 1771, 12630, -122.93507, 39.49957, 1767, 12640, + -122.93518, 39.49952, 1769, 12650, -122.93527, 39.49947, 1771, 12660, + -122.93532, 39.4994, 1771, 12670, -122.93532, 39.49933, 1770, 12680, + -122.93525, 39.49923, 1768, 12690, -122.93517, 39.4991, 1767, 12700, + -122.93517, 39.49898, 1767, 12710, -122.93523, 39.49888, 1769, 12720, + -122.9353, 39.4988, 1772, 12730, -122.93535, 39.49875, 1776, 12740, + -122.93543, 39.4987, 1778, 12750, -122.93552, 39.49867, 1779, 12760, + -122.93563, 39.49863, 1780, 12770, -122.93577, 39.4986, 1784, 12780, + -122.9359, 39.49858, 1787, 12790, -122.93603, 39.49858, 1787, 12800, + -122.93615, 39.49857, 1787, 12810, -122.93625, 39.49853, 1788, 12820, + -122.93633, 39.49847, 1789, 12830, -122.93633, 39.49842, 1789, 12840, + -122.93628, 39.49833, 1792, 12850, -122.9362, 39.49828, 1794, 12860, + -122.93608, 39.49823, 1795, 12870, -122.93592, 39.49822, 1797, 12880, + -122.93573, 39.49825, 1798, 12890, -122.93558, 39.49833, 1799, 12900, + -122.93545, 39.49847, 1800, 12910, -122.93542, 39.4986, 1802, 12920, + -122.93545, 39.49872, 1806, 12930, -122.93553, 39.4988, 1809, 12940, + -122.93563, 39.49883, 1810, 12950, -122.93575, 39.49883, 1812, 12960, + -122.9358, 39.49878, 1815, 12970, -122.9358, 39.49873, 1817, 12980, + -122.93575, 39.49867, 1819, 12990, -122.93567, 39.49862, 1819, 13000, + -122.93553, 39.49857, 1820, 13010, -122.93535, 39.49853, 1823, 13020, + -122.93518, 39.49855, 1823, 13030, -122.935, 39.49862, 1824, 13040, + -122.93485, 39.49872, 1827, 13050, -122.93477, 39.49882, 1831, 13060, + -122.93472, 39.49892, 1833, 13070, -122.93472, 39.49903, 1833, 13080, + -122.93478, 39.49913, 1833, 13090, -122.93492, 39.49923, 1832, 13100, + -122.93505, 39.49928, 1832, 13110, -122.93517, 39.49925, 1839, 13120, + -122.93525, 39.49923, 1841, 13130, -122.9353, 39.49918, 1841, 13140, + -122.9353, 39.4991, 1841, 13150, -122.93525, 39.499, 1840, 13160, + -122.93517, 39.49887, 1842, 13170, -122.93513, 39.49875, 1846, 13180, + -122.93515, 39.49865, 1851, 13190, -122.93522, 39.49857, 1854, 13200, + -122.93532, 39.49853, 1855, 13210, -122.93543, 39.49857, 1856, 13220, + -122.93555, 39.49863, 1860, 13230, -122.93562, 39.49875, 1861, 13240, + -122.93563, 39.49888, 1861, 13250, -122.93558, 39.49905, 1862, 13260, + -122.93548, 39.49917, 1863, 13270, -122.93532, 39.49927, 1865, 13280, + -122.93515, 39.49932, 1867, 13290, -122.935, 39.49933, 1868, 13300, + -122.93485, 39.4993, 1869, 13310, -122.93475, 39.49923, 1870, 13320, + -122.9347, 39.49915, 1872, 13330, -122.9347, 39.49907, 1875, 13340, + -122.93475, 39.49902, 1877, 13350, -122.93482, 39.499, 1878, 13360, + -122.93493, 39.499, 1878, 13370, -122.93505, 39.49905, 1878, 13380, + -122.93515, 39.49917, 1881, 13390, -122.9352, 39.49928, 1883, 13400, + -122.93518, 39.49943, 1885, 13410, -122.93512, 39.49957, 1884, 13420, + -122.935, 39.49968, 1883, 13430, -122.93482, 39.4998, 1883, 13440, + -122.93465, 39.49987, 1883, 13450, -122.93447, 39.49985, 1884, 13460, + -122.9343, 39.49983, 1885, 13470, -122.93418, 39.49977, 1885, 13480, + -122.9341, 39.4997, 1885, 13490, -122.93405, 39.4996, 1888, 13500, + -122.93407, 39.49953, 1892, 13510, -122.93413, 39.49948, 1895, 13520, + -122.93422, 39.49948, 1899, 13530, -122.93432, 39.49952, 1901, 13540, + -122.9344, 39.4996, 1902, 13550, -122.93447, 39.49972, 1902, 13560, + -122.93448, 39.49985, 1904, 13570, -122.93445, 39.5, 1905, 13580, + -122.93435, 39.50013, 1905, 13590, -122.9342, 39.50027, 1905, 13600, + -122.93403, 39.50033, 1905, 13610, -122.93383, 39.50037, 1904, 13620, + -122.93365, 39.50037, 1906, 13630, -122.93352, 39.50032, 1905, 13640, + -122.93342, 39.50025, 1904, 13650, -122.9334, 39.50015, 1903, 13660, + -122.93347, 39.5001, 1905, 13670, -122.93358, 39.50007, 1908, 13680, + -122.9337, 39.50008, 1911, 13690, -122.93378, 39.50015, 1913, 13700, + -122.93385, 39.50025, 1915, 13710, -122.93388, 39.50037, 1916, 13720, + -122.93387, 39.5005, 1914, 13730, -122.9338, 39.50067, 1913, 13740, + -122.93367, 39.5008, 1913, 13750, -122.93348, 39.50092, 1915, 13760, + -122.93332, 39.50097, 1916, 13770, -122.93315, 39.50098, 1916, 13780, + -122.933, 39.50097, 1915, 13790, -122.93288, 39.50092, 1912, 13800, + -122.93278, 39.50085, 1910, 13810, -122.93275, 39.50073, 1910, 13820, + -122.9328, 39.50067, 1911, 13830, -122.93288, 39.50062, 1911, 13840, + -122.93298, 39.50058, 1909, 13850, -122.93313, 39.50058, 1908, 13860, + -122.93328, 39.5006, 1913, 13870, -122.9334, 39.50062, 1916, 13880, + -122.93352, 39.5006, 1918, 13890, -122.93358, 39.50058, 1920, 13900, + -122.93363, 39.50055, 1921, 13910, -122.93365, 39.50048, 1921, 13920, + -122.93363, 39.50042, 1921, 13930, -122.93357, 39.50033, 1921, 13940, + -122.93347, 39.50027, 1924, 13950, -122.93335, 39.50022, 1927, 13960, + -122.93322, 39.50017, 1928, 13970, -122.93307, 39.50008, 1929, 13980, + -122.93297, 39.50002, 1929, 13990, -122.93298, 39.49992, 1934, 14000, + -122.93305, 39.49985, 1937, 14010, -122.93313, 39.49982, 1938, 14020, + -122.93323, 39.49982, 1938, 14030, -122.93335, 39.49985, 1936, 14040, + -122.93345, 39.49993, 1937, 14050, -122.93353, 39.50005, 1938, 14060, + -122.93357, 39.50015, 1939, 14070, -122.9336, 39.50027, 1939, 14080, + -122.93358, 39.5004, 1938, 14090, -122.93355, 39.50053, 1937, 14100, + -122.93348, 39.50067, 1937, 14110, -122.93338, 39.50078, 1937, 14120, + -122.93327, 39.50088, 1939, 14130, -122.93312, 39.50098, 1941, 14140, + -122.933, 39.50105, 1941, 14150, -122.93283, 39.50113, 1940, 14160, + -122.93265, 39.50118, 1941, 14170, -122.9325, 39.50122, 1941, 14180, + -122.9323, 39.50123, 1940, 14190, -122.9321, 39.50122, 1940, 14200, + -122.93195, 39.50118, 1943, 14210, -122.93185, 39.5011, 1945, 14220, + -122.93183, 39.50103, 1945, 14230, -122.93185, 39.50095, 1946, 14240, + -122.93193, 39.5009, 1949, 14250, -122.93203, 39.50088, 1951, 14260, + -122.93217, 39.50092, 1952, 14270, -122.93223, 39.50102, 1954, 14280, + -122.93227, 39.50113, 1956, 14290, -122.93223, 39.50128, 1957, 14300, + -122.93213, 39.50142, 1958, 14310, -122.93197, 39.50153, 1960, 14320, + -122.93178, 39.50158, 1961, 14330, -122.93162, 39.5016, 1962, 14340, + -122.93143, 39.50158, 1964, 14350, -122.9313, 39.50155, 1964, 14360, + -122.93117, 39.50148, 1964, 14370, -122.93107, 39.5014, 1965, 14380, + -122.93105, 39.5013, 1967, 14390, -122.9311, 39.50122, 1969, 14400, + -122.93118, 39.50118, 1970, 14410, -122.9313, 39.50118, 1973, 14420, + -122.93138, 39.50125, 1975, 14430, -122.93145, 39.50137, 1976, 14440, + -122.93145, 39.5015, 1977, 14450, -122.9314, 39.50165, 1979, 14460, + -122.9313, 39.50177, 1981, 14470, -122.93113, 39.50188, 1981, 14480, + -122.93113, 39.50188, 1981, 14490, -122.93093, 39.50193, 1983, 14500, + -122.93078, 39.50193, 1984, 14510, -122.93062, 39.5019, 1987, 14520, + -122.9305, 39.50183, 1988, 14530, -122.93043, 39.50177, 1989, 14540, + -122.93042, 39.50168, 1989, 14550, -122.93047, 39.5016, 1989, 14560, + -122.93057, 39.50157, 1991, 14570, -122.93067, 39.50158, 1993, 14580, + -122.93077, 39.50163, 1994, 14590, -122.93085, 39.50173, 1995, 14600, + -122.93088, 39.50185, 1995, 14610, -122.93087, 39.502, 1997, 14620, + -122.9308, 39.50213, 2000, 14630, -122.93067, 39.50225, 2001, 14640, + -122.93048, 39.50232, 2001, 14650, -122.9303, 39.50232, 2002, 14660, + -122.93015, 39.50228, 2002, 14670, -122.9301, 39.50217, 2002, 14680, + -122.93012, 39.50207, 2001, 14690, -122.93018, 39.50203, 2004, 14700, + -122.93028, 39.50202, 2006, 14710, -122.93037, 39.50205, 2006, 14720, + -122.93045, 39.50215, 2005, 14730, -122.9305, 39.50227, 2005, 14740, + -122.93052, 39.5024, 2006, 14750, -122.93053, 39.50255, 2006, 14760, + -122.93057, 39.50267, 2007, 14770, -122.93065, 39.50277, 2007, 14780, + -122.93075, 39.50282, 2010, 14790, -122.93082, 39.50283, 2009, 14800, + -122.93088, 39.5028, 2008, 14810, -122.93095, 39.50275, 2005, 14820, + -122.93097, 39.50265, 2003, 14830, -122.93092, 39.50253, 2002, 14840, + -122.93083, 39.50245, 2001, 14850, -122.93072, 39.50235, 2001, 14860, + -122.93057, 39.5023, 2002, 14870, -122.93042, 39.50228, 2003, 14880, + -122.93022, 39.5023, 2003, 14890, -122.93007, 39.50237, 2004, 14900, + -122.92992, 39.50245, 2003, 14910, -122.9298, 39.50258, 2003, 14920, + -122.92973, 39.5027, 2003, 14930, -122.92972, 39.50283, 2004, 14940, + -122.92975, 39.50293, 2005, 14950, -122.92978, 39.50302, 2006, 14960, + -122.92983, 39.50308, 2006, 14970, -122.9299, 39.50312, 2006, 14980, + -122.92997, 39.50315, 2005, 14990, -122.93005, 39.50315, 2003, 15000, + -122.93013, 39.50315, 2001, 15010, -122.93023, 39.50312, 2000, 15020, + -122.93032, 39.50305, 2000, 15030, -122.93037, 39.50297, 2002, 15040, + -122.9304, 39.50287, 2003, 15050, -122.9304, 39.50278, 2004, 15060, + -122.93037, 39.5027, 2004, 15070, -122.93033, 39.50262, 2002, 15080, + -122.93028, 39.50252, 2000, 15090, -122.93023, 39.50242, 1999, 15100, + -122.93015, 39.50233, 1999, 15110, -122.93005, 39.50225, 1999, 15120, + -122.92993, 39.50218, 1998, 15130, -122.92982, 39.50213, 1997, 15140, + -122.92968, 39.5021, 1993, 15150, -122.92953, 39.50203, 1988, 15160, + -122.92942, 39.50195, 1985, 15170, -122.92932, 39.50185, 1985, 15180, + -122.92927, 39.50173, 1984, 15190, -122.92928, 39.50165, 1984, 15200, + -122.92932, 39.50158, 1982, 15210, -122.9294, 39.50153, 1980, 15220, + -122.9295, 39.5015, 1977, 15230, -122.92962, 39.50148, 1976, 15240, + -122.92973, 39.50148, 1975, 15250, -122.92985, 39.5015, 1974, 15260, + -122.92997, 39.50153, 1973, 15270, -122.93008, 39.50157, 1971, 15280, + -122.93023, 39.50162, 1970, 15290, -122.93035, 39.50167, 1971, 15300, + -122.93047, 39.50168, 1972, 15310, -122.93058, 39.5017, 1973, 15320, + -122.93067, 39.5017, 1973, 15330, -122.93077, 39.50172, 1972, 15340, + -122.93087, 39.5017, 1970, 15350, -122.93097, 39.5017, 1969, 15360, + -122.93107, 39.5017, 1966, 15370, -122.93118, 39.5017, 1963, 15380, + -122.93132, 39.50172, 1961, 15390, -122.93147, 39.50173, 1959, 15400, + -122.93158, 39.50173, 1958, 15410, -122.9321, 39.50158, 1953, 15420, + -122.93253, 39.50128, 1954, 15430, -122.93303, 39.50127, 1948, 15440, + -122.9335, 39.50163, 1948, 15450, -122.93335, 39.50227, 1940, 15460, + -122.93292, 39.50223, 1930, 15470, -122.93285, 39.50215, 1929, 15480, + -122.93282, 39.50205, 1929, 15490, -122.9328, 39.50197, 1928, 15500, + -122.93283, 39.5019, 1927, 15510, -122.93287, 39.50182, 1928, 15520, + -122.9329, 39.50177, 1927, 15530, -122.93295, 39.50172, 1925, 15540, + -122.93303, 39.50165, 1925, 15550, -122.9331, 39.5016, 1928, 15560, + -122.93317, 39.50155, 1930, 15570, -122.93322, 39.5015, 1931, 15580, + -122.93325, 39.50145, 1931, 15590, -122.93328, 39.50138, 1931, 15600, + -122.93333, 39.50132, 1932, 15610, -122.9334, 39.50127, 1931, 15620, + -122.9335, 39.50123, 1933, 15630, -122.93358, 39.50127, 1935, 15640, + -122.93368, 39.50132, 1935, 15650, -122.93377, 39.50142, 1934, 15660, + -122.93383, 39.50153, 1933, 15670, -122.93387, 39.50168, 1932, 15680, + -122.93383, 39.50185, 1932, 15690, -122.93373, 39.502, 1933, 15700, + -122.93358, 39.50212, 1935, 15710, -122.9334, 39.50218, 1936, 15720, + -122.93323, 39.5022, 1936, 15730, -122.93307, 39.50217, 1936, 15740, + -122.93297, 39.50212, 1936, 15750, -122.9329, 39.50205, 1936, 15760, + -122.9329, 39.50198, 1938, 15770, -122.93295, 39.50197, 1936, 15780, + -122.93302, 39.50195, 1935, 15790, -122.9331, 39.50197, 1934, 15800, + -122.9332, 39.50202, 1934, 15810, -122.93328, 39.50212, 1933, 15820, + -122.93337, 39.50222, 1932, 15830, -122.93342, 39.50237, 1931, 15840, + -122.93342, 39.50253, 1931, 15850, -122.93337, 39.50268, 1932, 15860, + -122.93327, 39.50282, 1933, 15870, -122.93313, 39.50292, 1934, 15880, + -122.93298, 39.50298, 1934, 15890, -122.9328, 39.50302, 1935, 15900, + -122.93267, 39.50302, 1936, 15910, -122.93253, 39.50297, 1936, 15920, + -122.93243, 39.50292, 1936, 15930, -122.93242, 39.50285, 1935, 15940, + -122.93245, 39.5028, 1934, 15950, -122.93252, 39.50278, 1934, 15960, + -122.93258, 39.50277, 1932, 15970, -122.9327, 39.50277, 1929, 15980, + -122.93282, 39.50278, 1927, 15990, -122.93295, 39.5028, 1927, 16000, + -122.93307, 39.5028, 1927, 16010, -122.93317, 39.5028, 1928, 16020, + -122.93323, 39.50278, 1927, 16030, -122.9333, 39.50277, 1925, 16040, + -122.93335, 39.50273, 1922, 16050, -122.9334, 39.50268, 1915, 16060, + -122.93347, 39.50262, 1908, 16070, -122.93353, 39.50252, 1903, 16080, + -122.93362, 39.50243, 1903, 16090, -122.93365, 39.50237, 1905, 16100, + -122.93367, 39.50232, 1907, 16110, -122.93368, 39.50227, 1908, 16120, + -122.93368, 39.50223, 1905, 16130, -122.9337, 39.50217, 1900, 16140, + -122.93373, 39.5021, 1897, 16150, -122.93377, 39.50202, 1899, 16160, + -122.93378, 39.50195, 1901, 16170, -122.93378, 39.5019, 1900, 16180, + -122.93382, 39.50183, 1899, 16190, -122.93383, 39.50177, 1898, 16200, + -122.93387, 39.5017, 1898, 16210, -122.9339, 39.50163, 1896, 16220, + -122.93393, 39.50157, 1894, 16230, -122.93397, 39.5015, 1892, 16240, + -122.93407, 39.50115, 1873, 16250, -122.9341, 39.50062, 1862, 16260, + -122.93403, 39.5001, 1848, 16270, -122.93383, 39.49948, 1839, 16280, + -122.93358, 39.49892, 1833, 16290, -122.93328, 39.49837, 1828, 16300, + -122.93292, 39.49788, 1815, 16310, -122.9326, 39.49735, 1800, 16320, + -122.93222, 39.4968, 1786, 16330, -122.93188, 39.49625, 1775, 16340, + -122.93157, 39.49568, 1759, 16350, -122.93127, 39.49512, 1746, 16360, + -122.93097, 39.49458, 1731, 16370, -122.93072, 39.4941, 1720, 16380, + -122.93053, 39.49353, 1721, 16390, -122.93033, 39.493, 1728, 16400, + -122.9301, 39.49247, 1728, 16410, -122.93002, 39.49192, 1709, 16420, + -122.93, 39.49135, 1697, 16430, -122.92987, 39.49075, 1687, 16440, + -122.9297, 39.49017, 1675, 16450, -122.92952, 39.4896, 1668, 16460, + -122.9295, 39.4891, 1669, 16470, -122.92942, 39.4886, 1675, 16480, + -122.92933, 39.48797, 1663, 16490, -122.92928, 39.48737, 1663, 16500, + -122.92922, 39.48675, 1648, 16510, -122.92917, 39.48617, 1637, 16520, + -122.92907, 39.48562, 1624, 16530, -122.92898, 39.48517, 1619, 16540, + -122.92895, 39.48473, 1598, 16550, -122.92912, 39.48413, 1595, 16560, + -122.92912, 39.4835, 1588, 16570, -122.92917, 39.48288, 1587, 16580, + -122.92935, 39.48238, 1588, 16590, -122.92967, 39.48192, 1582, 16600, + -122.92993, 39.4815, 1575, 16610, -122.9302, 39.4811, 1572, 16620, + -122.93047, 39.48068, 1560, 16630, -122.93072, 39.4802, 1549, 16640, + -122.93113, 39.4798, 1541, 16650, -122.93157, 39.47938, 1527, 16660, + -122.93192, 39.47892, 1519, 16670, -122.93225, 39.47847, 1523, 16680, + -122.93258, 39.47808, 1521, 16690, -122.9329, 39.47765, 1510, 16700, + -122.93322, 39.47722, 1500, 16710, -122.93363, 39.47685, 1499, 16720, + -122.93398, 39.47647, 1486, 16730, -122.93443, 39.4761, 1475, 16740, + -122.93483, 39.47568, 1456, 16750, -122.93515, 39.47517, 1444, 16760, + -122.93555, 39.47477, 1439, 16770, -122.93597, 39.47425, 1433, 16780, + -122.93628, 39.4739, 1426, 16790, -122.9366, 39.47355, 1426, 16800, + -122.93688, 39.47317, 1422, 16810, -122.93725, 39.47275, 1423, 16820, + -122.93772, 39.47232, 1428, 16830, -122.93812, 39.47192, 1425, 16840, + -122.93848, 39.4715, 1426, 16850, -122.93893, 39.47112, 1428, 16860, + -122.93928, 39.47067, 1434, 16870, -122.9395, 39.47022, 1438, 16880, + -122.93978, 39.46978, 1441, 16890, -122.94, 39.46937, 1438, 16900, + -122.94023, 39.4689, 1432, 16910, -122.94053, 39.46838, 1415, 16920, + -122.94075, 39.46785, 1399, 16930, -122.94093, 39.46727, 1383, 16940, + -122.94118, 39.46663, 1367, 16950, -122.94137, 39.466, 1354, 16960, + -122.94152, 39.46533, 1339, 16970, -122.94167, 39.46467, 1323, 16980, + -122.94177, 39.46402, 1308, 16990, -122.94182, 39.46335, 1293, 17000, + -122.94182, 39.46272, 1280, 17010, -122.94185, 39.46208, 1266, 17020, + -122.9419, 39.46143, 1255, 17030, -122.94197, 39.46075, 1242, 17040, + -122.94205, 39.46008, 1234, 17050, -122.94217, 39.45943, 1222, 17060, + -122.94218, 39.45878, 1209, 17070, -122.94205, 39.45812, 1200, 17080, + -122.94192, 39.45747, 1187, 17090, -122.94203, 39.4568, 1177, 17100, + -122.94225, 39.45618, 1165, 17110, -122.9425, 39.45553, 1157, 17120, + -122.94272, 39.45493, 1148, 17130, -122.94292, 39.4543, 1133, 17140, + -122.94312, 39.45367, 1119, 17150, -122.9434, 39.45308, 1103, 17160, + -122.94367, 39.45247, 1087, 17170, -122.94385, 39.45185, 1078, 17180, + -122.94388, 39.45123, 1063, 17190, -122.94397, 39.45062, 1050, 17200, + -122.94408, 39.45, 1035, 17210, -122.9442, 39.44938, 1025, 17220, + -122.94438, 39.4488, 1014, 17230, -122.94447, 39.44822, 1004, 17240, + -122.94462, 39.44762, 995, 17250, -122.94485, 39.4471, 992, 17260, + -122.945, 39.44657, 980, 17270, -122.94523, 39.44602, 974, 17280, + -122.9456, 39.44557, 964, 17290, -122.94595, 39.44507, 955, 17300, + -122.94635, 39.4446, 941, 17310, -122.94675, 39.44413, 932, 17320, + -122.94708, 39.4437, 922, 17330, -122.94752, 39.44325, 911, 17340, + -122.94783, 39.44278, 905, 17350, -122.94788, 39.4423, 897, 17360, + -122.9476, 39.44187, 886, 17370, -122.94702, 39.44158, 874, 17380, + -122.9468, 39.44117, 867, 17390, -122.94737, 39.4411, 849, 17400, + -122.94797, 39.44112, 836, 17410, -122.94862, 39.44107, 822, 17420, + -122.94877, 39.4408, 812, 17430, -122.948, 39.44087, 806, 17440, + -122.94803, 39.44145, 784, 17450, -122.9483, 39.44157, 777, 17460, + -122.94843, 39.4416, 774, 17470, -122.94857, 39.44163, 773, 17480, + -122.94868, 39.44165, 771, 17490, -122.9488, 39.44167, 769, 17500, + -122.9489, 39.44167, 768, 17510, -122.949, 39.44163, 764, 17520, + -122.94912, 39.4416, 759, 17530, -122.9492, 39.44153, 754, 17540, + -122.94927, 39.44143, 749, 17550, -122.94932, 39.44132, 743, 17560, + -122.9493, 39.44117, 737, 17570, -122.94925, 39.44103, 731, 17580, + -122.94912, 39.44088, 727, 17590, -122.94897, 39.44077, 723, 17600, + -122.94878, 39.44067, 719, 17610, -122.94863, 39.44057, 717, 17620, + -122.94843, 39.44048, 712, 17630, -122.94822, 39.4404, 708, 17640, + -122.94802, 39.44033, 704, 17650, -122.9478, 39.44025, 700, 17660, + -122.9476, 39.4402, 697, 17670, -122.94738, 39.44013, 693, 17680, + -122.94717, 39.44005, 689, 17690, -122.94697, 39.44, 685, 17700, + -122.94673, 39.43993, 680, 17710, -122.9465, 39.43988, 676, 17720, + -122.94627, 39.43985, 671, 17730, -122.94602, 39.43982, 666, 17740, + -122.94578, 39.43978, 661, 17750, -122.94555, 39.43977, 657, 17760, + -122.94528, 39.43975, 653, 17770, -122.94403, 39.43993, 627, 17780, + -122.9434, 39.44068, 622, 17790, -122.94432, 39.44108, 597, 17800, + -122.94505, 39.44122, 580, 17810, -122.94555, 39.44123, 572, 17820, + -122.94562, 39.44123, 571, 17830, -122.94563, 39.44123, 571, 17840, + -122.94562, 39.44125, 569, 17850, -122.94563, 39.44125, 569, 17860, + -122.94563, 39.44125, 569, 17870, -122.94565, 39.44125, 568, 17880, + -122.94563, 39.44125, 567, 17890, -122.94563, 39.44125, 566, ], }, }, diff --git a/Apps/Sandcastle/gallery/CZML Point - Time Dynamic.html b/Apps/Sandcastle/gallery/CZML Point - Time Dynamic.html index e53fe99701a4..566470ba6ad7 100644 --- a/Apps/Sandcastle/gallery/CZML Point - Time Dynamic.html +++ b/Apps/Sandcastle/gallery/CZML Point - Time Dynamic.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -42,22 +42,8 @@ position: { epoch: "2012-08-04T16:00:00Z", cartographicDegrees: [ - 0, - -70, - 20, - 150000, - 100, - -80, - 44, - 150000, - 200, - -90, - 18, - 150000, - 300, - -98, - 52, - 150000, + 0, -70, 20, 150000, 100, -80, 44, 150000, 200, -90, 18, 150000, 300, -98, + 52, 150000, ], }, point: { diff --git a/Apps/Sandcastle/gallery/CZML Point.html b/Apps/Sandcastle/gallery/CZML Point.html index abd42ce6638c..ef7f716fc992 100644 --- a/Apps/Sandcastle/gallery/CZML Point.html +++ b/Apps/Sandcastle/gallery/CZML Point.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/CZML Polygon - Interpolating References.html b/Apps/Sandcastle/gallery/CZML Polygon - Interpolating References.html index 7feaf186baad..70eb956375c5 100644 --- a/Apps/Sandcastle/gallery/CZML Polygon - Interpolating References.html +++ b/Apps/Sandcastle/gallery/CZML Polygon - Interpolating References.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -69,30 +69,8 @@ interval: "2012-08-04T16:00:00Z/2012-08-04T17:00:00Z", epoch: "2012-08-04T16:00:00Z", cartographicDegrees: [ - 0, - -60, - 35, - 30000, - 160, - -65, - 35, - 5000000, - 400, - -70, - 40, - 20000, - 800, - -62, - 45, - 200000, - 1800, - -65, - 40, - 650000, - 3600, - -60, - 35, - 3000, + 0, -60, 35, 30000, 160, -65, 35, 5000000, 400, -70, 40, 20000, 800, -62, + 45, 200000, 1800, -65, 40, 650000, 3600, -60, 35, 3000, ], }, }, @@ -111,22 +89,8 @@ interval: "2012-08-04T16:00:00Z/2012-08-04T17:00:00Z", epoch: "2012-08-04T16:00:00Z", cartographicDegrees: [ - 0, - -45, - 60, - 4000, - 400, - -40, - 70, - 2000000, - 1000, - -35, - 75, - 100000, - 3600, - -45, - 65, - 3000, + 0, -45, 60, 4000, 400, -40, 70, 2000000, 1000, -35, 75, 100000, 3600, -45, + 65, 3000, ], }, }, diff --git a/Apps/Sandcastle/gallery/CZML Polygon - Intervals, Availability.html b/Apps/Sandcastle/gallery/CZML Polygon - Intervals, Availability.html index 3aa665cc69f0..7dd4454542ee 100644 --- a/Apps/Sandcastle/gallery/CZML Polygon - Intervals, Availability.html +++ b/Apps/Sandcastle/gallery/CZML Polygon - Intervals, Availability.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -92,39 +92,9 @@ polygon: { positions: { cartographicDegrees: [ - -120, - 42, - 50000, - -124, - 42, - 30500, - -124.5, - 40, - 3000, - -123, - 38, - 0, - -122, - 36, - 0, - -120.8, - 34.2, - 0, - -118, - 34, - 0, - -117, - 32.2, - 6000, - -115.5, - 32.5, - 1530, - -115, - 35, - 1530, - -120, - 39, - 30500, + -120, 42, 50000, -124, 42, 30500, -124.5, 40, 3000, -123, 38, 0, -122, + 36, 0, -120.8, 34.2, 0, -118, 34, 0, -117, 32.2, 6000, -115.5, 32.5, + 1530, -115, 35, 1530, -120, 39, 30500, ], }, material: { @@ -145,33 +115,8 @@ polygon: { positions: { cartographicDegrees: [ - -75.5, - 42, - 0, - -79.8, - 42, - 0, - -79.9, - 42.3, - 0, - -80.5, - 42, - 0, - -80.5, - 39.8, - 0, - -75.7, - 39.8, - 0, - -74.5, - 40.2, - 0, - -75.2, - 40.8, - 0, - -74.7, - 41.3, - 0, + -75.5, 42, 0, -79.8, 42, 0, -79.9, 42.3, 0, -80.5, 42, 0, -80.5, 39.8, + 0, -75.7, 39.8, 0, -74.5, 40.2, 0, -75.2, 40.8, 0, -74.7, 41.3, 0, ], }, material: { diff --git a/Apps/Sandcastle/gallery/CZML Polygon.html b/Apps/Sandcastle/gallery/CZML Polygon.html index dbd7190dbe32..46863d48dbb3 100644 --- a/Apps/Sandcastle/gallery/CZML Polygon.html +++ b/Apps/Sandcastle/gallery/CZML Polygon.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -42,21 +42,8 @@ polygon: { positions: { cartographicDegrees: [ - -115.0, - 37.0, - 0, - -115.0, - 32.0, - 0, - -107.0, - 33.0, - 0, - -102.0, - 31.0, - 0, - -102.0, - 35.0, - 0, + -115.0, 37.0, 0, -115.0, 32.0, 0, -107.0, 33.0, 0, -102.0, 31.0, 0, + -102.0, 35.0, 0, ], }, material: { @@ -112,18 +99,8 @@ polygon: { positions: { cartographicDegrees: [ - -108.0, - 25.0, - 100000, - -100.0, - 25.0, - 100000, - -100.0, - 30.0, - 100000, - -108.0, - 30.0, - 300000, + -108.0, 25.0, 100000, -100.0, 25.0, 100000, -100.0, 30.0, 100000, + -108.0, 30.0, 300000, ], }, material: { @@ -147,18 +124,7 @@ polygon: { positions: { cartographicDegrees: [ - -82.0, - 40.8, - 0, - -83.0, - 36.5, - 0, - -76.0, - 35.6, - 0, - -73.5, - 43.6, - 0, + -82.0, 40.8, 0, -83.0, 36.5, 0, -76.0, 35.6, 0, -73.5, 43.6, 0, ], }, holes: { diff --git a/Apps/Sandcastle/gallery/CZML Polyline Volume.html b/Apps/Sandcastle/gallery/CZML Polyline Volume.html index 6445a447b18c..9822d819e94b 100644 --- a/Apps/Sandcastle/gallery/CZML Polyline Volume.html +++ b/Apps/Sandcastle/gallery/CZML Polyline Volume.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -42,15 +42,7 @@ polylineVolume: { positions: { cartographicDegrees: [ - -90.0, - 32.0, - 0, - -90.0, - 36.0, - 100000, - -94.0, - 36.0, - 0, + -90.0, 32.0, 0, -90.0, 36.0, 100000, -94.0, 36.0, 0, ], }, shape: { @@ -76,46 +68,19 @@ polylineVolume: { positions: { cartographicDegrees: [ - -95.0, - 32.0, - 0, - -95.0, - 36.0, - 100000, - -99.0, - 36.0, - 200000, + -95.0, 32.0, 0, -95.0, 36.0, 100000, -99.0, 36.0, 200000, ], }, shape: { cartesian2: [ - 70000, - 0, - 45048.44339512096, - 21694.186955877907, - 43644.28613011135, - 54728.203772762085, - 11126.046697815722, - 48746.39560909118, - -15576.465376942004, - 68244.95385272766, - -31174.490092936674, - 39091.57412340149, - -63067.82075316933, - 30371.861738229076, - -50000, - 6.123233995736766e-12, - -63067.82075316934, - -30371.86173822906, - -31174.490092936685, - -39091.574123401486, - -15576.465376942022, - -68244.95385272766, - 11126.046697815711, - -48746.39560909118, - 43644.28613011134, - -54728.20377276209, - 45048.44339512095, + 70000, 0, 45048.44339512096, 21694.186955877907, 43644.28613011135, + 54728.203772762085, 11126.046697815722, 48746.39560909118, + -15576.465376942004, 68244.95385272766, -31174.490092936674, + 39091.57412340149, -63067.82075316933, 30371.861738229076, -50000, + 6.123233995736766e-12, -63067.82075316934, -30371.86173822906, + -31174.490092936685, -39091.574123401486, -15576.465376942022, + -68244.95385272766, 11126.046697815711, -48746.39560909118, + 43644.28613011134, -54728.20377276209, 45048.44339512095, -21694.186955877918, ], }, diff --git a/Apps/Sandcastle/gallery/CZML Polyline.html b/Apps/Sandcastle/gallery/CZML Polyline.html index fce7be652548..31fbc7d75553 100644 --- a/Apps/Sandcastle/gallery/CZML Polyline.html +++ b/Apps/Sandcastle/gallery/CZML Polyline.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/CZML Position Definitions.html b/Apps/Sandcastle/gallery/CZML Position Definitions.html index 5a3109085531..357553c9490c 100644 --- a/Apps/Sandcastle/gallery/CZML Position Definitions.html +++ b/Apps/Sandcastle/gallery/CZML Position Definitions.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/CZML Rectangle.html b/Apps/Sandcastle/gallery/CZML Rectangle.html index 6552ba40a0c8..a7b1478f6069 100644 --- a/Apps/Sandcastle/gallery/CZML Rectangle.html +++ b/Apps/Sandcastle/gallery/CZML Rectangle.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/CZML Reference Properties.html b/Apps/Sandcastle/gallery/CZML Reference Properties.html index 53ccefa7f151..d2723f81d0e7 100644 --- a/Apps/Sandcastle/gallery/CZML Reference Properties.html +++ b/Apps/Sandcastle/gallery/CZML Reference Properties.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -95,21 +95,8 @@ polygon: { positions: { cartographicDegrees: [ - -115.0, - 37.0, - 0, - -115.0, - 32.0, - 0, - -107.0, - 33.0, - 0, - -102.0, - 31.0, - 0, - -102.0, - 35.0, - 0, + -115.0, 37.0, 0, -115.0, 32.0, 0, -107.0, 33.0, 0, -102.0, 31.0, 0, + -102.0, 35.0, 0, ], }, height: 0, diff --git a/Apps/Sandcastle/gallery/CZML Spheres and Ellipsoids.html b/Apps/Sandcastle/gallery/CZML Spheres and Ellipsoids.html index 0aa16cbbfba9..45d83934b788 100644 --- a/Apps/Sandcastle/gallery/CZML Spheres and Ellipsoids.html +++ b/Apps/Sandcastle/gallery/CZML Spheres and Ellipsoids.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/CZML Wall.html b/Apps/Sandcastle/gallery/CZML Wall.html index af52f588fc62..b900b2418918 100644 --- a/Apps/Sandcastle/gallery/CZML Wall.html +++ b/Apps/Sandcastle/gallery/CZML Wall.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -41,39 +41,10 @@ wall: { positions: { cartographicDegrees: [ - -115.0, - 50.0, - 1500000, - -112.5, - 50.0, - 500000, - -110.0, - 50.0, - 1500000, - -107.5, - 50.0, - 500000, - -105.0, - 50.0, - 1500000, - -102.5, - 50.0, - 500000, - -100.0, - 50.0, - 1500000, - -97.5, - 50.0, - 500000, - -95.0, - 50.0, - 1500000, - -92.5, - 50.0, - 500000, - -90.0, - 50.0, - 1500000, + -115.0, 50.0, 1500000, -112.5, 50.0, 500000, -110.0, 50.0, 1500000, + -107.5, 50.0, 500000, -105.0, 50.0, 1500000, -102.5, 50.0, 500000, + -100.0, 50.0, 1500000, -97.5, 50.0, 500000, -95.0, 50.0, 1500000, -92.5, + 50.0, 500000, -90.0, 50.0, 1500000, ], }, material: { diff --git a/Apps/Sandcastle/gallery/CZML ZIndex.html b/Apps/Sandcastle/gallery/CZML ZIndex.html index 36b6cff11261..5648851973a7 100644 --- a/Apps/Sandcastle/gallery/CZML ZIndex.html +++ b/Apps/Sandcastle/gallery/CZML ZIndex.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -79,21 +79,8 @@ polygon: { positions: { cartographicDegrees: [ - -115.0, - 47.0, - 0, - -115.0, - 42.0, - 0, - -107.0, - 43.0, - 0, - -102.0, - 41.0, - 0, - -102.0, - 45.0, - 0, + -115.0, 47.0, 0, -115.0, 42.0, 0, -107.0, 43.0, 0, -102.0, 41.0, 0, + -102.0, 45.0, 0, ], }, zIndex: 1, diff --git a/Apps/Sandcastle/gallery/CZML.html b/Apps/Sandcastle/gallery/CZML.html index 42e08f106efe..895ff23bad72 100644 --- a/Apps/Sandcastle/gallery/CZML.html +++ b/Apps/Sandcastle/gallery/CZML.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -39,7 +39,7 @@ Sandcastle.addDefaultToolbarButton("Satellites", function () { viewer.dataSources.add( - Cesium.CzmlDataSource.load("../../SampleData/simple.czml") + Cesium.CzmlDataSource.load("../../SampleData/simple.czml"), ); viewer.camera.flyHome(0); @@ -47,7 +47,7 @@ Sandcastle.addToolbarButton("Vehicle", function () { viewer.dataSources.add( - Cesium.CzmlDataSource.load("../../SampleData/Vehicle.czml") + Cesium.CzmlDataSource.load("../../SampleData/Vehicle.czml"), ); viewer.scene.camera.setView({ diff --git a/Apps/Sandcastle/gallery/Callback Position Property.html b/Apps/Sandcastle/gallery/Callback Position Property.html index a656860e3bac..272509b8b934 100644 --- a/Apps/Sandcastle/gallery/Callback Position Property.html +++ b/Apps/Sandcastle/gallery/Callback Position Property.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -52,7 +52,7 @@ const stop = Cesium.JulianDate.addSeconds( start, duration, - new Cesium.JulianDate() + new Cesium.JulianDate(), ); // Make sure viewer is at the desired time. @@ -92,12 +92,12 @@ const firstTangent = Cesium.Cartesian3.subtract( points[0], before, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); const lastTangent = Cesium.Cartesian3.subtract( after, points[8], - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); // Create the position spline. @@ -183,7 +183,7 @@ entityPosition, entityOrientation, Cesium.Cartesian3.ONE, - scratchTransform + scratchTransform, ); camera.lookAtTransform(transform, offset); }); diff --git a/Apps/Sandcastle/gallery/Callback Property.html b/Apps/Sandcastle/gallery/Callback Property.html index e0f7f7dbfcaa..bd7fba4636ad 100644 --- a/Apps/Sandcastle/gallery/Callback Property.html +++ b/Apps/Sandcastle/gallery/Callback Property.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -56,7 +56,7 @@ return Cesium.Cartesian3.fromDegreesArray( [startLongitude, startLatitude, endLongitude, startLatitude], Cesium.Ellipsoid.WGS84, - result + result, ); }, isConstant), width: 5, @@ -66,7 +66,7 @@ const startCartographic = Cesium.Cartographic.fromDegrees( startLongitude, - startLatitude + startLatitude, ); // use scratch object to avoid new allocations per frame. @@ -94,7 +94,7 @@ const midpointCartographic = geodesic.interpolateUsingFraction(0.5, scratch); return Cesium.Cartesian3.fromRadians( midpointCartographic.longitude, - midpointCartographic.latitude + midpointCartographic.latitude, ); } diff --git a/Apps/Sandcastle/gallery/Camera Tutorial.html b/Apps/Sandcastle/gallery/Camera Tutorial.html index f1631845d383..34002df723d6 100644 --- a/Apps/Sandcastle/gallery/Camera Tutorial.html +++ b/Apps/Sandcastle/gallery/Camera Tutorial.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -123,7 +123,7 @@ flags[flagName] = true; } }, - false + false, ); document.addEventListener( @@ -134,7 +134,7 @@ flags[flagName] = false; } }, - false + false, ); viewer.clock.onTick.addEventListener(function (clock) { diff --git a/Apps/Sandcastle/gallery/Camera.html b/Apps/Sandcastle/gallery/Camera.html index 932c7ec39744..84b8a2838ad9 100644 --- a/Apps/Sandcastle/gallery/Camera.html +++ b/Apps/Sandcastle/gallery/Camera.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -75,7 +75,7 @@ destination: Cesium.Cartesian3.fromDegrees( position.coords.longitude, position.coords.latitude, - 1000.0 + 1000.0, ), }); } @@ -143,7 +143,7 @@ camera.constrainedAxis = Cesium.Cartesian3.UNIT_Z; camera.lookAtTransform( transform, - new Cesium.Cartesian3(-120000.0, -120000.0, 120000.0) + new Cesium.Cartesian3(-120000.0, -120000.0, 120000.0), ); // Show reference frame. Not required. @@ -151,7 +151,7 @@ new Cesium.DebugModelMatrixPrimitive({ modelMatrix: transform, length: 100000.0, - }) + }), ); } @@ -233,7 +233,7 @@ destination: Cesium.Cartesian3.fromDegrees( -73.98580932617188, 40.74843406689482, - 363.34038727246224 + 363.34038727246224, ), complete: function () { setTimeout(function () { @@ -241,7 +241,7 @@ destination: Cesium.Cartesian3.fromDegrees( -73.98585975679403, 40.75759944127251, - 186.50838555841779 + 186.50838555841779, ), orientation: { heading: Cesium.Math.toRadians(200.0), diff --git a/Apps/Sandcastle/gallery/Cardboard.html b/Apps/Sandcastle/gallery/Cardboard.html index 9f7280100a5a..1cb40c83d087 100644 --- a/Apps/Sandcastle/gallery/Cardboard.html +++ b/Apps/Sandcastle/gallery/Cardboard.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -66,12 +66,12 @@ const time = Cesium.JulianDate.addSeconds( start, timeIncrement, - new Cesium.JulianDate() + new Cesium.JulianDate(), ); const position = Cesium.Cartesian3.fromDegrees( lon + radius * 1.5 * Math.cos(radians), lat + radius * Math.sin(radians), - Cesium.Math.nextRandomNumber() * 500 + 1800 + Cesium.Math.nextRandomNumber() * 500 + 1800, ); property.addSample(time, position); } @@ -126,7 +126,7 @@ transform = Cesium.Matrix4.fromRotationTranslation( Cesium.Matrix3.fromQuaternion(orientation), - position + position, ); } diff --git a/Apps/Sandcastle/gallery/Cartographic Limit Rectangle.html b/Apps/Sandcastle/gallery/Cartographic Limit Rectangle.html index 1a9495b9e5ef..8e2e0bddff6c 100644 --- a/Apps/Sandcastle/gallery/Cartographic Limit Rectangle.html +++ b/Apps/Sandcastle/gallery/Cartographic Limit Rectangle.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -44,7 +44,7 @@ -180.0, -23.43687, 180.0, - 23.43687 + 23.43687, ); globe.cartographicLimitRectangle = coffeeBeltRectangle; @@ -67,7 +67,7 @@ outlineWidth: 4.0, outlineColor: Cesium.Color.WHITE, }, - }) + }), ); } diff --git a/Apps/Sandcastle/gallery/Cesium Inspector.html b/Apps/Sandcastle/gallery/Cesium Inspector.html index 199e7c4f021c..670a90acb21a 100644 --- a/Apps/Sandcastle/gallery/Cesium Inspector.html +++ b/Apps/Sandcastle/gallery/Cesium Inspector.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -56,21 +56,21 @@ }), modelMatrix: Cesium.Matrix4.multiplyByTranslation( Cesium.Transforms.eastNorthUpToFixedFrame( - Cesium.Cartesian3.fromDegrees(-105.0, 45.0) + Cesium.Cartesian3.fromDegrees(-105.0, 45.0), ), new Cesium.Cartesian3(0.0, 0.0, 250000), - new Cesium.Matrix4() + new Cesium.Matrix4(), ), attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.RED.withAlpha(0.5) + Cesium.Color.RED.withAlpha(0.5), ), }, }), appearance: new Cesium.PerInstanceColorAppearance({ closed: true, }), - }) + }), ); scene.primitives.add( @@ -86,7 +86,7 @@ }, }), appearance: new Cesium.PerInstanceColorAppearance(), - }) + }), ); const billboards = scene.primitives.add(new Cesium.BillboardCollection()); diff --git a/Apps/Sandcastle/gallery/Cesium OSM Buildings.html b/Apps/Sandcastle/gallery/Cesium OSM Buildings.html index 8b62f87444bd..a71b89836518 100755 --- a/Apps/Sandcastle/gallery/Cesium OSM Buildings.html +++ b/Apps/Sandcastle/gallery/Cesium OSM Buildings.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/Cesium Widget.html b/Apps/Sandcastle/gallery/Cesium Widget.html index 88da4d9c5b1c..ab81249db25b 100644 --- a/Apps/Sandcastle/gallery/Cesium Widget.html +++ b/Apps/Sandcastle/gallery/Cesium Widget.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/Cesium World Terrain.html b/Apps/Sandcastle/gallery/Cesium World Terrain.html index a03034418426..2f1043fb76c2 100644 --- a/Apps/Sandcastle/gallery/Cesium World Terrain.html +++ b/Apps/Sandcastle/gallery/Cesium World Terrain.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -39,12 +39,12 @@ const target = new Cesium.Cartesian3( -2489625.0836225147, -4393941.44443024, - 3882535.9454173897 + 3882535.9454173897, ); const offset = new Cesium.Cartesian3( -6857.40902037546, 412.3284835694358, - 2147.5545426812023 + 2147.5545426812023, ); viewer.camera.lookAt(target, offset); viewer.camera.lookAtTransform(Cesium.Matrix4.IDENTITY); diff --git a/Apps/Sandcastle/gallery/Circles and Ellipses.html b/Apps/Sandcastle/gallery/Circles and Ellipses.html index 30351329a9f4..9e43d7bde003 100644 --- a/Apps/Sandcastle/gallery/Circles and Ellipses.html +++ b/Apps/Sandcastle/gallery/Circles and Ellipses.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/Clamp Entities to Ground.html b/Apps/Sandcastle/gallery/Clamp Entities to Ground.html index 50412adaa7ca..0a048fbe0d93 100644 --- a/Apps/Sandcastle/gallery/Clamp Entities to Ground.html +++ b/Apps/Sandcastle/gallery/Clamp Entities to Ground.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -142,12 +142,7 @@ const e = viewer.entities.add({ corridor: { positions: Cesium.Cartesian3.fromDegreesArray([ - -122.19, - 46.1914, - -122.21, - 46.21, - -122.23, - 46.21, + -122.19, 46.1914, -122.21, 46.21, -122.23, 46.21, ]), width: 2000.0, material: Cesium.Color.GREEN.withAlpha(0.5), @@ -168,22 +163,22 @@ new Cesium.Cartesian3( -2358138.847340281, -3744072.459541374, - 4581158.5714175375 + 4581158.5714175375, ), new Cesium.Cartesian3( -2357231.4925370603, -3745103.7886602185, - 4580702.9757762635 + 4580702.9757762635, ), new Cesium.Cartesian3( -2355912.902205431, -3744249.029778454, - 4582402.154378103 + 4582402.154378103, ), new Cesium.Cartesian3( -2357208.0209552636, -3743553.4420488174, - 4581961.863286629 + 4581961.863286629, ), ], }, @@ -199,7 +194,7 @@ onselect: function () { if (!Cesium.Entity.supportsMaterialsforEntitiesOnTerrain(viewer.scene)) { window.alert( - "Terrain Entity materials are not supported on this platform" + "Terrain Entity materials are not supported on this platform", ); return; } @@ -212,22 +207,22 @@ new Cesium.Cartesian3( -2358138.847340281, -3744072.459541374, - 4581158.5714175375 + 4581158.5714175375, ), new Cesium.Cartesian3( -2357231.4925370603, -3745103.7886602185, - 4580702.9757762635 + 4580702.9757762635, ), new Cesium.Cartesian3( -2355912.902205431, -3744249.029778454, - 4582402.154378103 + 4582402.154378103, ), new Cesium.Cartesian3( -2357208.0209552636, -3743553.4420488174, - 4581961.863286629 + 4581961.863286629, ), ], }, @@ -282,12 +277,7 @@ viewer.entities.add({ polyline: { positions: Cesium.Cartesian3.fromDegreesArray([ - 86.953793, - 27.928257, - 86.953793, - 27.988257, - 86.896497, - 27.988257, + 86.953793, 27.928257, 86.953793, 27.988257, 86.896497, 27.988257, ]), clampToGround: true, width: 5, @@ -302,12 +292,12 @@ const target = new Cesium.Cartesian3( 300770.50872389384, 5634912.131394585, - 2978152.2865545116 + 2978152.2865545116, ); const offset = new Cesium.Cartesian3( 6344.974098678562, -793.3419798081741, - 2499.9508860763162 + 2499.9508860763162, ); viewer.camera.lookAt(target, offset); viewer.camera.lookAtTransform(Cesium.Matrix4.IDENTITY); diff --git a/Apps/Sandcastle/gallery/Clamp Model to Ground.html b/Apps/Sandcastle/gallery/Clamp Model to Ground.html index b6864dc6d8ce..130491a4254d 100644 --- a/Apps/Sandcastle/gallery/Clamp Model to Ground.html +++ b/Apps/Sandcastle/gallery/Clamp Model to Ground.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -46,7 +46,7 @@ destination: Cesium.Cartesian3.fromRadians( -1.3193669086512454, 0.698810888305128, - 220 + 220, ), orientation: { heading: -1.3, @@ -69,7 +69,7 @@ let entity, positionProperty; try { const dataSource = await Cesium.CzmlDataSource.load( - "../../SampleData/ClampToGround.czml" + "../../SampleData/ClampToGround.czml", ); viewer.dataSources.add(dataSource); entity = dataSource.entities.getById("CesiumMilkTruck"); @@ -156,7 +156,7 @@ Cesium.defined(viewer.trackedEntity), (checked) => { viewer.trackedEntity = checked ? entity : undefined; - } + }, ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/Clamp to 3D Model.html b/Apps/Sandcastle/gallery/Clamp to 3D Model.html index 78d7cbf7beb5..968f91b28fff 100644 --- a/Apps/Sandcastle/gallery/Clamp to 3D Model.html +++ b/Apps/Sandcastle/gallery/Clamp to 3D Model.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -100,7 +100,7 @@ return Cesium.Cartographic.toCartesian( cartographic, Cesium.Ellipsoid.WGS84, - result + result, ); } viewer.trackedEntity = entity; diff --git a/Apps/Sandcastle/gallery/Classification Types.html b/Apps/Sandcastle/gallery/Classification Types.html index 085eb20c065d..5c3564ed29d7 100644 --- a/Apps/Sandcastle/gallery/Classification Types.html +++ b/Apps/Sandcastle/gallery/Classification Types.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -43,7 +43,7 @@ const boundingSphere = tileset.boundingSphere; viewer.camera.viewBoundingSphere( boundingSphere, - new Cesium.HeadingPitchRange(0.0, -0.5, boundingSphere.radius + 500.0) + new Cesium.HeadingPitchRange(0.0, -0.5, boundingSphere.radius + 500.0), ); viewer.camera.lookAtTransform(Cesium.Matrix4.IDENTITY); } catch (error) { @@ -54,15 +54,10 @@ polygon: { hierarchy: new Cesium.PolygonHierarchy( Cesium.Cartesian3.fromRadiansArray([ - -1.3194369277314022, - 0.6988062530900625, - -1.3193955980204217, - 0.6988091578771254, - -1.3193931220959367, - 0.698743632490865, - -1.3194358224045408, - 0.6987471965556998, - ]) + -1.3194369277314022, 0.6988062530900625, -1.3193955980204217, + 0.6988091578771254, -1.3193931220959367, 0.698743632490865, + -1.3194358224045408, 0.6987471965556998, + ]), ), material: Cesium.Color.RED.withAlpha(0.5), classificationType: Cesium.ClassificationType.BOTH, @@ -72,28 +67,13 @@ const polyline = viewer.entities.add({ polyline: { positions: Cesium.Cartesian3.fromDegreesArray([ - -75.60217330403601, - 40.04102882709425, - -75.59968252414251, - 40.04093615560871, - -75.598020153828, - 40.04079437042357, - -75.59674934074435, - 40.040816173283304, - -75.59630042791713, - 40.03986900370842, - -75.59563636849978, - 40.03930996506271, - -75.59492397899098, - 40.03873932846581, - -75.59457991226778, - 40.038392701955786, - -75.59424838652453, - 40.03775403572295, - -75.59387104290336, - 40.03677022167725, - -75.59355000490342, - 40.03588760913535, + -75.60217330403601, 40.04102882709425, -75.59968252414251, + 40.04093615560871, -75.598020153828, 40.04079437042357, -75.59674934074435, + 40.040816173283304, -75.59630042791713, 40.03986900370842, + -75.59563636849978, 40.03930996506271, -75.59492397899098, + 40.03873932846581, -75.59457991226778, 40.038392701955786, + -75.59424838652453, 40.03775403572295, -75.59387104290336, + 40.03677022167725, -75.59355000490342, 40.03588760913535, ]), width: 8, material: new Cesium.PolylineOutlineMaterialProperty({ @@ -143,7 +123,7 @@ onselect: function () { if (!Cesium.Entity.supportsMaterialsforEntitiesOnTerrain(viewer.scene)) { window.alert( - "Terrain Entity materials are not supported on this platform" + "Terrain Entity materials are not supported on this platform", ); } polygon.polygon.material = "../images/Cesium_Logo_Color.jpg"; diff --git a/Apps/Sandcastle/gallery/Classification.html b/Apps/Sandcastle/gallery/Classification.html index cc0dce4a0bec..462a5dd14b66 100644 --- a/Apps/Sandcastle/gallery/Classification.html +++ b/Apps/Sandcastle/gallery/Classification.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -83,15 +83,15 @@ let center = new Cesium.Cartesian3( 1216389.3637977627, -4736323.641980423, - 4081321.7428341154 + 4081321.7428341154, ); let modelMatrix = Cesium.Transforms.eastNorthUpToFixedFrame(center); let hprRotation = Cesium.Matrix3.fromHeadingPitchRoll( - new Cesium.HeadingPitchRoll(2.619728786416368, 0.0, 0.0) + new Cesium.HeadingPitchRoll(2.619728786416368, 0.0, 0.0), ); let hpr = Cesium.Matrix4.fromRotationTranslation( hprRotation, - new Cesium.Cartesian3(0.0, 0.0, -2.0) + new Cesium.Cartesian3(0.0, 0.0, -2.0), ); Cesium.Matrix4.multiply(modelMatrix, hpr, modelMatrix); @@ -105,28 +105,28 @@ modelMatrix: modelMatrix, attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - new Cesium.Color(1.0, 0.0, 0.0, 0.5) + new Cesium.Color(1.0, 0.0, 0.0, 0.5), ), show: new Cesium.ShowGeometryInstanceAttribute(true), }, id: "volume", }), classificationType: Cesium.ClassificationType.CESIUM_3D_TILE, - }) + }), ); center = new Cesium.Cartesian3( 1216409.0189737265, -4736252.144235287, - 4081393.6027081604 + 4081393.6027081604, ); modelMatrix = Cesium.Transforms.eastNorthUpToFixedFrame(center); hprRotation = Cesium.Matrix3.fromHeadingPitchRoll( - new Cesium.HeadingPitchRoll(5.785339046755887, 0.0, 0.0) + new Cesium.HeadingPitchRoll(5.785339046755887, 0.0, 0.0), ); hpr = Cesium.Matrix4.fromRotationTranslation( hprRotation, - new Cesium.Cartesian3(0.4, 0.0, -2.0) + new Cesium.Cartesian3(0.4, 0.0, -2.0), ); Cesium.Matrix4.multiply(modelMatrix, hpr, modelMatrix); @@ -139,28 +139,28 @@ modelMatrix: modelMatrix, attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.fromCssColorString("#F26419").withAlpha(0.5) + Cesium.Color.fromCssColorString("#F26419").withAlpha(0.5), ), show: new Cesium.ShowGeometryInstanceAttribute(true), }, id: "volume 1", }), classificationType: Cesium.ClassificationType.CESIUM_3D_TILE, - }) + }), ); center = new Cesium.Cartesian3( 1216404.8844045496, -4736255.287065536, - 4081392.010192471 + 4081392.010192471, ); modelMatrix = Cesium.Transforms.eastNorthUpToFixedFrame(center); hprRotation = Cesium.Matrix3.fromHeadingPitchRoll( - new Cesium.HeadingPitchRoll(5.785339046755887, 0.0, 0.0) + new Cesium.HeadingPitchRoll(5.785339046755887, 0.0, 0.0), ); hpr = Cesium.Matrix4.fromRotationTranslation( hprRotation, - new Cesium.Cartesian3(-0.25, 0.0, -2.0) + new Cesium.Cartesian3(-0.25, 0.0, -2.0), ); Cesium.Matrix4.multiply(modelMatrix, hpr, modelMatrix); @@ -173,24 +173,24 @@ modelMatrix: modelMatrix, attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.fromCssColorString("#F03A47").withAlpha(0.5) + Cesium.Color.fromCssColorString("#F03A47").withAlpha(0.5), ), show: new Cesium.ShowGeometryInstanceAttribute(true), }, id: "volume 2", }), classificationType: Cesium.ClassificationType.CESIUM_3D_TILE, - }) + }), ); center = new Cesium.Cartesian3( 1216398.813990024, -4736258.039875737, - 4081387.9562678365 + 4081387.9562678365, ); modelMatrix = Cesium.Transforms.eastNorthUpToFixedFrame(center); let translation = Cesium.Matrix4.fromTranslation( - new Cesium.Cartesian3(0.0, 0.0, -2.0) + new Cesium.Cartesian3(0.0, 0.0, -2.0), ); Cesium.Matrix4.multiply(modelMatrix, translation, modelMatrix); @@ -203,24 +203,24 @@ modelMatrix: modelMatrix, attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.fromCssColorString("#004FFF").withAlpha(0.5) + Cesium.Color.fromCssColorString("#004FFF").withAlpha(0.5), ), show: new Cesium.ShowGeometryInstanceAttribute(true), }, id: "volume 3", }), classificationType: Cesium.ClassificationType.CESIUM_3D_TILE, - }) + }), ); center = new Cesium.Cartesian3( 1216393.6257790313, -4736259.809075361, - 4081384.4858198245 + 4081384.4858198245, ); modelMatrix = Cesium.Transforms.eastNorthUpToFixedFrame(center); translation = Cesium.Matrix4.fromTranslation( - new Cesium.Cartesian3(0.0, 0.0, -1.0) + new Cesium.Cartesian3(0.0, 0.0, -1.0), ); Cesium.Matrix4.multiply(modelMatrix, translation, modelMatrix); @@ -233,14 +233,14 @@ modelMatrix: modelMatrix, attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.fromCssColorString("#55DDE0").withAlpha(0.5) + Cesium.Color.fromCssColorString("#55DDE0").withAlpha(0.5), ), show: new Cesium.ShowGeometryInstanceAttribute(true), }, id: "volume 4", }), classificationType: Cesium.ClassificationType.CESIUM_3D_TILE, - }) + }), ); function highlightBuilding() { @@ -248,7 +248,7 @@ destination: new Cesium.Cartesian3( 1216394.1392207467, -4736348.59346919, - 4081293.9160685353 + 4081293.9160685353, ), orientation: { heading: 0.018509338875732695, @@ -262,7 +262,7 @@ destination: new Cesium.Cartesian3( 1216435.0352745096, -4736283.144192113, - 4081368.0920420634 + 4081368.0920420634, ), orientation: { heading: 5.718380792746039, @@ -279,21 +279,16 @@ scene.invertClassification = checked; scene.invertClassificationColor = new Cesium.Color(0.25, 0.25, 0.25, 1.0); - buildingHighlight.getGeometryInstanceAttributes( - "volume" - ).show = Cesium.ShowGeometryInstanceAttribute.toValue(!checked); - treeHighlight1.getGeometryInstanceAttributes( - "volume 1" - ).show = Cesium.ShowGeometryInstanceAttribute.toValue(!checked); - treeHighlight2.getGeometryInstanceAttributes( - "volume 2" - ).show = Cesium.ShowGeometryInstanceAttribute.toValue(!checked); - treeHighlight3.getGeometryInstanceAttributes( - "volume 3" - ).show = Cesium.ShowGeometryInstanceAttribute.toValue(!checked); - treeHighlight4.getGeometryInstanceAttributes( - "volume 4" - ).show = Cesium.ShowGeometryInstanceAttribute.toValue(!checked); + buildingHighlight.getGeometryInstanceAttributes("volume").show = + Cesium.ShowGeometryInstanceAttribute.toValue(!checked); + treeHighlight1.getGeometryInstanceAttributes("volume 1").show = + Cesium.ShowGeometryInstanceAttribute.toValue(!checked); + treeHighlight2.getGeometryInstanceAttributes("volume 2").show = + Cesium.ShowGeometryInstanceAttribute.toValue(!checked); + treeHighlight3.getGeometryInstanceAttributes("volume 3").show = + Cesium.ShowGeometryInstanceAttribute.toValue(!checked); + treeHighlight4.getGeometryInstanceAttributes("volume 4").show = + Cesium.ShowGeometryInstanceAttribute.toValue(!checked); } function updateAlpha(value) { @@ -338,9 +333,8 @@ } if (Cesium.defined(currentObjectId)) { - attributes = currentPrimitive.getGeometryInstanceAttributes( - currentObjectId - ); + attributes = + currentPrimitive.getGeometryInstanceAttributes(currentObjectId); attributes.color = currentColor; attributes.show = currentShow; currentObjectId = undefined; diff --git a/Apps/Sandcastle/gallery/Clipping Regions.html b/Apps/Sandcastle/gallery/Clipping Regions.html index 1f69febf2754..97dba26b3ce9 100644 --- a/Apps/Sandcastle/gallery/Clipping Regions.html +++ b/Apps/Sandcastle/gallery/Clipping Regions.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -81,7 +81,7 @@ const cameraOffset = new Cesium.HeadingPitchRange( Cesium.Math.toRadians(95.0), Cesium.Math.toRadians(-18.0), - 600.0 + 600.0, ); viewer.zoomTo(buildingTileset, cameraOffset); @@ -103,7 +103,7 @@ [-105.00715641889735, 39.751312128419926], [-105.00715641889735, 39.75135429046085], [-105.0077102972673, 39.75198671798765], - ].flat(2) + ].flat(2), ), }), ]; @@ -153,20 +153,20 @@ Sandcastle.addToolbarButton("Remove last polygon", () => { if (worldTileset.clippingPolygons.length > 0) { worldTileset.clippingPolygons.remove( - worldTileset.clippingPolygons.get(worldTileset.clippingPolygons.length - 1) + worldTileset.clippingPolygons.get(worldTileset.clippingPolygons.length - 1), ); } if (scene.globe.clippingPolygons.length > 0) { scene.globe.clippingPolygons.remove( - scene.globe.clippingPolygons.get(scene.globe.clippingPolygons.length - 1) + scene.globe.clippingPolygons.get(scene.globe.clippingPolygons.length - 1), ); } }); // Allow clicking new positions to viewer.cesiumWidget.screenSpaceEventHandler.removeInputAction( - Cesium.ScreenSpaceEventType.LEFT_DOUBLE_CLICK + Cesium.ScreenSpaceEventType.LEFT_DOUBLE_CLICK, ); function createPoint(worldPosition) { const point = viewer.entities.add({ @@ -183,7 +183,7 @@ polygon: { hierarchy: positionData, material: new Cesium.ColorMaterialProperty( - Cesium.Color.WHITE.withAlpha(0.7) + Cesium.Color.WHITE.withAlpha(0.7), ), }, }); @@ -236,13 +236,13 @@ worldTileset.clippingPolygons.add( new Cesium.ClippingPolygon({ positions: positions, - }) + }), ); scene.globe.clippingPolygons.add( new Cesium.ClippingPolygon({ positions: positions, - }) + }), ); } diff --git a/Apps/Sandcastle/gallery/Clock.html b/Apps/Sandcastle/gallery/Clock.html index 1ee69685e109..31b0bacaaf32 100644 --- a/Apps/Sandcastle/gallery/Clock.html +++ b/Apps/Sandcastle/gallery/Clock.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/Cloud Parameters.html b/Apps/Sandcastle/gallery/Cloud Parameters.html index 917c0c141093..c1041be59629 100644 --- a/Apps/Sandcastle/gallery/Cloud Parameters.html +++ b/Apps/Sandcastle/gallery/Cloud Parameters.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -166,7 +166,7 @@ new Cesium.CloudCollection({ noiseDetail: 16.0, noiseOffset: Cesium.Cartesian3.ZERO, - }) + }), ); const cloudParameters = { @@ -189,7 +189,7 @@ maximumSize: new Cesium.Cartesian3( cloudParameters.maximumSizeX, cloudParameters.maximumSizeY, - cloudParameters.maximumSizeZ + cloudParameters.maximumSizeZ, ), color: getColor(cloudParameters.color), slice: cloudParameters.renderSlice ? cloudParameters.slice : -1.0, @@ -230,7 +230,7 @@ cloud.maximumSize = new Cesium.Cartesian3( value, cloud.maximumSize.y, - cloud.maximumSize.z + cloud.maximumSize.z, ); if (cloudParameters.scaleWithMaximumSize) { cloud.scale = new Cesium.Cartesian2(value, cloud.scale.y); @@ -244,7 +244,7 @@ cloud.maximumSize = new Cesium.Cartesian3( cloud.maximumSize.x, value, - cloud.maximumSize.z + cloud.maximumSize.z, ); if (cloudParameters.scaleWithMaximumSize) { cloud.scale = new Cesium.Cartesian2(cloud.scale.x, value); @@ -258,7 +258,7 @@ cloud.maximumSize = new Cesium.Cartesian3( cloud.maximumSize.x, cloud.maximumSize.y, - value + value, ); }); diff --git a/Apps/Sandcastle/gallery/Clouds.html b/Apps/Sandcastle/gallery/Clouds.html index 462303e522fd..1cc6fb17d3b2 100644 --- a/Apps/Sandcastle/gallery/Clouds.html +++ b/Apps/Sandcastle/gallery/Clouds.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -112,7 +112,7 @@ startLat, stopLat, minHeight, - maxHeight + maxHeight, ) { const rangeLong = stopLong - startLong; const rangeLat = stopLat - startLat; @@ -132,7 +132,7 @@ maximumSize: new Cesium.Cartesian3( aspectRatio * cloudHeight, cloudHeight, - depth + depth, ), slice: slice, }); diff --git a/Apps/Sandcastle/gallery/Clustering.html b/Apps/Sandcastle/gallery/Clustering.html index 886c5f93246d..a555999067c6 100644 --- a/Apps/Sandcastle/gallery/Clustering.html +++ b/Apps/Sandcastle/gallery/Clustering.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -79,8 +79,8 @@ const dataSourcePromise = viewer.dataSources.add( Cesium.KmlDataSource.load( "../../SampleData/kml/facilities/facilities.kml", - options - ) + options, + ), ); dataSourcePromise.then(function (dataSource) { const pixelRange = 15; @@ -133,7 +133,7 @@ cluster.billboard.image = singleDigitPins[clusteredEntities.length - 2]; } - } + }, ); } diff --git a/Apps/Sandcastle/gallery/Corridor.html b/Apps/Sandcastle/gallery/Corridor.html index 390bd5d1802e..9c3f64cdd1b6 100644 --- a/Apps/Sandcastle/gallery/Corridor.html +++ b/Apps/Sandcastle/gallery/Corridor.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -35,12 +35,7 @@ name: "Red corridor on surface with rounded corners", corridor: { positions: Cesium.Cartesian3.fromDegreesArray([ - -100.0, - 40.0, - -105.0, - 40.0, - -105.0, - 35.0, + -100.0, 40.0, -105.0, 40.0, -105.0, 35.0, ]), width: 200000.0, material: Cesium.Color.RED.withAlpha(0.5), @@ -51,12 +46,7 @@ name: "Green corridor at height with mitered corners and outline", corridor: { positions: Cesium.Cartesian3.fromDegreesArray([ - -90.0, - 40.0, - -95.0, - 40.0, - -95.0, - 35.0, + -90.0, 40.0, -95.0, 40.0, -95.0, 35.0, ]), height: 100000.0, width: 200000.0, @@ -70,12 +60,7 @@ name: "Blue extruded corridor with beveled corners and outline", corridor: { positions: Cesium.Cartesian3.fromDegreesArray([ - -80.0, - 40.0, - -85.0, - 40.0, - -85.0, - 35.0, + -80.0, 40.0, -85.0, 40.0, -85.0, 35.0, ]), height: 200000.0, extrudedHeight: 100000.0, diff --git a/Apps/Sandcastle/gallery/Custom DataSource.html b/Apps/Sandcastle/gallery/Custom DataSource.html index bbceaca79cb2..befe14976721 100644 --- a/Apps/Sandcastle/gallery/Custom DataSource.html +++ b/Apps/Sandcastle/gallery/Custom DataSource.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -319,12 +319,12 @@ const surfacePosition = Cesium.Cartesian3.fromDegrees( longitude, latitude, - 0 + 0, ); const heightPosition = Cesium.Cartesian3.fromDegrees( longitude, latitude, - height * heightScale + height * heightScale, ); //WebGL Globe only contains lines, so that's the only graphics we create. diff --git a/Apps/Sandcastle/gallery/Custom Geocoder.html b/Apps/Sandcastle/gallery/Custom Geocoder.html index cc5b41775547..91c7bdbf0016 100644 --- a/Apps/Sandcastle/gallery/Custom Geocoder.html +++ b/Apps/Sandcastle/gallery/Custom Geocoder.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -75,7 +75,7 @@ bboxDegrees[2], bboxDegrees[0], bboxDegrees[3], - bboxDegrees[1] + bboxDegrees[1], ), }; }); diff --git a/Apps/Sandcastle/gallery/Custom Per-Feature Post Process.html b/Apps/Sandcastle/gallery/Custom Per-Feature Post Process.html index a270dadebd97..d08090d9378d 100644 --- a/Apps/Sandcastle/gallery/Custom Per-Feature Post Process.html +++ b/Apps/Sandcastle/gallery/Custom Per-Feature Post Process.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -68,7 +68,7 @@ return new Cesium.Color(1.0, 0.0, 0.0, 0.5); }, }, - }) + }), ); stage.selected = []; diff --git a/Apps/Sandcastle/gallery/Custom Post Process.html b/Apps/Sandcastle/gallery/Custom Post Process.html index fb525ccdf7d6..39f5450cb271 100644 --- a/Apps/Sandcastle/gallery/Custom Post Process.html +++ b/Apps/Sandcastle/gallery/Custom Post Process.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -66,7 +66,7 @@ viewer.scene.postProcessStages.add( new Cesium.PostProcessStage({ fragmentShader: fragmentShaderSource, - }) + }), ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/Custom Shaders 3D Tiles.html b/Apps/Sandcastle/gallery/Custom Shaders 3D Tiles.html index 81011398d14c..459f9eb890c5 100644 --- a/Apps/Sandcastle/gallery/Custom Shaders 3D Tiles.html +++ b/Apps/Sandcastle/gallery/Custom Shaders 3D Tiles.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -63,12 +63,12 @@ const initialPosition = Cesium.Cartesian3.fromDegrees( -74.01881302800248, 40.69114333714821, - 753 + 753, ); const initialOrientation = new Cesium.HeadingPitchRoll.fromDegrees( 21.27879878293835, -21.34390550872461, - 0.0716951918898415 + 0.0716951918898415, ); viewer.scene.camera.setView({ destination: initialPosition, diff --git a/Apps/Sandcastle/gallery/Custom Shaders Models.html b/Apps/Sandcastle/gallery/Custom Shaders Models.html index 9bf81e62838f..d58a2b188632 100644 --- a/Apps/Sandcastle/gallery/Custom Shaders Models.html +++ b/Apps/Sandcastle/gallery/Custom Shaders Models.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -44,7 +44,7 @@ }); viewer.clock.currentTime = Cesium.JulianDate.fromIso8601( - "2021-11-09T20:27:37.016064475348684937Z" + "2021-11-09T20:27:37.016064475348684937Z", ); // Model positioning =============================================== @@ -53,7 +53,7 @@ const hpr = new Cesium.HeadingPitchRoll(0, 0, 0); const fixedFrameTransform = Cesium.Transforms.localFrameToFixedFrameGenerator( "north", - "west" + "west", ); // Custom Shader Definitions ======================================== @@ -394,9 +394,9 @@ position, hpr, Cesium.Ellipsoid.WGS84, - fixedFrameTransform + fixedFrameTransform, ), - }) + }), ); const removeListener = model.readyEvent.addEventListener(() => { @@ -452,7 +452,7 @@ const drag = Cesium.Cartesian3.subtract( movement.endPosition, dragCenter, - scratchDrag + scratchDrag, ); // Update uniforms diff --git a/Apps/Sandcastle/gallery/Custom Shaders Property Textures.html b/Apps/Sandcastle/gallery/Custom Shaders Property Textures.html index 3860b5f40c50..37efe139d9a4 100644 --- a/Apps/Sandcastle/gallery/Custom Shaders Property Textures.html +++ b/Apps/Sandcastle/gallery/Custom Shaders Property Textures.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/Cylinders and Cones.html b/Apps/Sandcastle/gallery/Cylinders and Cones.html index d4fb591f7b9f..72375fd294dd 100644 --- a/Apps/Sandcastle/gallery/Cylinders and Cones.html +++ b/Apps/Sandcastle/gallery/Cylinders and Cones.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/DataSource Ordering.html b/Apps/Sandcastle/gallery/DataSource Ordering.html index 24185aeba734..45fa783c0f6c 100644 --- a/Apps/Sandcastle/gallery/DataSource Ordering.html +++ b/Apps/Sandcastle/gallery/DataSource Ordering.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/Depth of Field.html b/Apps/Sandcastle/gallery/Depth of Field.html index 5dd5b71429a2..10ba3add6271 100644 --- a/Apps/Sandcastle/gallery/Depth of Field.html +++ b/Apps/Sandcastle/gallery/Depth of Field.html @@ -1,4 +1,4 @@ -ο»Ώ<!DOCTYPE html> +ο»Ώ<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -134,7 +134,7 @@ } const depthOfField = viewer.scene.postProcessStages.add( - Cesium.PostProcessStageLibrary.createDepthOfFieldStage() + Cesium.PostProcessStageLibrary.createDepthOfFieldStage(), ); function updatePostProcess() { @@ -149,12 +149,12 @@ const target = Cesium.Cartesian3.fromDegrees( initialLon + lonIncrement, lat, - height + 7.5 + height + 7.5, ); const offset = new Cesium.Cartesian3( -37.048378684557974, -24.852967044804245, - 4.352023653686047 + 4.352023653686047, ); viewer.scene.camera.lookAt(target, offset); //Sandcastle_End diff --git a/Apps/Sandcastle/gallery/Distance Display Conditions.html b/Apps/Sandcastle/gallery/Distance Display Conditions.html index b0c49b232560..7567770cdedb 100644 --- a/Apps/Sandcastle/gallery/Distance Display Conditions.html +++ b/Apps/Sandcastle/gallery/Distance Display Conditions.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/Drawing on Terrain.html b/Apps/Sandcastle/gallery/Drawing on Terrain.html index 49894b349e19..70bb8e0c92bb 100644 --- a/Apps/Sandcastle/gallery/Drawing on Terrain.html +++ b/Apps/Sandcastle/gallery/Drawing on Terrain.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -50,7 +50,7 @@ }); viewer.cesiumWidget.screenSpaceEventHandler.removeInputAction( - Cesium.ScreenSpaceEventType.LEFT_DOUBLE_CLICK + Cesium.ScreenSpaceEventType.LEFT_DOUBLE_CLICK, ); function createPoint(worldPosition) { const point = viewer.entities.add({ @@ -79,7 +79,7 @@ polygon: { hierarchy: positionData, material: new Cesium.ColorMaterialProperty( - Cesium.Color.WHITE.withAlpha(0.7) + Cesium.Color.WHITE.withAlpha(0.7), ), }, }); @@ -163,7 +163,7 @@ // Zoom in to an area with mountains viewer.camera.lookAt( Cesium.Cartesian3.fromDegrees(-122.2058, 46.1955, 1000.0), - new Cesium.Cartesian3(5000.0, 5000.0, 5000.0) + new Cesium.Cartesian3(5000.0, 5000.0, 5000.0), ); viewer.camera.lookAtTransform(Cesium.Matrix4.IDENTITY); //Sandcastle_End diff --git a/Apps/Sandcastle/gallery/Earth at Night.html b/Apps/Sandcastle/gallery/Earth at Night.html index a95fa39c5ddb..e3d14bafc1bf 100644 --- a/Apps/Sandcastle/gallery/Earth at Night.html +++ b/Apps/Sandcastle/gallery/Earth at Night.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -35,7 +35,7 @@ // The Earth at Night, also known as Black Marble 2017 and Night Lights const viewer = new Cesium.Viewer("cesiumContainer", { baseLayer: new Cesium.ImageryLayer.fromProviderAsync( - Cesium.IonImageryProvider.fromAssetId(3812) + Cesium.IonImageryProvider.fromAssetId(3812), ), }); @@ -47,7 +47,7 @@ const imageryLayers = viewer.imageryLayers; const nightLayer = imageryLayers.get(0); const dayLayer = Cesium.ImageryLayer.fromProviderAsync( - Cesium.IonImageryProvider.fromAssetId(3845) + Cesium.IonImageryProvider.fromAssetId(3845), ); imageryLayers.add(dayLayer); imageryLayers.lowerToBottom(dayLayer); @@ -64,11 +64,13 @@ updateLighting(dynamicLighting); - Sandcastle.addToggleButton("Dynamic lighting", dynamicLighting, function ( - checked - ) { - updateLighting(checked); - }); + Sandcastle.addToggleButton( + "Dynamic lighting", + dynamicLighting, + function (checked) { + updateLighting(checked); + }, + ); //Sandcastle_End }; if (typeof Cesium !== "undefined") { diff --git a/Apps/Sandcastle/gallery/Elevation Band Material.html b/Apps/Sandcastle/gallery/Elevation Band Material.html index 771e4730c438..1d0c8c552817 100644 --- a/Apps/Sandcastle/gallery/Elevation Band Material.html +++ b/Apps/Sandcastle/gallery/Elevation Band Material.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -122,7 +122,7 @@ destination: new Cesium.Cartesian3( 290637.5534733206, 5637471.593707632, - 2978256.8126927214 + 2978256.8126927214, ), orientation: { heading: 4.747266966349747, diff --git a/Apps/Sandcastle/gallery/Export KML.html b/Apps/Sandcastle/gallery/Export KML.html index 6a7bee346ce1..242a6407ca34 100644 --- a/Apps/Sandcastle/gallery/Export KML.html +++ b/Apps/Sandcastle/gallery/Export KML.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -111,7 +111,7 @@ reset(); filenameToSave = "Satellites.kmz"; dataSourcePromise = Cesium.CzmlDataSource.load( - "../../SampleData/simple.czml" + "../../SampleData/simple.czml", ); viewer.dataSources.add(dataSourcePromise); @@ -130,7 +130,7 @@ }, }, ], - "propertiesMenu" + "propertiesMenu", ); Sandcastle.addToolbarButton("Download", function () { diff --git a/Apps/Sandcastle/gallery/FXAA.html b/Apps/Sandcastle/gallery/FXAA.html index f454f27c60e1..8fcb8d2b06ee 100644 --- a/Apps/Sandcastle/gallery/FXAA.html +++ b/Apps/Sandcastle/gallery/FXAA.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -37,12 +37,12 @@ destination: new Cesium.Cartesian3( 1331419.302230775, -4656681.5022043325, - 4136232.6465900405 + 4136232.6465900405, ), orientation: new Cesium.HeadingPitchRoll( 6.032455545102689, -0.056832496140112765, - 6.282360923090216 + 6.282360923090216, ), endTransform: Cesium.Matrix4.IDENTITY, }); diff --git a/Apps/Sandcastle/gallery/Fog Post Process.html b/Apps/Sandcastle/gallery/Fog Post Process.html index 4baffa1732f9..8896ca04c059 100644 --- a/Apps/Sandcastle/gallery/Fog Post Process.html +++ b/Apps/Sandcastle/gallery/Fog Post Process.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -33,12 +33,12 @@ destination: new Cesium.Cartesian3( 1216356.033078094, -4736402.278325668, - 4081270.375520902 + 4081270.375520902, ), orientation: new Cesium.HeadingPitchRoll( 0.08033365594766728, -0.29519015695063455, - 0.00027759141518046704 + 0.00027759141518046704, ), endTransform: Cesium.Matrix4.IDENTITY, }); @@ -93,7 +93,7 @@ fogByDistance: new Cesium.Cartesian4(10, 0.0, 200, 1.0), fogColor: Cesium.Color.BLACK, }, - }) + }), ); try { diff --git a/Apps/Sandcastle/gallery/GPX.html b/Apps/Sandcastle/gallery/GPX.html index 05c87d8d7f1b..6380c10f015a 100755 --- a/Apps/Sandcastle/gallery/GPX.html +++ b/Apps/Sandcastle/gallery/GPX.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -46,7 +46,7 @@ .add( Cesium.GpxDataSource.load("../../SampleData/gpx/lamina.gpx", { clampToGround: true, - }) + }), ) .then(function (dataSource) { viewer.zoomTo(dataSource.entities); @@ -60,7 +60,7 @@ .add( Cesium.GpxDataSource.load("../../SampleData/gpx/route.gpx", { clampToGround: true, - }) + }), ) .then(function (dataSource) { viewer.zoomTo(dataSource.entities); @@ -74,7 +74,7 @@ .add( Cesium.GpxDataSource.load("../../SampleData/gpx/wpt.gpx", { clampToGround: true, - }) + }), ) .then(function (dataSource) { viewer.zoomTo(dataSource.entities); @@ -88,7 +88,7 @@ .add( Cesium.GpxDataSource.load("../../SampleData/gpx/complexTrk.gpx", { clampToGround: true, - }) + }), ) .then(function (dataSource) { viewer.zoomTo(dataSource.entities); @@ -106,9 +106,9 @@ waypointImage: pinBuilder.fromMakiIconId( "bicycle", Cesium.Color.BLUE, - 48 + 48, ), - }) + }), ) .then(function (dataSource) { viewer.zoomTo(dataSource.entities); @@ -116,7 +116,7 @@ }, }, ], - "toolbar" + "toolbar", ); Sandcastle.reset = function () { diff --git a/Apps/Sandcastle/gallery/GeoJSON and TopoJSON.html b/Apps/Sandcastle/gallery/GeoJSON and TopoJSON.html index 930b2e667afa..b4f6c76e5e72 100644 --- a/Apps/Sandcastle/gallery/GeoJSON and TopoJSON.html +++ b/Apps/Sandcastle/gallery/GeoJSON and TopoJSON.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -37,7 +37,7 @@ //Example 1: Load with default styling. Sandcastle.addDefaultToolbarButton("Default styling", function () { viewer.dataSources.add( - Cesium.GeoJsonDataSource.load("../../SampleData/ne_10m_us_states.topojson") + Cesium.GeoJsonDataSource.load("../../SampleData/ne_10m_us_states.topojson"), ); }); @@ -48,7 +48,7 @@ stroke: Cesium.Color.HOTPINK, fill: Cesium.Color.PINK.withAlpha(0.5), strokeWidth: 3, - }) + }), ); }); @@ -58,7 +58,7 @@ Cesium.Math.setRandomNumberSeed(0); const promise = Cesium.GeoJsonDataSource.load( - "../../SampleData/ne_10m_us_states.topojson" + "../../SampleData/ne_10m_us_states.topojson", ); promise .then(function (dataSource) { @@ -106,7 +106,7 @@ //Set the camera to a US centered tilted view and switch back to moving in world coordinates. viewer.camera.lookAt( Cesium.Cartesian3.fromDegrees(-98.0, 40.0), - new Cesium.Cartesian3(0.0, -4790000.0, 3930000.0) + new Cesium.Cartesian3(0.0, -4790000.0, 3930000.0), ); viewer.camera.lookAtTransform(Cesium.Matrix4.IDENTITY); }; diff --git a/Apps/Sandcastle/gallery/GeoJSON simplestyle.html b/Apps/Sandcastle/gallery/GeoJSON simplestyle.html index 3ee0cbfad8d2..4d2449862f8d 100644 --- a/Apps/Sandcastle/gallery/GeoJSON simplestyle.html +++ b/Apps/Sandcastle/gallery/GeoJSON simplestyle.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -42,7 +42,7 @@ }); const dataSource = Cesium.GeoJsonDataSource.load( - "../../SampleData/simplestyles.geojson" + "../../SampleData/simplestyles.geojson", ); viewer.dataSources.add(dataSource); viewer.zoomTo(dataSource); diff --git a/Apps/Sandcastle/gallery/Geometry Height Reference.html b/Apps/Sandcastle/gallery/Geometry Height Reference.html index 9134e34b78b9..247787e4a6d1 100644 --- a/Apps/Sandcastle/gallery/Geometry Height Reference.html +++ b/Apps/Sandcastle/gallery/Geometry Height Reference.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -162,8 +162,8 @@ new Cesium.HeadingPitchRange( -Cesium.Math.PI / 2, -Cesium.Math.PI_OVER_FOUR, - 2000 - ) + 2000, + ), ); viewer.camera.lookAtTransform(Cesium.Matrix4.IDENTITY); } diff --git a/Apps/Sandcastle/gallery/Geometry and Appearances.html b/Apps/Sandcastle/gallery/Geometry and Appearances.html index 5c46e81ce62b..77eed74eff32 100644 --- a/Apps/Sandcastle/gallery/Geometry and Appearances.html +++ b/Apps/Sandcastle/gallery/Geometry and Appearances.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -61,17 +61,8 @@ polygon: { hierarchy: new Cesium.PolygonHierarchy( Cesium.Cartesian3.fromDegreesArray([ - -107.0, - 27.0, - -107.0, - 22.0, - -102.0, - 23.0, - -97.0, - 21.0, - -97.0, - 25.0, - ]) + -107.0, 27.0, -107.0, 22.0, -102.0, 23.0, -97.0, 21.0, -97.0, 25.0, + ]), ), outline: true, outlineColor: Cesium.Color.WHITE, @@ -139,15 +130,8 @@ polygon: { hierarchy: new Cesium.PolygonHierarchy( Cesium.Cartesian3.fromDegreesArray([ - -118.0, - 30.0, - -115.0, - 30.0, - -117.1, - 31.1, - -118.0, - 33.0, - ]) + -118.0, 30.0, -115.0, 30.0, -117.1, 31.1, -118.0, 33.0, + ]), ), height: 300000.0, extrudedHeight: 700000.0, @@ -163,15 +147,8 @@ cylinder: { hierarchy: new Cesium.PolygonHierarchy( Cesium.Cartesian3.fromDegreesArray([ - -118.0, - 30.0, - -115.0, - 30.0, - -117.1, - 31.1, - -118.0, - 33.0, - ]) + -118.0, 30.0, -115.0, 30.0, -117.1, 31.1, -118.0, 33.0, + ]), ), length: 200000.0, topRadius: 150000.0, @@ -222,12 +199,7 @@ entities.add({ wall: { positions: Cesium.Cartesian3.fromDegreesArray([ - -95.0, - 50.0, - -85.0, - 50.0, - -75.0, - 50.0, + -95.0, 50.0, -85.0, 50.0, -75.0, 50.0, ]), maximumHeights: [500000, 1000000, 500000], minimumHeights: [0, 500000, 0], @@ -249,50 +221,22 @@ polygon: { hierarchy: { positions: Cesium.Cartesian3.fromDegreesArray([ - -109.0, - 30.0, - -95.0, - 30.0, - -95.0, - 40.0, - -109.0, - 40.0, + -109.0, 30.0, -95.0, 30.0, -95.0, 40.0, -109.0, 40.0, ]), holes: [ { positions: Cesium.Cartesian3.fromDegreesArray([ - -107.0, - 31.0, - -107.0, - 39.0, - -97.0, - 39.0, - -97.0, - 31.0, + -107.0, 31.0, -107.0, 39.0, -97.0, 39.0, -97.0, 31.0, ]), holes: [ { positions: Cesium.Cartesian3.fromDegreesArray([ - -105.0, - 33.0, - -99.0, - 33.0, - -99.0, - 37.0, - -105.0, - 37.0, + -105.0, 33.0, -99.0, 33.0, -99.0, 37.0, -105.0, 37.0, ]), holes: [ { positions: Cesium.Cartesian3.fromDegreesArray([ - -103.0, - 34.0, - -101.0, - 34.0, - -101.0, - 36.0, - -103.0, - 36.0, + -103.0, 34.0, -101.0, 34.0, -101.0, 36.0, -103.0, 36.0, ]), }, ], @@ -351,17 +295,8 @@ polygon: { hierarchy: new Cesium.PolygonHierarchy( Cesium.Cartesian3.fromDegreesArray([ - -113.0, - 30.0, - -110.0, - 30.0, - -110.0, - 33.0, - -111.5, - 31.0, - -113.0, - 33.0, - ]) + -113.0, 30.0, -110.0, 30.0, -110.0, 33.0, -111.5, 31.0, -113.0, 33.0, + ]), ), extrudedHeight: 300000.0, material: Cesium.Color.fromRandom({ alpha: 1.0 }), @@ -373,15 +308,8 @@ cylinder: { hierarchy: new Cesium.PolygonHierarchy( Cesium.Cartesian3.fromDegreesArray([ - -118.0, - 30.0, - -115.0, - 30.0, - -117.1, - 31.1, - -118.0, - 33.0, - ]) + -118.0, 30.0, -115.0, 30.0, -117.1, 31.1, -118.0, 33.0, + ]), ), length: 400000.0, topRadius: 0.0, @@ -463,21 +391,8 @@ entities.add({ wall: { positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -90.0, - 43.0, - 100000.0, - -87.5, - 45.0, - 100000.0, - -85.0, - 43.0, - 100000.0, - -87.5, - 41.0, - 100000.0, - -90.0, - 43.0, - 100000.0, + -90.0, 43.0, 100000.0, -87.5, 45.0, 100000.0, -85.0, 43.0, 100000.0, -87.5, + 41.0, 100000.0, -90.0, 43.0, 100000.0, ]), material: new Cesium.CheckerboardMaterialProperty({ repeat: new Cesium.Cartesian2(20.0, 6.0), @@ -488,12 +403,7 @@ entities.add({ corridor: { positions: Cesium.Cartesian3.fromDegreesArray([ - -120.0, - 45.0, - -125.0, - 50.0, - -125.0, - 55.0, + -120.0, 45.0, -125.0, 50.0, -125.0, 55.0, ]), width: 100000, material: Cesium.Color.fromRandom({ alpha: 1.0 }), @@ -503,12 +413,7 @@ entities.add({ corridor: { positions: Cesium.Cartesian3.fromDegreesArray([ - -120.0, - 45.0, - -125.0, - 50.0, - -125.0, - 55.0, + -120.0, 45.0, -125.0, 50.0, -125.0, 55.0, ]), width: 100000, height: 300000, @@ -520,12 +425,7 @@ entities.add({ corridor: { positions: Cesium.Cartesian3.fromDegreesArray([ - -120.0, - 45.0, - -125.0, - 50.0, - -125.0, - 55.0, + -120.0, 45.0, -125.0, 50.0, -125.0, 55.0, ]), width: 100000, height: 700000, @@ -543,7 +443,7 @@ const r = i % 2 === 0 ? rOuter : rInner; const p = new Cesium.Cartesian2( Math.cos(i * angle) * r, - Math.sin(i * angle) * r + Math.sin(i * angle) * r, ); pos.push(p); } @@ -553,15 +453,7 @@ entities.add({ polylineVolume: { positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -102.0, - 15.0, - 100000.0, - -105.0, - 20.0, - 200000.0, - -110.0, - 20.0, - 100000.0, + -102.0, 15.0, 100000.0, -105.0, 20.0, 200000.0, -110.0, 20.0, 100000.0, ]), shape: starPositions(7, 30000.0, 20000.0), outline: true, @@ -574,12 +466,7 @@ entities.add({ polylineVolume: { positions: Cesium.Cartesian3.fromDegreesArray([ - -102.0, - 15.0, - -105.0, - 20.0, - -110.0, - 20.0, + -102.0, 15.0, -105.0, 20.0, -110.0, 20.0, ]), shape: starPositions(7, 30000.0, 20000.0), material: Cesium.Color.fromRandom({ alpha: 1.0 }), @@ -593,8 +480,8 @@ positions.push( new Cesium.Cartesian2( radius * Math.cos(radians), - radius * Math.sin(radians) - ) + radius * Math.sin(radians), + ), ); } return positions; @@ -603,12 +490,7 @@ entities.add({ polylineVolume: { positions: Cesium.Cartesian3.fromDegreesArray([ - -104.0, - 13.0, - -107.0, - 18.0, - -112.0, - 18.0, + -104.0, 13.0, -107.0, 18.0, -112.0, 18.0, ]), shape: computeCircle(40000.0), material: Cesium.Color.WHITE, diff --git a/Apps/Sandcastle/gallery/Globe Interior.html b/Apps/Sandcastle/gallery/Globe Interior.html index 22ca69e52ed7..0deb07a51e34 100644 --- a/Apps/Sandcastle/gallery/Globe Interior.html +++ b/Apps/Sandcastle/gallery/Globe Interior.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -71,7 +71,7 @@ -120.0, 0.0, -30.0, - 45.0 + 45.0, ); } @@ -103,7 +103,7 @@ radii: new Cesium.Cartesian3( innerCoreRadius, innerCoreRadius, - innerCoreRadius + innerCoreRadius, ), material: Cesium.Color.YELLOW, }, @@ -116,7 +116,7 @@ radii: new Cesium.Cartesian3( outerCoreRadius, outerCoreRadius, - outerCoreRadius + outerCoreRadius, ), material: Cesium.Color.ORANGE, }, diff --git "a/Apps/Sandcastle/gallery/Globe Materials \342\200\223 Water Mask Elevation Map.html" "b/Apps/Sandcastle/gallery/Globe Materials \342\200\223 Water Mask Elevation Map.html" index 40121c0bdde9..06910c978201 100644 --- "a/Apps/Sandcastle/gallery/Globe Materials \342\200\223 Water Mask Elevation Map.html" +++ "b/Apps/Sandcastle/gallery/Globe Materials \342\200\223 Water Mask Elevation Map.html" @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -110,12 +110,12 @@ scene.preRender.addEventListener(function (scene, time) { const surfaceNormal = globe.ellipsoid.geodeticSurfaceNormal( scene.camera.positionWC, - scratchNormal + scratchNormal, ); const negativeNormal = Cesium.Cartesian3.negate(surfaceNormal, surfaceNormal); scene.light.direction = Cesium.Cartesian3.normalize( Cesium.Cartesian3.add(negativeNormal, scene.camera.rightWC, surfaceNormal), - scene.light.direction + scene.light.direction, ); }); //Sandcastle_End diff --git a/Apps/Sandcastle/gallery/Globe Materials.html b/Apps/Sandcastle/gallery/Globe Materials.html index 0a2bb14e6ef4..ea2551357a80 100644 --- a/Apps/Sandcastle/gallery/Globe Materials.html +++ b/Apps/Sandcastle/gallery/Globe Materials.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -329,7 +329,7 @@ destination: new Cesium.Cartesian3( 322100.7492728492, 5917960.047024654, - 3077602.646977297 + 3077602.646977297, ), orientation: { heading: 5.988151498702285, @@ -337,9 +337,8 @@ roll: 0, }, }); - viewer.clockViewModel.currentTime = Cesium.JulianDate.fromIso8601( - "2017-09-22T04:00:00Z" - ); + viewer.clockViewModel.currentTime = + Cesium.JulianDate.fromIso8601("2017-09-22T04:00:00Z"); }, }, { @@ -349,7 +348,7 @@ destination: new Cesium.Cartesian3( -2495709.521843174, -4391600.804712465, - 3884463.7192916023 + 3884463.7192916023, ), orientation: { heading: 1.7183056487769202, @@ -357,9 +356,8 @@ roll: 0.0079181631783527, }, }); - viewer.clockViewModel.currentTime = Cesium.JulianDate.fromIso8601( - "2017-09-22T18:00:00Z" - ); + viewer.clockViewModel.currentTime = + Cesium.JulianDate.fromIso8601("2017-09-22T18:00:00Z"); }, }, { @@ -369,7 +367,7 @@ destination: new Cesium.Cartesian3( -2301222.367751603, -3485269.915771613, - 4812080.961755785 + 4812080.961755785, ), orientation: { heading: 0.11355958593902571, @@ -377,9 +375,8 @@ roll: 0.00039019018274721873, }, }); - viewer.clockViewModel.currentTime = Cesium.JulianDate.fromIso8601( - "2017-09-22T18:00:00Z" - ); + viewer.clockViewModel.currentTime = + Cesium.JulianDate.fromIso8601("2017-09-22T18:00:00Z"); }, }, { @@ -389,7 +386,7 @@ destination: new Cesium.Cartesian3( 282157.6960889096, 5638892.465594703, - 2978736.186473513 + 2978736.186473513, ), orientation: { heading: 4.747266966349747, @@ -397,13 +394,12 @@ roll: 6.280340554587955, }, }); - viewer.clockViewModel.currentTime = Cesium.JulianDate.fromIso8601( - "2017-09-22T04:00:00Z" - ); + viewer.clockViewModel.currentTime = + Cesium.JulianDate.fromIso8601("2017-09-22T04:00:00Z"); }, }, ], - "zoomButtons" + "zoomButtons", ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/Globe Translucency.html b/Apps/Sandcastle/gallery/Globe Translucency.html index 2fc38c4f7481..cf066f021bf7 100644 --- a/Apps/Sandcastle/gallery/Globe Translucency.html +++ b/Apps/Sandcastle/gallery/Globe Translucency.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -93,7 +93,7 @@ 400.0, 0.0, 800.0, - 1.0 + 1.0, ); const longitude = -3.82518; @@ -114,43 +114,19 @@ polygon: { hierarchy: new Cesium.PolygonHierarchy( Cesium.Cartesian3.fromDegreesArrayHeights([ - -3.8152789692233817, - 53.124521420389996, - 200.20779492422255, - -3.8165955002619016, - 53.12555934545405, - 205.85834336951655, - -3.8201599842222054, - 53.12388420656903, - 230.82362697069453, - -3.8198667503545027, - 53.123748567587455, - 225.53297006293968, - -3.8190548496317476, - 53.1240486000822, - 221.82677773619432, - -3.817536387097508, - 53.122763476393764, - 209.94136782255705, - -3.8169125359199336, - 53.12285547981627, - 210.96626238861327, - -3.8166873871853073, - 53.12299403424474, - 211.02223937734595, - -3.8163695374580873, - 53.12300505277307, - 211.25942926271824, - -3.8162743040622313, - 53.12281471203994, - 212.35109129094147, - -3.8159746138174193, - 53.12280996651767, - 214.87977416348798, - -3.815429896849304, - 53.1236135347983, - 209.72496223706005, - ]) + -3.8152789692233817, 53.124521420389996, 200.20779492422255, + -3.8165955002619016, 53.12555934545405, 205.85834336951655, + -3.8201599842222054, 53.12388420656903, 230.82362697069453, + -3.8198667503545027, 53.123748567587455, 225.53297006293968, + -3.8190548496317476, 53.1240486000822, 221.82677773619432, + -3.817536387097508, 53.122763476393764, 209.94136782255705, + -3.8169125359199336, 53.12285547981627, 210.96626238861327, + -3.8166873871853073, 53.12299403424474, 211.02223937734595, + -3.8163695374580873, 53.12300505277307, 211.25942926271824, + -3.8162743040622313, 53.12281471203994, 212.35109129094147, + -3.8159746138174193, 53.12280996651767, 214.87977416348798, + -3.815429896849304, 53.1236135347983, 209.72496223706005, + ]), ), material: Cesium.Color.LIME.withAlpha(0.5), classificationType: Cesium.ClassificationType.TERRAIN, @@ -160,69 +136,27 @@ const polyline = viewer.entities.add({ polyline: { positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -3.8098444201746373, - 53.1190304262546, - 286.1875170545701, - -3.8099801237370663, - 53.119539531697576, - 288.7733884242394, - -3.810165716635671, - 53.11979180761567, - 290.9294630315179, - -3.8104840812145357, - 53.12007534956926, - 292.6392327626228, - -3.8105689502073554, - 53.120259094792196, - 292.222036965774, - -3.811027311824268, - 53.120409248874196, - 289.61356291617307, - -3.811530473295422, - 53.12063281057782, - 284.01098712543586, - -3.8120545342562693, - 53.120742539082435, - 280.118191867836, - -3.812444493044727, - 53.120813289759326, - 276.0400221387852, - -3.812779626711285, - 53.12094275348024, - 271.1187399484896, - -3.8133560322579494, - 53.12104757866638, - 263.3495497598578, - -3.8137266493960085, - 53.12120789867194, - 257.73878624321316, - -3.8142552291751133, - 53.121321248522904, - 251.87265828778177, - -3.814322603988525, - 53.12174170121103, - 238.7082749547689, - -3.8143764268391314, - 53.1219492923309, - 235.0371831845662, - -3.8148156514145786, - 53.12210819668669, - 230.2458816627467, - -3.8155394721966163, - 53.1222990144029, - 221.33319292262706, - -3.8159828072920927, - 53.12203093429715, - 223.66664756982703, - -3.816678108944717, - 53.12183939425214, - 223.8787312412801, - -3.817466081093726, - 53.121751900508535, - 224.52293229989735, - -3.8183082996527955, - 53.12173266141031, - 223.3672181535749, + -3.8098444201746373, 53.1190304262546, 286.1875170545701, + -3.8099801237370663, 53.119539531697576, 288.7733884242394, + -3.810165716635671, 53.11979180761567, 290.9294630315179, + -3.8104840812145357, 53.12007534956926, 292.6392327626228, + -3.8105689502073554, 53.120259094792196, 292.222036965774, + -3.811027311824268, 53.120409248874196, 289.61356291617307, + -3.811530473295422, 53.12063281057782, 284.01098712543586, + -3.8120545342562693, 53.120742539082435, 280.118191867836, + -3.812444493044727, 53.120813289759326, 276.0400221387852, + -3.812779626711285, 53.12094275348024, 271.1187399484896, + -3.8133560322579494, 53.12104757866638, 263.3495497598578, + -3.8137266493960085, 53.12120789867194, 257.73878624321316, + -3.8142552291751133, 53.121321248522904, 251.87265828778177, + -3.814322603988525, 53.12174170121103, 238.7082749547689, + -3.8143764268391314, 53.1219492923309, 235.0371831845662, + -3.8148156514145786, 53.12210819668669, 230.2458816627467, + -3.8155394721966163, 53.1222990144029, 221.33319292262706, + -3.8159828072920927, 53.12203093429715, 223.66664756982703, + -3.816678108944717, 53.12183939425214, 223.8787312412801, + -3.817466081093726, 53.121751900508535, 224.52293229989735, + -3.8183082996527955, 53.12173266141031, 223.3672181535749, ]), width: 8, material: new Cesium.PolylineOutlineMaterialProperty({ @@ -271,12 +205,12 @@ destination: new Cesium.Cartesian3( 3826465.9884728403, -254831.02751468265, - 5081387.671561018 + 5081387.671561018, ), orientation: new Cesium.HeadingPitchRoll( 3.3889450556243754, -0.5276382514771969, - 6.282272566663295 + 6.282272566663295, ), endTransform: Cesium.Matrix4.IDENTITY, }); @@ -285,12 +219,12 @@ destination: new Cesium.Cartesian3( 3827270.552916987, -255123.18143177085, - 5079147.091351856 + 5079147.091351856, ), orientation: new Cesium.HeadingPitchRoll( 3.2624281242239963, -0.22213535190506972, - 6.282786783842843 + 6.282786783842843, ), duration: 0.0, }); //Sandcastle_End diff --git a/Apps/Sandcastle/gallery/Google Earth Enterprise.html b/Apps/Sandcastle/gallery/Google Earth Enterprise.html index d52a5c896819..651d2114543f 100644 --- a/Apps/Sandcastle/gallery/Google Earth Enterprise.html +++ b/Apps/Sandcastle/gallery/Google Earth Enterprise.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -38,18 +38,17 @@ new Cesium.Resource({ url: "http://www.earthenterprise.org/3d", proxy: new Cesium.DefaultProxy("/proxy/"), - }) + }), ); - viewer.scene.terrainProvider = Cesium.GoogleEarthEnterpriseTerrainProvider.fromMetadata( - geeMetadata - ); + viewer.scene.terrainProvider = + Cesium.GoogleEarthEnterpriseTerrainProvider.fromMetadata(geeMetadata); const layers = viewer.scene.imageryLayers; const blackMarble = new Cesium.ImageryLayer( new Cesium.GoogleEarthEnterpriseImageryProvider({ metadata: geeMetadata, - }) + }), ); layers.add(blackMarble); } catch (error) { diff --git a/Apps/Sandcastle/gallery/Google Photorealistic 3D Tiles with Building Insert.html b/Apps/Sandcastle/gallery/Google Photorealistic 3D Tiles with Building Insert.html index aafbf1c34dbc..6437a2fb7c19 100644 --- a/Apps/Sandcastle/gallery/Google Photorealistic 3D Tiles with Building Insert.html +++ b/Apps/Sandcastle/gallery/Google Photorealistic 3D Tiles with Building Insert.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -67,7 +67,7 @@ [-105.00715641889735, 39.751312128419926], [-105.00715641889735, 39.75135429046085], [-105.0077102972673, 39.75198671798765], - ].flat(2) + ].flat(2), ), material: Cesium.Color.YELLOW.withAlpha(0.6), classificationType: Cesium.ClassificationType.CESIUM_3D_TILE, @@ -89,7 +89,7 @@ const cameraOffset = new Cesium.HeadingPitchRange( Cesium.Math.toRadians(95.0), Cesium.Math.toRadians(-18.0), - 600.0 + 600.0, ); viewer.zoomTo(buildingTileset, cameraOffset); diff --git a/Apps/Sandcastle/gallery/Google Photorealistic 3D Tiles.html b/Apps/Sandcastle/gallery/Google Photorealistic 3D Tiles.html index 7635f7233165..d4d68c1c97d6 100644 --- a/Apps/Sandcastle/gallery/Google Photorealistic 3D Tiles.html +++ b/Apps/Sandcastle/gallery/Google Photorealistic 3D Tiles.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -54,12 +54,12 @@ destination: new Cesium.Cartesian3( -2693797.551060477, -4297135.517094725, - 3854700.7470414364 + 3854700.7470414364, ), orientation: new Cesium.HeadingPitchRoll( 4.6550106925119925, -0.2863894863138836, - 1.3561760425773173e-7 + 1.3561760425773173e-7, ), }); //Sandcastle_End Sandcastle.finishedLoading(); diff --git a/Apps/Sandcastle/gallery/HTML Overlays.html b/Apps/Sandcastle/gallery/HTML Overlays.html index 4f9d23c8b25d..d35dcb4b3ef4 100644 --- a/Apps/Sandcastle/gallery/HTML Overlays.html +++ b/Apps/Sandcastle/gallery/HTML Overlays.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -46,7 +46,7 @@ const position = Cesium.Cartesian3.fromDegrees(-75.59777, 40.03883); const canvasPosition = viewer.scene.cartesianToCanvasCoordinates( position, - scratch + scratch, ); if (Cesium.defined(canvasPosition)) { htmlOverlay.style.top = `${canvasPosition.y}px`; diff --git a/Apps/Sandcastle/gallery/HeadingPitchRoll.html b/Apps/Sandcastle/gallery/HeadingPitchRoll.html index adc2ec24dcde..26c92dc047c0 100644 --- a/Apps/Sandcastle/gallery/HeadingPitchRoll.html +++ b/Apps/Sandcastle/gallery/HeadingPitchRoll.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -113,7 +113,7 @@ <h1>Loading...</h1> let speedVector = new Cesium.Cartesian3(); const fixedFrameTransform = Cesium.Transforms.localFrameToFixedFrameGenerator( "north", - "west" + "west", ); const headingSpan = document.getElementById("heading"); @@ -130,10 +130,10 @@ <h1>Loading...</h1> position, hpRoll, Cesium.Ellipsoid.WGS84, - fixedFrameTransform + fixedFrameTransform, ), minimumPixelSize: 128, - }) + }), ); planePrimitive.readyEvent.addEventListener(() => { @@ -219,12 +219,12 @@ <h1>Loading...</h1> speedVector = Cesium.Cartesian3.multiplyByScalar( Cesium.Cartesian3.UNIT_X, speed / 10, - speedVector + speedVector, ); position = Cesium.Matrix4.multiplyByPoint( planePrimitive.modelMatrix, speedVector, - position + position, ); pathPosition.addSample(Cesium.JulianDate.now(), position); Cesium.Transforms.headingPitchRollToFixedFrame( @@ -232,7 +232,7 @@ <h1>Loading...</h1> hpRoll, Cesium.Ellipsoid.WGS84, fixedFrameTransform, - planePrimitive.modelMatrix + planePrimitive.modelMatrix, ); if (fromBehind.checked) { diff --git a/Apps/Sandcastle/gallery/Hello World.html b/Apps/Sandcastle/gallery/Hello World.html index 23d9f6b702cb..e0243a45af91 100644 --- a/Apps/Sandcastle/gallery/Hello World.html +++ b/Apps/Sandcastle/gallery/Hello World.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/High Dynamic Range.html b/Apps/Sandcastle/gallery/High Dynamic Range.html index e3238deabb3a..00aefefcac0e 100644 --- a/Apps/Sandcastle/gallery/High Dynamic Range.html +++ b/Apps/Sandcastle/gallery/High Dynamic Range.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -77,7 +77,7 @@ function (checked) { viewer.scene.highDynamicRange = checked; }, - "hdr-toggle" + "hdr-toggle", ); const toneMapOptions = [ @@ -134,7 +134,7 @@ const position = Cesium.Cartesian3.fromRadians( -1.9516424279517286, 0.6322397098422969, - 1239.0006814631095 + 1239.0006814631095, ); const heading = Cesium.Math.toRadians(-15.0); const pitch = 0; @@ -158,12 +158,12 @@ destination: new Cesium.Cartesian3( -1915097.7863741855, -4783356.851539908, - 3748887.43462683 + 3748887.43462683, ), orientation: new Cesium.HeadingPitchRoll( 6.166004548388564, -0.043242401760068994, - 0.002179961955988574 + 0.002179961955988574, ), endTransform: Cesium.Matrix4.IDENTITY, }); @@ -178,12 +178,12 @@ destination: new Cesium.Cartesian3( -1915097.7863741855, -4783356.851539908, - 3748887.43462683 + 3748887.43462683, ), orientation: new Cesium.HeadingPitchRoll( 6.166004548388564, -0.043242401760068994, - 0.002179961955988574 + 0.002179961955988574, ), endTransform: Cesium.Matrix4.IDENTITY, }); diff --git a/Apps/Sandcastle/gallery/I3S 3D Object Layer.html b/Apps/Sandcastle/gallery/I3S 3D Object Layer.html index d54509ef4471..2046fe9d401d 100644 --- a/Apps/Sandcastle/gallery/I3S 3D Object Layer.html +++ b/Apps/Sandcastle/gallery/I3S 3D Object Layer.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -51,7 +51,7 @@ <h1>Loading...</h1> // If this is not specified, or the URL is invalid no geoid conversion will be applied. // The source data used in this transcoding service was compiled from https://earth-info.nga.mil/#tab_wgs84-data and is based on EGM2008 Gravity Model const geoidService = await Cesium.ArcGISTiledElevationTerrainProvider.fromUrl( - "https://tiles.arcgis.com/tiles/z2tnIkrLQ2BRzr6P/arcgis/rest/services/EGM2008/ImageServer" + "https://tiles.arcgis.com/tiles/z2tnIkrLQ2BRzr6P/arcgis/rest/services/EGM2008/ImageServer", ); // Create i3s options to pass optional parameters useful for debugging and visualizing const i3sOptions = { @@ -61,7 +61,7 @@ <h1>Loading...</h1> // Create I3S data provider const i3sProvider = await Cesium.I3SDataProvider.fromUrl( tours["San Francisco"], - i3sOptions + i3sOptions, ); // Add the i3s layer provider as a primitive data type diff --git a/Apps/Sandcastle/gallery/I3S Building Scene Layer.html b/Apps/Sandcastle/gallery/I3S Building Scene Layer.html index e18efa075804..e777e08e4b7d 100644 --- a/Apps/Sandcastle/gallery/I3S Building Scene Layer.html +++ b/Apps/Sandcastle/gallery/I3S Building Scene Layer.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -44,8 +44,8 @@ <h1>Loading...</h1> const viewer = new Cesium.Viewer("cesiumContainer", { terrain: new Cesium.Terrain( Cesium.ArcGISTiledElevationTerrainProvider.fromUrl( - "https://elevation3d.arcgis.com/arcgis/rest/services/WorldElevation3D/Terrain3D/ImageServer" - ) + "https://elevation3d.arcgis.com/arcgis/rest/services/WorldElevation3D/Terrain3D/ImageServer", + ), ), animation: false, timeline: false, @@ -71,7 +71,7 @@ <h1>Loading...</h1> // Create I3S data provider const i3sProvider = await Cesium.I3SDataProvider.fromUrl( tours["Turanga Library"], - i3sOptions + i3sOptions, ); Cesium.I3SBuildingSceneLayerExplorer("toolbar", i3sProvider); diff --git a/Apps/Sandcastle/gallery/I3S Feature Picking.html b/Apps/Sandcastle/gallery/I3S Feature Picking.html index 38747cf2f7d1..4ae7366352b3 100644 --- a/Apps/Sandcastle/gallery/I3S Feature Picking.html +++ b/Apps/Sandcastle/gallery/I3S Feature Picking.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -51,7 +51,7 @@ <h1>Loading...</h1> // If this is not specified, or the URL is invalid no geoid conversion will be applied. // The source data used in this transcoding service was compiled from https://earth-info.nga.mil/#tab_wgs84-data and is based on EGM2008 Gravity Model const geoidService = await Cesium.ArcGISTiledElevationTerrainProvider.fromUrl( - "https://tiles.arcgis.com/tiles/z2tnIkrLQ2BRzr6P/arcgis/rest/services/EGM2008/ImageServer" + "https://tiles.arcgis.com/tiles/z2tnIkrLQ2BRzr6P/arcgis/rest/services/EGM2008/ImageServer", ); // Create i3s options to pass optional parameters useful for debugging and visualizing const i3sOptions = { @@ -61,7 +61,7 @@ <h1>Loading...</h1> // Create I3S data provider const i3sProvider = await Cesium.I3SDataProvider.fromUrl( tours["New York"], - i3sOptions + i3sOptions, ); // Add the i3s layer provider as a primitive data type diff --git a/Apps/Sandcastle/gallery/I3S IntegratedMesh Layer.html b/Apps/Sandcastle/gallery/I3S IntegratedMesh Layer.html index f41b28c5b99a..d2f32238087e 100644 --- a/Apps/Sandcastle/gallery/I3S IntegratedMesh Layer.html +++ b/Apps/Sandcastle/gallery/I3S IntegratedMesh Layer.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -52,7 +52,7 @@ <h1>Loading...</h1> // If this is not specified, or the URL is invalid no geoid conversion will be applied. // The source data used in this transcoding service was compiled from https://earth-info.nga.mil/#tab_wgs84-data and is based on EGM2008 Gravity Model const geoidService = await Cesium.ArcGISTiledElevationTerrainProvider.fromUrl( - "https://tiles.arcgis.com/tiles/z2tnIkrLQ2BRzr6P/arcgis/rest/services/EGM2008/ImageServer" + "https://tiles.arcgis.com/tiles/z2tnIkrLQ2BRzr6P/arcgis/rest/services/EGM2008/ImageServer", ); // Create i3s options to pass optional parameters useful for debugging and visualizing @@ -63,7 +63,7 @@ <h1>Loading...</h1> // Create I3S data provider const i3sProvider = await Cesium.I3SDataProvider.fromUrl( tours.Frankfurt, - i3sOptions + i3sOptions, ); // Add the i3s layer provider as a primitive data type diff --git a/Apps/Sandcastle/gallery/Image-Based Lighting.html b/Apps/Sandcastle/gallery/Image-Based Lighting.html index 648ff9bdbd21..da68bd9c130b 100644 --- a/Apps/Sandcastle/gallery/Image-Based Lighting.html +++ b/Apps/Sandcastle/gallery/Image-Based Lighting.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -69,47 +69,47 @@ const L00 = new Cesium.Cartesian3( 1.234897375106812, 1.221635103225708, - 1.273374080657959 + 1.273374080657959, ); const L1_1 = new Cesium.Cartesian3( 1.136140108108521, 1.171419978141785, - 1.287894368171692 + 1.287894368171692, ); const L10 = new Cesium.Cartesian3( 1.245410919189453, 1.245791077613831, - 1.283067107200623 + 1.283067107200623, ); const L11 = new Cesium.Cartesian3( 1.107124328613281, 1.112697005271912, - 1.153419137001038 + 1.153419137001038, ); const L2_2 = new Cesium.Cartesian3( 1.08641505241394, 1.079904079437256, - 1.10212504863739 + 1.10212504863739, ); const L2_1 = new Cesium.Cartesian3( 1.190043210983276, 1.186099290847778, - 1.214627981185913 + 1.214627981185913, ); const L20 = new Cesium.Cartesian3( 0.017783647403121, 0.020140396431088, - 0.025317270308733 + 0.025317270308733, ); const L21 = new Cesium.Cartesian3( 1.087014317512512, 1.084779262542725, - 1.111417651176453 + 1.111417651176453, ); const L22 = new Cesium.Cartesian3( -0.052426788955927, -0.048315055668354, - -0.041973855346441 + -0.041973855346441, ); const coefficients = [L00, L1_1, L10, L11, L2_2, L2_1, L20, L21, L22]; @@ -124,7 +124,7 @@ url: modelURL, modelMatrix: modelMatrix, minimumPixelSize: 128, - }) + }), ); model.readyEvent.addEventListener(() => { @@ -177,7 +177,7 @@ ibl.sphericalHarmonicCoefficients = undefined; ibl.specularEnvironmentMaps = undefined; } - } + }, ); }); } catch (error) { diff --git a/Apps/Sandcastle/gallery/Imagery Adjustment.html b/Apps/Sandcastle/gallery/Imagery Adjustment.html index c8bbf44de0ea..259c4ceff464 100644 --- a/Apps/Sandcastle/gallery/Imagery Adjustment.html +++ b/Apps/Sandcastle/gallery/Imagery Adjustment.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/Imagery Color To Alpha.html b/Apps/Sandcastle/gallery/Imagery Color To Alpha.html index 02f8659e3af5..8fa3ed032883 100644 --- a/Apps/Sandcastle/gallery/Imagery Color To Alpha.html +++ b/Apps/Sandcastle/gallery/Imagery Color To Alpha.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -64,7 +64,7 @@ const singleTileLayer = Cesium.ImageryLayer.fromProviderAsync( Cesium.SingleTileImageryProvider.fromUrl("../images/earthbump1k.jpg", { rectangle: Cesium.Rectangle.fromDegrees(-180.0, -90.0, 180.0, 90.0), - }) + }), ); layers.add(singleTileLayer); diff --git a/Apps/Sandcastle/gallery/Imagery Cutout.html b/Apps/Sandcastle/gallery/Imagery Cutout.html index 110a8f466a87..5dbdcd61068e 100644 --- a/Apps/Sandcastle/gallery/Imagery Cutout.html +++ b/Apps/Sandcastle/gallery/Imagery Cutout.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -49,8 +49,8 @@ const viewer = new Cesium.Viewer("cesiumContainer", { baseLayer: Cesium.ImageryLayer.fromProviderAsync( Cesium.TileMapServiceImageryProvider.fromUrl( - Cesium.buildModuleUrl("Assets/Textures/NaturalEarthII") - ) + Cesium.buildModuleUrl("Assets/Textures/NaturalEarthII"), + ), ), baseLayerPicker: false, }); @@ -75,13 +75,13 @@ const logo = Cesium.ImageryLayer.fromProviderAsync( Cesium.SingleTileImageryProvider.fromUrl("../images/Cesium_Logo_overlay.png", { rectangle: defaultImageryLayerCutout, - }) + }), ); layers.add(logo); // Add an Earth at Night layer and a "traveling" cutout const earthAtNight = Cesium.ImageryLayer.fromProviderAsync( - Cesium.IonImageryProvider.fromAssetId(3812) + Cesium.IonImageryProvider.fromAssetId(3812), ); earthAtNight.cutoutRectangle = Cesium.Rectangle.fromDegrees(-100, 10, -60, 50); earthAtNight.alpha = 0.9; @@ -118,7 +118,7 @@ flags[flagName] = true; } }, - false + false, ); document.addEventListener( @@ -129,7 +129,7 @@ flags[flagName] = false; } }, - false + false, ); const moveIncrement = 0.05; diff --git a/Apps/Sandcastle/gallery/Imagery Layers Manipulation.html b/Apps/Sandcastle/gallery/Imagery Layers Manipulation.html index ec5b91aa05f1..4e309a339dd7 100644 --- a/Apps/Sandcastle/gallery/Imagery Layers Manipulation.html +++ b/Apps/Sandcastle/gallery/Imagery Layers Manipulation.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -158,13 +158,13 @@ "Bing Maps Road", Cesium.createWorldImageryAsync({ style: Cesium.IonWorldImageryStyle.ROAD, - }) + }), ); addBaseLayerOption( "ArcGIS World Street Maps", Cesium.ArcGisMapServerImageryProvider.fromUrl( - "https://services.arcgisonline.com/ArcGIS/rest/services/World_Street_Map/MapServer" - ) + "https://services.arcgisonline.com/ArcGIS/rest/services/World_Street_Map/MapServer", + ), ); addBaseLayerOption("OpenStreetMaps", new Cesium.OpenStreetMapImageryProvider()); addBaseLayerOption( @@ -174,26 +174,25 @@ fileExtension: "jpg", credit: "Map tiles by Stamen Design, under CC BY 3.0. Data by OpenStreetMap, under CC BY SA.", - }) + }), ); addBaseLayerOption( "Natural Earth II (local)", Cesium.TileMapServiceImageryProvider.fromUrl( - Cesium.buildModuleUrl("Assets/Textures/NaturalEarthII") - ) + Cesium.buildModuleUrl("Assets/Textures/NaturalEarthII"), + ), ); addBaseLayerOption( "USGS Shaded Relief (via WMTS)", new Cesium.WebMapTileServiceImageryProvider({ - url: - "https://basemap.nationalmap.gov/arcgis/rest/services/USGSShadedReliefOnly/MapServer/WMTS", + url: "https://basemap.nationalmap.gov/arcgis/rest/services/USGSShadedReliefOnly/MapServer/WMTS", layer: "USGSShadedReliefOnly", style: "default", format: "image/jpeg", tileMatrixSetID: "default028mm", maximumLevel: 19, credit: "U. S. Geological Survey", - }) + }), ); // Create the additional layers @@ -207,7 +206,7 @@ transparent: "true", format: "image/png", }, - }) + }), ); addAdditionalLayerOption( "United States Weather Radar", @@ -219,14 +218,14 @@ transparent: "true", format: "image/png", }, - }) + }), ); addAdditionalLayerOption( "TileMapService Image", Cesium.TileMapServiceImageryProvider.fromUrl( - "../images/cesium_maptiler/Cesium_Logo_Color" + "../images/cesium_maptiler/Cesium_Logo_Color", ), - 0.2 + 0.2, ); addAdditionalLayerOption( "Single Image", @@ -234,16 +233,16 @@ "../images/Cesium_Logo_overlay.png", { rectangle: Cesium.Rectangle.fromDegrees(-115.0, 38.0, -107, 39.75), - } + }, ), - 1.0 + 1.0, ); addAdditionalLayerOption("Grid", new Cesium.GridImageryProvider(), 1.0, false); addAdditionalLayerOption( "Tile Coordinates", new Cesium.TileCoordinatesImageryProvider(), 1.0, - false + false, ); } @@ -264,7 +263,7 @@ name, imageryProviderPromise, alpha, - show + show, ) { try { const imageryProvider = await Promise.resolve(imageryProviderPromise); diff --git a/Apps/Sandcastle/gallery/Imagery Layers Split.html b/Apps/Sandcastle/gallery/Imagery Layers Split.html index 008c46dfb122..37927116fc29 100644 --- a/Apps/Sandcastle/gallery/Imagery Layers Split.html +++ b/Apps/Sandcastle/gallery/Imagery Layers Split.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -53,8 +53,8 @@ const viewer = new Cesium.Viewer("cesiumContainer", { baseLayer: Cesium.ImageryLayer.fromProviderAsync( Cesium.ArcGisMapServerImageryProvider.fromUrl( - "https://services.arcgisonline.com/ArcGIS/rest/services/World_Street_Map/MapServer" - ) + "https://services.arcgisonline.com/ArcGIS/rest/services/World_Street_Map/MapServer", + ), ), baseLayerPicker: false, infoBox: false, @@ -62,7 +62,7 @@ const layers = viewer.imageryLayers; const earthAtNight = Cesium.ImageryLayer.fromProviderAsync( - Cesium.IonImageryProvider.fromAssetId(3812) + Cesium.IonImageryProvider.fromAssetId(3812), ); earthAtNight.splitDirection = Cesium.SplitDirection.LEFT; // Only show to the left of the slider. layers.add(earthAtNight); diff --git a/Apps/Sandcastle/gallery/Imagery Layers Texture Filters.html b/Apps/Sandcastle/gallery/Imagery Layers Texture Filters.html index 400daec3de17..5fb6cf125dd8 100644 --- a/Apps/Sandcastle/gallery/Imagery Layers Texture Filters.html +++ b/Apps/Sandcastle/gallery/Imagery Layers Texture Filters.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -60,15 +60,15 @@ const layerLinear = Cesium.ImageryLayer.fromProviderAsync( Cesium.TileMapServiceImageryProvider.fromUrl( - Cesium.buildModuleUrl("Assets/Textures/NaturalEarthII") - ) + Cesium.buildModuleUrl("Assets/Textures/NaturalEarthII"), + ), ); layers.add(layerLinear); const layerNearest = Cesium.ImageryLayer.fromProviderAsync( Cesium.TileMapServiceImageryProvider.fromUrl( - Cesium.buildModuleUrl("Assets/Textures/NaturalEarthII") - ) + Cesium.buildModuleUrl("Assets/Textures/NaturalEarthII"), + ), ); layers.add(layerNearest); diff --git a/Apps/Sandcastle/gallery/Imagery Layers.html b/Apps/Sandcastle/gallery/Imagery Layers.html index f62e884b4b5a..34ee6db78f38 100644 --- a/Apps/Sandcastle/gallery/Imagery Layers.html +++ b/Apps/Sandcastle/gallery/Imagery Layers.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -38,7 +38,7 @@ const layers = viewer.scene.imageryLayers; const blackMarble = Cesium.ImageryLayer.fromProviderAsync( - Cesium.IonImageryProvider.fromAssetId(3812) + Cesium.IonImageryProvider.fromAssetId(3812), ); blackMarble.alpha = 0.5; blackMarble.brightness = 2.0; @@ -47,7 +47,7 @@ const cesiumLogo = Cesium.ImageryLayer.fromProviderAsync( Cesium.SingleTileImageryProvider.fromUrl("../images/Cesium_Logo_overlay.png", { rectangle: Cesium.Rectangle.fromDegrees(-75.0, 28.0, -67.0, 29.75), - }) + }), ); layers.add(cesiumLogo); //Sandcastle_End diff --git a/Apps/Sandcastle/gallery/Interpolation.html b/Apps/Sandcastle/gallery/Interpolation.html index 4f42ca9a5f22..d0559b27d7a8 100644 --- a/Apps/Sandcastle/gallery/Interpolation.html +++ b/Apps/Sandcastle/gallery/Interpolation.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -73,7 +73,7 @@ const position = Cesium.Cartesian3.fromDegrees( lon + radius * 1.5 * Math.cos(radians), lat + radius * Math.sin(radians), - Cesium.Math.nextRandomNumber() * 500 + 1750 + Cesium.Math.nextRandomNumber() * 500 + 1750, ); property.addSample(time, position); @@ -132,7 +132,7 @@ viewer.trackedEntity = undefined; viewer.zoomTo( viewer.entities, - new Cesium.HeadingPitchRange(0, Cesium.Math.toRadians(-90)) + new Cesium.HeadingPitchRange(0, Cesium.Math.toRadians(-90)), ); }); @@ -144,8 +144,8 @@ new Cesium.HeadingPitchRange( Cesium.Math.toRadians(-90), Cesium.Math.toRadians(-15), - 7500 - ) + 7500, + ), ); }); @@ -185,7 +185,7 @@ }, }, ], - "interpolationMenu" + "interpolationMenu", ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/Japan Buildings.html b/Apps/Sandcastle/gallery/Japan Buildings.html index d333a3ddb618..1d9ad46e45b6 100644 --- a/Apps/Sandcastle/gallery/Japan Buildings.html +++ b/Apps/Sandcastle/gallery/Japan Buildings.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -57,18 +57,18 @@ destination: new Cesium.Cartesian3( -3558117.165209301, 3887175.058311886, - 3582090.381367681 + 3582090.381367681, ), orientation: { direction: new Cesium.Cartesian3( 0.915045649098936, -0.16130516440787898, - 0.3696919041586574 + 0.3696919041586574, ), up: new Cesium.Cartesian3( -0.20924973638933655, 0.5937271886242537, - 0.7769829942214522 + 0.7769829942214522, ), }, }; @@ -77,18 +77,18 @@ destination: new Cesium.Cartesian3( -3959788.9678092706, 3353283.9088315447, - 3697270.0292328526 + 3697270.0292328526, ), orientation: { direction: new Cesium.Cartesian3( 0.1473261076519599, -0.9210400676146971, - 0.3605276852787276 + 0.3605276852787276, ), up: new Cesium.Cartesian3( -0.6082716434343354, 0.20305763470537083, - 0.7673155835649066 + 0.7673155835649066, ), }, }; @@ -97,18 +97,18 @@ destination: new Cesium.Cartesian3( -3746418.0787567603, 3649244.7209161296, - 3638967.47570257 + 3638967.47570257, ), orientation: { direction: new Cesium.Cartesian3( 0.9417381486076588, -0.026110036454204615, - 0.335331963065526 + 0.335331963065526, ), up: new Cesium.Cartesian3( -0.2518896785254185, 0.6059364940549604, - 0.7545810460280222 + 0.7545810460280222, ), }, }; @@ -117,18 +117,18 @@ destination: new Cesium.Cartesian3( -3644464.457824361, 2916376.559037763, - 4333280.277694175 + 4333280.277694175, ), orientation: { direction: new Cesium.Cartesian3( -0.3679337542668949, -0.8827113216318188, - -0.2923105799215557 + -0.2923105799215557, ), up: new Cesium.Cartesian3( -0.7773373481004832, 0.11948179734604299, - 0.6176331818734058 + 0.6176331818734058, ), }, }; @@ -137,18 +137,18 @@ destination: new Cesium.Cartesian3( -3720805.8497414757, 3554280.4145123693, - 3756470.8341226312 + 3756470.8341226312, ), orientation: { direction: new Cesium.Cartesian3( -0.29857010298659575, 0.04749330012764362, - -0.9532052664801844 + -0.9532052664801844, ), up: new Cesium.Cartesian3( -0.7423221317622432, 0.6161776077834791, - 0.2632166566959398 + 0.2632166566959398, ), }, }; @@ -157,18 +157,18 @@ destination: new Cesium.Cartesian3( -3938455.040928949, 3417079.906560689, - 3662889.160230748 + 3662889.160230748, ), orientation: { direction: new Cesium.Cartesian3( 0.09245366141098484, 0.5115481128951291, - -0.854266263342487 + -0.854266263342487, ), up: new Cesium.Cartesian3( -0.6151172847807794, 0.703996434356258, - 0.35499260045470854 + 0.35499260045470854, ), }, }; @@ -177,18 +177,18 @@ destination: new Cesium.Cartesian3( -3930814.3315207073, 3422614.91809806, - 3665138.546010887 + 3665138.546010887, ), orientation: { direction: new Cesium.Cartesian3( 0.8178889459747928, 0.5717362258573416, - 0.06461702635254533 + 0.06461702635254533, ), up: new Cesium.Cartesian3( -0.49123560987022913, 0.6353948538216464, - 0.595785997932473 + 0.595785997932473, ), }, }; @@ -251,7 +251,7 @@ scene.preRender.addEventListener(function (scene, time) { scene.light.direction = Cesium.Cartesian3.clone( scene.camera.directionWC, - scene.light.direction + scene.light.direction, ); }); diff --git a/Apps/Sandcastle/gallery/KML Tours.html b/Apps/Sandcastle/gallery/KML Tours.html index 1211be6e1a3d..e418008b4135 100644 --- a/Apps/Sandcastle/gallery/KML Tours.html +++ b/Apps/Sandcastle/gallery/KML Tours.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -41,8 +41,8 @@ .add( Cesium.KmlDataSource.load( "../../SampleData/kml/eiffel-tower-flyto.kml", - options - ) + options, + ), ) .then(function (dataSource) { tour = dataSource.kmlTours[0]; diff --git a/Apps/Sandcastle/gallery/KML.html b/Apps/Sandcastle/gallery/KML.html index 43ed813da497..2e580090a54f 100644 --- a/Apps/Sandcastle/gallery/KML.html +++ b/Apps/Sandcastle/gallery/KML.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -46,8 +46,8 @@ viewer.dataSources.add( Cesium.KmlDataSource.load( "../../SampleData/kml/facilities/facilities.kml", - options - ) + options, + ), ); }, }, @@ -58,8 +58,8 @@ viewer.dataSources.add( Cesium.KmlDataSource.load( "../../SampleData/kml/gdpPerCapita2008.kmz", - options - ) + options, + ), ); }, }, @@ -70,8 +70,8 @@ .add( Cesium.KmlDataSource.load( "../../SampleData/kml/bikeRide.kml", - options - ) + options, + ), ) .then(function (dataSource) { viewer.clock.shouldAnimate = false; @@ -86,7 +86,7 @@ }, }, ], - "toolbar" + "toolbar", ); Sandcastle.reset = function () { diff --git a/Apps/Sandcastle/gallery/Labels.html b/Apps/Sandcastle/gallery/Labels.html index 015b493af0af..c8844879a737 100644 --- a/Apps/Sandcastle/gallery/Labels.html +++ b/Apps/Sandcastle/gallery/Labels.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -91,7 +91,7 @@ 1.5e2, 3.0, 1.5e7, - 0.5 + 0.5, ), }, }); @@ -158,21 +158,20 @@ const minFontSize = 1.0; const maxFontSize = 48.0; - labelListenerCallback = viewer.scene.preUpdate.addEventListener(function ( - scene, - time - ) { - entity.label.outlineWidth += outlineDelta; - if (entity.label.outlineWidth >= 4.0 || entity.label.outlineWidth <= 0.0) { - outlineDelta *= -1.0; - } + labelListenerCallback = viewer.scene.preUpdate.addEventListener( + function (scene, time) { + entity.label.outlineWidth += outlineDelta; + if (entity.label.outlineWidth >= 4.0 || entity.label.outlineWidth <= 0.0) { + outlineDelta *= -1.0; + } - fontSize += fontDelta; - if (fontSize >= maxFontSize || fontSize <= minFontSize) { - fontDelta *= -1.0; - } - entity.label.font = `${fontSize}px Calibri`; - }); + fontSize += fontDelta; + if (fontSize >= maxFontSize || fontSize <= minFontSize) { + fontDelta *= -1.0; + } + entity.label.font = `${fontSize}px Calibri`; + }, + ); } Sandcastle.addToolbarMenu([ diff --git a/Apps/Sandcastle/gallery/LensFlare.html b/Apps/Sandcastle/gallery/LensFlare.html index 04ad27bcb9cc..106730c744bc 100644 --- a/Apps/Sandcastle/gallery/LensFlare.html +++ b/Apps/Sandcastle/gallery/LensFlare.html @@ -1,4 +1,4 @@ -ο»Ώ<!DOCTYPE html> +ο»Ώ<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -119,7 +119,7 @@ } const lensFlare = viewer.scene.postProcessStages.add( - Cesium.PostProcessStageLibrary.createLensFlareStage() + Cesium.PostProcessStageLibrary.createLensFlareStage(), ); function updatePostProcess() { @@ -137,22 +137,22 @@ camera.position = new Cesium.Cartesian3( 40010447.97500168, 56238683.46406788, - 20776576.752223067 + 20776576.752223067, ); camera.direction = new Cesium.Cartesian3( -0.5549701431494752, -0.7801872010801355, - -0.2886452346452218 + -0.2886452346452218, ); camera.up = new Cesium.Cartesian3( -0.3016252360948521, -0.13464820558887716, - 0.9438707950150912 + 0.9438707950150912, ); camera.right = Cesium.Cartesian3.cross( camera.direction, camera.up, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); viewer.clock.currentTime = new Cesium.JulianDate(2458047, 27399.860215000022); diff --git a/Apps/Sandcastle/gallery/Lighting.html b/Apps/Sandcastle/gallery/Lighting.html index 66a5c56920bb..8bdd8b1380e4 100644 --- a/Apps/Sandcastle/gallery/Lighting.html +++ b/Apps/Sandcastle/gallery/Lighting.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -51,14 +51,15 @@ ) { Cesium.Transforms.computeTemeToPseudoFixedMatrix(date, icrfToFixed); } - const moonPosition = Cesium.Simon1994PlanetaryPositions.computeMoonPositionInEarthInertialFrame( - date, - scratchMoonPosition - ); + const moonPosition = + Cesium.Simon1994PlanetaryPositions.computeMoonPositionInEarthInertialFrame( + date, + scratchMoonPosition, + ); Cesium.Matrix3.multiplyByVector(icrfToFixed, moonPosition, moonPosition); const moonDirection = Cesium.Cartesian3.normalize( moonPosition, - scratchMoonDirection + scratchMoonDirection, ); return Cesium.Cartesian3.negate(moonDirection, result); } @@ -67,7 +68,7 @@ direction: new Cesium.Cartesian3( 0.2454278300540191, 0.8842635425193919, - 0.39729481195458805 + 0.39729481195458805, ), }); @@ -87,7 +88,7 @@ direction: new Cesium.Cartesian3( -0.2454278300540191, 0.8842635425193919, - 0.39729481195458805 + 0.39729481195458805, ), color: Cesium.Color.fromCssColorString("#deca7c"), }); @@ -96,7 +97,7 @@ if (scene.light === flashlight) { scene.light.direction = Cesium.Cartesian3.clone( scene.camera.directionWC, - scene.light.direction + scene.light.direction, ); } else if (scene.light === moonLight) { scene.light.direction = getMoonDirection(scene.light.direction); @@ -107,7 +108,7 @@ position: Cesium.Cartesian3.fromRadians( -2.1463338399937277, 0.6677959688982861, - 32.18991401746337 + 32.18991401746337, ), model: { uri: "../../SampleData/models/CesiumBalloon/CesiumBalloon.glb", @@ -119,7 +120,7 @@ position: Cesium.Cartesian3.fromRadians( -2.14633449752228, 0.667796065242357, - 24.47647034111423 + 24.47647034111423, ), cylinder: { length: 8.0, @@ -133,7 +134,7 @@ position: Cesium.Cartesian3.fromRadians( -2.1463332294173365, 0.6677959755384729, - 26.2876064083145 + 26.2876064083145, ), ellipsoid: { radii: new Cesium.Cartesian3(2.5, 2.5, 2.5), @@ -146,7 +147,7 @@ const endTime = Cesium.JulianDate.addDays( currentTime, 2, - new Cesium.JulianDate() + new Cesium.JulianDate(), ); viewer.clock.currentTime = currentTime; @@ -165,12 +166,12 @@ destination: new Cesium.Cartesian3( -2729490.8390059783, -4206389.878855597, - 3928671.2763356343 + 3928671.2763356343, ), orientation: new Cesium.HeadingPitchRoll( 2.2482480507178426, -0.20084951548781982, - 0.002593933673552762 + 0.002593933673552762, ), endTransform: Cesium.Matrix4.IDENTITY, }); diff --git a/Apps/Sandcastle/gallery/LocalToFixedFrame.html b/Apps/Sandcastle/gallery/LocalToFixedFrame.html index 47e1865b9619..e7724dd432fb 100644 --- a/Apps/Sandcastle/gallery/LocalToFixedFrame.html +++ b/Apps/Sandcastle/gallery/LocalToFixedFrame.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -120,10 +120,10 @@ <h1>Loading...</h1> position, hpRoll, Cesium.Ellipsoid.WGS84, - converter + converter, ), minimumPixelSize: 128, - }) + }), ); primitives.push({ @@ -138,14 +138,14 @@ <h1>Loading...</h1> position, hprRollZero, Cesium.Ellipsoid.WGS84, - converter + converter, ); scene.primitives.add( new Cesium.DebugModelMatrixPrimitive({ modelMatrix: modelMatrix, length: 300.0, width: 10.0, - }) + }), ); const positionLabel = position.clone(); @@ -242,7 +242,7 @@ <h1>Loading...</h1> hpRoll, Cesium.Ellipsoid.WGS84, converter, - primitive.modelMatrix + primitive.modelMatrix, ); } }); diff --git a/Apps/Sandcastle/gallery/MSAA.html b/Apps/Sandcastle/gallery/MSAA.html index 38dfdd3937a7..5fbf79be4078 100644 --- a/Apps/Sandcastle/gallery/MSAA.html +++ b/Apps/Sandcastle/gallery/MSAA.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -45,7 +45,7 @@ const position = Cesium.Cartesian3.fromDegrees( -123.0744619, 44.0503706, - height + height, ); const heading = Cesium.Math.toRadians(135); const pitch = 0; @@ -66,7 +66,7 @@ const target = Cesium.Cartesian3.fromDegrees( -123.0744619, 44.0503706, - height + 7.5 + height + 7.5, ); const offset = new Cesium.Cartesian3(50.0, -15.0, 0.0); viewer.scene.camera.lookAt(target, offset); @@ -100,12 +100,12 @@ destination: new Cesium.Cartesian3( 1331419.302230775, -4656681.5022043325, - 4136232.6465900405 + 4136232.6465900405, ), orientation: new Cesium.HeadingPitchRoll( 6.032455545102689, -0.056832496140112765, - 6.282360923090216 + 6.282360923090216, ), endTransform: Cesium.Matrix4.IDENTITY, }); @@ -121,7 +121,7 @@ destination: new Cesium.Cartesian3( 1234138.7804841248, -5086063.633843134, - 3633284.606361642 + 3633284.606361642, ), orientation: { heading: 0.4304630387656614, @@ -140,7 +140,7 @@ scene.primitives.removeAll(); createModel( "../../SampleData/models/CesiumBalloon/CesiumBalloon.glb", - 1000.0 + 1000.0, ); }, }, diff --git a/Apps/Sandcastle/gallery/Manually Controlled Animation.html b/Apps/Sandcastle/gallery/Manually Controlled Animation.html index fabcd7d8d31b..12084ff831f7 100644 --- a/Apps/Sandcastle/gallery/Manually Controlled Animation.html +++ b/Apps/Sandcastle/gallery/Manually Controlled Animation.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -39,7 +39,7 @@ const stop = Cesium.JulianDate.addSeconds( start, totalSeconds, - new Cesium.JulianDate() + new Cesium.JulianDate(), ); viewer.clock.startTime = start.clone(); viewer.clock.stopTime = stop.clone(); @@ -53,12 +53,12 @@ const startPosition = new Cesium.Cartesian3( -2379556.799372864, -4665528.205030263, - 3628013.106599678 + 3628013.106599678, ); const endPosition = new Cesium.Cartesian3( -2379603.7074103747, -4665623.48990283, - 3627860.82704567 + 3627860.82704567, ); // A velocity vector property will give us the entity's speed and direction at any given time. const velocityVectorProperty = new Cesium.VelocityVectorProperty(position, false); @@ -72,7 +72,7 @@ const time = Cesium.JulianDate.addSeconds( start, factor * totalSeconds, - new Cesium.JulianDate() + new Cesium.JulianDate(), ); // Lerp using a non-linear factor so that the model accelerates. @@ -81,12 +81,12 @@ startPosition, endPosition, locationFactor, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); position.addSample(time, location); distance.addSample( time, - (totalDistance += Cesium.Cartesian3.distance(location, prevLocation)) + (totalDistance += Cesium.Cartesian3.distance(location, prevLocation)), ); prevLocation = location; } @@ -105,7 +105,7 @@ await Cesium.Model.fromGltfAsync({ url: "../../SampleData/models/CesiumMan/Cesium_Man.glb", scale: 4, - }) + }), ); modelPrimitive.readyEvent.addEventListener(() => { @@ -128,12 +128,12 @@ pos, vel, viewer.scene.globe.ellipsoid, - rotation + rotation, ); Cesium.Matrix4.fromRotationTranslation( rotation, pos, - modelPrimitive.modelMatrix + modelPrimitive.modelMatrix, ); }); } catch (error) { diff --git a/Apps/Sandcastle/gallery/Map Pins.html b/Apps/Sandcastle/gallery/Map Pins.html index 4cee6dc5592b..0412b4f78fe7 100644 --- a/Apps/Sandcastle/gallery/Map Pins.html +++ b/Apps/Sandcastle/gallery/Map Pins.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -56,7 +56,7 @@ const url = Cesium.buildModuleUrl("Assets/Textures/maki/grocery.png"); const groceryPin = Promise.resolve( - pinBuilder.fromUrl(url, Cesium.Color.GREEN, 48) + pinBuilder.fromUrl(url, Cesium.Color.GREEN, 48), ).then(function (canvas) { return viewer.entities.add({ name: "Grocery store", @@ -70,7 +70,7 @@ //Create a red pin representing a hospital from the maki icon set. const hospitalPin = Promise.resolve( - pinBuilder.fromMakiIconId("hospital", Cesium.Color.RED, 48) + pinBuilder.fromMakiIconId("hospital", Cesium.Color.RED, 48), ).then(function (canvas) { return viewer.entities.add({ name: "Hospital", @@ -83,11 +83,11 @@ }); //Since some of the pins are created asynchronously, wait for them all to load before zooming/ - Promise.all([bluePin, questionPin, groceryPin, hospitalPin]).then(function ( - pins - ) { - viewer.zoomTo(pins); - }); + Promise.all([bluePin, questionPin, groceryPin, hospitalPin]).then( + function (pins) { + viewer.zoomTo(pins); + }, + ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/Materials.html b/Apps/Sandcastle/gallery/Materials.html index 866334d34df7..803d899b99a8 100644 --- a/Apps/Sandcastle/gallery/Materials.html +++ b/Apps/Sandcastle/gallery/Materials.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -361,7 +361,7 @@ onselect: function () { if (!Cesium.FeatureDetection.supportsBasis(scene)) { window.alert( - "This browser does not support Basis Universal compressed textures" + "This browser does not support Basis Universal compressed textures", ); } toggleRectangleVisibility(); @@ -374,7 +374,7 @@ onselect: function () { if (!Cesium.FeatureDetection.supportsBasis(scene)) { window.alert( - "This browser does not support Basis Universal compressed textures" + "This browser does not support Basis Universal compressed textures", ); } toggleRectangleVisibility(); @@ -557,7 +557,7 @@ appearance: new Cesium.EllipsoidSurfaceAppearance({ aboveGround: false, }), - }) + }), ); worldRectangle = scene.primitives.add( @@ -572,21 +572,14 @@ aboveGround: false, }), show: false, - }) + }), ); const polylines = scene.primitives.add(new Cesium.PolylineCollection()); polyline = polylines.add({ positions: Cesium.PolylinePipeline.generateCartesianArc({ positions: Cesium.Cartesian3.fromDegreesArray([ - -110.0, - 42.0, - -85.0, - 36.0, - -100.0, - 25.0, - -77.0, - 12.0, + -110.0, 42.0, -85.0, 36.0, -100.0, 25.0, -77.0, 12.0, ]), }), width: 10.0, diff --git a/Apps/Sandcastle/gallery/Montreal Point Cloud.html b/Apps/Sandcastle/gallery/Montreal Point Cloud.html index ecf226cee792..2dcef33cfd28 100644 --- a/Apps/Sandcastle/gallery/Montreal Point Cloud.html +++ b/Apps/Sandcastle/gallery/Montreal Point Cloud.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -92,18 +92,18 @@ destination: new Cesium.Cartesian3( 1223285.2286828577, -4319476.080312792, - 4562579.020145769 + 4562579.020145769, ), orientation: { direction: new Cesium.Cartesian3( 0.63053223097472, 0.47519958296727743, - -0.6136892226931869 + -0.6136892226931869, ), up: new Cesium.Cartesian3( 0.7699959023135587, -0.4824455703743441, - 0.41755548379407276 + 0.41755548379407276, ), }, duration: 0, @@ -118,18 +118,18 @@ destination: new Cesium.Cartesian3( 1268112.9336926902, -4347432.089579957, - 4539129.813606778 + 4539129.813606778, ), orientation: { direction: new Cesium.Cartesian3( -0.23288147105081208, 0.9376599248561527, - -0.25799241415197466 + -0.25799241415197466, ), up: new Cesium.Cartesian3( -0.015748156073159988, 0.2616156268422992, - 0.9650436567182887 + 0.9650436567182887, ), }, easingFunction: Cesium.EasingFunction.QUADRATIC_IN_OUT, @@ -143,18 +143,18 @@ destination: new Cesium.Cartesian3( 1266560.143870489, -4278126.842199712, - 4542690.264566619 + 4542690.264566619, ), orientation: { direction: new Cesium.Cartesian3( -0.3402460635871598, -0.46669052711538217, - -0.8163532128400116 + -0.8163532128400116, ), up: new Cesium.Cartesian3( 0.08964012922691329, -0.8802940231336787, - 0.46588311846138497 + 0.46588311846138497, ), }, easingFunction: Cesium.EasingFunction.QUADRATIC_IN_OUT, @@ -168,18 +168,18 @@ destination: new Cesium.Cartesian3( 1267081.619536883, -4290744.917138439, - 4530941.041519919 + 4530941.041519919, ), orientation: { direction: new Cesium.Cartesian3( -0.735813047510908, 0.6294547560338262, - 0.24973159435503312 + 0.24973159435503312, ), up: new Cesium.Cartesian3( -0.09796934684423217, -0.4638476756625683, - 0.88048131204549 + 0.88048131204549, ), }, easingFunction: Cesium.EasingFunction.QUADRATIC_IN_OUT, @@ -193,18 +193,18 @@ destination: new Cesium.Cartesian3( 1269319.8408991008, -4293301.826913256, - 4527724.561372451 + 4527724.561372451, ), orientation: { direction: new Cesium.Cartesian3( -0.742505030107832, -0.3413204607149223, - -0.5763563336703441 + -0.5763563336703441, ), up: new Cesium.Cartesian3( -0.04655102331027917, -0.8320643756800384, - 0.5527222421370013 + 0.5527222421370013, ), }, easingFunction: Cesium.EasingFunction.QUADRATIC_IN_OUT, @@ -218,18 +218,18 @@ destination: new Cesium.Cartesian3( 1263148.6745904868, -4297262.506644816, - 4525958.844284831 + 4525958.844284831, ), orientation: { direction: new Cesium.Cartesian3( 0.6550952540993403, 0.7551122393690295, - 0.025606913355780074 + 0.025606913355780074, ), up: new Cesium.Cartesian3( 0.46670450470847263, -0.4310758971098583, - 0.7722437932516845 + 0.7722437932516845, ), }, easingFunction: Cesium.EasingFunction.QUADRATIC_IN_OUT, diff --git a/Apps/Sandcastle/gallery/Moon.html b/Apps/Sandcastle/gallery/Moon.html index 63f88147c22c..543f20e6129d 100644 --- a/Apps/Sandcastle/gallery/Moon.html +++ b/Apps/Sandcastle/gallery/Moon.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -153,18 +153,18 @@ destination: new Cesium.Cartesian3( 2134594.9298812235, 1256488.0678322134, - 379606.9284823841 + 379606.9284823841, ), orientation: { direction: new Cesium.Cartesian3( -0.8518395698371783, -0.5014189063342804, - -0.1514873843927112 + -0.1514873843927112, ), up: new Cesium.Cartesian3( -0.13054959630640847, -0.07684549781463353, - 0.9884591910493093 + 0.9884591910493093, ), }, easingFunction: Cesium.EasingFunction.LINEAR_NONE, @@ -174,18 +174,18 @@ destination: new Cesium.Cartesian3( 1609100.311044896, 733266.0643925276, - 53608.976740262646 + 53608.976740262646, ), orientation: { direction: new Cesium.Cartesian3( -0.41704286323660256, -0.7222280712427744, - -0.5517806297183315 + -0.5517806297183315, ), up: new Cesium.Cartesian3( 0.8621189850799429, -0.12210806245903304, - -0.49177278965720556 + -0.49177278965720556, ), }, easingFunction: Cesium.EasingFunction.LINEAR_NONE, @@ -195,18 +195,18 @@ destination: new Cesium.Cartesian3( 1613572.8201475781, -677039.3827805589, - 339559.7958496013 + 339559.7958496013, ), orientation: { direction: new Cesium.Cartesian3( -0.10007925201262617, 0.8771366500325052, - -0.4696971795597116 + -0.4696971795597116, ), up: new Cesium.Cartesian3( 0.9948921707513932, 0.08196514973381885, - -0.058917593354560566 + -0.058917593354560566, ), }, easingFunction: Cesium.EasingFunction.LINEAR_NONE, @@ -216,18 +216,18 @@ destination: new Cesium.Cartesian3( 1368413.3560818078, -166198.00035620513, - -1203576.7397013502 + -1203576.7397013502, ), orientation: { direction: new Cesium.Cartesian3( -0.8601315724135887, -0.5073902275496569, - 0.05223825345888711 + 0.05223825345888711, ), up: new Cesium.Cartesian3( 0.2639103814694499, -0.5303301783281616, - -0.8056681776681204 + -0.8056681776681204, ), }, easingFunction: Cesium.EasingFunction.LINEAR_NONE, @@ -239,21 +239,21 @@ new Cesium.Cartesian3( -17505.087036391753, 38147.40236305639, - -1769721.5748224584 + -1769721.5748224584, ), - 40000.0 - ) + 40000.0, + ), ), orientation: { direction: new Cesium.Cartesian3( 0.2568703591904826, -0.6405212914728244, - 0.7237058060699372 + 0.7237058060699372, ), up: new Cesium.Cartesian3( 0.26770932874967773, -0.6723714327527822, - -0.6901075073627064 + -0.6901075073627064, ), }, easingFunction: Cesium.EasingFunction.LINEAR_NONE, @@ -261,12 +261,11 @@ const camera = viewer.scene.camera; const rotationSpeed = Cesium.Math.toRadians(0.1); - const removeRotation = viewer.scene.postRender.addEventListener(function ( - scene, - time - ) { - viewer.scene.camera.rotateRight(rotationSpeed); - }); + const removeRotation = viewer.scene.postRender.addEventListener( + function (scene, time) { + viewer.scene.camera.rotateRight(rotationSpeed); + }, + ); const options1 = [ { @@ -324,15 +323,15 @@ const handler = new Cesium.ScreenSpaceEventHandler(viewer.scene.canvas); handler.setInputAction( () => removeRotation(), - Cesium.ScreenSpaceEventType.LEFT_DOWN + Cesium.ScreenSpaceEventType.LEFT_DOWN, ); handler.setInputAction( () => removeRotation(), - Cesium.ScreenSpaceEventType.RIGHT_DOWN + Cesium.ScreenSpaceEventType.RIGHT_DOWN, ); handler.setInputAction( () => removeRotation(), - Cesium.ScreenSpaceEventType.MIDDLE_DOWN + Cesium.ScreenSpaceEventType.MIDDLE_DOWN, ); handler.setInputAction(() => removeRotation(), Cesium.ScreenSpaceEventType.WHEEL); diff --git a/Apps/Sandcastle/gallery/Multi-part CZML.html b/Apps/Sandcastle/gallery/Multi-part CZML.html index d735ea3db36a..009aab604ea9 100644 --- a/Apps/Sandcastle/gallery/Multi-part CZML.html +++ b/Apps/Sandcastle/gallery/Multi-part CZML.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -93,9 +93,8 @@ // Follow the vehicle with the camera. if (!viewer.trackedEntity) { - viewer.trackedEntity = vehicleEntity = dataSource.entities.getById( - "Vehicle" - ); + viewer.trackedEntity = vehicleEntity = + dataSource.entities.getById("Vehicle"); } }); } @@ -111,7 +110,7 @@ // This example uses time offsets from the start to identify which parts need loading. const timeOffset = Cesium.JulianDate.secondsDifference( clock.currentTime, - clock.startTime + clock.startTime, ); // Filter the list of parts to just the ones that need loading right now. @@ -130,7 +129,7 @@ if (vehicleEntity) { const fuel = vehicleEntity.properties.fuel_remaining.getValue( - clock.currentTime + clock.currentTime, ); if (Cesium.defined(fuel)) { fuelDisplay.textContent = `Fuel: ${fuel.toFixed(2)} gal`; diff --git a/Apps/Sandcastle/gallery/Multiple Synced Views.html b/Apps/Sandcastle/gallery/Multiple Synced Views.html index b04d02354327..e17c597cf970 100644 --- a/Apps/Sandcastle/gallery/Multiple Synced Views.html +++ b/Apps/Sandcastle/gallery/Multiple Synced Views.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -76,7 +76,7 @@ // The center of the view is the point that the 3D camera is focusing on const viewCenter = new Cesium.Cartesian2( Math.floor(view3D.canvas.clientWidth / 2), - Math.floor(view3D.canvas.clientHeight / 2) + Math.floor(view3D.canvas.clientHeight / 2), ); // Given the pixel in the center, get the world position const newWorldPosition = view3D.scene.camera.pickEllipsoid(viewCenter); @@ -88,13 +88,13 @@ // Get the distance between the world position of the point the camera is focusing on, and the camera's world position distance = Cesium.Cartesian3.distance( worldPosition, - view3D.scene.camera.positionWC + view3D.scene.camera.positionWC, ); // Tell the 2D camera to look at the point of focus. The distance controls how zoomed in the 2D view is // (try replacing `distance` in the line below with `1e7`. The view will still sync, but will have a constant zoom) view2D.scene.camera.lookAt( worldPosition, - new Cesium.Cartesian3(0.0, 0.0, distance) + new Cesium.Cartesian3(0.0, 0.0, distance), ); } diff --git a/Apps/Sandcastle/gallery/Natural Earth II.html b/Apps/Sandcastle/gallery/Natural Earth II.html index e0292e3ec934..1a3bc27e9bb1 100644 --- a/Apps/Sandcastle/gallery/Natural Earth II.html +++ b/Apps/Sandcastle/gallery/Natural Earth II.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -35,7 +35,7 @@ // Natural Earth II with Shaded Relief, Water, and Drainages from http://www.naturalearthdata.com const viewer = new Cesium.Viewer("cesiumContainer", { baseLayer: Cesium.ImageryLayer.fromProviderAsync( - Cesium.IonImageryProvider.fromAssetId(3813) + Cesium.IonImageryProvider.fromAssetId(3813), ), }); //Sandcastle_End diff --git a/Apps/Sandcastle/gallery/Offline.html b/Apps/Sandcastle/gallery/Offline.html index a52fbe831bb9..0de72ec6747a 100644 --- a/Apps/Sandcastle/gallery/Offline.html +++ b/Apps/Sandcastle/gallery/Offline.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -40,8 +40,8 @@ const viewer = new Cesium.Viewer("cesiumContainer", { baseLayer: Cesium.ImageryLayer.fromProviderAsync( Cesium.TileMapServiceImageryProvider.fromUrl( - Cesium.buildModuleUrl("Assets/Textures/NaturalEarthII") - ) + Cesium.buildModuleUrl("Assets/Textures/NaturalEarthII"), + ), ), baseLayerPicker: false, geocoder: false, diff --git a/Apps/Sandcastle/gallery/PAMAP Terrain.html b/Apps/Sandcastle/gallery/PAMAP Terrain.html index f8b31cc78bbf..657f566b4bbd 100644 --- a/Apps/Sandcastle/gallery/PAMAP Terrain.html +++ b/Apps/Sandcastle/gallery/PAMAP Terrain.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -37,9 +37,8 @@ try { // High resolution terrain of Pennsylvania curated by Pennsylvania Spatial Data Access (PASDA) // http://www.pasda.psu.edu/ - viewer.terrainProvider = await Cesium.CesiumTerrainProvider.fromIonAssetId( - 3957 - ); + viewer.terrainProvider = + await Cesium.CesiumTerrainProvider.fromIonAssetId(3957); } catch (error) { window.alert(`Failed to load terrain. ${error}`); } @@ -54,7 +53,7 @@ destination: Cesium.Cartesian3.fromRadians( -1.3324415110874286, 0.6954224325279967, - 236.6770689945084 + 236.6770689945084, ), orientation: { heading: Cesium.Math.toRadians(310), @@ -71,7 +70,7 @@ destination: Cesium.Cartesian3.fromRadians( -1.358985133937573, 0.7123252393978314, - 451.05748252867375 + 451.05748252867375, ), orientation: { heading: Cesium.Math.toRadians(85), @@ -88,7 +87,7 @@ destination: Cesium.Cartesian3.fromRadians( -1.3700147546199826, 0.706808606166025, - 993.7916313325215 + 993.7916313325215, ), orientation: { heading: Cesium.Math.toRadians(90), @@ -105,7 +104,7 @@ destination: Cesium.Cartesian3.fromRadians( -1.3218297501066052, 0.713358272291525, - 240.87968743408845 + 240.87968743408845, ), orientation: { heading: Cesium.Math.toRadians(200), @@ -122,7 +121,7 @@ destination: Cesium.Cartesian3.fromRadians( -1.349379633251472, 0.720297672225785, - 656.268309953562 + 656.268309953562, ), orientation: { heading: Cesium.Math.toRadians(200), @@ -133,14 +132,14 @@ }, }, ], - "toolbar" + "toolbar", ); viewer.scene.camera.flyTo({ destination: Cesium.Cartesian3.fromRadians( -1.3324415110874286, 0.6954224325279967, - 236.6770689945084 + 236.6770689945084, ), orientation: { heading: Cesium.Math.toRadians(310), diff --git a/Apps/Sandcastle/gallery/Parallels and Meridians.html b/Apps/Sandcastle/gallery/Parallels and Meridians.html index b28c438d6ac3..a0ff126bba0a 100644 --- a/Apps/Sandcastle/gallery/Parallels and Meridians.html +++ b/Apps/Sandcastle/gallery/Parallels and Meridians.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -120,13 +120,13 @@ minLatitude, midpoint, depth - 1, - color + color, ); const northernLines = makeParallelsRecursive( midpoint, maxLatitude, depth - 1, - color + color, ); result = southernLines.concat(result, northernLines); } @@ -144,13 +144,13 @@ minLongitude, midpoint, depth - 1, - color + color, ); const easternLines = makeMeridiansRecursive( midpoint, maxLongitude, depth - 1, - color + color, ); result = westernLines.concat(result, easternLines); } @@ -200,12 +200,12 @@ selectedPoint.parallel = parallel( toDegrees(cartographic.latitude), red, - finerGranularity + finerGranularity, ); selectedPoint.meridian = meridian( toDegrees(cartographic.longitude), red, - finerGranularity + finerGranularity, ); selectedPoint.label = labelCoordinates(cartographic); @@ -213,7 +213,7 @@ const antipode = new Cesium.Cartographic.fromDegrees( antipodeLongitude, antipodeLatitude, - 0 + 0, ); antipodalPoint.parallel = parallel(antipodeLatitude, cyan, finerGranularity); antipodalPoint.meridian = meridian(antipodeLongitude, cyan, finerGranularity); @@ -253,13 +253,15 @@ }); }); - Sandcastle.addToggleButton("Show higher-resolution grid", false, function ( - checked - ) { - primitives.higherResolutionGrid.forEach(function (line) { - line.show = checked; - }); - }); + Sandcastle.addToggleButton( + "Show higher-resolution grid", + false, + function (checked) { + primitives.higherResolutionGrid.forEach(function (line) { + line.show = checked; + }); + }, + ); Sandcastle.addToggleButton("Show antipodal point", false, function (checked) { showAntipodalPoint = checked; diff --git a/Apps/Sandcastle/gallery/Partial Ellipsoids.html b/Apps/Sandcastle/gallery/Partial Ellipsoids.html index 4501bb694766..c5830dbc3c18 100644 --- a/Apps/Sandcastle/gallery/Partial Ellipsoids.html +++ b/Apps/Sandcastle/gallery/Partial Ellipsoids.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -49,8 +49,8 @@ new Cesium.HeadingPitchRoll( Cesium.Math.toRadians(30.0), Cesium.Math.toRadians(30.0), - 0.0 - ) + 0.0, + ), ), ellipsoid: { radii: new Cesium.Cartesian3(400000.0, 400000.0, 400000.0), @@ -69,8 +69,8 @@ new Cesium.HeadingPitchRoll( Cesium.Math.toRadians(30.0), Cesium.Math.toRadians(30.0), - 0.0 - ) + 0.0, + ), ), ellipsoid: { radii: new Cesium.Cartesian3(460000.0, 460000.0, 460000.0), @@ -175,7 +175,7 @@ position: Cesium.Cartesian3.fromDegrees(-102.0, 35.0, 20000.0), orientation: Cesium.Transforms.headingPitchRollQuaternion( Cesium.Cartesian3.fromDegrees(-102.0, 35.0, 20000.0), - new Cesium.HeadingPitchRoll(Cesium.Math.PI / 1.5, 0, 0.0) + new Cesium.HeadingPitchRoll(Cesium.Math.PI / 1.5, 0, 0.0), ), ellipsoid: { radii: new Cesium.Cartesian3(500000.0, 500000.0, 500000.0), diff --git a/Apps/Sandcastle/gallery/Particle System Fireworks.html b/Apps/Sandcastle/gallery/Particle System Fireworks.html index 6827cb692b7b..7074b6d29d0d 100644 --- a/Apps/Sandcastle/gallery/Particle System Fireworks.html +++ b/Apps/Sandcastle/gallery/Particle System Fireworks.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -39,7 +39,7 @@ Cesium.Math.setRandomNumberSeed(315); const modelMatrix = Cesium.Transforms.eastNorthUpToFixedFrame( - Cesium.Cartesian3.fromDegrees(-75.59777, 40.03883) + Cesium.Cartesian3.fromDegrees(-75.59777, 40.03883), ); const emitterInitialLocation = new Cesium.Cartesian3(0.0, 0.0, 100.0); @@ -73,32 +73,32 @@ const position = Cesium.Cartesian3.add( emitterInitialLocation, offset, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); const emitterModelMatrix = Cesium.Matrix4.fromTranslation( position, - emitterModelMatrixScratch + emitterModelMatrixScratch, ); const particleToWorld = Cesium.Matrix4.multiply( modelMatrix, emitterModelMatrix, - new Cesium.Matrix4() + new Cesium.Matrix4(), ); const worldToParticle = Cesium.Matrix4.inverseTransformation( particleToWorld, - particleToWorld + particleToWorld, ); const size = Cesium.Math.randomBetween( minimumExplosionSize, - maximumExplosionSize + maximumExplosionSize, ); const particlePositionScratch = new Cesium.Cartesian3(); const force = function (particle) { const position = Cesium.Matrix4.multiplyByPoint( worldToParticle, particle.position, - particlePositionScratch + particlePositionScratch, ); if (Cesium.Cartesian3.magnitudeSquared(position) >= size * size) { Cesium.Cartesian3.clone(Cesium.Cartesian3.ZERO, particle.velocity); @@ -126,7 +126,7 @@ updateCallback: force, modelMatrix: modelMatrix, emitterModelMatrix: emitterModelMatrix, - }) + }), ); } @@ -178,7 +178,7 @@ time: Cesium.Math.nextRandomNumber() * lifetime, minimum: burstSize, maximum: burstSize, - }) + }), ); } @@ -193,7 +193,7 @@ const toFireworks = Cesium.Cartesian3.subtract( emitterInitialLocation, cameraOffset, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); Cesium.Cartesian3.normalize(toFireworks, toFireworks); const angle = diff --git a/Apps/Sandcastle/gallery/Particle System Tails.html b/Apps/Sandcastle/gallery/Particle System Tails.html index de7c5ef8e691..c854dc615b1c 100644 --- a/Apps/Sandcastle/gallery/Particle System Tails.html +++ b/Apps/Sandcastle/gallery/Particle System Tails.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -36,12 +36,12 @@ const particlesOffset = new Cesium.Cartesian3( -8.950115473940969, 34.852766731753945, - -30.235411095432937 + -30.235411095432937, ); const cameraLocation = Cesium.Cartesian3.add( planePosition, particlesOffset, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); const resetCamera = function () { viewer.camera.lookAt(cameraLocation, new Cesium.Cartesian3(-450, -300, 200)); @@ -69,7 +69,7 @@ const hpr = new Cesium.HeadingPitchRoll(0.0, Cesium.Math.PI_OVER_TWO, 0.0); const orientation = Cesium.Transforms.headingPitchRollQuaternion( planePosition, - hpr + hpr, ); const entity = viewer.entities.add({ model: { @@ -83,16 +83,16 @@ // creating particles model matrix const translationOffset = Cesium.Matrix4.fromTranslation( particlesOffset, - new Cesium.Matrix4() + new Cesium.Matrix4(), ); const translationOfPlane = Cesium.Matrix4.fromTranslation( planePosition, - new Cesium.Matrix4() + new Cesium.Matrix4(), ); const particlesModelMatrix = Cesium.Matrix4.multiplyTransformation( translationOfPlane, translationOffset, - new Cesium.Matrix4() + new Cesium.Matrix4(), ); // creating the particle systems @@ -166,24 +166,24 @@ scratchCartesian3 = Cesium.Cartesian3.normalize( particle.position, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); scratchCartesian3 = Cesium.Cartesian3.multiplyByScalar( scratchCartesian3, -40.0 * dt, - scratchCartesian3 + scratchCartesian3, ); scratchCartesian3 = Cesium.Cartesian3.add( particle.position, scratchCartesian3, - scratchCartesian3 + scratchCartesian3, ); scratchCartographic = Cesium.Cartographic.fromCartesian( scratchCartesian3, Cesium.Ellipsoid.WGS84, - scratchCartographic + scratchCartographic, ); const angle = (Cesium.Math.PI * 2.0 * iteration) / options.numberOfSystems; @@ -210,10 +210,10 @@ const emitterModelMatrix = Cesium.Matrix4.fromTranslation( scratchOffset, - matrix4Scratch + matrix4Scratch, ); const color = Cesium.Color.fromRandom( - options.colorOptions[i % options.colorOptions.length] + options.colorOptions[i % options.colorOptions.length], ); const force = forceFunction(options, i); @@ -231,7 +231,7 @@ updateCallback: force, modelMatrix: particlesModelMatrix, emitterModelMatrix: emitterModelMatrix, - }) + }), ); systemsArray.push(item); } diff --git a/Apps/Sandcastle/gallery/Particle System Weather.html b/Apps/Sandcastle/gallery/Particle System Weather.html index 6fd2a46d64e1..4e0315e5bf60 100644 --- a/Apps/Sandcastle/gallery/Particle System Weather.html +++ b/Apps/Sandcastle/gallery/Particle System Weather.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -41,7 +41,7 @@ destination: new Cesium.Cartesian3( 277096.634865404, 5647834.481964232, - 2985563.7039122293 + 2985563.7039122293, ), orientation: { heading: 4.731089976107251, @@ -56,31 +56,31 @@ const snowRadius = 100000.0; const minimumSnowImageSize = new Cesium.Cartesian2( snowParticleSize, - snowParticleSize + snowParticleSize, ); const maximumSnowImageSize = new Cesium.Cartesian2( snowParticleSize * 2.0, - snowParticleSize * 2.0 + snowParticleSize * 2.0, ); let snowGravityScratch = new Cesium.Cartesian3(); const snowUpdate = function (particle, dt) { snowGravityScratch = Cesium.Cartesian3.normalize( particle.position, - snowGravityScratch + snowGravityScratch, ); Cesium.Cartesian3.multiplyByScalar( snowGravityScratch, Cesium.Math.randomBetween(-30.0, -300.0), - snowGravityScratch + snowGravityScratch, ); particle.velocity = Cesium.Cartesian3.add( particle.velocity, snowGravityScratch, - particle.velocity + particle.velocity, ); const distance = Cesium.Cartesian3.distance( scene.camera.position, - particle.position + particle.position, ); if (distance > snowRadius) { particle.endColor.alpha = 0.0; @@ -94,29 +94,29 @@ const rainRadius = 100000.0; const rainImageSize = new Cesium.Cartesian2( rainParticleSize, - rainParticleSize * 2.0 + rainParticleSize * 2.0, ); let rainGravityScratch = new Cesium.Cartesian3(); const rainUpdate = function (particle, dt) { rainGravityScratch = Cesium.Cartesian3.normalize( particle.position, - rainGravityScratch + rainGravityScratch, ); rainGravityScratch = Cesium.Cartesian3.multiplyByScalar( rainGravityScratch, -1050.0, - rainGravityScratch + rainGravityScratch, ); particle.position = Cesium.Cartesian3.add( particle.position, rainGravityScratch, - particle.position + particle.position, ); const distance = Cesium.Cartesian3.distance( scene.camera.position, - particle.position + particle.position, ); if (distance > rainRadius) { particle.endColor.alpha = 0.0; @@ -147,7 +147,7 @@ minimumImageSize: minimumSnowImageSize, maximumImageSize: maximumSnowImageSize, updateCallback: snowUpdate, - }) + }), ); scene.skyAtmosphere.hueShift = -0.8; @@ -181,7 +181,7 @@ endColor: new Cesium.Color(0.27, 0.5, 0.7, 0.98), imageSize: rainImageSize, updateCallback: rainUpdate, - }) + }), ); scene.skyAtmosphere.hueShift = -0.97; diff --git a/Apps/Sandcastle/gallery/Particle System.html b/Apps/Sandcastle/gallery/Particle System.html index 9e0acd78c955..c0e266a0f371 100644 --- a/Apps/Sandcastle/gallery/Particle System.html +++ b/Apps/Sandcastle/gallery/Particle System.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -278,7 +278,7 @@ imageSize: new Cesium.Cartesian2( viewModel.particleSize, - viewModel.particleSize + viewModel.particleSize, ), emissionRate: viewModel.emissionRate, @@ -309,7 +309,7 @@ emitterModelMatrix: computeEmitterModelMatrix(), updateCallback: applyGravity, - }) + }), ); const gravityScratch = new Cesium.Cartesian3(); @@ -322,7 +322,7 @@ Cesium.Cartesian3.multiplyByScalar( gravityScratch, viewModel.gravity * dt, - gravityScratch + gravityScratch, ); p.velocity = Cesium.Cartesian3.add(p.velocity, gravityScratch, p.velocity); @@ -411,7 +411,7 @@ text: "Cone Emitter", onselect: function () { particleSystem.emitter = new Cesium.ConeEmitter( - Cesium.Math.toRadians(45.0) + Cesium.Math.toRadians(45.0), ); }, }, @@ -419,7 +419,7 @@ text: "Box Emitter", onselect: function () { particleSystem.emitter = new Cesium.BoxEmitter( - new Cesium.Cartesian3(10.0, 10.0, 10.0) + new Cesium.Cartesian3(10.0, 10.0, 10.0), ); }, }, diff --git a/Apps/Sandcastle/gallery/Per-Feature Post Processing.html b/Apps/Sandcastle/gallery/Per-Feature Post Processing.html index 40683ffc9ea8..33dccee7d666 100644 --- a/Apps/Sandcastle/gallery/Per-Feature Post Processing.html +++ b/Apps/Sandcastle/gallery/Per-Feature Post Processing.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -49,11 +49,11 @@ const stages = viewer.scene.postProcessStages; const silhouette = stages.add( - Cesium.PostProcessStageLibrary.createSilhouetteStage() + Cesium.PostProcessStageLibrary.createSilhouetteStage(), ); silhouette.uniforms.color = Cesium.Color.LIME; const blackAndWhite = stages.add( - Cesium.PostProcessStageLibrary.createBlackAndWhiteStage() + Cesium.PostProcessStageLibrary.createBlackAndWhiteStage(), ); blackAndWhite.uniforms.gradations = 5.0; diff --git a/Apps/Sandcastle/gallery/Physically-Based Materials.html b/Apps/Sandcastle/gallery/Physically-Based Materials.html index 22360ca405b1..9fbfe15631d2 100644 --- a/Apps/Sandcastle/gallery/Physically-Based Materials.html +++ b/Apps/Sandcastle/gallery/Physically-Based Materials.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -58,7 +58,7 @@ const position = new Cesium.Cartesian3( -1371108.6511167218, -5508684.080096612, - 2901825.449865087 + 2901825.449865087, ); const heading = Cesium.Math.toRadians(180); const pitch = Cesium.Math.toRadians(2); @@ -84,7 +84,7 @@ destination: new Cesium.Cartesian3( -1371203.1456494154, -5508700.033950869, - 2901802.2749172337 + 2901802.2749172337, ), orientation: { heading: Cesium.Math.toRadians(67.64973594265429), @@ -98,7 +98,7 @@ destination: new Cesium.Cartesian3( -1371214.9554156072, -5508700.8494476415, - 2901826.794611029 + 2901826.794611029, ), orientation: { heading: Cesium.Math.toRadians(80.5354269423926), @@ -112,7 +112,7 @@ destination: new Cesium.Cartesian3( -1371190.7755780201, -5508732.668834588, - 2901827.2625979027 + 2901827.2625979027, ), orientation: { heading: Cesium.Math.toRadians(68.29411482061157), @@ -126,7 +126,7 @@ destination: new Cesium.Cartesian3( -1371052.4616855076, -5508691.745389906, - 2901861.440673151 + 2901861.440673151, ), orientation: { heading: Cesium.Math.toRadians(236.4536374528137), @@ -148,40 +148,36 @@ text: "Front reflection", onselect: function () { viewer.scene.camera.flyTo(frontView); - viewer.clockViewModel.clock.currentTime = Cesium.JulianDate.fromIso8601( - "2017-07-11T20:00:00Z" - ); + viewer.clockViewModel.clock.currentTime = + Cesium.JulianDate.fromIso8601("2017-07-11T20:00:00Z"); }, }, { text: "Three quarters sunrise", onselect: function () { viewer.scene.camera.flyTo(threeQuartersView); - viewer.clockViewModel.clock.currentTime = Cesium.JulianDate.fromIso8601( - "2017-07-11T11:00:00Z" - ); + viewer.clockViewModel.clock.currentTime = + Cesium.JulianDate.fromIso8601("2017-07-11T11:00:00Z"); }, }, { text: "Top reflection", onselect: function () { viewer.scene.camera.flyTo(topView); - viewer.clockViewModel.clock.currentTime = Cesium.JulianDate.fromIso8601( - "2017-07-11T12:00:00Z" - ); + viewer.clockViewModel.clock.currentTime = + Cesium.JulianDate.fromIso8601("2017-07-11T12:00:00Z"); }, }, { text: "Upward angle side reflection", onselect: function () { viewer.scene.camera.flyTo(upwardsView); - viewer.clockViewModel.clock.currentTime = Cesium.JulianDate.fromIso8601( - "2017-07-11T23:00:00Z" - ); + viewer.clockViewModel.clock.currentTime = + Cesium.JulianDate.fromIso8601("2017-07-11T23:00:00Z"); }, }, ], - "toolbar" + "toolbar", ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/Picking.html b/Apps/Sandcastle/gallery/Picking.html index 4f5d8072a52d..939a265746f2 100644 --- a/Apps/Sandcastle/gallery/Picking.html +++ b/Apps/Sandcastle/gallery/Picking.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -63,15 +63,15 @@ handler.setInputAction(function (movement) { const cartesian = viewer.camera.pickEllipsoid( movement.endPosition, - scene.globe.ellipsoid + scene.globe.ellipsoid, ); if (cartesian) { const cartographic = Cesium.Cartographic.fromCartesian(cartesian); const longitudeString = Cesium.Math.toDegrees( - cartographic.longitude + cartographic.longitude, ).toFixed(2); const latitudeString = Cesium.Math.toDegrees( - cartographic.latitude + cartographic.latitude, ).toFixed(2); entity.position = cartesian; @@ -83,7 +83,7 @@ entity.label.show = false; } }, Cesium.ScreenSpaceEventType.MOUSE_MOVE); - } + }, ); Sandcastle.addToolbarButton("Pick Entity", function () { @@ -125,14 +125,7 @@ const red = viewer.entities.add({ polygon: { hierarchy: Cesium.Cartesian3.fromDegreesArray([ - -70.0, - 30.0, - -60.0, - 30.0, - -60.0, - 40.0, - -70.0, - 40.0, + -70.0, 30.0, -60.0, 30.0, -60.0, 40.0, -70.0, 40.0, ]), height: 0, }, @@ -142,14 +135,7 @@ const blue = viewer.entities.add({ polygon: { hierarchy: Cesium.Cartesian3.fromDegreesArray([ - -75.0, - 34.0, - -63.0, - 34.0, - -63.0, - 40.0, - -75.0, - 40.0, + -75.0, 34.0, -63.0, 34.0, -63.0, 40.0, -75.0, 40.0, ]), height: 0, }, @@ -159,14 +145,7 @@ const green = viewer.entities.add({ polygon: { hierarchy: Cesium.Cartesian3.fromDegreesArray([ - -67.0, - 36.0, - -55.0, - 36.0, - -55.0, - 30.0, - -67.0, - 30.0, + -67.0, 36.0, -55.0, 36.0, -55.0, 30.0, -67.0, 30.0, ]), height: 0, }, @@ -223,10 +202,10 @@ if (Cesium.defined(cartesian)) { const cartographic = Cesium.Cartographic.fromCartesian(cartesian); const longitudeString = Cesium.Math.toDegrees( - cartographic.longitude + cartographic.longitude, ).toFixed(2); const latitudeString = Cesium.Math.toDegrees( - cartographic.latitude + cartographic.latitude, ).toFixed(2); const heightString = cartographic.height.toFixed(2); @@ -241,7 +220,7 @@ 0.0, 0.0, -cartographic.height * - (scene.mode === Cesium.SceneMode.SCENE2D ? 1.5 : 1.0) + (scene.mode === Cesium.SceneMode.SCENE2D ? 1.5 : 1.0), ); foundPosition = true; diff --git a/Apps/Sandcastle/gallery/Plane.html b/Apps/Sandcastle/gallery/Plane.html index 1596f5fe3e59..56fff30f13e5 100644 --- a/Apps/Sandcastle/gallery/Plane.html +++ b/Apps/Sandcastle/gallery/Plane.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/Points.html b/Apps/Sandcastle/gallery/Points.html index 4a7c50c7342d..57e81a71cc5f 100644 --- a/Apps/Sandcastle/gallery/Points.html +++ b/Apps/Sandcastle/gallery/Points.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/Polygon.html b/Apps/Sandcastle/gallery/Polygon.html index 4d2535651468..5d092754b07c 100644 --- a/Apps/Sandcastle/gallery/Polygon.html +++ b/Apps/Sandcastle/gallery/Polygon.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -38,16 +38,7 @@ name: "Red polygon on surface", polygon: { hierarchy: Cesium.Cartesian3.fromDegreesArray([ - -115.0, - 37.0, - -115.0, - 32.0, - -107.0, - 33.0, - -102.0, - 31.0, - -102.0, - 35.0, + -115.0, 37.0, -115.0, 32.0, -107.0, 33.0, -102.0, 31.0, -102.0, 35.0, ]), material: Cesium.Color.RED, }, @@ -57,12 +48,7 @@ name: "Green extruded polygon", polygon: { hierarchy: Cesium.Cartesian3.fromDegreesArray([ - -108.0, - 42.0, - -100.0, - 42.0, - -104.0, - 40.0, + -108.0, 42.0, -100.0, 42.0, -104.0, 40.0, ]), extrudedHeight: 500000.0, material: Cesium.Color.GREEN, @@ -72,25 +58,11 @@ }); const texturedPolygon = viewer.entities.add({ - name: - "Extruded textured polygon with per-position heights and custom texture coordinates", + name: "Extruded textured polygon with per-position heights and custom texture coordinates", polygon: { hierarchy: Cesium.Cartesian3.fromDegreesArrayHeights([ - -118.4, - 40.4, - 50000, - -118.4, - 37, - 30000, - -114.2, - 38.0, - 35000, - -108.0, - 37, - 30000, - -108.0, - 40.4, - 50000, + -118.4, 40.4, 50000, -118.4, 37, 30000, -114.2, 38.0, 35000, -108.0, 37, + 30000, -108.0, 40.4, 50000, ]), textureCoordinates: { positions: [ @@ -108,41 +80,17 @@ }); const texturedPolygonWithHoles = viewer.entities.add({ - name: - "Textured polygon with per-position heights, holes and custom texture coordinates", + name: "Textured polygon with per-position heights, holes and custom texture coordinates", polygon: { hierarchy: { positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -130, - 40.0, - 50000, - -130, - 36.0, - 30000, - -125, - 37, - 35000, - -120, - 36.0, - 30000, - -120, - 40.0, - 50000, + -130, 40.0, 50000, -130, 36.0, 30000, -125, 37, 35000, -120, 36.0, 30000, + -120, 40.0, 50000, ]), holes: [ { positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -128, - 39.2, - 46000, - -128, - 38.6, - 42000, - -127, - 38.6, - 42000, - -127, - 39.2, + -128, 39.2, 46000, -128, 38.6, 42000, -127, 38.6, 42000, -127, 39.2, 46000, ]), }, @@ -176,18 +124,8 @@ name: "Orange polygon with per-position heights and outline", polygon: { hierarchy: Cesium.Cartesian3.fromDegreesArrayHeights([ - -108.0, - 25.0, - 100000, - -100.0, - 25.0, - 100000, - -100.0, - 30.0, - 100000, - -108.0, - 30.0, - 300000, + -108.0, 25.0, 100000, -100.0, 25.0, 100000, -100.0, 30.0, 100000, -108.0, + 30.0, 300000, ]), extrudedHeight: 0, perPositionHeight: true, @@ -202,50 +140,22 @@ polygon: { hierarchy: { positions: Cesium.Cartesian3.fromDegreesArray([ - -99.0, - 30.0, - -85.0, - 30.0, - -85.0, - 40.0, - -99.0, - 40.0, + -99.0, 30.0, -85.0, 30.0, -85.0, 40.0, -99.0, 40.0, ]), holes: [ { positions: Cesium.Cartesian3.fromDegreesArray([ - -97.0, - 31.0, - -97.0, - 39.0, - -87.0, - 39.0, - -87.0, - 31.0, + -97.0, 31.0, -97.0, 39.0, -87.0, 39.0, -87.0, 31.0, ]), holes: [ { positions: Cesium.Cartesian3.fromDegreesArray([ - -95.0, - 33.0, - -89.0, - 33.0, - -89.0, - 37.0, - -95.0, - 37.0, + -95.0, 33.0, -89.0, 33.0, -89.0, 37.0, -95.0, 37.0, ]), holes: [ { positions: Cesium.Cartesian3.fromDegreesArray([ - -93.0, - 34.0, - -91.0, - 34.0, - -91.0, - 36.0, - -93.0, - 36.0, + -93.0, 34.0, -91.0, 34.0, -91.0, 36.0, -93.0, 36.0, ]), }, ], @@ -264,15 +174,7 @@ name: "Cyan vertical polygon with per-position heights and outline", polygon: { hierarchy: Cesium.Cartesian3.fromDegreesArrayHeights([ - -90.0, - 41.0, - 0.0, - -85.0, - 41.0, - 500000.0, - -80.0, - 41.0, - 0.0, + -90.0, 41.0, 0.0, -85.0, 41.0, 500000.0, -80.0, 41.0, 0.0, ]), perPositionHeight: true, material: Cesium.Color.CYAN.withAlpha(0.5), @@ -285,14 +187,7 @@ name: "Purple polygon using rhumb lines with outline", polygon: { hierarchy: Cesium.Cartesian3.fromDegreesArray([ - -120.0, - 45.0, - -80.0, - 45.0, - -80.0, - 55.0, - -120.0, - 55.0, + -120.0, 45.0, -80.0, 45.0, -80.0, 55.0, -120.0, 55.0, ]), extrudedHeight: 50000, material: Cesium.Color.PURPLE, diff --git a/Apps/Sandcastle/gallery/Polyline Dash.html b/Apps/Sandcastle/gallery/Polyline Dash.html index 83ea0c775c64..37b5ff8a4be4 100644 --- a/Apps/Sandcastle/gallery/Polyline Dash.html +++ b/Apps/Sandcastle/gallery/Polyline Dash.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -35,12 +35,7 @@ name: "Red dashed line", polyline: { positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -75, - 38, - 250000, - -125, - 38, - 250000, + -75, 38, 250000, -125, 38, 250000, ]), width: 5, material: new Cesium.PolylineDashMaterialProperty({ @@ -53,12 +48,7 @@ name: "Wide blue dashed line with a gap color", polyline: { positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -75, - 40, - 250000, - -125, - 40, - 250000, + -75, 40, 250000, -125, 40, 250000, ]), width: 30, material: new Cesium.PolylineDashMaterialProperty({ @@ -72,12 +62,7 @@ name: "Orange dashed line with a short dash length", polyline: { positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -75, - 42, - 250000, - -125, - 42, - 250000, + -75, 42, 250000, -125, 42, 250000, ]), width: 5, material: new Cesium.PolylineDashMaterialProperty({ @@ -91,12 +76,7 @@ name: "Cyan dashed line with a dash pattern.", polyline: { positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -75, - 44, - 250000, - -125, - 44, - 250000, + -75, 44, 250000, -125, 44, 250000, ]), width: 10, material: new Cesium.PolylineDashMaterialProperty({ @@ -110,12 +90,7 @@ name: "Yellow dashed line with a dash pattern.", polyline: { positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -75, - 46, - 250000, - -125, - 46, - 250000, + -75, 46, 250000, -125, 46, 250000, ]), width: 10, material: new Cesium.PolylineDashMaterialProperty({ diff --git a/Apps/Sandcastle/gallery/Polyline Volume.html b/Apps/Sandcastle/gallery/Polyline Volume.html index 91a16f61f1c6..c8beddec1b8c 100644 --- a/Apps/Sandcastle/gallery/Polyline Volume.html +++ b/Apps/Sandcastle/gallery/Polyline Volume.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -41,8 +41,8 @@ positions.push( new Cesium.Cartesian2( radius * Math.cos(radians), - radius * Math.sin(radians) - ) + radius * Math.sin(radians), + ), ); } return positions; @@ -56,7 +56,7 @@ const r = i % 2 === 0 ? rOuter : rInner; positions[i] = new Cesium.Cartesian2( Math.cos(i * angle) * r, - Math.sin(i * angle) * r + Math.sin(i * angle) * r, ); } return positions; @@ -66,12 +66,7 @@ name: "Red tube with rounded corners", polylineVolume: { positions: Cesium.Cartesian3.fromDegreesArray([ - -85.0, - 32.0, - -85.0, - 36.0, - -89.0, - 36.0, + -85.0, 32.0, -85.0, 36.0, -89.0, 36.0, ]), shape: computeCircle(60000.0), material: Cesium.Color.RED, @@ -82,15 +77,7 @@ name: "Green box with beveled corners and outline", polylineVolume: { positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -90.0, - 32.0, - 0.0, - -90.0, - 36.0, - 100000.0, - -94.0, - 36.0, - 0.0, + -90.0, 32.0, 0.0, -90.0, 36.0, 100000.0, -94.0, 36.0, 0.0, ]), shape: [ new Cesium.Cartesian2(-50000, -50000), @@ -109,15 +96,7 @@ name: "Blue star with mitered corners and outline", polylineVolume: { positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -95.0, - 32.0, - 0.0, - -95.0, - 36.0, - 100000.0, - -99.0, - 36.0, - 200000.0, + -95.0, 32.0, 0.0, -95.0, 36.0, 100000.0, -99.0, 36.0, 200000.0, ]), shape: computeStar(7, 70000, 50000), cornerType: Cesium.CornerType.MITERED, diff --git a/Apps/Sandcastle/gallery/Polyline.html b/Apps/Sandcastle/gallery/Polyline.html index 76f88d42b9f3..3bbcc7d43dc2 100644 --- a/Apps/Sandcastle/gallery/Polyline.html +++ b/Apps/Sandcastle/gallery/Polyline.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -71,12 +71,7 @@ name: "Orange line with black outline at height and following the surface", polyline: { positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -75, - 39, - 250000, - -125, - 39, - 250000, + -75, 39, 250000, -125, 39, 250000, ]), width: 5, material: new Cesium.PolylineOutlineMaterialProperty({ @@ -91,12 +86,7 @@ name: "Purple straight arrow at height", polyline: { positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -75, - 43, - 500000, - -125, - 43, - 500000, + -75, 43, 500000, -125, 43, 500000, ]), width: 10, arcType: Cesium.ArcType.NONE, @@ -108,12 +98,7 @@ name: "Blue dashed line", polyline: { positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -75, - 45, - 500000, - -125, - 45, - 500000, + -75, 45, 500000, -125, 45, 500000, ]), width: 4, material: new Cesium.PolylineDashMaterialProperty({ diff --git a/Apps/Sandcastle/gallery/Polylines on 3D Tiles.html b/Apps/Sandcastle/gallery/Polylines on 3D Tiles.html index 8b500406ca35..135dae3579af 100644 --- a/Apps/Sandcastle/gallery/Polylines on 3D Tiles.html +++ b/Apps/Sandcastle/gallery/Polylines on 3D Tiles.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -42,7 +42,7 @@ destination: new Cesium.Cartesian3( 1234151.4883992162, -5086036.79436967, - 3633328.4278331124 + 3633328.4278331124, ), orientation: { heading: 5.593695742186853, @@ -103,12 +103,8 @@ const pipes = viewer.entities.add({ polyline: { positions: Cesium.Cartesian3.fromDegreesArray([ - -76.36053390920833, - 34.949935893493596, - -76.36055481641581, - 34.94993589886988, - -76.36055477047704, - 34.94992280693651, + -76.36053390920833, 34.949935893493596, -76.36055481641581, + 34.94993589886988, -76.36055477047704, 34.94992280693651, ]), width: 6, material: new Cesium.PolylineDashMaterialProperty({ @@ -133,15 +129,9 @@ const route = viewer.entities.add({ polyline: { positions: Cesium.Cartesian3.fromDegreesArray([ - -75.59604807301078, - 40.03948512841901, - -75.59644577413066, - 40.039316280505446, - -75.59584544997564, - 40.03846271524258, - -75.59661425371488, - 40.03814087821916, - -75.59664726332451, + -75.59604807301078, 40.03948512841901, -75.59644577413066, + 40.039316280505446, -75.59584544997564, 40.03846271524258, + -75.59661425371488, 40.03814087821916, -75.59664726332451, 40.03818297772907, ]), width: 6, @@ -173,7 +163,7 @@ destination: new Cesium.Cartesian3( 1234151.4883992162, -5086036.79436967, - 3633328.4278331124 + 3633328.4278331124, ), orientation: { heading: 5.593695742186853, @@ -201,7 +191,7 @@ destination: new Cesium.Cartesian3( 1216596.5376729995, -4736445.416889214, - 4081406.990364228 + 4081406.990364228, ), orientation: { heading: 5.153176564030707, diff --git a/Apps/Sandcastle/gallery/Post Processing.html b/Apps/Sandcastle/gallery/Post Processing.html index 2f9844296788..3a8ceecf5b27 100644 --- a/Apps/Sandcastle/gallery/Post Processing.html +++ b/Apps/Sandcastle/gallery/Post Processing.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -112,16 +112,16 @@ const stages = viewer.scene.postProcessStages; const silhouette = stages.add( - Cesium.PostProcessStageLibrary.createSilhouetteStage() + Cesium.PostProcessStageLibrary.createSilhouetteStage(), ); const blackAndWhite = stages.add( - Cesium.PostProcessStageLibrary.createBlackAndWhiteStage() + Cesium.PostProcessStageLibrary.createBlackAndWhiteStage(), ); const brightness = stages.add( - Cesium.PostProcessStageLibrary.createBrightnessStage() + Cesium.PostProcessStageLibrary.createBrightnessStage(), ); const nightVision = stages.add( - Cesium.PostProcessStageLibrary.createNightVisionStage() + Cesium.PostProcessStageLibrary.createNightVisionStage(), ); function updatePostProcess() { diff --git a/Apps/Sandcastle/gallery/Procedural Terrain.html b/Apps/Sandcastle/gallery/Procedural Terrain.html index 82f58f2a407b..ac3b11eb7452 100644 --- a/Apps/Sandcastle/gallery/Procedural Terrain.html +++ b/Apps/Sandcastle/gallery/Procedural Terrain.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -147,12 +147,12 @@ destination: new Cesium.Cartesian3( 339907.1874329616, 5654554.279066735, - 2936259.008266917 + 2936259.008266917, ), orientation: new Cesium.HeadingPitchRoll( 5.473742192009368, -0.2225518333236931, - 6.28274245960864 + 6.28274245960864, ), }); diff --git a/Apps/Sandcastle/gallery/Projection.html b/Apps/Sandcastle/gallery/Projection.html index a690f52e555d..5b3b8b9ce59f 100644 --- a/Apps/Sandcastle/gallery/Projection.html +++ b/Apps/Sandcastle/gallery/Projection.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/Rectangle.html b/Apps/Sandcastle/gallery/Rectangle.html index 9065086146bb..8c2e02d18391 100644 --- a/Apps/Sandcastle/gallery/Rectangle.html +++ b/Apps/Sandcastle/gallery/Rectangle.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -43,8 +43,7 @@ }); const greenRectangle = viewer.entities.add({ - name: - "Green translucent, rotated, and extruded rectangle at height with outline", + name: "Green translucent, rotated, and extruded rectangle at height with outline", rectangle: { coordinates: Cesium.Rectangle.fromDegrees(-110.0, 30.0, -100.0, 40.0), material: Cesium.Color.GREEN.withAlpha(0.5), diff --git a/Apps/Sandcastle/gallery/Resolution Scaling.html b/Apps/Sandcastle/gallery/Resolution Scaling.html index 03acc594c490..da1c5c8afc56 100644 --- a/Apps/Sandcastle/gallery/Resolution Scaling.html +++ b/Apps/Sandcastle/gallery/Resolution Scaling.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/Rotatable 2D Map.html b/Apps/Sandcastle/gallery/Rotatable 2D Map.html index 52f0d49222f4..d278a92753a5 100644 --- a/Apps/Sandcastle/gallery/Rotatable 2D Map.html +++ b/Apps/Sandcastle/gallery/Rotatable 2D Map.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/Sample Height from 3D Tiles.html b/Apps/Sandcastle/gallery/Sample Height from 3D Tiles.html index dc50240b9a70..01b4da3f5c64 100644 --- a/Apps/Sandcastle/gallery/Sample Height from 3D Tiles.html +++ b/Apps/Sandcastle/gallery/Sample Height from 3D Tiles.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -45,12 +45,12 @@ destination: new Cesium.Cartesian3( 1216411.0748779264, -4736313.10747583, - 4081359.5125561724 + 4081359.5125561724, ), orientation: new Cesium.HeadingPitchRoll( 4.239925103568368, -0.4911293834802475, - 6.279849292088564 + 6.279849292088564, ), endTransform: Cesium.Matrix4.IDENTITY, }); @@ -68,12 +68,12 @@ const cartesian1 = new Cesium.Cartesian3( 1216390.063324395, -4736314.814479433, - 4081341.9787972216 + 4081341.9787972216, ); const cartesian2 = new Cesium.Cartesian3( 1216329.5413318684, -4736272.029009798, - 4081407.9342479417 + 4081407.9342479417, ); const count = 30; @@ -84,7 +84,7 @@ cartesian1, cartesian2, offset, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); } diff --git a/Apps/Sandcastle/gallery/Scene Rendering Performance.html b/Apps/Sandcastle/gallery/Scene Rendering Performance.html index 35174723ccc0..db130f98358a 100644 --- a/Apps/Sandcastle/gallery/Scene Rendering Performance.html +++ b/Apps/Sandcastle/gallery/Scene Rendering Performance.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -234,7 +234,7 @@ <h4>Max delta time</h4> viewModel.maximumRenderTimeChange = 10.0; viewer.dataSources.add( - Cesium.CzmlDataSource.load("../../SampleData/simple.czml") + Cesium.CzmlDataSource.load("../../SampleData/simple.czml"), ); viewer.clock.shouldAnimate = true; } diff --git a/Apps/Sandcastle/gallery/Sentinel-2.html b/Apps/Sandcastle/gallery/Sentinel-2.html index d8d6ab1c6c1f..b9b6ce6ee1e0 100644 --- a/Apps/Sandcastle/gallery/Sentinel-2.html +++ b/Apps/Sandcastle/gallery/Sentinel-2.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -35,7 +35,7 @@ // Sentinel-2 (mostly) cloudless global imagery between 10 and 60 meter resolution. const viewer = new Cesium.Viewer("cesiumContainer", { baseLayer: Cesium.ImageryLayer.fromProviderAsync( - Cesium.IonImageryProvider.fromAssetId(3954) + Cesium.IonImageryProvider.fromAssetId(3954), ), }); //Sandcastle_End diff --git a/Apps/Sandcastle/gallery/Shadows.html b/Apps/Sandcastle/gallery/Shadows.html index ac7440a28a2a..92ab1573a711 100644 --- a/Apps/Sandcastle/gallery/Shadows.html +++ b/Apps/Sandcastle/gallery/Shadows.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -179,7 +179,7 @@ entity.position = Cesium.Cartesian3.fromRadians( longitude, latitude, - height + entity.height + height + entity.height, ); } @@ -253,14 +253,16 @@ viewer.terrainShadows = checked ? Cesium.ShadowMode.ENABLED : Cesium.ShadowMode.DISABLED; - } + }, ); - Sandcastle.addToggleButton("Soft Shadows", shadowMap.softShadows, function ( - checked - ) { - shadowMap.softShadows = checked; - }); + Sandcastle.addToggleButton( + "Soft Shadows", + shadowMap.softShadows, + function (checked) { + shadowMap.softShadows = checked; + }, + ); Sandcastle.addToolbarMenu([ { diff --git a/Apps/Sandcastle/gallery/Show or Hide Entities.html b/Apps/Sandcastle/gallery/Show or Hide Entities.html index 6f695328cd21..1933a5dd483f 100644 --- a/Apps/Sandcastle/gallery/Show or Hide Entities.html +++ b/Apps/Sandcastle/gallery/Show or Hide Entities.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/Spheres and Ellipsoids.html b/Apps/Sandcastle/gallery/Spheres and Ellipsoids.html index 2f036bd55f47..8f8a78064eda 100644 --- a/Apps/Sandcastle/gallery/Spheres and Ellipsoids.html +++ b/Apps/Sandcastle/gallery/Spheres and Ellipsoids.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/SplitDirection.html b/Apps/Sandcastle/gallery/SplitDirection.html index 26d7bdb608a5..65430d759424 100644 --- a/Apps/Sandcastle/gallery/SplitDirection.html +++ b/Apps/Sandcastle/gallery/SplitDirection.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/gallery/Star Burst.html b/Apps/Sandcastle/gallery/Star Burst.html index 8af17b39654f..89bbbe1b3687 100644 --- a/Apps/Sandcastle/gallery/Star Burst.html +++ b/Apps/Sandcastle/gallery/Star Burst.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -94,7 +94,7 @@ magnitude, lines, billboardEyeOffsets, - labelEyeOffsets + labelEyeOffsets, ) { const x = magnitude * Math.cos(angle); const y = magnitude * Math.sin(angle); @@ -108,7 +108,7 @@ const diff = Cesium.Cartesian3.subtract( entityPosition, camera.positionWC, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); const distance = Cesium.Cartesian3.dot(camera.directionWC, diff); @@ -117,12 +117,12 @@ drawingBufferHeight, distance, pixelRatio, - new Cesium.Cartesian2() + new Cesium.Cartesian2(), ); Cesium.Cartesian2.multiplyByScalar( offset, Cesium.Cartesian2.maximumComponent(dimensions), - offset + offset, ); let labelOffset; @@ -138,7 +138,7 @@ const endPoint = Cesium.Matrix4.multiplyByPoint( camera.viewMatrix, entityPosition, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); Cesium.Cartesian3.add(eyeOffset, endPoint, endPoint); Cesium.Matrix4.multiplyByPoint(camera.inverseViewMatrix, endPoint, endPoint); @@ -216,7 +216,7 @@ magnitude, lines, billboardEyeOffsets, - labelEyeOffsets + labelEyeOffsets, ); pickedEntities.push(object); @@ -233,7 +233,7 @@ magnitude, lines, billboardEyeOffsets, - labelEyeOffsets + labelEyeOffsets, ); pickedEntities.push(object); } @@ -256,7 +256,7 @@ starBurstState.maxDimension = Math.max( pickedEntity.primitive.width, pickedEntity.primitive.height, - starBurstState.maxDimension + starBurstState.maxDimension, ); instances.push( @@ -268,10 +268,10 @@ }), attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.WHITE + Cesium.Color.WHITE, ), }, - }) + }), ); } @@ -283,7 +283,7 @@ translucent: false, }), asynchronous: false, - }) + }), ); viewer.selectedEntity = undefined; @@ -306,12 +306,12 @@ // If the mouse is inside the circle, show the label of the billboard the mouse is hovering over. const screenPosition = Cesium.SceneTransforms.worldToWindowCoordinates( scene, - starBurstState.center + starBurstState.center, ); const fromCenter = Cesium.Cartesian2.subtract( mousePosition, screenPosition, - new Cesium.Cartesian2() + new Cesium.Cartesian2(), ); const radius = starBurstState.radius; diff --git a/Apps/Sandcastle/gallery/Terrain Clipping Planes.html b/Apps/Sandcastle/gallery/Terrain Clipping Planes.html index 083ffaef297a..0bc6d6d74ea1 100644 --- a/Apps/Sandcastle/gallery/Terrain Clipping Planes.html +++ b/Apps/Sandcastle/gallery/Terrain Clipping Planes.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -90,7 +90,7 @@ const position = Cesium.Cartesian3.fromRadians( -2.0862979473351286, 0.6586620013036164, - 1400.0 + 1400.0, ); const entity = viewer.entities.add({ @@ -137,72 +137,72 @@ new Cesium.Cartesian3( -2358434.3501556474, -3743554.5012105294, - 4581080.771684084 + 4581080.771684084, ), new Cesium.Cartesian3( -2357886.4482675144, -3744467.562778789, - 4581020.9199767085 + 4581020.9199767085, ), new Cesium.Cartesian3( -2357299.84353055, -3744954.0879047974, - 4581080.992360969 + 4581080.992360969, ), new Cesium.Cartesian3( -2356412.05169956, -3745385.3013702347, - 4580893.4737207815 + 4580893.4737207815, ), new Cesium.Cartesian3( -2355472.889436636, -3745256.5725702164, - 4581252.3128526565 + 4581252.3128526565, ), new Cesium.Cartesian3( -2354385.7458722834, -3744319.3823686405, - 4582372.770031389 + 4582372.770031389, ), new Cesium.Cartesian3( -2353758.788158616, -3743051.0128084184, - 4583356.453176038 + 4583356.453176038, ), new Cesium.Cartesian3( -2353663.8128999653, -3741847.9126874236, - 4584079.428665509 + 4584079.428665509, ), new Cesium.Cartesian3( -2354213.667592133, -3740784.50946316, - 4584502.428203525 + 4584502.428203525, ), new Cesium.Cartesian3( -2355596.239450013, -3739901.0226732804, - 4584515.9652557485 + 4584515.9652557485, ), new Cesium.Cartesian3( -2356942.4170108805, -3740342.454698685, - 4583686.690694482 + 4583686.690694482, ), new Cesium.Cartesian3( -2357529.554838029, -3740766.995076834, - 4583145.055348843 + 4583145.055348843, ), new Cesium.Cartesian3( -2358106.017822064, -3741439.438418052, - 4582452.293605261 + 4582452.293605261, ), new Cesium.Cartesian3( -2358539.5426236596, -3742680.720902901, - 4581692.0260975715 + 4581692.0260975715, ), ]; @@ -215,7 +215,7 @@ let midpoint = Cesium.Cartesian3.add( points[i], points[nextIndex], - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); midpoint = Cesium.Cartesian3.multiplyByScalar(midpoint, 0.5, midpoint); @@ -223,7 +223,7 @@ let right = Cesium.Cartesian3.subtract( points[nextIndex], midpoint, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); right = Cesium.Cartesian3.normalize(right, right); @@ -254,22 +254,22 @@ } // Adjust height so tileset is in terrain const cartographic = Cesium.Cartographic.fromCartesian( - tileset.boundingSphere.center + tileset.boundingSphere.center, ); const surface = Cesium.Cartesian3.fromRadians( cartographic.longitude, cartographic.latitude, - 0.0 + 0.0, ); const offset = Cesium.Cartesian3.fromRadians( cartographic.longitude, cartographic.latitude, - -20.0 + -20.0, ); const translation = Cesium.Cartesian3.subtract( offset, surface, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); tileset.modelMatrix = Cesium.Matrix4.fromTranslation(translation); @@ -284,7 +284,7 @@ const radius = boundingSphere.radius; viewer.camera.viewBoundingSphere( boundingSphere, - new Cesium.HeadingPitchRange(0.5, -0.2, radius * 4.0) + new Cesium.HeadingPitchRange(0.5, -0.2, radius * 4.0), ); viewer.camera.lookAtTransform(Cesium.Matrix4.IDENTITY); } catch (error) { @@ -295,7 +295,7 @@ function loadGrandCanyon() { // Pick a position at the Grand Canyon const position = Cesium.Cartographic.toCartesian( - new Cesium.Cartographic.fromDegrees(-113.2665534, 36.0939345, 100) + new Cesium.Cartographic.fromDegrees(-113.2665534, 36.0939345, 100), ); const distance = 3000.0; const boundingSphere = new Cesium.BoundingSphere(position, distance); @@ -318,7 +318,7 @@ viewer.camera.viewBoundingSphere( boundingSphere, - new Cesium.HeadingPitchRange(0.5, -0.5, boundingSphere.radius * 5.0) + new Cesium.HeadingPitchRange(0.5, -0.5, boundingSphere.radius * 5.0), ); viewer.camera.lookAtTransform(Cesium.Matrix4.IDENTITY); } diff --git a/Apps/Sandcastle/gallery/Terrain Exaggeration.html b/Apps/Sandcastle/gallery/Terrain Exaggeration.html index 436dc972c84a..4dcfd94d1189 100644 --- a/Apps/Sandcastle/gallery/Terrain Exaggeration.html +++ b/Apps/Sandcastle/gallery/Terrain Exaggeration.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -86,12 +86,12 @@ destination: new Cesium.Cartesian3( 336567.0354790703, 5664688.047602498, - 2923204.3566963132 + 2923204.3566963132, ), orientation: new Cesium.HeadingPitchRoll( 1.2273281382639265, -0.32239612370237514, - 0.0027207329018610338 + 0.0027207329018610338, ), }); @@ -99,7 +99,7 @@ position: new Cesium.Cartesian3( 314557.3531714575, 5659723.771882165, - 2923538.5417330978 + 2923538.5417330978, ), ellipsoid: { radii: new Cesium.Cartesian3(400.0, 400.0, 400.0), @@ -177,7 +177,7 @@ function (checked) { visualizeRelativeHeight = checked; updateMaterial(); - } + }, ); Sandcastle.addToolbarButton("Remove Exaggeration", function () { diff --git a/Apps/Sandcastle/gallery/Terrain.html b/Apps/Sandcastle/gallery/Terrain.html index 914262af69fb..9867e52b0834 100644 --- a/Apps/Sandcastle/gallery/Terrain.html +++ b/Apps/Sandcastle/gallery/Terrain.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -85,7 +85,7 @@ Cesium.Terrain.fromWorldTerrain({ requestWaterMask: true, requestVertexNormals: true, - }) + }), ); viewer.scene.globe.enableLighting = true; }, @@ -102,7 +102,7 @@ viewer.scene.setTerrain( Cesium.Terrain.fromWorldTerrain({ requestVertexNormals: true, - }) + }), ); viewer.scene.globe.enableLighting = true; }, @@ -113,7 +113,7 @@ viewer.scene.setTerrain( Cesium.Terrain.fromWorldTerrain({ requestWaterMask: true, - }) + }), ); }, }, @@ -138,9 +138,9 @@ "http://www.vr-theworld.com/vr-theworld/tiles1.0.0/73/", { credit: "Terrain data courtesy VT MΓ„K", - } - ) - ) + }, + ), + ), ); }, }, @@ -150,14 +150,14 @@ viewer.scene.setTerrain( new Cesium.Terrain( Cesium.ArcGISTiledElevationTerrainProvider.fromUrl( - "https://elevation3d.arcgis.com/arcgis/rest/services/WorldElevation3D/Terrain3D/ImageServer" - ) - ) + "https://elevation3d.arcgis.com/arcgis/rest/services/WorldElevation3D/Terrain3D/ImageServer", + ), + ), ); }, }, ], - "terrainMenu" + "terrainMenu", ); Sandcastle.addDefaultToolbarMenu( @@ -174,12 +174,12 @@ const target = new Cesium.Cartesian3( -2489625.0836225147, -4393941.44443024, - 3882535.9454173897 + 3882535.9454173897, ); const offset = new Cesium.Cartesian3( -6857.40902037546, 412.3284835694358, - 2147.5545426812023 + 2147.5545426812023, ); viewer.camera.lookAt(target, offset); viewer.camera.lookAtTransform(Cesium.Matrix4.IDENTITY); @@ -191,19 +191,19 @@ const target = new Cesium.Cartesian3( -2708814.85583248, -4254159.450845907, - 3891403.9457429945 + 3891403.9457429945, ); const offset = new Cesium.Cartesian3( 70642.66030209465, -31661.517948317807, - 35505.179997143336 + 35505.179997143336, ); viewer.camera.lookAt(target, offset); viewer.camera.lookAtTransform(Cesium.Matrix4.IDENTITY); }, }, ], - "zoomButtons" + "zoomButtons", ); let terrainSamplePositions; @@ -212,12 +212,12 @@ const target = new Cesium.Cartesian3( 300770.50872389384, 5634912.131394585, - 2978152.2865545116 + 2978152.2865545116, ); const offset = new Cesium.Cartesian3( 6344.974098678562, -793.3419798081741, - 2499.9508860763162 + 2499.9508860763162, ); viewer.camera.lookAt(target, offset); viewer.camera.lookAtTransform(Cesium.Matrix4.IDENTITY); @@ -269,7 +269,7 @@ everestLongitude - rectangleHalfSize, everestLatitude - rectangleHalfSize, everestLongitude + rectangleHalfSize, - everestLatitude + rectangleHalfSize + everestLatitude + rectangleHalfSize, ); const terrainSamplePositions = []; for (let y = 0; y < gridHeight; ++y) { @@ -288,25 +288,27 @@ viewer.scene.globe.enableLighting, function (checked) { viewer.scene.globe.enableLighting = checked; - } + }, ); - Sandcastle.addToggleButton("Enable fog", viewer.scene.fog.enabled, function ( - checked - ) { - viewer.scene.fog.enabled = checked; - }); + Sandcastle.addToggleButton( + "Enable fog", + viewer.scene.fog.enabled, + function (checked) { + viewer.scene.fog.enabled = checked; + }, + ); Sandcastle.addToolbarButton( "Sample Everest Terrain at Level 9", function () { const terrainSamplePositions = createGrid(0.005); Promise.resolve( - Cesium.sampleTerrain(viewer.terrainProvider, 9, terrainSamplePositions) + Cesium.sampleTerrain(viewer.terrainProvider, 9, terrainSamplePositions), ).then(sampleTerrainSuccess); lookAtMtEverest(); }, - "sampleButtons" + "sampleButtons", ); Sandcastle.addToolbarButton( @@ -314,7 +316,7 @@ function () { if (!Cesium.defined(viewer.terrainProvider.availability)) { window.alert( - "sampleTerrainMostDetailed is not supported for the selected terrain provider" + "sampleTerrainMostDetailed is not supported for the selected terrain provider", ); return; } @@ -322,12 +324,12 @@ Promise.resolve( Cesium.sampleTerrainMostDetailed( viewer.terrainProvider, - terrainSamplePositions - ) + terrainSamplePositions, + ), ).then(sampleTerrainSuccess); lookAtMtEverest(); }, - "sampleButtons" + "sampleButtons", ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/Time Dynamic Point Cloud.html b/Apps/Sandcastle/gallery/Time Dynamic Point Cloud.html index 150e8accbc93..29f7f588d4be 100644 --- a/Apps/Sandcastle/gallery/Time Dynamic Point Cloud.html +++ b/Apps/Sandcastle/gallery/Time Dynamic Point Cloud.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -63,7 +63,7 @@ { iso8601Dates: dates, dataCallback: dataCallback, - } + }, ); const pointCloud = new Cesium.TimeDynamicPointCloud({ diff --git a/Apps/Sandcastle/gallery/Time Dynamic Wheels.html b/Apps/Sandcastle/gallery/Time Dynamic Wheels.html index 623f06efbae2..72e4aca8a98a 100644 --- a/Apps/Sandcastle/gallery/Time Dynamic Wheels.html +++ b/Apps/Sandcastle/gallery/Time Dynamic Wheels.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -42,7 +42,7 @@ const stop = Cesium.JulianDate.addSeconds( start, totalSeconds, - new Cesium.JulianDate() + new Cesium.JulianDate(), ); viewer.clock.startTime = start.clone(); viewer.clock.stopTime = stop.clone(); @@ -55,12 +55,12 @@ const startPosition = new Cesium.Cartesian3( -2379556.799372864, -4665528.205030263, - 3628013.106599678 + 3628013.106599678, ); const endPosition = new Cesium.Cartesian3( -2379603.7074103747, -4665623.48990283, - 3627860.82704567 + 3627860.82704567, ); // A velocity vector property will give us the entity's speed and direction at any given time. const velocityVectorProperty = new Cesium.VelocityVectorProperty(position, false); @@ -75,7 +75,7 @@ const time = Cesium.JulianDate.addSeconds( start, factor * totalSeconds, - new Cesium.JulianDate() + new Cesium.JulianDate(), ); // Lerp using a non-linear factor so that the vehicle accelerates. @@ -84,7 +84,7 @@ startPosition, endPosition, locationFactor, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); position.addSample(time, location); // Rotate the wheels based on how fast the vehicle is moving at each timestep. @@ -111,7 +111,7 @@ return Cesium.Quaternion.fromAxisAngle( Cesium.Cartesian3.UNIT_X, wheelAngleProperty.getValue(time), - result + result, ); }, false); diff --git a/Apps/Sandcastle/gallery/Underground Color.html b/Apps/Sandcastle/gallery/Underground Color.html index aa7dcda925ee..ef52899aa286 100644 --- a/Apps/Sandcastle/gallery/Underground Color.html +++ b/Apps/Sandcastle/gallery/Underground Color.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -133,12 +133,12 @@ destination: new Cesium.Cartesian3( 3827058.651471591, -256575.7981065622, - 5078738.238484612 + 5078738.238484612, ), orientation: new Cesium.HeadingPitchRoll( 1.9765540737339418, -0.17352018581162754, - 0.0030147639151465455 + 0.0030147639151465455, ), endTransform: Cesium.Matrix4.IDENTITY, }); diff --git a/Apps/Sandcastle/gallery/Video.html b/Apps/Sandcastle/gallery/Video.html index b7853d2078d8..e0112a310e49 100644 --- a/Apps/Sandcastle/gallery/Video.html +++ b/Apps/Sandcastle/gallery/Video.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -99,7 +99,7 @@ sphere.ellipsoid.material.repeat = new Cesium.CallbackProperty(function ( time, - result + result, ) { if (!Cesium.defined(result)) { result = new Cesium.Cartesian2(); @@ -112,8 +112,7 @@ result.y = 1; } return result; - }, - false); + }, false); // Like Image, the video element doesn't have to be part of the DOM or // otherwise on the screen to be used as a texture. @@ -134,7 +133,7 @@ viewer.cesiumWidget.showErrorPanel( "This browser does not support cross-origin WebGL video textures.", "", - "" + "", ); }); diff --git a/Apps/Sandcastle/gallery/Voxel Picking.html b/Apps/Sandcastle/gallery/Voxel Picking.html index 684395757bbc..18040bdca8c7 100644 --- a/Apps/Sandcastle/gallery/Voxel Picking.html +++ b/Apps/Sandcastle/gallery/Voxel Picking.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -46,8 +46,8 @@ const viewer = new Cesium.Viewer("cesiumContainer", { baseLayer: Cesium.ImageryLayer.fromProviderAsync( Cesium.TileMapServiceImageryProvider.fromUrl( - Cesium.buildModuleUrl("Assets/Textures/NaturalEarthII") - ) + Cesium.buildModuleUrl("Assets/Textures/NaturalEarthII"), + ), ), baseLayerPicker: false, geocoder: false, @@ -157,8 +157,8 @@ Cesium.Cartesian3.fromElements( Cesium.Ellipsoid.WGS84.maximumRadius, Cesium.Ellipsoid.WGS84.maximumRadius, - Cesium.Ellipsoid.WGS84.maximumRadius - ) + Cesium.Ellipsoid.WGS84.maximumRadius, + ), ); const voxelPrimitive = scene.primitives.add( @@ -166,7 +166,7 @@ provider: provider, customShader: customShader, modelMatrix: modelMatrix, - }) + }), ); voxelPrimitive.nearestSampling = true; diff --git a/Apps/Sandcastle/gallery/Voxels.html b/Apps/Sandcastle/gallery/Voxels.html index 4f85411a9306..f989109d1b0d 100644 --- a/Apps/Sandcastle/gallery/Voxels.html +++ b/Apps/Sandcastle/gallery/Voxels.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -32,8 +32,8 @@ const viewer = new Cesium.Viewer("cesiumContainer", { baseLayer: Cesium.ImageryLayer.fromProviderAsync( Cesium.TileMapServiceImageryProvider.fromUrl( - Cesium.buildModuleUrl("Assets/Textures/NaturalEarthII") - ) + Cesium.buildModuleUrl("Assets/Textures/NaturalEarthII"), + ), ), baseLayerPicker: false, geocoder: false, @@ -121,7 +121,7 @@ const voxelCountZ = dimensions.z * dimAtLevel; const voxelsPerLevel = voxelCountX * voxelCountY * voxelCountZ; const levelData = (allVoxelData[level] = new Array( - voxelsPerLevel * channelCount + voxelsPerLevel * channelCount, )); for (let z = 0; z < voxelCountX; z++) { @@ -152,25 +152,25 @@ const paddedDimensions = Cesium.Cartesian3.fromElements( dimensions.x + paddingBefore.x + paddingAfter.x, dimensions.y + paddingBefore.y + paddingAfter.y, - dimensions.z + paddingBefore.z + paddingAfter.z + dimensions.z + paddingBefore.z + paddingAfter.z, ); const dimAtLevel = Math.pow(2, tileLevel); const dimensionsGlobal = Cesium.Cartesian3.fromElements( dimensions.x * dimAtLevel, dimensions.y * dimAtLevel, - dimensions.z * dimAtLevel + dimensions.z * dimAtLevel, ); const minimumGlobalCoord = Cesium.Cartesian3.ZERO; const maximumGlobalCoord = new Cesium.Cartesian3( dimensionsGlobal.x - 1, dimensionsGlobal.y - 1, - dimensionsGlobal.z - 1 + dimensionsGlobal.z - 1, ); let coordGlobal = new Cesium.Cartesian3(); const dataGlobal = this._allVoxelData; const dataTile = new Float32Array( - paddedDimensions.x * paddedDimensions.y * paddedDimensions.z * channelCount + paddedDimensions.x * paddedDimensions.y * paddedDimensions.z * channelCount, ); for (let z = 0; z < paddedDimensions.z; z++) { @@ -185,11 +185,11 @@ tileX * dimensions.x + (x - paddingBefore.x), tileY * dimensions.y + (y - paddingBefore.y), tileZ * dimensions.z + (z - paddingBefore.z), - coordGlobal + coordGlobal, ), minimumGlobalCoord, maximumGlobalCoord, - coordGlobal + coordGlobal, ); const indexGlobal = @@ -215,7 +215,7 @@ provider: provider, customShader: customShader, modelMatrix: modelMatrix, - }) + }), ); viewer.voxelInspector.viewModel.voxelPrimitive = voxelPrimitive; @@ -250,8 +250,8 @@ Cesium.Cartesian3.fromElements( Cesium.Ellipsoid.WGS84.maximumRadius, Cesium.Ellipsoid.WGS84.maximumRadius, - Cesium.Ellipsoid.WGS84.maximumRadius - ) + Cesium.Ellipsoid.WGS84.maximumRadius, + ), ); Sandcastle.addToolbarMenu([ @@ -259,7 +259,7 @@ text: "Ellipsoid - Procedural Tile", onselect: function () { const provider = new ProceduralSingleTileVoxelProvider( - Cesium.VoxelShapeType.ELLIPSOID + Cesium.VoxelShapeType.ELLIPSOID, ); provider.minBounds.z = 0.0; provider.maxBounds.z = 1000000.0; @@ -270,7 +270,7 @@ text: "Cylinder - Procedural Tile", onselect: function () { const provider = new ProceduralSingleTileVoxelProvider( - Cesium.VoxelShapeType.CYLINDER + Cesium.VoxelShapeType.CYLINDER, ); const primitive = createPrimitive(provider, customShaderColor, modelMatrix); }, @@ -279,7 +279,7 @@ text: "Box - Procedural Tile", onselect: function () { const provider = new ProceduralSingleTileVoxelProvider( - Cesium.VoxelShapeType.BOX + Cesium.VoxelShapeType.BOX, ); const primitive = createPrimitive(provider, customShaderColor, modelMatrix); }, @@ -288,7 +288,7 @@ text: "Box - Procedural Tileset", onselect: function () { const provider = new ProceduralMultiTileVoxelProvider( - Cesium.VoxelShapeType.BOX + Cesium.VoxelShapeType.BOX, ); const primitive = createPrimitive(provider, customShaderColor, modelMatrix); }, @@ -297,7 +297,7 @@ text: "Box - 3D Tiles", onselect: async function () { const provider = await Cesium.Cesium3DTilesVoxelProvider.fromUrl( - "../../SampleData/Cesium3DTiles/Voxel/VoxelBox3DTiles/tileset.json" + "../../SampleData/Cesium3DTiles/Voxel/VoxelBox3DTiles/tileset.json", ); const primitive = createPrimitive(provider, customShaderWhite, modelMatrix); }, @@ -306,7 +306,7 @@ text: "Ellipsoid - Procedural Tileset", onselect: function () { const provider = new ProceduralMultiTileVoxelProvider( - Cesium.VoxelShapeType.ELLIPSOID + Cesium.VoxelShapeType.ELLIPSOID, ); provider.minBounds.z = 0.0; provider.maxBounds.z = 1000000.0; @@ -317,12 +317,12 @@ text: "Ellipsoid - 3D Tiles", onselect: async function () { const provider = await Cesium.Cesium3DTilesVoxelProvider.fromUrl( - "../../SampleData/Cesium3DTiles/Voxel/VoxelEllipsoid3DTiles/tileset.json" + "../../SampleData/Cesium3DTiles/Voxel/VoxelEllipsoid3DTiles/tileset.json", ); const primitive = createPrimitive( provider, customShaderWhite, - Cesium.Matrix4.IDENTITY + Cesium.Matrix4.IDENTITY, ); }, }, @@ -330,7 +330,7 @@ text: "Cylinder - Procedural Tileset", onselect: function () { const provider = new ProceduralMultiTileVoxelProvider( - Cesium.VoxelShapeType.CYLINDER + Cesium.VoxelShapeType.CYLINDER, ); const primitive = createPrimitive(provider, customShaderColor, modelMatrix); }, @@ -339,7 +339,7 @@ text: "Cylinder - 3D Tiles", onselect: async function () { const provider = await Cesium.Cesium3DTilesVoxelProvider.fromUrl( - "../../SampleData/Cesium3DTiles/Voxel/VoxelCylinder3DTiles/tileset.json" + "../../SampleData/Cesium3DTiles/Voxel/VoxelCylinder3DTiles/tileset.json", ); const primitive = createPrimitive(provider, customShaderWhite, modelMatrix); }, diff --git a/Apps/Sandcastle/gallery/Wall.html b/Apps/Sandcastle/gallery/Wall.html index 2859cc0b5806..eb2f28622f5f 100644 --- a/Apps/Sandcastle/gallery/Wall.html +++ b/Apps/Sandcastle/gallery/Wall.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -35,12 +35,7 @@ name: "Red wall at height", wall: { positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -115.0, - 44.0, - 200000.0, - -90.0, - 44.0, - 200000.0, + -115.0, 44.0, 200000.0, -90.0, 44.0, 200000.0, ]), minimumHeights: [100000.0, 100000.0], material: Cesium.Color.RED, @@ -51,21 +46,8 @@ name: "Green wall from surface with outline", wall: { positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -107.0, - 43.0, - 100000.0, - -97.0, - 43.0, - 100000.0, - -97.0, - 40.0, - 100000.0, - -107.0, - 40.0, - 100000.0, - -107.0, - 43.0, - 100000.0, + -107.0, 43.0, 100000.0, -97.0, 43.0, 100000.0, -97.0, 40.0, 100000.0, + -107.0, 40.0, 100000.0, -107.0, 43.0, 100000.0, ]), material: Cesium.Color.GREEN, outline: true, @@ -76,41 +58,13 @@ name: "Blue wall with sawtooth heights and outline", wall: { positions: Cesium.Cartesian3.fromDegreesArray([ - -115.0, - 50.0, - -112.5, - 50.0, - -110.0, - 50.0, - -107.5, - 50.0, - -105.0, - 50.0, - -102.5, - 50.0, - -100.0, - 50.0, - -97.5, - 50.0, - -95.0, - 50.0, - -92.5, - 50.0, - -90.0, + -115.0, 50.0, -112.5, 50.0, -110.0, 50.0, -107.5, 50.0, -105.0, 50.0, + -102.5, 50.0, -100.0, 50.0, -97.5, 50.0, -95.0, 50.0, -92.5, 50.0, -90.0, 50.0, ]), maximumHeights: [ - 100000, - 200000, - 100000, - 200000, - 100000, - 200000, - 100000, - 200000, - 100000, - 200000, - 100000, + 100000, 200000, 100000, 200000, 100000, 200000, 100000, 200000, 100000, + 200000, 100000, ], minimumHeights: [0, 100000, 0, 100000, 0, 100000, 0, 100000, 0, 100000, 0], material: Cesium.Color.BLUE.withAlpha(0.5), diff --git a/Apps/Sandcastle/gallery/Washington DC 2017.html b/Apps/Sandcastle/gallery/Washington DC 2017.html index 6dab5ffe3883..ecc36df2757d 100644 --- a/Apps/Sandcastle/gallery/Washington DC 2017.html +++ b/Apps/Sandcastle/gallery/Washington DC 2017.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -36,7 +36,7 @@ const viewer = new Cesium.Viewer("cesiumContainer"); const imageryLayer = Cesium.ImageryLayer.fromProviderAsync( - Cesium.IonImageryProvider.fromAssetId(3827) + Cesium.IonImageryProvider.fromAssetId(3827), ); viewer.imageryLayers.add(imageryLayer); diff --git a/Apps/Sandcastle/gallery/Web Map Service (WMS).html b/Apps/Sandcastle/gallery/Web Map Service (WMS).html index 18679dd944c3..c93a28c028f8 100644 --- a/Apps/Sandcastle/gallery/Web Map Service (WMS).html +++ b/Apps/Sandcastle/gallery/Web Map Service (WMS).html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -34,14 +34,13 @@ // Add a WMS imagery layer const layer = new Cesium.ImageryLayer( new Cesium.WebMapServiceImageryProvider({ - url: - "https://services.ga.gov.au/gis/services/NM_Hydrology_and_Marine_Points/MapServer/WMSServer", + url: "https://services.ga.gov.au/gis/services/NM_Hydrology_and_Marine_Points/MapServer/WMSServer", layers: "Bores", parameters: { transparent: true, format: "image/png", }, - }) + }), ); viewer.imageryLayers.add(layer); diff --git a/Apps/Sandcastle/gallery/Web Map Tile Service with Time.html b/Apps/Sandcastle/gallery/Web Map Tile Service with Time.html index be2a6c5a7d67..c8b0654f75eb 100644 --- a/Apps/Sandcastle/gallery/Web Map Tile Service with Time.html +++ b/Apps/Sandcastle/gallery/Web Map Tile Service with Time.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -62,8 +62,7 @@ // This comes from NASA's GIBS API. // See https://wiki.earthdata.nasa.gov/display/GIBS/GIBS+API+for+Developers#GIBSAPIforDevelopers-OGCWebMapService(WMS) const provider = new Cesium.WebMapTileServiceImageryProvider({ - url: - "https://gibs.earthdata.nasa.gov/wmts/epsg4326/best/MODIS_Terra_CorrectedReflectance_TrueColor/default/{Time}/{TileMatrixSet}/{TileMatrix}/{TileRow}/{TileCol}.jpg", + url: "https://gibs.earthdata.nasa.gov/wmts/epsg4326/best/MODIS_Terra_CorrectedReflectance_TrueColor/default/{Time}/{TileMatrixSet}/{TileMatrix}/{TileRow}/{TileCol}.jpg", layer: "MODIS_Terra_CorrectedReflectance_TrueColor", style: "default", tileMatrixSetID: "250m", diff --git a/Apps/Sandcastle/gallery/Z-Indexing Geometry.html b/Apps/Sandcastle/gallery/Z-Indexing Geometry.html index 1999077d5b49..9b2c7b01cc13 100644 --- a/Apps/Sandcastle/gallery/Z-Indexing Geometry.html +++ b/Apps/Sandcastle/gallery/Z-Indexing Geometry.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -90,13 +90,13 @@ if (!Cesium.Entity.supportsPolylinesOnTerrain(viewer.scene)) { window.alert( - "Polylines on terrain are not supported on this platform, Z-index will be ignored" + "Polylines on terrain are not supported on this platform, Z-index will be ignored", ); } if (!Cesium.Entity.supportsMaterialsforEntitiesOnTerrain(viewer.scene)) { window.alert( - "Textured materials on terrain polygons are not supported on this platform, Z-index will be ignored" + "Textured materials on terrain polygons are not supported on this platform, Z-index will be ignored", ); } diff --git a/Apps/Sandcastle/gallery/development/3D Models Articulations.html b/Apps/Sandcastle/gallery/development/3D Models Articulations.html index a633d6c6c478..c42eb44ba3d5 100644 --- a/Apps/Sandcastle/gallery/development/3D Models Articulations.html +++ b/Apps/Sandcastle/gallery/development/3D Models Articulations.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -98,7 +98,7 @@ const origin = Cesium.Cartesian3.fromDegrees(-74.693, 28.243, height); const modelMatrix = Cesium.Transforms.headingPitchRollToFixedFrame( origin, - new Cesium.HeadingPitchRoll() + new Cesium.HeadingPitchRoll(), ); try { @@ -107,7 +107,7 @@ url: modelUrl, modelMatrix: modelMatrix, minimumPixelSize: 128, - }) + }), ); model.readyEvent.addEventListener(() => { @@ -121,50 +121,50 @@ const center = Cesium.Matrix4.multiplyByPoint( model.modelMatrix, Cesium.Cartesian3.ZERO, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); const heading = Cesium.Math.toRadians(0.0); const pitch = Cesium.Math.toRadians(-10.0); camera.lookAt(center, new Cesium.HeadingPitchRange(heading, pitch, r * 0.8)); const articulations = model.sceneGraph._runtimeArticulations; - viewModel.articulations = Object.keys(articulations).map(function ( - articulationName - ) { - return { - name: articulationName, - stages: articulations[articulationName]._runtimeStages.map(function ( - stage - ) { - const stageModel = { - name: stage.name, - minimum: stage.minimumValue, - maximum: stage.maximumValue, - current: stage.currentValue, - }; - Cesium.knockout.track(stageModel); - Cesium.knockout.defineProperty(stageModel, "currentText", { - get: function () { - return stageModel.current.toString(); + viewModel.articulations = Object.keys(articulations).map( + function (articulationName) { + return { + name: articulationName, + stages: articulations[articulationName]._runtimeStages.map( + function (stage) { + const stageModel = { + name: stage.name, + minimum: stage.minimumValue, + maximum: stage.maximumValue, + current: stage.currentValue, + }; + Cesium.knockout.track(stageModel); + Cesium.knockout.defineProperty(stageModel, "currentText", { + get: function () { + return stageModel.current.toString(); + }, + set: function (value) { + // coerce values to number + stageModel.current = +value; + }, + }); + Cesium.knockout + .getObservable(stageModel, "current") + .subscribe(function (newValue) { + model.setArticulationStage( + `${articulationName} ${stage.name}`, + +stageModel.current, + ); + model.applyArticulations(); + }); + return stageModel; }, - set: function (value) { - // coerce values to number - stageModel.current = +value; - }, - }); - Cesium.knockout - .getObservable(stageModel, "current") - .subscribe(function (newValue) { - model.setArticulationStage( - `${articulationName} ${stage.name}`, - +stageModel.current - ); - model.applyArticulations(); - }); - return stageModel; - }), - }; - }); + ), + }; + }, + ); viewModel.selectedArticulation = viewModel.articulations[0]; }); } catch (error) { diff --git a/Apps/Sandcastle/gallery/development/3D Models Node Explorer.html b/Apps/Sandcastle/gallery/development/3D Models Node Explorer.html index 97bfe68c289a..3bca4af26abf 100644 --- a/Apps/Sandcastle/gallery/development/3D Models Node Explorer.html +++ b/Apps/Sandcastle/gallery/development/3D Models Node Explorer.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -245,14 +245,14 @@ return new Cesium.Cartesian3( viewModel.translationX, viewModel.translationY, - viewModel.translationZ + viewModel.translationZ, ); }); Cesium.knockout.defineProperty(viewModel, "rotation", function () { const hpr = new Cesium.HeadingPitchRoll( viewModel.rotationHeading, viewModel.rotationPitch, - viewModel.rotationRoll + viewModel.rotationRoll, ); return Cesium.Quaternion.fromHeadingPitchRoll(hpr); }); @@ -260,7 +260,7 @@ return new Cesium.Cartesian3( viewModel.scaleX, viewModel.scaleY, - viewModel.scaleZ + viewModel.scaleZ, ); }); // this computed property combines the above properties into a single matrix to be applied to the node @@ -268,7 +268,7 @@ return Cesium.Matrix4.fromTranslationQuaternionRotationScale( viewModel.translation, viewModel.rotation, - viewModel.scale + viewModel.scale, ); }); @@ -282,7 +282,7 @@ const origin = Cesium.Cartesian3.fromDegrees(-123.0744619, 44.0503706, height); const modelMatrix = Cesium.Transforms.headingPitchRollToFixedFrame( origin, - new Cesium.HeadingPitchRoll() + new Cesium.HeadingPitchRoll(), ); try { @@ -291,7 +291,7 @@ url: modelUrl, modelMatrix: modelMatrix, minimumPixelSize: 128, - }) + }), ); model.readyEvent.addEventListener(() => { @@ -337,7 +337,7 @@ node.matrix = Cesium.Matrix4.multiply( node.originalMatrix, newValue, - new Cesium.Matrix4() + new Cesium.Matrix4(), ); }); }); diff --git a/Apps/Sandcastle/gallery/development/3D Models.html b/Apps/Sandcastle/gallery/development/3D Models.html index 8cf3ca4c5570..0f8a01423422 100644 --- a/Apps/Sandcastle/gallery/development/3D Models.html +++ b/Apps/Sandcastle/gallery/development/3D Models.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -125,14 +125,14 @@ Cesium.knockout.getObservable(viewModel, "color").subscribe(function (newValue) { model.color = Cesium.Color.fromAlpha( getColor(newValue), - Number(viewModel.alpha) + Number(viewModel.alpha), ); }); Cesium.knockout.getObservable(viewModel, "alpha").subscribe(function (newValue) { model.color = Cesium.Color.fromAlpha( getColor(viewModel.color), - Number(newValue) + Number(newValue), ); }); @@ -168,13 +168,13 @@ url: url, modelMatrix: modelMatrix, minimumPixelSize: 128, - }) + }), ); model.readyEvent.addEventListener(() => { model.color = Cesium.Color.fromAlpha( getColor(viewModel.color), - Number(viewModel.alpha) + Number(viewModel.alpha), ); model.colorBlendMode = getColorBlendMode(viewModel.colorBlendMode); model.colorBlendAmount = Number(viewModel.colorBlendAmount); @@ -196,7 +196,7 @@ const pitch = Cesium.Math.toRadians(-20.0); camera.lookAt( center, - new Cesium.HeadingPitchRange(heading, pitch, r * 2.0) + new Cesium.HeadingPitchRange(heading, pitch, r * 2.0), ); }); } catch (error) { @@ -232,7 +232,7 @@ height, heading, pitch, - roll + roll, ); }, }, @@ -248,7 +248,7 @@ height, heading, pitch, - roll + roll, ); }, }, diff --git a/Apps/Sandcastle/gallery/development/3D Tiles Performance Testing.html b/Apps/Sandcastle/gallery/development/3D Tiles Performance Testing.html index 265157da2bda..5ac705074250 100644 --- a/Apps/Sandcastle/gallery/development/3D Tiles Performance Testing.html +++ b/Apps/Sandcastle/gallery/development/3D Tiles Performance Testing.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -72,12 +72,12 @@ Cesium.JulianDate.addSeconds( referenceMinimum, viewLoadTime, - referenceMaximum + referenceMaximum, ); tileset._heatmap.setReferenceMinimumMaximum( referenceMinimum, referenceMaximum, - heatmapTileProperty + heatmapTileProperty, ); } else if (heatmapTileProperty === "_priorityDeferred") { tileset._heatmap.setReferenceMinimumMaximum(-1, 1, heatmapTileProperty); @@ -93,18 +93,18 @@ destination: new Cartesian3( 1333596.036282181, -4655169.681831507, - 4137566.3043841794 + 4137566.3043841794, ), orientation: { direction: new Cartesian3( 0.16082862107778806, 0.8832766751525227, - 0.4404048929947557 + 0.4404048929947557, ), up: new Cartesian3( 0.27688172689171486, -0.4686726309748134, - 0.8388578391411791 + 0.8388578391411791, ), }, duration: 0, @@ -119,18 +119,18 @@ destination: new Cartesian3( 1334667.3697728787, -4654198.808294234, - 4137970.3278586734 + 4137970.3278586734, ), orientation: { direction: new Cartesian3( -0.27073345520322445, 0.8195770495850765, - 0.504972133911511 + 0.504972133911511, ), up: new Cartesian3( 0.12792976837875633, -0.48927851021971713, - 0.8626937543530335 + 0.8626937543530335, ), }, duration: flightDuration, @@ -146,18 +146,18 @@ destination: new Cartesian3( 1334615.6546409938, -4653003.089826743, - 4139331.5003454844 + 4139331.5003454844, ), orientation: { direction: new Cartesian3( -0.2708199805903497, 0.8196978379289465, - 0.5047296232713642 + 0.5047296232713642, ), up: new Cartesian3( 0.12789117766285887, -0.48903793608573193, - 0.8628358730054139 + 0.8628358730054139, ), }, duration: flightDuration, @@ -169,7 +169,7 @@ destinationFunctions[3] = function () { console.log( - `Total Loads and Time (ignoring first view and flight time): ${tourLoads}, ${tourTime}` + `Total Loads and Time (ignoring first view and flight time): ${tourLoads}, ${tourTime}`, ); }; @@ -212,7 +212,7 @@ duration -= currentDestination === 0 ? 0 : flightDuration; const flightLoads = totalLoaded - lastTotalLoaded; console.log( - `view ${currentDestination} flight loads, final view time: ${flightLoads}, ${duration}` + `view ${currentDestination} flight loads, final view time: ${flightLoads}, ${duration}`, ); lastTotalLoaded = totalLoaded; tourTime += currentDestination === 0 ? 0 : duration; @@ -248,7 +248,7 @@ easingFunction: Cesium.EasingFunction.LINEAR_NONE,\n\ });\n\ timeAll();\n\ -});` +});`, ); }); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/development/3D Tiles Picking.html b/Apps/Sandcastle/gallery/development/3D Tiles Picking.html index 57592e417159..10c3b2367a66 100644 --- a/Apps/Sandcastle/gallery/development/3D Tiles Picking.html +++ b/Apps/Sandcastle/gallery/development/3D Tiles Picking.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -84,7 +84,7 @@ scene.primitives.remove(tileset); try { tileset = await Cesium.Cesium3DTileset.fromUrl( - "../../SampleData/Cesium3DTiles/Instanced/InstancedWithBatchTable/tileset.json" + "../../SampleData/Cesium3DTiles/Instanced/InstancedWithBatchTable/tileset.json", ); scene.primitives.add(tileset); viewer.zoomTo(tileset); diff --git a/Apps/Sandcastle/gallery/development/3D Tiles Split.html b/Apps/Sandcastle/gallery/development/3D Tiles Split.html index a77025aee9c8..cdf56ad3ef46 100644 --- a/Apps/Sandcastle/gallery/development/3D Tiles Split.html +++ b/Apps/Sandcastle/gallery/development/3D Tiles Split.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -208,8 +208,8 @@ new Cesium.HeadingPitchRange( 0, -2.0, - Math.max(100.0 - tileset.boundingSphere.radius, 0.0) - ) + Math.max(100.0 - tileset.boundingSphere.radius, 0.0), + ), ); const properties = tileset.properties; diff --git a/Apps/Sandcastle/gallery/development/BillboardClampToGround.html b/Apps/Sandcastle/gallery/development/BillboardClampToGround.html index 37db7114bc15..24ffb9a6daf5 100644 --- a/Apps/Sandcastle/gallery/development/BillboardClampToGround.html +++ b/Apps/Sandcastle/gallery/development/BillboardClampToGround.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -43,7 +43,7 @@ const billboardCollection = viewer.scene.primitives.add( new Cesium.BillboardCollection({ scene: viewer.scene, - }) + }), ); // seneca @@ -58,7 +58,7 @@ centerLongitude - rectangleHalfSize, centerLatitude - rectangleHalfSize, centerLongitude + rectangleHalfSize, - centerLatitude + rectangleHalfSize + centerLatitude + rectangleHalfSize, ); for (let y = 0; y < gridHeight; ++y) { @@ -81,7 +81,7 @@ if (!Cesium.defined(billboard)) { billboard = billboardCollection.add({ position: ellipsoid.cartographicToCartesian( - new Cesium.Cartographic(centerLongitude, centerLatitude, 1000.0) + new Cesium.Cartographic(centerLongitude, centerLatitude, 1000.0), ), image: "../images/Cesium_Logo_overlay.png", scale: 0.7, diff --git a/Apps/Sandcastle/gallery/development/Billboards Instancing.html b/Apps/Sandcastle/gallery/development/Billboards Instancing.html index 5a0bd16142f6..bc23457ef6e6 100644 --- a/Apps/Sandcastle/gallery/development/Billboards Instancing.html +++ b/Apps/Sandcastle/gallery/development/Billboards Instancing.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -58,7 +58,7 @@ centerLongitude - rectangleHalfSize, centerLatitude - rectangleHalfSize, centerLongitude + rectangleHalfSize, - centerLatitude + rectangleHalfSize + centerLatitude + rectangleHalfSize, ); function resetBillboardCollection() { @@ -69,7 +69,7 @@ billboardCollection = scene.primitives.add( new Cesium.BillboardCollection({ scene: scene, - }) + }), ); const gridSize = Math.sqrt(billboardCount); diff --git a/Apps/Sandcastle/gallery/development/Billboards.html b/Apps/Sandcastle/gallery/development/Billboards.html index c845a643451c..d6f130787322 100644 --- a/Apps/Sandcastle/gallery/development/Billboards.html +++ b/Apps/Sandcastle/gallery/development/Billboards.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -92,7 +92,7 @@ const range = 100.0; viewer.camera.lookAt( center, - new Cesium.HeadingPitchRange(heading, pitch, range) + new Cesium.HeadingPitchRange(heading, pitch, range), ); const billboards = scene.primitives.add(new Cesium.BillboardCollection()); @@ -150,7 +150,7 @@ Sandcastle.declare(offsetByDistance); Promise.all([ Cesium.Resource.createIfNeeded( - "../images/Cesium_Logo_overlay.png" + "../images/Cesium_Logo_overlay.png", ).fetchImage(), Cesium.Resource.createIfNeeded("../images/facility.gif").fetchImage(), ]).then(function (images) { @@ -177,7 +177,7 @@ 1.0e3, 1.0, 1.5e6, - 0.0 + 0.0, ), translucencyByDistance: new Cesium.NearFarScalar(1.0e3, 1.0, 1.5e6, 0.1), }); diff --git a/Apps/Sandcastle/gallery/development/Box Outline.html b/Apps/Sandcastle/gallery/development/Box Outline.html index 4262a7222973..e185696d3b0b 100644 --- a/Apps/Sandcastle/gallery/development/Box Outline.html +++ b/Apps/Sandcastle/gallery/development/Box Outline.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -44,7 +44,7 @@ const boxModelMatrix = Cesium.Matrix4.multiplyByTranslation( Cesium.Transforms.eastNorthUpToFixedFrame(positionOnEllipsoid), new Cesium.Cartesian3(0.0, 0.0, dimensions.z * 0.5), - new Cesium.Matrix4() + new Cesium.Matrix4(), ); // Create a box outline geometry. @@ -72,7 +72,7 @@ lineWidth: Math.min(2.0, scene.maximumAliasedLineWidth), }, }), - }) + }), ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/development/Box.html b/Apps/Sandcastle/gallery/development/Box.html index 08276aaea130..ed7ace760e45 100644 --- a/Apps/Sandcastle/gallery/development/Box.html +++ b/Apps/Sandcastle/gallery/development/Box.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -43,7 +43,7 @@ const boxModelMatrix = Cesium.Matrix4.multiplyByTranslation( Cesium.Transforms.eastNorthUpToFixedFrame(positionOnEllipsoid), new Cesium.Cartesian3(0.0, 0.0, dimensions.z * 0.5), - new Cesium.Matrix4() + new Cesium.Matrix4(), ); // Create a box geometry. const boxGeometry = Cesium.BoxGeometry.fromDimensions({ @@ -57,7 +57,7 @@ modelMatrix: boxModelMatrix, attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - new Cesium.Color(1.0, 0.0, 0.0, 0.5) + new Cesium.Color(1.0, 0.0, 0.0, 0.5), ), }, }); @@ -68,7 +68,7 @@ appearance: new Cesium.PerInstanceColorAppearance({ closed: true, }), - }) + }), ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/development/Circle Outline.html b/Apps/Sandcastle/gallery/development/Circle Outline.html index b752e50db420..22779c6510a6 100644 --- a/Apps/Sandcastle/gallery/development/Circle Outline.html +++ b/Apps/Sandcastle/gallery/development/Circle Outline.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -57,7 +57,7 @@ lineWidth: Math.min(2.0, scene.maximumAliasedLineWidth), }, }), - }) + }), ); // Example 2: Draw a green extruded circle. @@ -89,7 +89,7 @@ lineWidth: Math.min(2.0, scene.maximumAliasedLineWidth), }, }), - }) + }), ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/development/Circle.html b/Apps/Sandcastle/gallery/development/Circle.html index 1cdbd810a8ea..2731dbd8b93b 100644 --- a/Apps/Sandcastle/gallery/development/Circle.html +++ b/Apps/Sandcastle/gallery/development/Circle.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -51,7 +51,7 @@ geometry: circleGeometry, attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - new Cesium.Color(1.0, 0.0, 0.0, 0.5) + new Cesium.Color(1.0, 0.0, 0.0, 0.5), ), }, }); @@ -62,7 +62,7 @@ appearance: new Cesium.PerInstanceColorAppearance({ closed: true, }), - }) + }), ); // Example 2: Draw a green extruded circle. @@ -82,7 +82,7 @@ geometry: circleGeometry, attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - new Cesium.Color(0.0, 1.0, 0.0, 0.5) + new Cesium.Color(0.0, 1.0, 0.0, 0.5), ), }, }); @@ -93,7 +93,7 @@ appearance: new Cesium.PerInstanceColorAppearance({ closed: true, }), - }) + }), ); // Example 3: Draw a blue circle at a height. @@ -122,7 +122,7 @@ closed: true, translucent: false, }), - }) + }), ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/development/Coplanar Polygon Outline.html b/Apps/Sandcastle/gallery/development/Coplanar Polygon Outline.html index 523d8a724481..3f1a9a517ae5 100644 --- a/Apps/Sandcastle/gallery/development/Coplanar Polygon Outline.html +++ b/Apps/Sandcastle/gallery/development/Coplanar Polygon Outline.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -38,17 +38,7 @@ const polygonOutline1 = new Cesium.GeometryInstance({ geometry: Cesium.CoplanarPolygonOutlineGeometry.fromPositions({ positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -94.0, - 40.0, - 0.0, - -93.0, - 40.0, - 200000.0, - -92.0, - 40.0, - 200000.0, - -91.0, - 40.0, + -94.0, 40.0, 0.0, -93.0, 40.0, 200000.0, -92.0, 40.0, 200000.0, -91.0, 40.0, 0.0, ]), }), @@ -61,50 +51,20 @@ geometry: new Cesium.CoplanarPolygonOutlineGeometry({ polygonHierarchy: { positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -99.0, - 38.0, - 100000, - -97.0, - 38.0, - 100000, - -97.0, - 40.0, - 100000, - -99.0, - 40.0, - 100000, + -99.0, 38.0, 100000, -97.0, 38.0, 100000, -97.0, 40.0, 100000, -99.0, + 40.0, 100000, ]), holes: [ { positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -98.5, - 38.5, - 100000, - -98.5, - 39.5, - 100000, - -97.5, - 39.5, - 100000, - -97.5, - 38.5, - 100000, + -98.5, 38.5, 100000, -98.5, 39.5, 100000, -97.5, 39.5, 100000, -97.5, + 38.5, 100000, ]), holes: [ { positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -98.25, - 38.75, - 100000, - -97.75, - 38.75, - 100000, - -97.75, - 39.25, - 100000, - -98.25, - 39.25, - 100000, + -98.25, 38.75, 100000, -97.75, 38.75, 100000, -97.75, 39.25, + 100000, -98.25, 39.25, 100000, ]), }, ], @@ -126,7 +86,7 @@ lineWidth: Math.min(2.0, scene.maximumAliasedLineWidth), }, }), - }) + }), ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/development/Coplanar Polygon.html b/Apps/Sandcastle/gallery/development/Coplanar Polygon.html index 29252e61b596..996e034232d4 100644 --- a/Apps/Sandcastle/gallery/development/Coplanar Polygon.html +++ b/Apps/Sandcastle/gallery/development/Coplanar Polygon.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -35,17 +35,7 @@ const polygonGeometry1 = Cesium.CoplanarPolygonGeometry.fromPositions({ vertexFormat: Cesium.PerInstanceColorAppearance.VERTEX_FORMAT, positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -91.0, - 40.0, - 0.0, - -90.0, - 40.0, - 200000.0, - -89.0, - 40.0, - 200000.0, - -88.0, - 40.0, + -91.0, 40.0, 0.0, -90.0, 40.0, 200000.0, -89.0, 40.0, 200000.0, -88.0, 40.0, 0.0, ]), }); @@ -54,50 +44,20 @@ vertexFormat: Cesium.PerInstanceColorAppearance.VERTEX_FORMAT, polygonHierarchy: { positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -99.0, - 38.0, - 100000, - -97.0, - 38.0, - 100000, - -97.0, - 40.0, - 100000, - -99.0, - 40.0, + -99.0, 38.0, 100000, -97.0, 38.0, 100000, -97.0, 40.0, 100000, -99.0, 40.0, 100000, ]), holes: [ { positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -98.5, - 38.5, - 100000, - -98.5, - 39.5, - 100000, - -97.5, - 39.5, - 100000, - -97.5, - 38.5, - 100000, + -98.5, 38.5, 100000, -98.5, 39.5, 100000, -97.5, 39.5, 100000, -97.5, + 38.5, 100000, ]), holes: [ { positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -98.25, - 38.75, - 100000, - -97.75, - 38.75, - 100000, - -97.75, - 39.25, - 100000, - -98.25, - 39.25, - 100000, + -98.25, 38.75, 100000, -97.75, 38.75, 100000, -97.75, 39.25, 100000, + -98.25, 39.25, 100000, ]), }, ], @@ -127,24 +87,14 @@ translucent: false, closed: false, }), - }) + }), ); const polygonGeometry = Cesium.CoplanarPolygonGeometry.fromPositions({ vertexFormat: Cesium.VertexFormat.ALL, positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -95.0, - 40.0, - 100000.0, - -94.0, - 42.0, - 200000.0, - -93.0, - 42.0, - 200000.0, - -92.0, - 40.0, - 100000.0, + -95.0, 40.0, 100000.0, -94.0, 42.0, 200000.0, -93.0, 42.0, 200000.0, -92.0, + 40.0, 100000.0, ]), stRotation: Cesium.Math.toRadians(-20), }); @@ -160,7 +110,7 @@ material: Cesium.Material.fromType("Checkerboard"), materialSupport: Cesium.MaterialAppearance.MaterialSupport.TEXTURED, }), - }) + }), ); //Sandcastle_End }; if (typeof Cesium !== "undefined") { diff --git a/Apps/Sandcastle/gallery/development/Corridor Outline.html b/Apps/Sandcastle/gallery/development/Corridor Outline.html index 483d73e111d2..71437028faff 100644 --- a/Apps/Sandcastle/gallery/development/Corridor Outline.html +++ b/Apps/Sandcastle/gallery/development/Corridor Outline.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -37,12 +37,7 @@ // Create the corridor outline geometry. let corridorOutlineGeometry = new Cesium.CorridorOutlineGeometry({ positions: Cesium.Cartesian3.fromDegreesArray([ - -100.0, - 40.0, - -105.0, - 40.0, - -105.0, - 35.0, + -100.0, 40.0, -105.0, 40.0, -105.0, 35.0, ]), width: 200000.0, }); @@ -59,12 +54,7 @@ // height of the geometry with the extrudedHeight option. corridorOutlineGeometry = new Cesium.CorridorOutlineGeometry({ positions: Cesium.Cartesian3.fromDegreesArray([ - -90.0, - 40.0, - -95.0, - 40.0, - -95.0, - 35.0, + -90.0, 40.0, -95.0, 40.0, -95.0, 35.0, ]), width: 200000.0, cornerType: Cesium.CornerType.MITERED, @@ -88,7 +78,7 @@ lineWidth: Math.min(2.0, scene.maximumAliasedLineWidth), }, }), - }) + }), ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/development/Corridor.html b/Apps/Sandcastle/gallery/development/Corridor.html index 28691fb0394e..38f2be361e6a 100644 --- a/Apps/Sandcastle/gallery/development/Corridor.html +++ b/Apps/Sandcastle/gallery/development/Corridor.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -38,12 +38,7 @@ // Create the corridor geometry. let corridorGeometry = new Cesium.CorridorGeometry({ positions: Cesium.Cartesian3.fromDegreesArray([ - -100.0, - 40.0, - -105.0, - 40.0, - -105.0, - 35.0, + -100.0, 40.0, -105.0, 40.0, -105.0, 35.0, ]), width: 200000.0, vertexFormat: Cesium.PerInstanceColorAppearance.VERTEX_FORMAT, @@ -55,7 +50,7 @@ geometry: corridorGeometry, attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - new Cesium.Color(1.0, 0.0, 0.0, 0.5) + new Cesium.Color(1.0, 0.0, 0.0, 0.5), ), }, }); @@ -66,7 +61,7 @@ appearance: new Cesium.PerInstanceColorAppearance({ closed: true, }), - }) + }), ); // Example 2: Draw a green corridor at a height. @@ -77,12 +72,7 @@ // option to draw mitered corners. corridorGeometry = new Cesium.CorridorGeometry({ positions: Cesium.Cartesian3.fromDegreesArray([ - -90.0, - 40.0, - -95.0, - 40.0, - -95.0, - 35.0, + -90.0, 40.0, -95.0, 40.0, -95.0, 35.0, ]), height: 100000.0, width: 200000.0, @@ -106,7 +96,7 @@ closed: true, translucent: false, }), - }) + }), ); // Example 3: Draw a blue extruded corridor. @@ -117,12 +107,7 @@ // to draw beveled corners. corridorGeometry = new Cesium.CorridorGeometry({ positions: Cesium.Cartesian3.fromDegreesArray([ - -80.0, - 40.0, - -85.0, - 40.0, - -85.0, - 35.0, + -80.0, 40.0, -85.0, 40.0, -85.0, 35.0, ]), height: 200000.0, extrudedHeight: 100000.0, @@ -136,7 +121,7 @@ geometry: corridorGeometry, attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - new Cesium.Color(0.0, 0.0, 1.0, 0.5) + new Cesium.Color(0.0, 0.0, 1.0, 0.5), ), }, }); @@ -147,7 +132,7 @@ appearance: new Cesium.PerInstanceColorAppearance({ closed: true, }), - }) + }), ); //Sandcastle_End }; if (typeof Cesium !== "undefined") { diff --git a/Apps/Sandcastle/gallery/development/Custom Primitive.html b/Apps/Sandcastle/gallery/development/Custom Primitive.html index 79b4ee41616a..64ab294f1f52 100644 --- a/Apps/Sandcastle/gallery/development/Custom Primitive.html +++ b/Apps/Sandcastle/gallery/development/Custom Primitive.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -61,18 +61,18 @@ const cartesianPosition = Cesium.Cartographic.toCartesian( cartographicPosition, Cesium.Ellipsoid.WGS84, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); this.modelMatrix = Cesium.Transforms.eastNorthUpToFixedFrame( cartesianPosition, Cesium.Ellipsoid.WGS84, - new Cesium.Matrix4() + new Cesium.Matrix4(), ); this.halfWidthMeters = 10000; this.boundingSphere = new Cesium.BoundingSphere( cartesianPosition, - this.halfWidthMeters * Math.SQRT2 + this.halfWidthMeters * Math.SQRT2, ); this.time = undefined; @@ -150,7 +150,7 @@ bucket32 / width, (255 - bucket4) / width, 1.0, - scratchColor + scratchColor, ); textureTypedArray[4 * i] = 255 * color.red; textureTypedArray[4 * i + 1] = 255 * color.green; @@ -233,7 +233,7 @@ const positionTypedArray = generateVertices( primitive.faceResolution, - primitive.halfWidthMeters + primitive.halfWidthMeters, ); const positionVertexBuffer = Cesium.Buffer.createVertexBuffer({ context: context, @@ -245,9 +245,8 @@ index: positionLocation, vertexBuffer: positionVertexBuffer, componentsPerAttribute: 3, - componentDatatype: Cesium.ComponentDatatype.fromTypedArray( - positionTypedArray - ), + componentDatatype: + Cesium.ComponentDatatype.fromTypedArray(positionTypedArray), }; const indexCount = primitive.faceResolution * primitive.faceResolution * 6; diff --git a/Apps/Sandcastle/gallery/development/Cylinder Outline.html b/Apps/Sandcastle/gallery/development/Cylinder Outline.html index 70f606fd6190..19e97056046f 100644 --- a/Apps/Sandcastle/gallery/development/Cylinder Outline.html +++ b/Apps/Sandcastle/gallery/development/Cylinder Outline.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -42,7 +42,7 @@ const modelMatrix = Cesium.Matrix4.multiplyByTranslation( Cesium.Transforms.eastNorthUpToFixedFrame(positionOnEllipsoid), new Cesium.Cartesian3(0.0, 0.0, length * 0.5), - new Cesium.Matrix4() + new Cesium.Matrix4(), ); // Create the cylinder outline geometry. The numberOfVerticalLines // option can be used to specify the number of lines connecting @@ -71,7 +71,7 @@ lineWidth: Math.min(2.0, scene.maximumAliasedLineWidth), }, }), - }) + }), ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/development/Cylinder.html b/Apps/Sandcastle/gallery/development/Cylinder.html index 627840b72568..17bb0bdb6d70 100644 --- a/Apps/Sandcastle/gallery/development/Cylinder.html +++ b/Apps/Sandcastle/gallery/development/Cylinder.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -44,7 +44,7 @@ let modelMatrix = Cesium.Matrix4.multiplyByTranslation( Cesium.Transforms.eastNorthUpToFixedFrame(positionOnEllipsoid), new Cesium.Cartesian3(0.0, 0.0, length * 0.5), - new Cesium.Matrix4() + new Cesium.Matrix4(), ); // Create the cylinder geometry. let cylinderGeometry = new Cesium.CylinderGeometry({ @@ -70,7 +70,7 @@ modelMatrix = Cesium.Matrix4.multiplyByTranslation( Cesium.Transforms.eastNorthUpToFixedFrame(positionOnEllipsoid), new Cesium.Cartesian3(0.0, 0.0, length * 0.5), - new Cesium.Matrix4() + new Cesium.Matrix4(), ); // Create the cylinder geometry. To create a cone, set the // top radius to zero. @@ -98,7 +98,7 @@ closed: true, translucent: false, }), - }) + }), ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/development/Display Conditions.html b/Apps/Sandcastle/gallery/development/Display Conditions.html index 51e1ba3eeb9e..fe1fa2a209dc 100644 --- a/Apps/Sandcastle/gallery/development/Display Conditions.html +++ b/Apps/Sandcastle/gallery/development/Display Conditions.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -51,18 +51,19 @@ }), attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - new Cesium.Color(1.0, 0.0, 0.0, 0.5) - ), - distanceDisplayCondition: new Cesium.DistanceDisplayConditionGeometryInstanceAttribute( - 0.0, - 5.5e6 + new Cesium.Color(1.0, 0.0, 0.0, 0.5), ), + distanceDisplayCondition: + new Cesium.DistanceDisplayConditionGeometryInstanceAttribute( + 0.0, + 5.5e6, + ), }, }), appearance: new Cesium.PerInstanceColorAppearance({ closed: true, }), - }) + }), ); } @@ -72,7 +73,7 @@ const position = Cesium.Cartesian3.fromDegrees(-75.59777, 40.03883); const pointPrimitives = scene.primitives.add( - new Cesium.PointPrimitiveCollection() + new Cesium.PointPrimitiveCollection(), ); pointPrimitives.add({ color: Cesium.Color.YELLOW, @@ -86,7 +87,7 @@ url: "../../SampleData/models/GroundVehicle/GroundVehicle.glb", modelMatrix: Cesium.Transforms.eastNorthUpToFixedFrame(position), distanceDisplayCondition: new Cesium.DistanceDisplayCondition(0.0, 250.5), - }) + }), ); } catch (error) { window.alert(error); diff --git a/Apps/Sandcastle/gallery/development/Ellipse Outline.html b/Apps/Sandcastle/gallery/development/Ellipse Outline.html index 8f06bdfdc77b..6968c0d09b43 100644 --- a/Apps/Sandcastle/gallery/development/Ellipse Outline.html +++ b/Apps/Sandcastle/gallery/development/Ellipse Outline.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -84,7 +84,7 @@ lineWidth: Math.min(2.0, scene.maximumAliasedLineWidth), }, }), - }) + }), ); //Sandcastle_End }; if (typeof Cesium !== "undefined") { diff --git a/Apps/Sandcastle/gallery/development/Ellipse.html b/Apps/Sandcastle/gallery/development/Ellipse.html index bc38a6a665ec..8599cfc898e2 100644 --- a/Apps/Sandcastle/gallery/development/Ellipse.html +++ b/Apps/Sandcastle/gallery/development/Ellipse.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -108,7 +108,7 @@ translucent: false, closed: true, }), - }) + }), ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/development/Ellipsoid Outline.html b/Apps/Sandcastle/gallery/development/Ellipsoid Outline.html index 8a35879c9b48..4a5e263e0dc8 100644 --- a/Apps/Sandcastle/gallery/development/Ellipsoid Outline.html +++ b/Apps/Sandcastle/gallery/development/Ellipsoid Outline.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -41,7 +41,7 @@ const modelMatrix = Cesium.Matrix4.multiplyByTranslation( Cesium.Transforms.eastNorthUpToFixedFrame(positionOnEllipsoid), new Cesium.Cartesian3(0.0, 0.0, radii.z), - new Cesium.Matrix4() + new Cesium.Matrix4(), ); // Create a ellipsoid geometry. const ellipsoidOutlineGeometry = new Cesium.EllipsoidOutlineGeometry({ @@ -68,7 +68,7 @@ lineWidth: Math.min(2.0, scene.maximumAliasedLineWidth), }, }), - }) + }), ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/development/Ellipsoid Surface.html b/Apps/Sandcastle/gallery/development/Ellipsoid Surface.html index 24225944b166..65696cbbe6b8 100644 --- a/Apps/Sandcastle/gallery/development/Ellipsoid Surface.html +++ b/Apps/Sandcastle/gallery/development/Ellipsoid Surface.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -51,7 +51,7 @@ appearance: new Cesium.EllipsoidSurfaceAppearance({ material: Cesium.Material.fromType("Stripe"), }), - }) + }), ); // Dot Material @@ -66,7 +66,7 @@ appearance: new Cesium.EllipsoidSurfaceAppearance({ material: Cesium.Material.fromType("Dot"), }), - }) + }), ); // Checkerboard Material @@ -81,7 +81,7 @@ appearance: new Cesium.EllipsoidSurfaceAppearance({ material: Cesium.Material.fromType("Checkerboard"), }), - }) + }), ); // Grid Material @@ -96,7 +96,7 @@ appearance: new Cesium.EllipsoidSurfaceAppearance({ material: Cesium.Material.fromType("Grid"), }), - }) + }), ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/development/Ellipsoid.html b/Apps/Sandcastle/gallery/development/Ellipsoid.html index d6e599114489..00511b06226e 100644 --- a/Apps/Sandcastle/gallery/development/Ellipsoid.html +++ b/Apps/Sandcastle/gallery/development/Ellipsoid.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -43,7 +43,7 @@ const modelMatrix = Cesium.Matrix4.multiplyByTranslation( Cesium.Transforms.eastNorthUpToFixedFrame(positionOnEllipsoid), new Cesium.Cartesian3(0.0, 0.0, radii.z), - new Cesium.Matrix4() + new Cesium.Matrix4(), ); // Create a ellipsoid geometry. const ellipsoidGeometry = new Cesium.EllipsoidGeometry({ @@ -67,7 +67,7 @@ translucent: false, closed: true, }), - }) + }), ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/development/Fog.html b/Apps/Sandcastle/gallery/development/Fog.html index 2658dc4461a8..dbd30f10b647 100644 --- a/Apps/Sandcastle/gallery/development/Fog.html +++ b/Apps/Sandcastle/gallery/development/Fog.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -91,7 +91,7 @@ destination: new Cesium.Cartesian3( -2467730.5740817646, -4390507.315824514, - 3906155.113316938 + 3906155.113316938, ), orientation: { heading: 4.492211521856625, @@ -105,7 +105,7 @@ destination: new Cesium.Cartesian3( -734001.9511656855, -4214090.596769834, - 4715898.125886317 + 4715898.125886317, ), orientation: { heading: 5.634257362559497, diff --git a/Apps/Sandcastle/gallery/development/Frustum.html b/Apps/Sandcastle/gallery/development/Frustum.html index 85374b4e1298..b5b1e72e4580 100644 --- a/Apps/Sandcastle/gallery/development/Frustum.html +++ b/Apps/Sandcastle/gallery/development/Frustum.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -40,7 +40,7 @@ Cesium.Matrix3.multiply( rotation, Cesium.Matrix3.fromRotationX(-Cesium.Math.PI_OVER_TWO), - rotation + rotation, ); const orientation = Cesium.Quaternion.fromRotationMatrix(rotation); @@ -62,7 +62,7 @@ geometry: frustumGeometry, attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - new Cesium.Color(1.0, 0.0, 0.0, 0.5) + new Cesium.Color(1.0, 0.0, 0.0, 0.5), ), }, id: "frustum", @@ -75,7 +75,7 @@ closed: true, flat: true, }), - }) + }), ); scene.primitives.add( new Cesium.Primitive({ @@ -87,14 +87,14 @@ }), attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - new Cesium.Color(0.0, 0.0, 0.0, 1.0) + new Cesium.Color(0.0, 0.0, 0.0, 1.0), ), }, }), appearance: new Cesium.PerInstanceColorAppearance({ flat: true, }), - }) + }), ); const removeListener = scene.postRender.addEventListener(() => { @@ -102,8 +102,8 @@ return; } - const bs = frustumPrimitive.getGeometryInstanceAttributes("frustum") - .boundingSphere; + const bs = + frustumPrimitive.getGeometryInstanceAttributes("frustum").boundingSphere; scene.camera.viewBoundingSphere(bs); scene.camera.lookAtTransform(Cesium.Matrix4.IDENTITY); diff --git a/Apps/Sandcastle/gallery/development/Geometry Offset Attribute box cylinder ellipsoid.html b/Apps/Sandcastle/gallery/development/Geometry Offset Attribute box cylinder ellipsoid.html index d34cfc70fa48..356e5feed865 100644 --- a/Apps/Sandcastle/gallery/development/Geometry Offset Attribute box cylinder ellipsoid.html +++ b/Apps/Sandcastle/gallery/development/Geometry Offset Attribute box cylinder ellipsoid.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -42,13 +42,13 @@ let offset = Cesium.Cartesian3.multiplyByScalar( ellipsoid.geodeticSurfaceNormal(center1), 100000, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); const dimensions = new Cesium.Cartesian3(400000.0, 300000.0, 500000.0); const boxModelMatrix = Cesium.Matrix4.multiplyByTranslation( Cesium.Transforms.eastNorthUpToFixedFrame(center1), new Cesium.Cartesian3(0.0, 0.0, dimensions.z * 0.5), - new Cesium.Matrix4() + new Cesium.Matrix4(), ); const i1 = new Cesium.GeometryInstance({ @@ -61,7 +61,7 @@ modelMatrix: boxModelMatrix, attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - new Cesium.Color(1.0, 0.0, 0.0, 0.5) + new Cesium.Color(1.0, 0.0, 0.0, 0.5), ), offset: Cesium.OffsetGeometryInstanceAttribute.fromCartesian3(offset), }, @@ -84,7 +84,7 @@ offset = Cesium.Cartesian3.multiplyByScalar( ellipsoid.geodeticSurfaceNormal(center2), 100000, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); const length = 400000.0; const topRad = 200000.0; @@ -92,7 +92,7 @@ const cylinderModelMatrix = Cesium.Matrix4.multiplyByTranslation( Cesium.Transforms.eastNorthUpToFixedFrame(center2), new Cesium.Cartesian3(0.0, 0.0, length * 0.5), - new Cesium.Matrix4() + new Cesium.Matrix4(), ); const i2 = new Cesium.GeometryInstance({ @@ -107,7 +107,7 @@ modelMatrix: cylinderModelMatrix, attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - new Cesium.Color(1.0, 0.0, 0.0, 0.5) + new Cesium.Color(1.0, 0.0, 0.0, 0.5), ), offset: Cesium.OffsetGeometryInstanceAttribute.fromCartesian3(offset), }, @@ -133,13 +133,13 @@ offset = Cesium.Cartesian3.multiplyByScalar( ellipsoid.geodeticSurfaceNormal(center3), 100000, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); const radii = new Cesium.Cartesian3(200000.0, 200000.0, 300000.0); const ellipsoidModelMatrix = Cesium.Matrix4.multiplyByTranslation( Cesium.Transforms.eastNorthUpToFixedFrame(center3), new Cesium.Cartesian3(0.0, 0.0, radii.z), - new Cesium.Matrix4() + new Cesium.Matrix4(), ); const i3 = new Cesium.GeometryInstance({ id: id3, @@ -151,7 +151,7 @@ modelMatrix: ellipsoidModelMatrix, attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - new Cesium.Color(1.0, 0.0, 0.0, 0.5) + new Cesium.Color(1.0, 0.0, 0.0, 0.5), ), offset: Cesium.OffsetGeometryInstanceAttribute.fromCartesian3(offset), }, @@ -178,7 +178,7 @@ closed: true, }), asynchronous: false, - }) + }), ); const o = scene.primitives.add( new Cesium.Primitive({ @@ -190,7 +190,7 @@ }, }), asynchronous: false, - }) + }), ); Sandcastle.addToolbarButton("Move box", function () { @@ -198,22 +198,22 @@ offset = Cesium.Cartesian3.multiplyByScalar( ellipsoid.geodeticSurfaceNormal(center1), 150000, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); attributes.offset = Cesium.OffsetGeometryInstanceAttribute.toValue( offset, - attributes.offset + attributes.offset, ); attributes = o.getGeometryInstanceAttributes(id1); offset = Cesium.Cartesian3.multiplyByScalar( ellipsoid.geodeticSurfaceNormal(center1), 150000, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); attributes.offset = Cesium.OffsetGeometryInstanceAttribute.toValue( offset, - attributes.offset + attributes.offset, ); }); @@ -222,22 +222,22 @@ offset = Cesium.Cartesian3.multiplyByScalar( ellipsoid.geodeticSurfaceNormal(center2), 150000, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); attributes.offset = Cesium.OffsetGeometryInstanceAttribute.toValue( offset, - attributes.offset + attributes.offset, ); attributes = o.getGeometryInstanceAttributes(id2); offset = Cesium.Cartesian3.multiplyByScalar( ellipsoid.geodeticSurfaceNormal(center2), 150000, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); attributes.offset = Cesium.OffsetGeometryInstanceAttribute.toValue( offset, - attributes.offset + attributes.offset, ); }); @@ -246,22 +246,22 @@ offset = Cesium.Cartesian3.multiplyByScalar( ellipsoid.geodeticSurfaceNormal(center3), 150000, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); attributes.offset = Cesium.OffsetGeometryInstanceAttribute.toValue( offset, - attributes.offset + attributes.offset, ); attributes = o.getGeometryInstanceAttributes(id3); offset = Cesium.Cartesian3.multiplyByScalar( ellipsoid.geodeticSurfaceNormal(center3), 150000, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); attributes.offset = Cesium.OffsetGeometryInstanceAttribute.toValue( offset, - attributes.offset + attributes.offset, ); }); //Sandcastle_End diff --git a/Apps/Sandcastle/gallery/development/Geometry Offset Attribute.html b/Apps/Sandcastle/gallery/development/Geometry Offset Attribute.html index aa97b191d25d..edc516c0f208 100644 --- a/Apps/Sandcastle/gallery/development/Geometry Offset Attribute.html +++ b/Apps/Sandcastle/gallery/development/Geometry Offset Attribute.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -44,7 +44,7 @@ let offset = Cesium.Cartesian3.multiplyByScalar( ellipsoid.geodeticSurfaceNormal(center1), 100000, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); const i1 = new Cesium.GeometryInstance({ @@ -58,7 +58,7 @@ }), attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - new Cesium.Color(1.0, 0.0, 0.0, 0.5) + new Cesium.Color(1.0, 0.0, 0.0, 0.5), ), offset: Cesium.OffsetGeometryInstanceAttribute.fromCartesian3(offset), }, @@ -92,10 +92,10 @@ }), attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - new Cesium.Color(0.0, 1.0, 0.0, 0.5) + new Cesium.Color(0.0, 1.0, 0.0, 0.5), ), offset: Cesium.OffsetGeometryInstanceAttribute.fromCartesian3( - Cesium.Cartesian3.ZERO + Cesium.Cartesian3.ZERO, ), }, }); @@ -110,7 +110,7 @@ attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor(Cesium.Color.WHITE), offset: Cesium.OffsetGeometryInstanceAttribute.fromCartesian3( - Cesium.Cartesian3.ZERO + Cesium.Cartesian3.ZERO, ), }, }); @@ -121,7 +121,7 @@ offset = Cesium.Cartesian3.multiplyByScalar( ellipsoid.geodeticSurfaceNormal(center3), 100000, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); const i3 = new Cesium.GeometryInstance({ id: id3, @@ -134,7 +134,7 @@ }), attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - new Cesium.Color(0.0, 0.0, 1.0, 0.5) + new Cesium.Color(0.0, 0.0, 1.0, 0.5), ), offset: Cesium.OffsetGeometryInstanceAttribute.fromCartesian3(offset), }, @@ -160,7 +160,7 @@ closed: true, }), asynchronous: false, - }) + }), ); const o = scene.primitives.add( new Cesium.Primitive({ @@ -172,7 +172,7 @@ }, }), asynchronous: false, - }) + }), ); Sandcastle.addToolbarButton("1", function () { @@ -180,22 +180,22 @@ offset = Cesium.Cartesian3.multiplyByScalar( ellipsoid.geodeticSurfaceNormal(center1), 150000, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); attributes.offset = Cesium.OffsetGeometryInstanceAttribute.toValue( offset, - attributes.offset + attributes.offset, ); attributes = o.getGeometryInstanceAttributes(id1); offset = Cesium.Cartesian3.multiplyByScalar( ellipsoid.geodeticSurfaceNormal(center1), 150000, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); attributes.offset = Cesium.OffsetGeometryInstanceAttribute.toValue( offset, - attributes.offset + attributes.offset, ); }); Sandcastle.addToolbarButton("2", function () { @@ -203,22 +203,22 @@ offset = Cesium.Cartesian3.multiplyByScalar( ellipsoid.geodeticSurfaceNormal(center2), 100000, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); attributes.offset = Cesium.OffsetGeometryInstanceAttribute.toValue( offset, - attributes.offset + attributes.offset, ); attributes = o.getGeometryInstanceAttributes(id2); offset = Cesium.Cartesian3.multiplyByScalar( ellipsoid.geodeticSurfaceNormal(center2), 100000, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); attributes.offset = Cesium.OffsetGeometryInstanceAttribute.toValue( offset, - attributes.offset + attributes.offset, ); }); Sandcastle.addToolbarButton("3", function () { @@ -226,22 +226,22 @@ offset = Cesium.Cartesian3.multiplyByScalar( ellipsoid.geodeticSurfaceNormal(center3), 150000, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); attributes.offset = Cesium.OffsetGeometryInstanceAttribute.toValue( offset, - attributes.offset + attributes.offset, ); attributes = o.getGeometryInstanceAttributes(id3); offset = Cesium.Cartesian3.multiplyByScalar( ellipsoid.geodeticSurfaceNormal(center3), 150000, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); attributes.offset = Cesium.OffsetGeometryInstanceAttribute.toValue( offset, - attributes.offset + attributes.offset, ); }); diff --git a/Apps/Sandcastle/gallery/development/Geometry and Appearances.html b/Apps/Sandcastle/gallery/development/Geometry and Appearances.html index 9573046c0070..88e0caca9a95 100644 --- a/Apps/Sandcastle/gallery/development/Geometry and Appearances.html +++ b/Apps/Sandcastle/gallery/development/Geometry and Appearances.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -37,7 +37,7 @@ const scene = viewer.scene; const primitives = scene.primitives; const solidWhite = Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.WHITE + Cesium.Color.WHITE, ); // Combine instances for a rectangle, polygon, ellipse, and circle into a single primitive. @@ -60,16 +60,7 @@ }); let positions = Cesium.Cartesian3.fromDegreesArray([ - -107.0, - 27.0, - -107.0, - 22.0, - -102.0, - 23.0, - -97.0, - 21.0, - -97.0, - 25.0, + -107.0, 27.0, -107.0, 22.0, -102.0, 23.0, -97.0, 21.0, -97.0, 25.0, ]); let polygonInstance = new Cesium.GeometryInstance({ @@ -144,7 +135,7 @@ appearance: new Cesium.EllipsoidSurfaceAppearance({ material: Cesium.Material.fromType("Stripe"), }), - }) + }), ); primitives.add( new Cesium.Primitive({ @@ -161,7 +152,7 @@ lineWidth: Math.min(4.0, scene.maximumAliasedLineWidth), }, }), - }) + }), ); // Create extruded rectangle @@ -175,7 +166,7 @@ }), attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.fromRandom({ alpha: 1.0 }) + Cesium.Color.fromRandom({ alpha: 1.0 }), ), }, }); @@ -209,7 +200,7 @@ }), attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.fromRandom({ alpha: 1.0 }) + Cesium.Color.fromRandom({ alpha: 1.0 }), ), }, }); @@ -230,14 +221,7 @@ // Create extruded polygon let polygonHierarchy = { positions: Cesium.Cartesian3.fromDegreesArray([ - -118.0, - 30.0, - -115.0, - 30.0, - -117.1, - 31.1, - -118.0, - 33.0, + -118.0, 30.0, -115.0, 30.0, -117.1, 31.1, -118.0, 33.0, ]), }; height = 300000.0; @@ -251,7 +235,7 @@ }), attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.fromRandom({ alpha: 1.0 }) + Cesium.Color.fromRandom({ alpha: 1.0 }), ), }, }); @@ -272,10 +256,10 @@ let bottomRadius = 150000.0; let modelMatrix = Cesium.Matrix4.multiplyByTranslation( Cesium.Transforms.eastNorthUpToFixedFrame( - Cesium.Cartesian3.fromDegrees(-70.0, 45.0) + Cesium.Cartesian3.fromDegrees(-70.0, 45.0), ), new Cesium.Cartesian3(0.0, 0.0, 100000.0), - new Cesium.Matrix4() + new Cesium.Matrix4(), ); let cylinderInstance = new Cesium.GeometryInstance({ geometry: new Cesium.CylinderGeometry({ @@ -287,7 +271,7 @@ modelMatrix: modelMatrix, attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.fromRandom({ alpha: 1.0 }) + Cesium.Color.fromRandom({ alpha: 1.0 }), ), }, }); @@ -315,7 +299,7 @@ translucent: false, closed: true, }), - }) + }), ); primitives.add( new Cesium.Primitive({ @@ -332,7 +316,7 @@ lineWidth: Math.min(4.0, scene.maximumAliasedLineWidth), }, }), - }) + }), ); // Create box and ellipsoid boxes, and use the instance's @@ -379,35 +363,35 @@ boxModelMatrix = Cesium.Matrix4.multiplyByUniformScale( Cesium.Matrix4.multiplyByTranslation( Cesium.Transforms.eastNorthUpToFixedFrame( - Cesium.Cartesian3.fromDegrees(-106.0, 45.0) + Cesium.Cartesian3.fromDegrees(-106.0, 45.0), ), new Cesium.Cartesian3(0.0, 0.0, height), - new Cesium.Matrix4() + new Cesium.Matrix4(), ), 90000.0, - new Cesium.Matrix4() + new Cesium.Matrix4(), ); ellipsoidModelMatrix = Cesium.Matrix4.multiplyByUniformScale( Cesium.Matrix4.multiplyByTranslation( Cesium.Transforms.eastNorthUpToFixedFrame( - Cesium.Cartesian3.fromDegrees(-102.0, 45.0) + Cesium.Cartesian3.fromDegrees(-102.0, 45.0), ), new Cesium.Cartesian3(0.0, 0.0, height), - new Cesium.Matrix4() + new Cesium.Matrix4(), ), 90000.0, - new Cesium.Matrix4() + new Cesium.Matrix4(), ); sphereModelMatrix = Cesium.Matrix4.multiplyByUniformScale( Cesium.Matrix4.multiplyByTranslation( Cesium.Transforms.eastNorthUpToFixedFrame( - Cesium.Cartesian3.fromDegrees(-98.0, 45.0) + Cesium.Cartesian3.fromDegrees(-98.0, 45.0), ), new Cesium.Cartesian3(0.0, 0.0, height), - new Cesium.Matrix4() + new Cesium.Matrix4(), ), 90000.0, - new Cesium.Matrix4() + new Cesium.Matrix4(), ); instances.push( @@ -416,10 +400,10 @@ modelMatrix: boxModelMatrix, attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.fromRandom({ alpha: 1.0 }) + Cesium.Color.fromRandom({ alpha: 1.0 }), ), }, - }) + }), ); outlineInstances.push( new Cesium.GeometryInstance({ @@ -428,7 +412,7 @@ attributes: { color: solidWhite, }, - }) + }), ); instances.push( @@ -437,10 +421,10 @@ modelMatrix: ellipsoidModelMatrix, attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.fromRandom({ alpha: 1.0 }) + Cesium.Color.fromRandom({ alpha: 1.0 }), ), }, - }) + }), ); outlineInstances.push( new Cesium.GeometryInstance({ @@ -449,7 +433,7 @@ attributes: { color: solidWhite, }, - }) + }), ); instances.push( @@ -458,10 +442,10 @@ modelMatrix: sphereModelMatrix, attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.fromRandom({ alpha: 1.0 }) + Cesium.Color.fromRandom({ alpha: 1.0 }), ), }, - }) + }), ); outlineInstances.push( new Cesium.GeometryInstance({ @@ -470,7 +454,7 @@ attributes: { color: solidWhite, }, - }) + }), ); } @@ -481,7 +465,7 @@ translucent: false, closed: true, }), - }) + }), ); primitives.add( new Cesium.Primitive({ @@ -493,17 +477,12 @@ lineWidth: Math.min(4.0, scene.maximumAliasedLineWidth), }, }), - }) + }), ); // Create a single wall positions = Cesium.Cartesian3.fromDegreesArray([ - -95.0, - 50.0, - -85.0, - 50.0, - -75.0, - 50.0, + -95.0, 50.0, -85.0, 50.0, -75.0, 50.0, ]); const maximumHeights = [500000, 1000000, 500000]; const minimumHeights = [0, 500000, 0]; @@ -517,7 +496,7 @@ }), attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.fromRandom({ alpha: 0.7 }) + Cesium.Color.fromRandom({ alpha: 0.7 }), ), }, }); @@ -537,7 +516,7 @@ new Cesium.Primitive({ geometryInstances: wallInstance, appearance: new Cesium.PerInstanceColorAppearance(), - }) + }), ); primitives.add( new Cesium.Primitive({ @@ -549,7 +528,7 @@ lineWidth: Math.min(4.0, scene.maximumAliasedLineWidth), }, }), - }) + }), ); rectangle = Cesium.Rectangle.fromDegrees(-92.0, 30.0, -85.0, 40.0); @@ -562,50 +541,22 @@ polygonHierarchy = { positions: Cesium.Cartesian3.fromDegreesArray([ - -109.0, - 30.0, - -95.0, - 30.0, - -95.0, - 40.0, - -109.0, - 40.0, + -109.0, 30.0, -95.0, 30.0, -95.0, 40.0, -109.0, 40.0, ]), holes: [ { positions: Cesium.Cartesian3.fromDegreesArray([ - -107.0, - 31.0, - -107.0, - 39.0, - -97.0, - 39.0, - -97.0, - 31.0, + -107.0, 31.0, -107.0, 39.0, -97.0, 39.0, -97.0, 31.0, ]), holes: [ { positions: Cesium.Cartesian3.fromDegreesArray([ - -105.0, - 33.0, - -99.0, - 33.0, - -99.0, - 37.0, - -105.0, - 37.0, + -105.0, 33.0, -99.0, 33.0, -99.0, 37.0, -105.0, 37.0, ]), holes: [ { positions: Cesium.Cartesian3.fromDegreesArray([ - -103.0, - 34.0, - -101.0, - 34.0, - -101.0, - 36.0, - -103.0, - 36.0, + -103.0, 34.0, -101.0, 34.0, -101.0, 36.0, -103.0, 36.0, ]), }, ], @@ -656,7 +607,7 @@ appearance: new Cesium.EllipsoidSurfaceAppearance({ material: Cesium.Material.fromType("Stripe"), }), - }) + }), ); // Create extruded rectangle @@ -674,7 +625,7 @@ }), attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.fromRandom({ alpha: 1.0 }) + Cesium.Color.fromRandom({ alpha: 1.0 }), ), }, }); @@ -698,7 +649,7 @@ }), attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.fromRandom({ alpha: 1.0 }) + Cesium.Color.fromRandom({ alpha: 1.0 }), ), }, }); @@ -706,16 +657,7 @@ // Create extruded polygon polygonHierarchy = { positions: Cesium.Cartesian3.fromDegreesArray([ - -113.0, - 30.0, - -110.0, - 30.0, - -110.0, - 33.0, - -111.5, - 31.0, - -113.0, - 33.0, + -113.0, 30.0, -110.0, 30.0, -110.0, 33.0, -111.5, 31.0, -113.0, 33.0, ]), }; extrudedHeight = 300000.0; @@ -727,7 +669,7 @@ }), attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.fromRandom({ alpha: 1.0 }) + Cesium.Color.fromRandom({ alpha: 1.0 }), ), }, }); @@ -738,10 +680,10 @@ bottomRadius = 200000.0; modelMatrix = Cesium.Matrix4.multiplyByTranslation( Cesium.Transforms.eastNorthUpToFixedFrame( - Cesium.Cartesian3.fromDegrees(-70.0, 40.0) + Cesium.Cartesian3.fromDegrees(-70.0, 40.0), ), new Cesium.Cartesian3(0.0, 0.0, 200000.0), - new Cesium.Matrix4() + new Cesium.Matrix4(), ); cylinderInstance = new Cesium.GeometryInstance({ geometry: new Cesium.CylinderGeometry({ @@ -753,7 +695,7 @@ modelMatrix: modelMatrix, attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.fromRandom({ alpha: 1.0 }) + Cesium.Color.fromRandom({ alpha: 1.0 }), ), }, }); @@ -770,7 +712,7 @@ translucent: false, closed: true, }), - }) + }), ); // Combine instances each with a unique color. @@ -794,10 +736,10 @@ }), attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.fromRandom({ alpha: 0.5 }) + Cesium.Color.fromRandom({ alpha: 0.5 }), ), }, - }) + }), ); instances.push( @@ -809,10 +751,10 @@ }), attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.fromRandom({ alpha: 0.5 }) + Cesium.Color.fromRandom({ alpha: 0.5 }), ), }, - }) + }), ); } @@ -820,7 +762,7 @@ new Cesium.Primitive({ geometryInstances: instances, appearance: new Cesium.PerInstanceColorAppearance(), - }) + }), ); // Create box and ellipsoid boxes, and use the instance's @@ -850,35 +792,35 @@ boxModelMatrix = Cesium.Matrix4.multiplyByUniformScale( Cesium.Matrix4.multiplyByTranslation( Cesium.Transforms.eastNorthUpToFixedFrame( - Cesium.Cartesian3.fromDegrees(-108.0, 45.0) + Cesium.Cartesian3.fromDegrees(-108.0, 45.0), ), new Cesium.Cartesian3(0.0, 0.0, height), - new Cesium.Matrix4() + new Cesium.Matrix4(), ), 90000.0, - new Cesium.Matrix4() + new Cesium.Matrix4(), ); ellipsoidModelMatrix = Cesium.Matrix4.multiplyByUniformScale( Cesium.Matrix4.multiplyByTranslation( Cesium.Transforms.eastNorthUpToFixedFrame( - Cesium.Cartesian3.fromDegrees(-104.0, 45.0) + Cesium.Cartesian3.fromDegrees(-104.0, 45.0), ), new Cesium.Cartesian3(0.0, 0.0, height), - new Cesium.Matrix4() + new Cesium.Matrix4(), ), 90000.0, - new Cesium.Matrix4() + new Cesium.Matrix4(), ); sphereModelMatrix = Cesium.Matrix4.multiplyByUniformScale( Cesium.Matrix4.multiplyByTranslation( Cesium.Transforms.eastNorthUpToFixedFrame( - Cesium.Cartesian3.fromDegrees(-100.0, 45.0) + Cesium.Cartesian3.fromDegrees(-100.0, 45.0), ), new Cesium.Cartesian3(0.0, 0.0, height), - new Cesium.Matrix4() + new Cesium.Matrix4(), ), 90000.0, - new Cesium.Matrix4() + new Cesium.Matrix4(), ); instances.push( new Cesium.GeometryInstance({ @@ -886,10 +828,10 @@ modelMatrix: boxModelMatrix, attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.fromRandom({ alpha: 0.5 }) + Cesium.Color.fromRandom({ alpha: 0.5 }), ), }, - }) + }), ); instances.push( @@ -898,10 +840,10 @@ modelMatrix: ellipsoidModelMatrix, attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.fromRandom({ alpha: 0.5 }) + Cesium.Color.fromRandom({ alpha: 0.5 }), ), }, - }) + }), ); instances.push( @@ -910,10 +852,10 @@ modelMatrix: sphereModelMatrix, attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.fromRandom({ alpha: 0.5 }) + Cesium.Color.fromRandom({ alpha: 0.5 }), ), }, - }) + }), ); } @@ -924,7 +866,7 @@ translucent: true, closed: true, }), - }) + }), ); positions = []; @@ -950,7 +892,7 @@ lineWidth: Math.min(4.0, scene.maximumAliasedLineWidth), }, }), - }) + }), ); // create a polyline with a material @@ -971,7 +913,7 @@ appearance: new Cesium.PolylineMaterialAppearance({ material: Cesium.Material.fromType(Cesium.Material.PolylineGlowType), }), - }) + }), ); // create a polyline with per segment colors @@ -993,7 +935,7 @@ }), }), appearance: new Cesium.PolylineColorAppearance(), - }) + }), ); // create a polyline with per vertex colors @@ -1016,26 +958,13 @@ }), }), appearance: new Cesium.PolylineColorAppearance(), - }) + }), ); // Create a single wall positions = Cesium.Cartesian3.fromDegreesArrayHeights([ - -90.0, - 43.0, - 100000.0, - -87.5, - 45.0, - 100000.0, - -85.0, - 43.0, - 100000.0, - -87.5, - 41.0, - 100000.0, - -90.0, - 43.0, - 100000.0, + -90.0, 43.0, 100000.0, -87.5, 45.0, 100000.0, -85.0, 43.0, 100000.0, -87.5, + 41.0, 100000.0, -90.0, 43.0, 100000.0, ]); wallInstance = new Cesium.GeometryInstance({ @@ -1052,16 +981,11 @@ repeat: new Cesium.Cartesian2(20.0, 6.0), }), }), - }) + }), ); positions = Cesium.Cartesian3.fromDegreesArray([ - -120.0, - 45.0, - -125.0, - 50.0, - -125.0, - 55.0, + -120.0, 45.0, -125.0, 50.0, -125.0, 55.0, ]); const width = 100000; @@ -1073,7 +997,7 @@ }), attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.fromRandom({ alpha: 1.0 }) + Cesium.Color.fromRandom({ alpha: 1.0 }), ), }, }); @@ -1088,7 +1012,7 @@ }), attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.fromRandom({ alpha: 0.7 }) + Cesium.Color.fromRandom({ alpha: 0.7 }), ), }, }); @@ -1113,7 +1037,7 @@ }), attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.fromRandom({ alpha: 0.7 }) + Cesium.Color.fromRandom({ alpha: 0.7 }), ), }, }); @@ -1125,7 +1049,7 @@ translucent: true, closed: true, }), - }) + }), ); primitives.add( @@ -1138,7 +1062,7 @@ lineWidth: Math.min(4.0, scene.maximumAliasedLineWidth), }, }), - }) + }), ); function starPositions(arms, rOuter, rInner) { @@ -1148,7 +1072,7 @@ const r = i % 2 === 0 ? rOuter : rInner; const p = new Cesium.Cartesian2( Math.cos(i * angle) * r, - Math.sin(i * angle) * r + Math.sin(i * angle) * r, ); pos.push(p); } @@ -1156,15 +1080,7 @@ } positions = Cesium.Cartesian3.fromDegreesArrayHeights([ - -102.0, - 15.0, - 100000.0, - -105.0, - 20.0, - 200000.0, - -110.0, - 20.0, - 100000.0, + -102.0, 15.0, 100000.0, -105.0, 20.0, 200000.0, -110.0, 20.0, 100000.0, ]); const polylineVolumeFill = new Cesium.GeometryInstance({ geometry: new Cesium.PolylineVolumeGeometry({ @@ -1174,7 +1090,7 @@ }), attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.fromRandom({ alpha: 1.0 }) + Cesium.Color.fromRandom({ alpha: 1.0 }), ), }, }); @@ -1192,19 +1108,14 @@ const polylineVolume = new Cesium.GeometryInstance({ geometry: new Cesium.PolylineVolumeGeometry({ polylinePositions: Cesium.Cartesian3.fromDegreesArray([ - -102.0, - 15.0, - -105.0, - 20.0, - -110.0, - 20.0, + -102.0, 15.0, -105.0, 20.0, -110.0, 20.0, ]), vertexFormat: Cesium.PerInstanceColorAppearance.VERTEX_FORMAT, shapePositions: starPositions(7, 30000, 20000), }), attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.fromRandom({ alpha: 1.0 }) + Cesium.Color.fromRandom({ alpha: 1.0 }), ), }, }); @@ -1216,8 +1127,8 @@ positions.push( new Cesium.Cartesian2( radius * Math.cos(radians), - radius * Math.sin(radians) - ) + radius * Math.sin(radians), + ), ); } return positions; @@ -1226,12 +1137,7 @@ const tubeGeometry = new Cesium.GeometryInstance({ geometry: new Cesium.PolylineVolumeGeometry({ polylinePositions: Cesium.Cartesian3.fromDegreesArray([ - -104.0, - 13.0, - -107.0, - 18.0, - -112.0, - 18.0, + -104.0, 13.0, -107.0, 18.0, -112.0, 18.0, ]), vertexFormat: Cesium.PerInstanceColorAppearance.VERTEX_FORMAT, shapePositions: computeCircle(40000.0), @@ -1248,7 +1154,7 @@ translucent: false, closed: true, }), - }) + }), ); primitives.add( @@ -1261,7 +1167,7 @@ lineWidth: 1.0, }, }), - }) + }), ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/development/Ground Polyline Material.html b/Apps/Sandcastle/gallery/development/Ground Polyline Material.html index 70db006bb48c..5ec25c8d9fa3 100644 --- a/Apps/Sandcastle/gallery/development/Ground Polyline Material.html +++ b/Apps/Sandcastle/gallery/development/Ground Polyline Material.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -47,10 +47,7 @@ geometryInstances: new Cesium.GeometryInstance({ geometry: new Cesium.GroundPolylineGeometry({ positions: Cesium.Cartesian3.fromDegreesArray([ - -122.2558, - 46.1955, - -122.1058, - 46.1955, + -122.2558, 46.1955, -122.1058, 46.1955, ]), width: 10.0, }), @@ -58,7 +55,7 @@ appearance: new Cesium.PolylineMaterialAppearance({ material: Cesium.Material.fromType(Cesium.Material.PolylineGlowType), }), - }) + }), ); // Polyline Dash @@ -67,10 +64,7 @@ geometryInstances: new Cesium.GeometryInstance({ geometry: new Cesium.GroundPolylineGeometry({ positions: Cesium.Cartesian3.fromDegreesArray([ - -122.2558, - 46.1975, - -122.1058, - 46.1975, + -122.2558, 46.1975, -122.1058, 46.1975, ]), width: 10.0, }), @@ -78,7 +72,7 @@ appearance: new Cesium.PolylineMaterialAppearance({ material: Cesium.Material.fromType(Cesium.Material.PolylineDashType), }), - }) + }), ); // Polyline Outline @@ -87,10 +81,7 @@ geometryInstances: new Cesium.GeometryInstance({ geometry: new Cesium.GroundPolylineGeometry({ positions: Cesium.Cartesian3.fromDegreesArray([ - -122.2558, - 46.1995, - -122.1058, - 46.1995, + -122.2558, 46.1995, -122.1058, 46.1995, ]), width: 10.0, }), @@ -98,12 +89,12 @@ appearance: new Cesium.PolylineMaterialAppearance({ material: Cesium.Material.fromType(Cesium.Material.PolylineOutlineType), }), - }) + }), ); viewer.camera.lookAt( Cesium.Cartesian3.fromDegrees(-122.2058, 46.1955, 1000.0), - new Cesium.Cartesian3(5000.0, 5000.0, 5000.0) + new Cesium.Cartesian3(5000.0, 5000.0, 5000.0), ); viewer.camera.lookAtTransform(Cesium.Matrix4.IDENTITY); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/development/Ground Primitive Materials.html b/Apps/Sandcastle/gallery/development/Ground Primitive Materials.html index f089350806d7..d16604d836fe 100644 --- a/Apps/Sandcastle/gallery/development/Ground Primitive Materials.html +++ b/Apps/Sandcastle/gallery/development/Ground Primitive Materials.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -462,22 +462,22 @@ new Cesium.Cartesian3( -2358138.847340281, -3744072.459541374, - 4581158.5714175375 + 4581158.5714175375, ), new Cesium.Cartesian3( -2357231.4925370603, -3745103.7886602185, - 4580702.9757762635 + 4580702.9757762635, ), new Cesium.Cartesian3( -2355912.902205431, -3744249.029778454, - 4582402.154378103 + 4582402.154378103, ), new Cesium.Cartesian3( -2357208.0209552636, -3743553.4420488174, - 4581961.863286629 + 4581961.863286629, ), ]), vertexFormat: Cesium.EllipsoidSurfaceAppearance.VERTEX_FORMAT, @@ -487,7 +487,7 @@ aboveGround: false, }), classificationType: Cesium.ClassificationType.TERRAIN, - }) + }), ); worldRectangle = scene.primitives.add( @@ -503,18 +503,18 @@ }), show: false, classificationType: Cesium.ClassificationType.TERRAIN, - }) + }), ); const initialPosition = Cesium.Cartesian3.fromRadians( -2.1344873183780484, 0.8071380277370774, - 5743.394497982162 + 5743.394497982162, ); const initialOrientation = new Cesium.HeadingPitchRoll.fromDegrees( 112.99596671210358, -21.34390550872461, - 0.0716951918898415 + 0.0716951918898415, ); viewer.scene.camera.setView({ destination: initialPosition, diff --git a/Apps/Sandcastle/gallery/development/Ground Primitive.html b/Apps/Sandcastle/gallery/development/Ground Primitive.html index 6b99970be924..03d9ea3307a3 100644 --- a/Apps/Sandcastle/gallery/development/Ground Primitive.html +++ b/Apps/Sandcastle/gallery/development/Ground Primitive.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -55,22 +55,22 @@ new Cesium.Cartesian3( -2358138.847340281, -3744072.459541374, - 4581158.5714175375 + 4581158.5714175375, ), new Cesium.Cartesian3( -2357231.4925370603, -3745103.7886602185, - 4580702.9757762635 + 4580702.9757762635, ), new Cesium.Cartesian3( -2355912.902205431, -3744249.029778454, - 4582402.154378103 + 4582402.154378103, ), new Cesium.Cartesian3( -2357208.0209552636, -3743553.4420488174, - 4581961.863286629 + 4581961.863286629, ), ]; let polygonHierarchy = { positions: positions }; @@ -92,7 +92,7 @@ id: "polygon 1", }), classificationType: Cesium.ClassificationType.TERRAIN, - }) + }), ); // Same polygon slightly offset and overlapping. @@ -116,7 +116,7 @@ id: "polygon 2", }), classificationType: Cesium.ClassificationType.TERRAIN, - }) + }), ); // Same polygon slightly offset and overlapping. @@ -140,7 +140,7 @@ id: "polygon 3", }), classificationType: Cesium.ClassificationType.TERRAIN, - }) + }), ); } @@ -149,13 +149,13 @@ new Cesium.Cartesian3( -2354331.3069306486, -3742016.2427205616, - 4581875.591571755 + 4581875.591571755, ), new Cesium.HeadingPitchRange( Cesium.Math.toRadians(20.0), Cesium.Math.toRadians(-35.0), - 10000.0 - ) + 10000.0, + ), ); viewer.camera.lookAtTransform(Cesium.Matrix4.IDENTITY); } @@ -175,20 +175,20 @@ if (Cesium.defined(pickedObject) && pickedObject !== currentObject) { if (Cesium.defined(currentObject)) { currentObject.primitive.getGeometryInstanceAttributes( - currentObject.id + currentObject.id, ).color = lastColor; } currentObject = pickedObject; const attributes = currentObject.primitive.getGeometryInstanceAttributes( - currentObject.id + currentObject.id, ); lastColor = attributes.color; attributes.color = [255, 255, 0, 128]; } else if (!Cesium.defined(pickedObject) && Cesium.defined(currentObject)) { currentObject.primitive.getGeometryInstanceAttributes( - currentObject.id + currentObject.id, ).color = lastColor; currentObject = undefined; } @@ -241,7 +241,7 @@ show: show, }, id: `rectangle${i}`, - }) + }), ); } @@ -256,7 +256,7 @@ new Cesium.GroundPrimitive({ geometryInstances: instances, classificationType: Cesium.ClassificationType.TERRAIN, - }) + }), ); viewOverlappingPolygons(); @@ -271,7 +271,7 @@ new Cesium.GroundPrimitive({ geometryInstances: instances, classificationType: Cesium.ClassificationType.TERRAIN, - }) + }), ); color = new Cesium.ColorGeometryInstanceAttribute(1.0, 0.0, 1.0, 0.5); @@ -288,7 +288,7 @@ new Cesium.GroundPrimitive({ geometryInstances: instances, classificationType: Cesium.ClassificationType.TERRAIN, - }) + }), ); viewOverlappingPolygons(); @@ -306,7 +306,7 @@ if (Cesium.defined(currentObject)) { primitive.getGeometryInstanceAttributes(currentObject.id).show = [1]; pickPrimitive.getGeometryInstanceAttributes( - pickIdPrefix + currentObject.id + pickIdPrefix + currentObject.id, ).show = [0]; } @@ -314,12 +314,12 @@ primitive.getGeometryInstanceAttributes(currentObject.id).show = [0]; pickPrimitive.getGeometryInstanceAttributes( - pickIdPrefix + currentObject.id + pickIdPrefix + currentObject.id, ).show = [1]; } else if (!Cesium.defined(pickedObject) && Cesium.defined(currentObject)) { primitive.getGeometryInstanceAttributes(currentObject.id).show = [1]; pickPrimitive.getGeometryInstanceAttributes( - pickIdPrefix + currentObject.id + pickIdPrefix + currentObject.id, ).show = [0]; currentObject = undefined; } @@ -337,13 +337,13 @@ }), attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - new Cesium.Color(1.0, 0.0, 0.0, 0.5) + new Cesium.Color(1.0, 0.0, 0.0, 0.5), ), }, id: "circle", }), classificationType: Cesium.ClassificationType.TERRAIN, - }) + }), ); // Ellipse Geometry @@ -357,13 +357,13 @@ }), attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - new Cesium.Color(0.0, 1.0, 1.0, 0.5) + new Cesium.Color(0.0, 1.0, 1.0, 0.5), ), }, id: "ellipse", }), classificationType: Cesium.ClassificationType.TERRAIN, - }) + }), ); // Corridor Geometry @@ -372,24 +372,19 @@ geometryInstances: new Cesium.GeometryInstance({ geometry: new Cesium.CorridorGeometry({ positions: Cesium.Cartesian3.fromDegreesArray([ - -112.0, - 40.0, - -117.0, - 40.0, - -117.0, - 35.0, + -112.0, 40.0, -117.0, 40.0, -117.0, 35.0, ]), width: 200000.0, }), attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - new Cesium.Color(0.0, 0.0, 1.0, 0.5) + new Cesium.Color(0.0, 0.0, 1.0, 0.5), ), }, id: "corridor", }), classificationType: Cesium.ClassificationType.TERRAIN, - }) + }), ); // Rectangle geometry @@ -402,13 +397,13 @@ }), attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - new Cesium.Color(0.0, 1.0, 0.0, 0.5) + new Cesium.Color(0.0, 1.0, 0.0, 0.5), ), }, id: "rectangle", }), classificationType: Cesium.ClassificationType.TERRAIN, - }) + }), ); // Rhumb line polygon geometry @@ -418,27 +413,20 @@ geometry: new Cesium.PolygonGeometry({ polygonHierarchy: new Cesium.PolygonHierarchy( Cesium.Cartesian3.fromDegreesArray([ - -130, - 55, - -100, - 55, - -100, - 45, - -130, - 45, - ]) + -130, 55, -100, 55, -100, 45, -130, 45, + ]), ), arcType: Cesium.ArcType.RHUMB, }), attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - new Cesium.Color(1.0, 1.0, 0.0, 0.5) + new Cesium.Color(1.0, 1.0, 0.0, 0.5), ), }, id: "rhumbPolygon", }), classificationType: Cesium.ClassificationType.TERRAIN, - }) + }), ); }); @@ -449,7 +437,7 @@ //Set the camera to a US centered tilted view and switch back to moving in world coordinates. viewer.camera.lookAt( Cesium.Cartesian3.fromDegrees(-98.0, 40.0), - new Cesium.Cartesian3(0.0, -4790000.0, 3930000.0) + new Cesium.Cartesian3(0.0, -4790000.0, 3930000.0), ); viewer.camera.lookAtTransform(Cesium.Matrix4.IDENTITY); }; diff --git a/Apps/Sandcastle/gallery/development/Labels.html b/Apps/Sandcastle/gallery/development/Labels.html index babbda29980f..fcb2ba0e213e 100644 --- a/Apps/Sandcastle/gallery/development/Labels.html +++ b/Apps/Sandcastle/gallery/development/Labels.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -127,7 +127,7 @@ 1.5e2, 3.0, 1.5e7, - 0.5 + 0.5, ), }); }; diff --git a/Apps/Sandcastle/gallery/development/Many Clipping Planes.html b/Apps/Sandcastle/gallery/development/Many Clipping Planes.html index e453d0952fa8..d3ea8f944f94 100644 --- a/Apps/Sandcastle/gallery/development/Many Clipping Planes.html +++ b/Apps/Sandcastle/gallery/development/Many Clipping Planes.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -149,7 +149,7 @@ Cesium.Cartesian3.normalize(dir, dir); const newPlane = new Cesium.ClippingPlane( dir, - cylinderRadius * radiusMultiplier + cylinderRadius * radiusMultiplier, ); modelEntityClippingPlanes.add(newPlane); clippingPlanes.push(newPlane); @@ -222,24 +222,24 @@ const surface = Cesium.Cartesian3.fromRadians( cartographic.longitude, cartographic.latitude, - 0.0 + 0.0, ); const offset = Cesium.Cartesian3.fromRadians( cartographic.longitude, cartographic.latitude, - height + height, ); const translation = Cesium.Cartesian3.subtract( offset, surface, - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); tileset.modelMatrix = Cesium.Matrix4.fromTranslation(translation); const radius = boundingSphere.radius; viewer.camera.viewBoundingSphere( boundingSphere, - new Cesium.HeadingPitchRange(0.5, -0.2, radius * 4.0) + new Cesium.HeadingPitchRange(0.5, -0.2, radius * 4.0), ); viewer.camera.lookAtTransform(Cesium.Matrix4.IDENTITY); } @@ -272,7 +272,7 @@ // eslint-disable-next-line no-loss-of-precision -2.0872979473351286, 0.6596620013036164, - 2380.0 + 2380.0, ); const entity = viewer.entities.add({ position: position, diff --git a/Apps/Sandcastle/gallery/development/Material.html b/Apps/Sandcastle/gallery/development/Material.html index 3c713874c162..320dab4e9e1f 100644 --- a/Apps/Sandcastle/gallery/development/Material.html +++ b/Apps/Sandcastle/gallery/development/Material.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -38,12 +38,7 @@ geometryInstances: new Cesium.GeometryInstance({ geometry: new Cesium.WallGeometry({ positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -95.5, - 50.0, - 300000.0, - -90.5, - 50.0, - 300000.0, + -95.5, 50.0, 300000.0, -90.5, 50.0, 300000.0, ]), vertexFormat: Cesium.MaterialAppearance.MaterialSupport.TEXTURED.vertexFormat, @@ -53,7 +48,7 @@ material: Cesium.Material.fromType("Checkerboard"), materialSupport: Cesium.MaterialAppearance.MaterialSupport.TEXTURED, }), - }) + }), ); // Striped Wall @@ -62,12 +57,7 @@ geometryInstances: new Cesium.GeometryInstance({ geometry: new Cesium.WallGeometry({ positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -100.5, - 50.0, - 300000.0, - -95.5, - 50.0, - 300000.0, + -100.5, 50.0, 300000.0, -95.5, 50.0, 300000.0, ]), vertexFormat: Cesium.MaterialAppearance.MaterialSupport.TEXTURED.vertexFormat, @@ -77,7 +67,7 @@ material: Cesium.Material.fromType("Stripe"), materialSupport: Cesium.MaterialAppearance.MaterialSupport.TEXTURED, }), - }) + }), ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/development/Multiple Shadows.html b/Apps/Sandcastle/gallery/development/Multiple Shadows.html index 20b8cd3ba97d..c64366e2eba8 100644 --- a/Apps/Sandcastle/gallery/development/Multiple Shadows.html +++ b/Apps/Sandcastle/gallery/development/Multiple Shadows.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -76,9 +76,9 @@ url: "../../SampleData/models/ShadowTester/Shadow_Tester_Point.glb", modelMatrix: Cesium.Transforms.headingPitchRollToFixedFrame( center, - new Cesium.HeadingPitchRoll(heading, 0.0, 0.0) + new Cesium.HeadingPitchRoll(heading, 0.0, 0.0), ), - }) + }), ); model.readyEvent.addEventListener(() => { diff --git a/Apps/Sandcastle/gallery/development/Per Instance Color.html b/Apps/Sandcastle/gallery/development/Per Instance Color.html index 0ec5b0c9bfff..388847fe1c1c 100644 --- a/Apps/Sandcastle/gallery/development/Per Instance Color.html +++ b/Apps/Sandcastle/gallery/development/Per Instance Color.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -42,16 +42,16 @@ -120.0 + i * 10, 30.0, -110.0 + i * 10, - 40.0 + 40.0, ), vertexFormat: Cesium.PerInstanceColorAppearance.VERTEX_FORMAT, }), attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.fromRandom({ alpha: 0.7 }) + Cesium.Color.fromRandom({ alpha: 0.7 }), ), }, - }) + }), ); } @@ -59,7 +59,7 @@ new Cesium.Primitive({ geometryInstances: instances, appearance: new Cesium.PerInstanceColorAppearance(), - }) + }), ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/development/Pick From Ray.html b/Apps/Sandcastle/gallery/development/Pick From Ray.html index 42e48ff5379a..a28e72fa6ad9 100644 --- a/Apps/Sandcastle/gallery/development/Pick From Ray.html +++ b/Apps/Sandcastle/gallery/development/Pick From Ray.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -35,7 +35,7 @@ if (!scene.pickPositionSupported) { window.alert( - "This browser does not support pickPosition or getting position from pickFromRay." + "This browser does not support pickPosition or getting position from pickFromRay.", ); } @@ -47,7 +47,7 @@ }); const tileset = await Cesium.Cesium3DTileset.fromUrl( - "../../SampleData/Cesium3DTiles/Tilesets/Tileset/tileset.json" + "../../SampleData/Cesium3DTiles/Tilesets/Tileset/tileset.json", ); viewer.scene.primitives.add(tileset); @@ -63,12 +63,12 @@ const blueCartographic = new Cesium.Cartographic( -1.3196863177294136, 0.6988508714746624, - 30.0 + 30.0, ); let redCartographic = new Cesium.Cartographic( -1.319671841889412, 0.6989153500784591, - 30.0 + 30.0, ); const blueSphere = viewer.entities.add({ @@ -110,7 +110,7 @@ for (i = 0; i < pickedFeatures.length; ++i) { pickedFeatures[i].color = Cesium.Color.fromAlpha( Cesium.Color.WHITE, - pickedFeatures[i].color.alpha + pickedFeatures[i].color.alpha, ); } for (i = 0; i < intersectionMarkers.length; ++i) { @@ -128,7 +128,7 @@ pickedFeatures.push(object); object.color = Cesium.Color.fromAlpha( Cesium.Color.YELLOW, - object.color.alpha + object.color.alpha, ); } intersectionMarkers.push( @@ -138,7 +138,7 @@ radii: new Cesium.Cartesian3(3.0, 3.0, 3.0), material: Cesium.Color.RED, }, - }) + }), ); } } @@ -149,7 +149,7 @@ const end = Cesium.Cartographic.toCartesian(redCartographic); const direction = Cesium.Cartesian3.normalize( Cesium.Cartesian3.subtract(end, start, new Cesium.Cartesian3()), - new Cesium.Cartesian3() + new Cesium.Cartesian3(), ); const ray = new Cesium.Ray(start, direction); diff --git a/Apps/Sandcastle/gallery/development/Picking.html b/Apps/Sandcastle/gallery/development/Picking.html index 348e7fafe3ce..eba7b1729c50 100644 --- a/Apps/Sandcastle/gallery/development/Picking.html +++ b/Apps/Sandcastle/gallery/development/Picking.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -123,12 +123,7 @@ const id = "line"; const line = polylines.add({ positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -84.0, - 50.0, - 0.0, - -100.0, - 30.0, - 0.0, + -84.0, 50.0, 0.0, -100.0, 30.0, 0.0, ]), width: 5.0, id: id, @@ -154,43 +149,32 @@ geometryInstances: new Cesium.GeometryInstance({ geometry: new Cesium.PolylineGeometry({ positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -84.0, - 50.0, - 0.0, - -100.0, - 30.0, - 0.0, + -84.0, 50.0, 0.0, -100.0, 30.0, 0.0, ]), width: 5.0, vertexFormat: Cesium.PolylineColorAppearance.VERTEX_FORMAT, }), attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.WHITE + Cesium.Color.WHITE, ), }, id: id, }), appearance: new Cesium.PolylineColorAppearance(), asynchronous: false, - }) + }), ); handler = new Cesium.ScreenSpaceEventHandler(scene.canvas); handler.setInputAction(function (movement) { const pickedObject = scene.pick(movement.endPosition); if (Cesium.defined(pickedObject) && pickedObject.id === id) { - primitive.getGeometryInstanceAttributes( - id - ).color = Cesium.ColorGeometryInstanceAttribute.toValue( - Cesium.Color.YELLOW - ); + primitive.getGeometryInstanceAttributes(id).color = + Cesium.ColorGeometryInstanceAttribute.toValue(Cesium.Color.YELLOW); } else { - primitive.getGeometryInstanceAttributes( - id - ).color = Cesium.ColorGeometryInstanceAttribute.toValue( - Cesium.Color.WHITE - ); + primitive.getGeometryInstanceAttributes(id).color = + Cesium.ColorGeometryInstanceAttribute.toValue(Cesium.Color.WHITE); } }, Cesium.ScreenSpaceEventType.MOUSE_MOVE); }, @@ -235,31 +219,25 @@ }), attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.WHITE + Cesium.Color.WHITE, ), }, id: id, }), asynchronous: false, classificationType: Cesium.ClassificationType.TERRAIN, - }) + }), ); handler = new Cesium.ScreenSpaceEventHandler(scene.canvas); handler.setInputAction(function (movement) { const pickedObject = scene.pick(movement.endPosition); if (Cesium.defined(pickedObject) && pickedObject.id === id) { - primitive.getGeometryInstanceAttributes( - id - ).color = Cesium.ColorGeometryInstanceAttribute.toValue( - Cesium.Color.YELLOW - ); + primitive.getGeometryInstanceAttributes(id).color = + Cesium.ColorGeometryInstanceAttribute.toValue(Cesium.Color.YELLOW); } else { - primitive.getGeometryInstanceAttributes( - id - ).color = Cesium.ColorGeometryInstanceAttribute.toValue( - Cesium.Color.WHITE - ); + primitive.getGeometryInstanceAttributes(id).color = + Cesium.ColorGeometryInstanceAttribute.toValue(Cesium.Color.WHITE); } }, Cesium.ScreenSpaceEventType.MOUSE_MOVE); }, @@ -271,7 +249,7 @@ const hpr = new Cesium.HeadingPitchRoll(Cesium.Math.toRadians(135), 0, 0); const orientation = Cesium.Transforms.headingPitchRollQuaternion( position, - hpr + hpr, ); const entity = viewer.entities.add({ @@ -310,8 +288,8 @@ new Cesium.HeadingPitchRange( 0, -2.0, - Math.max(100.0 - tileset.boundingSphere.radius, 0.0) - ) + Math.max(100.0 - tileset.boundingSphere.radius, 0.0), + ), ); handler = new Cesium.ScreenSpaceEventHandler(scene.canvas); @@ -348,8 +326,8 @@ new Cesium.HeadingPitchRange( 0, -2.0, - Math.max(100.0 - tileset.boundingSphere.radius, 0.0) - ) + Math.max(100.0 - tileset.boundingSphere.radius, 0.0), + ), ); handler = new Cesium.ScreenSpaceEventHandler(scene.canvas); @@ -386,8 +364,8 @@ new Cesium.HeadingPitchRange( 0, -2.0, - Math.max(100.0 - tileset.boundingSphere.radius, 0.0) - ) + Math.max(100.0 - tileset.boundingSphere.radius, 0.0), + ), ); tileset.style = new Cesium.Cesium3DTileStyle({ @@ -432,22 +410,22 @@ camera.position = new Cesium.Cartesian3( 6382696.762766026, 20.61495686957654, - -83.83598213685399 + -83.83598213685399, ); camera.direction = new Cesium.Cartesian3( -0.9999999739409788, 0.00022792812935066512, - 0.000012915478344419502 + 0.000012915478344419502, ); camera.up = new Cesium.Cartesian3( 0.00001291547800893194, -2.9438010410026854e-9, - 0.9999999999165953 + 0.9999999999165953, ); camera.right = new Cesium.Cartesian3.cross( camera.direction, camera.up, - camera.right + camera.right, ); handler = new Cesium.ScreenSpaceEventHandler(scene.canvas); @@ -484,22 +462,22 @@ camera.position = new Cesium.Cartesian3( 6382696.762766026, 20.61495686957654, - -83.83598213685399 + -83.83598213685399, ); camera.direction = new Cesium.Cartesian3( -0.9999999739409788, 0.00022792812935066512, - 0.000012915478344419502 + 0.000012915478344419502, ); camera.up = new Cesium.Cartesian3( 0.00001291547800893194, -2.9438010410026854e-9, - 0.9999999999165953 + 0.9999999999165953, ); camera.right = new Cesium.Cartesian3.cross( camera.direction, camera.up, - camera.right + camera.right, ); handler = new Cesium.ScreenSpaceEventHandler(scene.canvas); diff --git a/Apps/Sandcastle/gallery/development/PointPrimitives.html b/Apps/Sandcastle/gallery/development/PointPrimitives.html index 30d6724c4bee..68c365e32c20 100644 --- a/Apps/Sandcastle/gallery/development/PointPrimitives.html +++ b/Apps/Sandcastle/gallery/development/PointPrimitives.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -36,7 +36,7 @@ Sandcastle.declare(addPointPrimitive); const pointPrimitives = scene.primitives.add( - new Cesium.PointPrimitiveCollection() + new Cesium.PointPrimitiveCollection(), ); pointPrimitives.add({ color: Cesium.Color.YELLOW, @@ -48,7 +48,7 @@ Sandcastle.declare(setPointPrimitiveProperties); const pointPrimitives = scene.primitives.add( - new Cesium.PointPrimitiveCollection() + new Cesium.PointPrimitiveCollection(), ); pointPrimitives.add({ position: Cesium.Cartesian3.fromDegrees(-75.59777, 40.03883), @@ -64,7 +64,7 @@ Sandcastle.declare(changePointPrimitiveProperties); const pointPrimitives = scene.primitives.add( - new Cesium.PointPrimitiveCollection() + new Cesium.PointPrimitiveCollection(), ); // add() returns a PointPrimitive object containing functions to change @@ -82,7 +82,7 @@ Sandcastle.declare(addMultiplePointPrimitives); const pointPrimitives = scene.primitives.add( - new Cesium.PointPrimitiveCollection() + new Cesium.PointPrimitiveCollection(), ); pointPrimitives.add({ position: Cesium.Cartesian3.fromDegrees(-75.59777, 40.03883), @@ -105,7 +105,7 @@ Sandcastle.declare(scaleByDistance); const pointPrimitives = scene.primitives.add( - new Cesium.PointPrimitiveCollection() + new Cesium.PointPrimitiveCollection(), ); pointPrimitives.add({ position: Cesium.Cartesian3.fromDegrees(-75.59777, 40.03883), @@ -120,7 +120,7 @@ Sandcastle.declare(fadeByDistance); const pointPrimitives = scene.primitives.add( - new Cesium.PointPrimitiveCollection() + new Cesium.PointPrimitiveCollection(), ); pointPrimitives.add({ position: Cesium.Cartesian3.fromDegrees(-75.59777, 40.03883), @@ -133,7 +133,7 @@ Sandcastle.declare(inReferenceFrame); const pointPrimitives = scene.primitives.add( - new Cesium.PointPrimitiveCollection() + new Cesium.PointPrimitiveCollection(), ); const center = Cesium.Cartesian3.fromDegrees(-75.59777, 40.03883); pointPrimitives.modelMatrix = Cesium.Transforms.eastNorthUpToFixedFrame(center); @@ -170,7 +170,7 @@ const numPoints = 100000; const pointPrimitives = scene.primitives.add( - new Cesium.PointPrimitiveCollection() + new Cesium.PointPrimitiveCollection(), ); const base = scene.globe.ellipsoid.radii.x; const color = Cesium.Color.LIGHTSKYBLUE; @@ -180,7 +180,7 @@ const position = new Cesium.Cartesian3( 16000000 * Math.random() - 8000000, -((4000000 * j) / numPoints + base), - 2000000 * Math.random() - 1000000 + 2000000 * Math.random() - 1000000, ); pointPrimitives.add({ diff --git a/Apps/Sandcastle/gallery/development/Polygon Outline.html b/Apps/Sandcastle/gallery/development/Polygon Outline.html index f6af7d62013e..4559da04f5db 100644 --- a/Apps/Sandcastle/gallery/development/Polygon Outline.html +++ b/Apps/Sandcastle/gallery/development/Polygon Outline.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -35,16 +35,7 @@ // Example 1: Draw the outline of a polygon on the globe surface. let positions = Cesium.Cartesian3.fromDegreesArray([ - -95, - 37.0, - -95, - 32.0, - -90, - 33.0, - -87, - 31.0, - -87, - 35.0, + -95, 37.0, -95, 32.0, -90, 33.0, -87, 31.0, -87, 35.0, ]); const polygonOutlineInstance = new Cesium.GeometryInstance({ geometry: Cesium.PolygonOutlineGeometry.fromPositions({ @@ -62,26 +53,12 @@ // edges and the positions of the holes. const polygonHierarchy = { positions: Cesium.Cartesian3.fromDegreesArray([ - -108.0, - 30.0, - -98.0, - 30.0, - -98.0, - 40.0, - -108.0, - 40.0, + -108.0, 30.0, -98.0, 30.0, -98.0, 40.0, -108.0, 40.0, ]), holes: [ { positions: Cesium.Cartesian3.fromDegreesArray([ - -106.0, - 31.0, - -106.0, - 39.0, - -100.0, - 39.0, - -100.0, - 31.0, + -106.0, 31.0, -106.0, 39.0, -100.0, 39.0, -100.0, 31.0, ]), }, ], @@ -100,15 +77,7 @@ // Example 3: Draw a polygon outline with per position heights. positions = Cesium.Cartesian3.fromDegreesArrayHeights([ - -95, - 44.0, - 400000, - -95, - 39.0, - 100000, - -87, - 42.0, - 100000, + -95, 44.0, 400000, -95, 39.0, 100000, -87, 42.0, 100000, ]); // Set the perPositionHeight option to true for the polygon // to use the heights each position. @@ -136,7 +105,7 @@ lineWidth: Math.min(2.0, scene.maximumAliasedLineWidth), }, }), - }) + }), ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/development/Polygon Texture Coordinates.html b/Apps/Sandcastle/gallery/development/Polygon Texture Coordinates.html index 717c03f2cd84..54a6bbd307c0 100644 --- a/Apps/Sandcastle/gallery/development/Polygon Texture Coordinates.html +++ b/Apps/Sandcastle/gallery/development/Polygon Texture Coordinates.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -38,16 +38,7 @@ // Example 1: Small textured polygon. let positions = Cesium.Cartesian3.fromDegreesArray([ - -117.4, - 37.4, - -117.4, - 37, - -117.2, - 37.1, - -117.0, - 37, - -117.0, - 37.4, + -117.4, 37.4, -117.4, 37, -117.2, 37.1, -117.0, 37, -117.0, 37.4, ]); let textureCoordinates = { positions: [ @@ -69,62 +60,27 @@ // Example 2: Small textured polygon with holes. let polygonHierarchy = { positions: Cesium.Cartesian3.fromDegreesArray([ - -116.5, - 37.5, - -116.5, - 37, - -116, - 37, - -116, - 37.5, + -116.5, 37.5, -116.5, 37, -116, 37, -116, 37.5, ]), holes: [ { positions: Cesium.Cartesian3.fromDegreesArray([ - -116.1, - 37.2, - -116.1, - 37.15, - -116.05, - 37.15, - -116.05, - 37.2, + -116.1, 37.2, -116.1, 37.15, -116.05, 37.15, -116.05, 37.2, ]), }, { positions: Cesium.Cartesian3.fromDegreesArray([ - -116.35, - 37.35, - -116.35, - 37.15, - -116.15, - 37.15, - -116.15, - 37.35, + -116.35, 37.35, -116.35, 37.15, -116.15, 37.15, -116.15, 37.35, ]), holes: [ { positions: Cesium.Cartesian3.fromDegreesArray([ - -116.3, - 37.3, - -116.3, - 37.2, - -116.2, - 37.2, - -116.2, - 37.3, + -116.3, 37.3, -116.3, 37.2, -116.2, 37.2, -116.2, 37.3, ]), holes: [ { positions: Cesium.Cartesian3.fromDegreesArray([ - -116.27, - 37.27, - -116.27, - 37.23, - -116.23, - 37.23, - -116.23, - 37.27, + -116.27, 37.27, -116.27, 37.23, -116.23, 37.23, -116.23, 37.27, ]), }, ], @@ -190,37 +146,14 @@ // Example 3: Large (subdivided) textured polygon with perPositionHeights. polygonHierarchy = { positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -115, - 38.0, - 50000, - -115, - 36.0, - 30000, - -114, - 36.5, - 35000, - -113, - 36.0, - 30000, - -113, - 38.0, - 50000, + -115, 38.0, 50000, -115, 36.0, 30000, -114, 36.5, 35000, -113, 36.0, 30000, + -113, 38.0, 50000, ]), holes: [ { positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -114.6, - 37.6, - 46000, - -114.6, - 37.2, - 42000, - -114.2, - 37.2, - 42000, - -114.2, - 37.6, - 46000, + -114.6, 37.6, 46000, -114.6, 37.2, 42000, -114.2, 37.2, 42000, -114.2, + 37.6, 46000, ]), }, ], @@ -255,16 +188,7 @@ // Example 4: Large (subdivided) textured polygon with RHUMB arc type. positions = Cesium.Cartesian3.fromDegreesArray([ - -112, - 38, - -112, - 36, - -111, - 36.5, - -110, - 36, - -110, - 38, + -112, 38, -112, 36, -111, 36.5, -110, 36, -110, 38, ]); textureCoordinates = { positions: [ @@ -286,16 +210,7 @@ // Example 5: Textured extruded polygon. positions = Cesium.Cartesian3.fromDegreesArray([ - -109.4, - 37.4, - -109.4, - 37, - -109.2, - 37.1, - -109.0, - 37, - -109.0, - 37.4, + -109.4, 37.4, -109.4, 37, -109.2, 37.1, -109.0, 37, -109.0, 37.4, ]); textureCoordinates = { positions: [ @@ -317,21 +232,8 @@ // Example 6: Textured extruded polygon with perPositionHeights. positions = Cesium.Cartesian3.fromDegreesArrayHeights([ - -108.4, - 37.4, - 50000, - -108.4, - 37, - 30000, - -108.2, - 37.1, - 35000, - -108.0, - 37, - 30000, - -108.0, - 37.4, - 50000, + -108.4, 37.4, 50000, -108.4, 37, 30000, -108.2, 37.1, 35000, -108.0, 37, 30000, + -108.0, 37.4, 50000, ]); textureCoordinates = { positions: [ @@ -375,20 +277,13 @@ materialSupport: Cesium.MaterialAppearance.MaterialSupport.TEXTURED, translucent: false, }), - }) + }), ); // Example 7: Ground primitive - custom texture coordinates are not expected to work, but the polygon should render. positions = Cesium.Cartesian3.fromDegreesArray([ - -105, - 37.5, - -105, - 37.0, - -100, - 37.0, - -100, - 37.5, + -105, 37.5, -105, 37.0, -100, 37.0, -100, 37.5, ]); textureCoordinates = { positions: [ @@ -419,7 +314,7 @@ }), }), classificationType: Cesium.ClassificationType.TERRAIN, - }) + }), ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/development/Polygon.html b/Apps/Sandcastle/gallery/development/Polygon.html index 37352c2ab853..a229fcbe3219 100644 --- a/Apps/Sandcastle/gallery/development/Polygon.html +++ b/Apps/Sandcastle/gallery/development/Polygon.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -41,16 +41,7 @@ // Create the polygon geometry. The positions here are // in counter clockwise order. let positions = Cesium.Cartesian3.fromDegreesArray([ - -115.0, - 37.0, - -115.0, - 32.0, - -107.0, - 33.0, - -102.0, - 31.0, - -102.0, - 35.0, + -115.0, 37.0, -115.0, 32.0, -107.0, 33.0, -102.0, 31.0, -102.0, 35.0, ]); // Create a geometry instance using the polygon geometry. const redPolygonInstance = new Cesium.GeometryInstance({ @@ -70,50 +61,22 @@ // edges and the positions of the holes. const polygonHierarchy = { positions: Cesium.Cartesian3.fromDegreesArray([ - -99.0, - 30.0, - -85.0, - 30.0, - -85.0, - 40.0, - -99.0, - 40.0, + -99.0, 30.0, -85.0, 30.0, -85.0, 40.0, -99.0, 40.0, ]), holes: [ { positions: Cesium.Cartesian3.fromDegreesArray([ - -97.0, - 31.0, - -97.0, - 39.0, - -87.0, - 39.0, - -87.0, - 31.0, + -97.0, 31.0, -97.0, 39.0, -87.0, 39.0, -87.0, 31.0, ]), holes: [ { positions: Cesium.Cartesian3.fromDegreesArray([ - -95.0, - 33.0, - -89.0, - 33.0, - -89.0, - 37.0, - -95.0, - 37.0, + -95.0, 33.0, -89.0, 33.0, -89.0, 37.0, -95.0, 37.0, ]), holes: [ { positions: Cesium.Cartesian3.fromDegreesArray([ - -93.0, - 34.0, - -91.0, - 34.0, - -91.0, - 36.0, - -93.0, - 36.0, + -93.0, 34.0, -91.0, 34.0, -91.0, 36.0, -93.0, 36.0, ]), }, ], @@ -138,12 +101,7 @@ // To extrude, use the extruded height option to specify // the height of the polygon geometry. positions = Cesium.Cartesian3.fromDegreesArray([ - -108.0, - 42.0, - -100.0, - 42.0, - -104.0, - 40.0, + -108.0, 42.0, -100.0, 42.0, -104.0, 40.0, ]); const greenPolygonInstance = new Cesium.GeometryInstance({ geometry: Cesium.PolygonGeometry.fromPositions({ @@ -160,17 +118,7 @@ // per position heights. positions = Cesium.Cartesian3.fromDegreesArrayHeights([ - -108.0, - 25.0, - 100000, - -100.0, - 25.0, - 100000, - -100.0, - 30.0, - 100000, - -108.0, - 30.0, + -108.0, 25.0, 100000, -100.0, 25.0, 100000, -100.0, 30.0, 100000, -108.0, 30.0, 300000, ]); // Set the perPositionHeight option to true for the polygon @@ -194,36 +142,13 @@ let hierarchy = { positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -130, - 40.0, - 50000, - -130, - 36.0, - 30000, - -125, - 37, - 35000, - -120, - 36.0, - 30000, - -120, - 40.0, - 50000, + -130, 40.0, 50000, -130, 36.0, 30000, -125, 37, 35000, -120, 36.0, 30000, + -120, 40.0, 50000, ]), holes: [ { positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -128, - 39.2, - 46000, - -128, - 38.6, - 42000, - -127, - 38.6, - 42000, - -127, - 39.2, + -128, 39.2, 46000, -128, 38.6, 42000, -127, 38.6, 42000, -127, 39.2, 46000, ]), }, @@ -261,21 +186,8 @@ hierarchy = { positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -118.4, - 40.4, - 50000, - -118.4, - 37, - 30000, - -114.2, - 38.0, - 35000, - -108.0, - 37, - 30000, - -108.0, - 40.4, - 50000, + -118.4, 40.4, 50000, -118.4, 37, 30000, -114.2, 38.0, 35000, -108.0, 37, + 30000, -108.0, 40.4, 50000, ]), }; @@ -315,7 +227,7 @@ closed: true, translucent: false, }), - }) + }), ); // Add each textured polygon instance to primitives. @@ -338,7 +250,7 @@ }), materialSupport: Cesium.MaterialAppearance.MaterialSupport.TEXTURED, translucent: false, - }) + }), ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/development/Polyline Color.html b/Apps/Sandcastle/gallery/development/Polyline Color.html index 014965e9ec2b..16245f14dc75 100644 --- a/Apps/Sandcastle/gallery/development/Polyline Color.html +++ b/Apps/Sandcastle/gallery/development/Polyline Color.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -54,7 +54,7 @@ }), }), appearance: new Cesium.PolylineColorAppearance(), - }) + }), ); // Example 2: Draw a polyline with per vertex colors @@ -79,7 +79,7 @@ }), }), appearance: new Cesium.PolylineColorAppearance(), - }) + }), ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/development/Polyline Material.html b/Apps/Sandcastle/gallery/development/Polyline Material.html index 687b1ff59330..d90b700cf251 100644 --- a/Apps/Sandcastle/gallery/development/Polyline Material.html +++ b/Apps/Sandcastle/gallery/development/Polyline Material.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -41,10 +41,7 @@ geometryInstances: new Cesium.GeometryInstance({ geometry: new Cesium.PolylineGeometry({ positions: Cesium.Cartesian3.fromDegreesArray([ - -120.0, - 40.0, - -80.0, - 40.0, + -120.0, 40.0, -80.0, 40.0, ]), width: 10.0, vertexFormat: Cesium.PolylineMaterialAppearance.VERTEX_FORMAT, @@ -53,7 +50,7 @@ appearance: new Cesium.PolylineMaterialAppearance({ material: Cesium.Material.fromType(Cesium.Material.PolylineGlowType), }), - }) + }), ); // Polyline Arrow @@ -62,10 +59,7 @@ geometryInstances: new Cesium.GeometryInstance({ geometry: new Cesium.PolylineGeometry({ positions: Cesium.Cartesian3.fromDegreesArray([ - -120.0, - 35.0, - -80.0, - 35.0, + -120.0, 35.0, -80.0, 35.0, ]), width: 10.0, vertexFormat: Cesium.PolylineMaterialAppearance.VERTEX_FORMAT, @@ -74,7 +68,7 @@ appearance: new Cesium.PolylineMaterialAppearance({ material: Cesium.Material.fromType(Cesium.Material.PolylineArrowType), }), - }) + }), ); // Polyline Outline @@ -83,10 +77,7 @@ geometryInstances: new Cesium.GeometryInstance({ geometry: new Cesium.PolylineGeometry({ positions: Cesium.Cartesian3.fromDegreesArray([ - -120.0, - 30.0, - -80.0, - 30.0, + -120.0, 30.0, -80.0, 30.0, ]), width: 10.0, vertexFormat: Cesium.PolylineMaterialAppearance.VERTEX_FORMAT, @@ -95,7 +86,7 @@ appearance: new Cesium.PolylineMaterialAppearance({ material: Cesium.Material.fromType(Cesium.Material.PolylineOutlineType), }), - }) + }), ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/development/Polyline Volume Outline.html b/Apps/Sandcastle/gallery/development/Polyline Volume Outline.html index d7f2e8550df4..367c3919286f 100644 --- a/Apps/Sandcastle/gallery/development/Polyline Volume Outline.html +++ b/Apps/Sandcastle/gallery/development/Polyline Volume Outline.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -50,12 +50,7 @@ const boxOutline = new Cesium.GeometryInstance({ geometry: new Cesium.PolylineVolumeOutlineGeometry({ polylinePositions: Cesium.Cartesian3.fromDegreesArray([ - -89.0, - 32.0, - -89.0, - 36.0, - -93.0, - 36.0, + -89.0, 32.0, -89.0, 36.0, -93.0, 36.0, ]), shapePositions: boxPositions(), cornerType: Cesium.CornerType.MITERED, @@ -75,7 +70,7 @@ const r = i % 2 === 0 ? rOuter : rInner; const p = new Cesium.Cartesian2( Math.cos(i * angle) * r, - Math.sin(i * angle) * r + Math.sin(i * angle) * r, ); pos.push(p); } @@ -85,10 +80,7 @@ const starOutline = new Cesium.GeometryInstance({ geometry: new Cesium.PolylineVolumeOutlineGeometry({ polylinePositions: Cesium.Cartesian3.fromDegreesArray([ - -95.0, - 32.0, - -95.0, - 36.0, + -95.0, 32.0, -95.0, 36.0, ]), shapePositions: starPositions(5, 70000, 40000), cornerType: Cesium.CornerType.ROUNDED, @@ -108,7 +100,7 @@ lineWidth: Math.min(2.0, scene.maximumAliasedLineWidth), }, }), - }) + }), ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/development/Polyline Volume.html b/Apps/Sandcastle/gallery/development/Polyline Volume.html index 54902d10fb88..d156688778e6 100644 --- a/Apps/Sandcastle/gallery/development/Polyline Volume.html +++ b/Apps/Sandcastle/gallery/development/Polyline Volume.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -46,8 +46,8 @@ positions.push( new Cesium.Cartesian2( radius * Math.cos(radians), - radius * Math.sin(radians) - ) + radius * Math.sin(radians), + ), ); } return positions; @@ -57,12 +57,7 @@ const redTube = new Cesium.GeometryInstance({ geometry: new Cesium.PolylineVolumeGeometry({ polylinePositions: Cesium.Cartesian3.fromDegreesArray([ - -85.0, - 32.0, - -85.0, - 36.0, - -89.0, - 36.0, + -85.0, 32.0, -85.0, 36.0, -89.0, 36.0, ]), vertexFormat: Cesium.PerInstanceColorAppearance.VERTEX_FORMAT, shapePositions: computeCircle(60000.0), @@ -88,15 +83,7 @@ const greenBox = new Cesium.GeometryInstance({ geometry: new Cesium.PolylineVolumeGeometry({ polylinePositions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -90.0, - 32.0, - 0.0, - -90.0, - 36.0, - 100000.0, - -94.0, - 36.0, - 0.0, + -90.0, 32.0, 0.0, -90.0, 36.0, 100000.0, -94.0, 36.0, 0.0, ]), vertexFormat: Cesium.PerInstanceColorAppearance.VERTEX_FORMAT, shapePositions: boxPositions(), @@ -117,7 +104,7 @@ const r = i % 2 === 0 ? rOuter : rInner; const p = new Cesium.Cartesian2( Math.cos(i * angle) * r, - Math.sin(i * angle) * r + Math.sin(i * angle) * r, ); pos.push(p); } @@ -127,15 +114,7 @@ const blueStar = new Cesium.GeometryInstance({ geometry: new Cesium.PolylineVolumeGeometry({ polylinePositions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -95.0, - 32.0, - 0.0, - -95.0, - 36.0, - 100000.0, - -99.0, - 36.0, - 200000.0, + -95.0, 32.0, 0.0, -95.0, 36.0, 100000.0, -99.0, 36.0, 200000.0, ]), vertexFormat: Cesium.PerInstanceColorAppearance.VERTEX_FORMAT, shapePositions: starPositions(7, 70000, 50000), @@ -154,7 +133,7 @@ translucent: false, closed: true, }), - }) + }), ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/development/Polyline.html b/Apps/Sandcastle/gallery/development/Polyline.html index b922f615a8c2..7f06a46b4d8a 100644 --- a/Apps/Sandcastle/gallery/development/Polyline.html +++ b/Apps/Sandcastle/gallery/development/Polyline.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -40,22 +40,19 @@ geometryInstances: new Cesium.GeometryInstance({ geometry: new Cesium.PolylineGeometry({ positions: Cesium.Cartesian3.fromDegreesArray([ - -124.0, - 40.0, - -80.0, - 40.0, + -124.0, 40.0, -80.0, 40.0, ]), width: 5.0, vertexFormat: Cesium.PolylineColorAppearance.VERTEX_FORMAT, }), attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - new Cesium.Color(1.0, 0.0, 0.0, 0.8) + new Cesium.Color(1.0, 0.0, 0.0, 0.8), ), }, }), appearance: new Cesium.PolylineColorAppearance(), - }) + }), ); // Example 2: Draw a straight blue polyline @@ -68,12 +65,7 @@ geometryInstances: new Cesium.GeometryInstance({ geometry: new Cesium.PolylineGeometry({ positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -84.0, - 50.0, - 0.0, - -100.0, - 30.0, - 1000000.0, + -84.0, 50.0, 0.0, -100.0, 30.0, 1000000.0, ]), width: 5.0, vertexFormat: Cesium.PolylineColorAppearance.VERTEX_FORMAT, @@ -84,7 +76,7 @@ }, }), appearance: new Cesium.PolylineColorAppearance(), - }) + }), ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/development/Polylines On Terrain.html b/Apps/Sandcastle/gallery/development/Polylines On Terrain.html index 505a500bc4d2..131f60edaa34 100644 --- a/Apps/Sandcastle/gallery/development/Polylines On Terrain.html +++ b/Apps/Sandcastle/gallery/development/Polylines On Terrain.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -82,9 +82,8 @@ .subscribe(function (newValue) { lineWidth = parseFloat(viewModel.lineWidth); if (Cesium.defined(selectedId)) { - const attributes = polylineOnTerrainPrimitive.getGeometryInstanceAttributes( - selectedId - ); + const attributes = + polylineOnTerrainPrimitive.getGeometryInstanceAttributes(selectedId); lineWidth = parseFloat(viewModel.lineWidth); attributes.width = [lineWidth]; } @@ -101,7 +100,7 @@ -112.1340164450331, 36.05494287836128, -112.0840164450331, - 36.10494287836128 + 36.10494287836128, ), vertexFormat: Cesium.EllipsoidSurfaceAppearance.VERTEX_FORMAT, }), @@ -112,7 +111,7 @@ material: Cesium.Material.fromType("Color"), }), classificationType: Cesium.ClassificationType.TERRAIN, - }) + }), ); const leftHandler = new Cesium.ScreenSpaceEventHandler(scene.canvas); @@ -125,9 +124,8 @@ selectedId = pickedObject.id; // Sync line width in toolbar with selected - const attributes = polylineOnTerrainPrimitive.getGeometryInstanceAttributes( - selectedId - ); + const attributes = + polylineOnTerrainPrimitive.getGeometryInstanceAttributes(selectedId); viewModel.lineWidth = attributes.width[0]; } } else { @@ -157,16 +155,9 @@ ]); const loopPositions = Cesium.Cartesian3.fromDegreesArray([ - -111.94500779274114, - 36.27638678884143, - -111.90983004392696, - 36.07985366173454, - -111.80360100637773, - 36.13694878292542, - -111.85510122419183, - 36.26029588763386, - -111.69141601804614, - 36.05128770351902, + -111.94500779274114, 36.27638678884143, -111.90983004392696, 36.07985366173454, + -111.80360100637773, 36.13694878292542, -111.85510122419183, 36.26029588763386, + -111.69141601804614, 36.05128770351902, ]); function createPolylines(debugShowShadowVolume) { @@ -180,7 +171,7 @@ attributes: { show: new Cesium.ShowGeometryInstanceAttribute(), color: Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.fromCssColorString("green").withAlpha(0.7) + Cesium.Color.fromCssColorString("green").withAlpha(0.7), ), }, }); @@ -195,7 +186,7 @@ attributes: { show: new Cesium.ShowGeometryInstanceAttribute(), color: Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.fromCssColorString("#67ADDF").withAlpha(0.7) + Cesium.Color.fromCssColorString("#67ADDF").withAlpha(0.7), ), }, }); @@ -244,22 +235,19 @@ Sandcastle.addToolbarButton("Toggle instance show", function () { if (Cesium.defined(selectedId)) { - const attributes = polylineOnTerrainPrimitive.getGeometryInstanceAttributes( - selectedId - ); + const attributes = + polylineOnTerrainPrimitive.getGeometryInstanceAttributes(selectedId); attributes.show = [attributes.show[0] ? 0 : 1]; } }); Sandcastle.addToolbarButton("Show all", function () { if (Cesium.defined(selectedId)) { - let attributes = polylineOnTerrainPrimitive.getGeometryInstanceAttributes( - "polyline1" - ); + let attributes = + polylineOnTerrainPrimitive.getGeometryInstanceAttributes("polyline1"); attributes.show = [1]; - attributes = polylineOnTerrainPrimitive.getGeometryInstanceAttributes( - "polyline2" - ); + attributes = + polylineOnTerrainPrimitive.getGeometryInstanceAttributes("polyline2"); attributes.show = [1]; } }); @@ -284,7 +272,7 @@ function lookAt() { viewer.camera.lookAt( polylinePositions[1], - new Cesium.Cartesian3(50000.0, 50000.0, 50000.0) + new Cesium.Cartesian3(50000.0, 50000.0, 50000.0), ); viewer.camera.lookAtTransform(Cesium.Matrix4.IDENTITY); } diff --git a/Apps/Sandcastle/gallery/development/Polylines.html b/Apps/Sandcastle/gallery/development/Polylines.html index 9c46b1978885..9452881f2ce7 100644 --- a/Apps/Sandcastle/gallery/development/Polylines.html +++ b/Apps/Sandcastle/gallery/development/Polylines.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -47,14 +47,7 @@ const widePolyline = polylines.add({ positions: Cesium.PolylinePipeline.generateCartesianArc({ positions: Cesium.Cartesian3.fromDegreesArray([ - -105.0, - 40.0, - -100.0, - 38.0, - -105.0, - 35.0, - -100.0, - 32.0, + -105.0, 40.0, -100.0, 38.0, -105.0, 35.0, -100.0, 32.0, ]), }), material: Cesium.Material.fromType(Cesium.Material.PolylineOutlineType, { @@ -82,14 +75,7 @@ const loopPolyline = polylines.add({ positions: Cesium.PolylinePipeline.generateCartesianArc({ positions: Cesium.Cartesian3.fromDegreesArray([ - -105.0, - 30.0, - -105.0, - 25.0, - -100.0, - 22.0, - -100.0, - 28.0, + -105.0, 30.0, -105.0, 25.0, -100.0, 22.0, -100.0, 28.0, ]), }), width: 3.0, @@ -117,12 +103,7 @@ const fadingPolyline = polylines.add({ positions: Cesium.PolylinePipeline.generateCartesianArc({ positions: Cesium.Cartesian3.fromDegreesArrayHeights([ - -75, - 43, - 500000, - -125, - 43, - 500000, + -75, 43, 500000, -125, 43, 500000, ]), }), width: 5, diff --git a/Apps/Sandcastle/gallery/development/Rectangle Outline.html b/Apps/Sandcastle/gallery/development/Rectangle Outline.html index 0bac394baad6..6daf5da78dbc 100644 --- a/Apps/Sandcastle/gallery/development/Rectangle Outline.html +++ b/Apps/Sandcastle/gallery/development/Rectangle Outline.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -55,7 +55,7 @@ lineWidth: Math.min(2.0, scene.maximumAliasedLineWidth), }, }), - }) + }), ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/development/Rectangle.html b/Apps/Sandcastle/gallery/development/Rectangle.html index 4d114c0f41b7..eb16c7cafccf 100644 --- a/Apps/Sandcastle/gallery/development/Rectangle.html +++ b/Apps/Sandcastle/gallery/development/Rectangle.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -41,7 +41,7 @@ }), attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - new Cesium.Color(1.0, 0.0, 0.0, 0.5) + new Cesium.Color(1.0, 0.0, 0.0, 0.5), ), }, }); @@ -62,7 +62,7 @@ }), attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - new Cesium.Color(0.0, 1.0, 0.0, 0.5) + new Cesium.Color(0.0, 1.0, 0.0, 0.5), ), }, }); @@ -74,7 +74,7 @@ appearance: new Cesium.PerInstanceColorAppearance({ closed: true, }), - }) + }), ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/development/Shadows.html b/Apps/Sandcastle/gallery/development/Shadows.html index 9d7d2a336186..442a3a8bd60e 100644 --- a/Apps/Sandcastle/gallery/development/Shadows.html +++ b/Apps/Sandcastle/gallery/development/Shadows.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -282,16 +282,16 @@ this.type = options.type; this.polygonOffset = Cesium.knockout.observable(options.polygonOffset); this.polygonOffsetFactor = Cesium.knockout.observable( - options.polygonOffsetFactor + options.polygonOffsetFactor, ); this.polygonOffsetUnits = Cesium.knockout.observable( - options.polygonOffsetUnits + options.polygonOffsetUnits, ); this.normalOffset = Cesium.knockout.observable(options.normalOffset); this.normalOffsetScale = Cesium.knockout.observable(options.normalOffsetScale); this.normalShading = Cesium.knockout.observable(options.normalShading); this.normalShadingSmooth = Cesium.knockout.observable( - options.normalShadingSmooth + options.normalShadingSmooth, ); this.depthBias = Cesium.knockout.observable(options.depthBias); } @@ -537,7 +537,7 @@ const center = Cesium.Cartesian3.fromRadians( location.centerLongitude, location.centerLatitude, - location.height + location.height, ); const lightHorizon = Cesium.Math.toRadians(viewModel.lightHorizon); const lightAngle = Cesium.Math.toRadians(viewModel.lightAngle); @@ -590,7 +590,7 @@ globe.shadows = Cesium.ShadowMode.fromCastReceive( viewModel.terrainCast, - viewModel.terrainReceive + viewModel.terrainReceive, ); globe.show = viewModel.globe; scene.skyAtmosphere.show = viewModel.globe; @@ -708,7 +708,7 @@ const updatedPositions = await Cesium.sampleTerrain( terrainProvider, 11, - positions + positions, ); location.height = updatedPositions[0].height + getModelPosition(); } catch (error) { @@ -725,7 +725,7 @@ const center = Cesium.Cartesian3.fromRadians( location.centerLongitude, location.centerLatitude, - location.height + location.height, ); const frustumSize = 55.0; @@ -768,22 +768,22 @@ const position1 = Cesium.Cartesian3.fromRadians( centerLongitude, centerLatitude, - height + 5.0 + height + 5.0, ); const position2 = Cesium.Cartesian3.fromRadians( centerLongitude, centerLatitude, - height + 10.0 + height + 10.0, ); const position3 = Cesium.Cartesian3.fromRadians( centerLongitude, centerLatitude, - height + 15.0 + height + 15.0, ); const modelPosition = Cesium.Cartesian3.fromRadians( centerLongitude, centerLatitude, - height + height, ); createModel(uiOptions.modelUrls[viewModel.model], modelPosition); @@ -827,7 +827,7 @@ async function createModel(url, origin) { const modelMatrix = Cesium.Transforms.headingPitchRollToFixedFrame( origin, - new Cesium.HeadingPitchRoll() + new Cesium.HeadingPitchRoll(), ); try { @@ -835,7 +835,7 @@ await Cesium.Model.fromGltfAsync({ url: url, modelMatrix: modelMatrix, - }) + }), ); model.readyEvent.addEventListener(() => { @@ -855,14 +855,14 @@ function createBoxRTC(origin) { const modelMatrix = Cesium.Transforms.headingPitchRollToFixedFrame( origin, - new Cesium.HeadingPitchRoll() + new Cesium.HeadingPitchRoll(), ); const boxGeometry = Cesium.BoxGeometry.createGeometry( Cesium.BoxGeometry.fromDimensions({ vertexFormat: Cesium.PerInstanceColorAppearance.VERTEX_FORMAT, dimensions: new Cesium.Cartesian3(1.0, 1.0, 1.0), - }) + }), ); const positions = boxGeometry.attributes.position.values; @@ -877,7 +877,7 @@ Cesium.BoundingSphere.transform( boxGeometry.boundingSphere, modelMatrix, - boxGeometry.boundingSphere + boxGeometry.boundingSphere, ); const boxGeometryInstance = new Cesium.GeometryInstance({ @@ -904,7 +904,7 @@ function createBox(origin) { const modelMatrix = Cesium.Transforms.headingPitchRollToFixedFrame( origin, - new Cesium.HeadingPitchRoll() + new Cesium.HeadingPitchRoll(), ); const box = new Cesium.Primitive({ @@ -932,7 +932,7 @@ function createSphere(origin) { const modelMatrix = Cesium.Transforms.headingPitchRollToFixedFrame( origin, - new Cesium.HeadingPitchRoll() + new Cesium.HeadingPitchRoll(), ); const sphere = new Cesium.Primitive({ @@ -944,7 +944,7 @@ modelMatrix: modelMatrix, attributes: { color: Cesium.ColorGeometryInstanceAttribute.fromColor( - new Cesium.Color(1.0, 0.0, 0.0, 0.5) + new Cesium.Color(1.0, 0.0, 0.0, 0.5), ), }, }), @@ -974,11 +974,11 @@ if (Cesium.defined(picked) && Cesium.defined(picked.primitive)) { const castShadows = Cesium.ShadowMode.castShadows(picked.primitive.shadows); const receiveShadows = Cesium.ShadowMode.receiveShadows( - picked.primitive.shadows + picked.primitive.shadows, ); picked.primitive.shadows = Cesium.ShadowMode.fromCastReceive( !castShadows, - receiveShadows + receiveShadows, ); } }, Cesium.ScreenSpaceEventType.LEFT_CLICK); @@ -989,11 +989,11 @@ if (Cesium.defined(picked)) { const castShadows = Cesium.ShadowMode.castShadows(picked.primitive.shadows); const receiveShadows = Cesium.ShadowMode.receiveShadows( - picked.primitive.shadows + picked.primitive.shadows, ); picked.primitive.shadows = Cesium.ShadowMode.fromCastReceive( castShadows, - !receiveShadows + !receiveShadows, ); } }, Cesium.ScreenSpaceEventType.MIDDLE_CLICK); diff --git a/Apps/Sandcastle/gallery/development/Simple Polyline.html b/Apps/Sandcastle/gallery/development/Simple Polyline.html index 25351d06139e..a4ae141bf4cc 100644 --- a/Apps/Sandcastle/gallery/development/Simple Polyline.html +++ b/Apps/Sandcastle/gallery/development/Simple Polyline.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -48,7 +48,7 @@ const colors = []; for (let j = 0; j <= 50; j += 5) { positions.push( - Cesium.Cartesian3.fromDegrees(-124.0 + j, 40, 50000.0 * (j % 10)) + Cesium.Cartesian3.fromDegrees(-124.0 + j, 40, 50000.0 * (j % 10)), ); colors.push(Cesium.Color.fromRandom({ alpha: 1.0 })); } @@ -87,7 +87,7 @@ lineWidth: Math.min(2.0, scene.maximumAliasedLineWidth), }, }), - }) + }), ); // Add perSegment and perVertex polyline instances to primitives. @@ -100,7 +100,7 @@ lineWidth: Math.min(2.0, scene.maximumAliasedLineWidth), }, }), - }) + }), ); //Sandcastle_End diff --git a/Apps/Sandcastle/gallery/development/Sphere Outline.html b/Apps/Sandcastle/gallery/development/Sphere Outline.html index 2624be3a936f..a9dbae875274 100644 --- a/Apps/Sandcastle/gallery/development/Sphere Outline.html +++ b/Apps/Sandcastle/gallery/development/Sphere Outline.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -41,7 +41,7 @@ const modelMatrix = Cesium.Matrix4.multiplyByTranslation( Cesium.Transforms.eastNorthUpToFixedFrame(positionOnEllipsoid), new Cesium.Cartesian3(0.0, 0.0, radius), - new Cesium.Matrix4() + new Cesium.Matrix4(), ); // Create the sphere outline geometry instance. Use the // stackPartitions and slicePartitions options to determine @@ -67,7 +67,7 @@ lineWidth: Math.min(2.0, scene.maximumAliasedLineWidth), }, }), - }) + }), ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/development/Sphere.html b/Apps/Sandcastle/gallery/development/Sphere.html index b2edb045c077..076128f89dd9 100644 --- a/Apps/Sandcastle/gallery/development/Sphere.html +++ b/Apps/Sandcastle/gallery/development/Sphere.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -43,7 +43,7 @@ const modelMatrix = Cesium.Matrix4.multiplyByTranslation( Cesium.Transforms.eastNorthUpToFixedFrame(positionOnEllipsoid), new Cesium.Cartesian3(0.0, 0.0, radius), - new Cesium.Matrix4() + new Cesium.Matrix4(), ); // Create a sphere geometry. const sphereGeometry = new Cesium.SphereGeometry({ @@ -67,7 +67,7 @@ translucent: false, closed: true, }), - }) + }), ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/development/Terrain Entity Batching.html b/Apps/Sandcastle/gallery/development/Terrain Entity Batching.html index 9e31f69ad5d6..19d856167194 100644 --- a/Apps/Sandcastle/gallery/development/Terrain Entity Batching.html +++ b/Apps/Sandcastle/gallery/development/Terrain Entity Batching.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -43,62 +43,62 @@ new Cesium.Cartesian3( -2353381.4891308164, -3747386.1222378365, - 4577999.291515961 + 4577999.291515961, ), new Cesium.Cartesian3( -2359513.937204245, -3743087.2343810294, - 4578357.188560644 + 4578357.188560644, ), new Cesium.Cartesian3( -2356102.0286082155, -3739921.552293276, - 4582670.218770547 + 4582670.218770547, ), new Cesium.Cartesian3( -2353889.0353209395, -3741183.2274413602, - 4582776.909071608 + 4582776.909071608, ), new Cesium.Cartesian3( -2355072.390487758, -3742865.615615464, - 4580808.044684757 + 4580808.044684757, ), new Cesium.Cartesian3( -2356109.6661414686, -3741994.0607898533, - 4580985.489703348 + 4580985.489703348, ), new Cesium.Cartesian3( -2357041.8328847606, -3743225.9693035223, - 4579509.2148039425 + 4579509.2148039425, ), new Cesium.Cartesian3( -2354586.752280607, -3744890.9511893727, - 4579411.591389144 + 4579411.591389144, ), new Cesium.Cartesian3( -2353213.0268325945, -3743712.1202877173, - 4581070.08828045 + 4581070.08828045, ), new Cesium.Cartesian3( -2353637.930711704, -3743402.9513476435, - 4581104.219550749 + 4581104.219550749, ), new Cesium.Cartesian3( -2352875.095159641, -3742564.819171856, - 4582173.540953957 + 4582173.540953957, ), new Cesium.Cartesian3( -2350669.646050987, -3743751.6823160048, - 4582334.8406995395 + 4582334.8406995395, ), ]; @@ -129,7 +129,7 @@ cornerLon, cornerLat, cornerLon + 0.009, - cornerLat + 0.009 + cornerLat + 0.009, ), material: Cesium.Color.fromRandom().withAlpha(0.5), classificationType: Cesium.ClassificationType.TERRAIN, @@ -152,7 +152,7 @@ -122.17778, 46.36169, -120.17778, - 48.36169 + 48.36169, ), material: checkerboard, classificationType: Cesium.ClassificationType.TERRAIN, @@ -164,7 +164,7 @@ position: Cesium.Cartesian3.fromDegrees( -121.70711316136793, 45.943757948892845, - 0.0 + 0.0, ), name: "ellipse", ellipse: { diff --git a/Apps/Sandcastle/gallery/development/Terrain Performance.html b/Apps/Sandcastle/gallery/development/Terrain Performance.html index 30e40177629e..0db156ec70cb 100644 --- a/Apps/Sandcastle/gallery/development/Terrain Performance.html +++ b/Apps/Sandcastle/gallery/development/Terrain Performance.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -93,7 +93,7 @@ statistics.numberOfActiveRequestsEver } requests, min/max/avg frame FPS ${minFrameRate}/${maxFrameRate}/${ sumFrameRate / frameRateSamples - }` + }`, ); scene.postRender.removeEventListener(viewReady); } @@ -103,22 +103,22 @@ camera.position = new Cesium.Cartesian3( 302950.1757410969, 5637093.359233209, - 2976894.491577989 + 2976894.491577989, ); camera.direction = new Cesium.Cartesian3( -0.9648960658153797, -0.24110066659365145, - -0.10414437451009724 + -0.10414437451009724, ); camera.right = new Cesium.Cartesian3( -0.02152846103178338, 0.46781654381873394, - -0.8835633574877908 + -0.8835633574877908, ); camera.up = new Cesium.Cartesian3( -0.26174817580950865, 0.8503047394302772, - 0.456584868959543 + 0.456584868959543, ); flightComplete = true; } @@ -127,22 +127,22 @@ camera.position = new Cesium.Cartesian3( 301989.1870802739, 5637745.915399717, - 2977153.0443453398 + 2977153.0443453398, ); camera.direction = new Cesium.Cartesian3( 0.021398841015326783, -0.8909524564021135, - -0.45359211857597476 + -0.45359211857597476, ); camera.right = new Cesium.Cartesian3( 0.21237352569072232, 0.4473925820246778, - -0.8687562161705573 + -0.8687562161705573, ); camera.up = new Cesium.Cartesian3( -0.9769542339275126, 0.07774058129659328, - -0.19878839712310903 + -0.19878839712310903, ); flightComplete = true; } @@ -151,22 +151,22 @@ camera.position = new Cesium.Cartesian3( 302760.41072832496, 5637092.977453635, - 2977284.6758398763 + 2977284.6758398763, ); camera.direction = new Cesium.Cartesian3( -0.7254568510163212, -0.3330925403210976, - -0.6022970337764594 + -0.6022970337764594, ); camera.right = new Cesium.Cartesian3( 0.4750641658993092, 0.39087207931336604, - -0.7883736778277414 + -0.7883736778277414, ); camera.up = new Cesium.Cartesian3( -0.49802248502640617, 0.8580608237157107, - 0.12532049797395203 + 0.12532049797395203, ); flightComplete = true; } @@ -175,7 +175,7 @@ const position = new Cesium.Cartesian3( 302955.90876054496, 5639614.4908250235, - 2981096.1048591887 + 2981096.1048591887, ); camera.flyTo({ destination: position, @@ -190,22 +190,22 @@ camera.position = new Cesium.Cartesian3( 302950.1757410969, 5637093.359233209, - 2976894.491577989 + 2976894.491577989, ); camera.direction = new Cesium.Cartesian3( -0.9648960658153797, -0.24110066659365145, - -0.10414437451009724 + -0.10414437451009724, ); camera.right = new Cesium.Cartesian3( -0.02152846103178338, 0.46781654381873394, - -0.8835633574877908 + -0.8835633574877908, ); camera.up = new Cesium.Cartesian3( -0.26174817580950865, 0.8503047394302772, - 0.456584868959543 + 0.456584868959543, ); const startCartographic = Cesium.Cartographic.fromCartesian(camera.position); diff --git a/Apps/Sandcastle/gallery/development/Terrain Tweaks.html b/Apps/Sandcastle/gallery/development/Terrain Tweaks.html index faece8a528ed..4a75c4145fbf 100644 --- a/Apps/Sandcastle/gallery/development/Terrain Tweaks.html +++ b/Apps/Sandcastle/gallery/development/Terrain Tweaks.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -127,7 +127,7 @@ function updateFillHighlight() { if (viewModel.fillHighlightEnabled) { viewer.scene.globe.fillHighlightColor = Cesium.Color.fromCssColorString( - viewModel.fillHighlightColor + viewModel.fillHighlightColor, ); } else { viewer.scene.globe.fillHighlightColor = undefined; diff --git a/Apps/Sandcastle/gallery/development/Volumes.html b/Apps/Sandcastle/gallery/development/Volumes.html index 9144be071381..0f1e595670f0 100644 --- a/Apps/Sandcastle/gallery/development/Volumes.html +++ b/Apps/Sandcastle/gallery/development/Volumes.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -38,18 +38,18 @@ center: Cesium.Cartesian3.fromDegrees(-75.0, 40.0, 500000.0), radii: new Cesium.Cartesian3(500000.0, 500000.0, 500000.0), material: Cesium.Material.fromType(Cesium.Material.RimLightingType), - }) + }), ); Sandcastle.declare(e); // For Sandcastle highlighting. const e2 = scene.primitives.add( new Cesium.EllipsoidPrimitive({ modelMatrix: Cesium.Transforms.eastNorthUpToFixedFrame( - Cesium.Cartesian3.fromDegrees(-95.0, 40.0, 500000.0) + Cesium.Cartesian3.fromDegrees(-95.0, 40.0, 500000.0), ), radii: new Cesium.Cartesian3(300000.0, 300000.0, 500000.0), material: Cesium.Material.fromType(Cesium.Material.StripeType), - }) + }), ); Sandcastle.declare(e2); // For Sandcastle highlighting. } diff --git a/Apps/Sandcastle/gallery/development/Wall Outline.html b/Apps/Sandcastle/gallery/development/Wall Outline.html index 43130c3a4353..06d45ada4736 100644 --- a/Apps/Sandcastle/gallery/development/Wall Outline.html +++ b/Apps/Sandcastle/gallery/development/Wall Outline.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -41,7 +41,7 @@ }), attributes: { color: new Cesium.ColorGeometryInstanceAttribute.fromColor( - Cesium.Color.WHITE + Cesium.Color.WHITE, ), }, }); @@ -56,7 +56,7 @@ lineWidth: Math.min(2.0, scene.maximumAliasedLineWidth), }, }), - }) + }), ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/development/Wall.html b/Apps/Sandcastle/gallery/development/Wall.html index 1e3f209e5edf..f693c615ebbd 100644 --- a/Apps/Sandcastle/gallery/development/Wall.html +++ b/Apps/Sandcastle/gallery/development/Wall.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -56,16 +56,7 @@ const greenWallInstance = new Cesium.GeometryInstance({ geometry: Cesium.WallGeometry.fromConstantHeights({ positions: Cesium.Cartesian3.fromDegreesArray([ - -107.0, - 43.0, - -97.0, - 43.0, - -97.0, - 40.0, - -107.0, - 40.0, - -107.0, - 43.0, + -107.0, 43.0, -97.0, 43.0, -97.0, 40.0, -107.0, 40.0, -107.0, 43.0, ]), maximumHeight: 100000.0, vertexFormat: Cesium.PerInstanceColorAppearance.VERTEX_FORMAT, @@ -80,40 +71,11 @@ // for maximumHeights (and optionally minumumHeights) // with a length equal to the number of positions. const positions = Cesium.Cartesian3.fromDegreesArray([ - -115.0, - 50.0, - -112.5, - 50.0, - -110.0, - 50.0, - -107.5, - 50.0, - -105.0, - 50.0, - -102.5, - 50.0, - -100.0, - 50.0, - -97.5, - 50.0, - -95.0, - 50.0, - -92.5, - 50.0, - -90.0, - 50.0, + -115.0, 50.0, -112.5, 50.0, -110.0, 50.0, -107.5, 50.0, -105.0, 50.0, -102.5, + 50.0, -100.0, 50.0, -97.5, 50.0, -95.0, 50.0, -92.5, 50.0, -90.0, 50.0, ]); const maximumHeights = [ - 100000, - 200000, - 100000, - 200000, - 100000, - 200000, - 100000, - 200000, - 100000, - 200000, + 100000, 200000, 100000, 200000, 100000, 200000, 100000, 200000, 100000, 200000, 100000, ]; const minimumHeights = [0, 100000, 0, 100000, 0, 100000, 0, 100000, 0, 100000, 0]; @@ -137,7 +99,7 @@ appearance: new Cesium.PerInstanceColorAppearance({ translucent: false, }), - }) + }), ); //Sandcastle_End }; diff --git a/Apps/Sandcastle/gallery/glTF PBR Extensions.html b/Apps/Sandcastle/gallery/glTF PBR Extensions.html index 9e921de37c05..6168860f97fa 100644 --- a/Apps/Sandcastle/gallery/glTF PBR Extensions.html +++ b/Apps/Sandcastle/gallery/glTF PBR Extensions.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -51,7 +51,7 @@ direction: new Cesium.Cartesian3( 0.2454278300540191, 0.8842635425193919, - 0.39729481195458805 + 0.39729481195458805, ), intensity: 0.0, }); @@ -70,47 +70,47 @@ const L00 = new Cesium.Cartesian3( 1.234897375106812, 1.221635103225708, - 1.273374080657959 + 1.273374080657959, ); const L1_1 = new Cesium.Cartesian3( 1.136140108108521, 1.171419978141785, - 1.287894368171692 + 1.287894368171692, ); const L10 = new Cesium.Cartesian3( 1.245410919189453, 1.245791077613831, - 1.283067107200623 + 1.283067107200623, ); const L11 = new Cesium.Cartesian3( 1.107124328613281, 1.112697005271912, - 1.153419137001038 + 1.153419137001038, ); const L2_2 = new Cesium.Cartesian3( 1.08641505241394, 1.079904079437256, - 1.10212504863739 + 1.10212504863739, ); const L2_1 = new Cesium.Cartesian3( 1.190043210983276, 1.186099290847778, - 1.214627981185913 + 1.214627981185913, ); const L20 = new Cesium.Cartesian3( 0.017783647403121, 0.020140396431088, - 0.025317270308733 + 0.025317270308733, ); const L21 = new Cesium.Cartesian3( 1.087014317512512, 1.084779262542725, - 1.111417651176453 + 1.111417651176453, ); const L22 = new Cesium.Cartesian3( -0.052426788955927, -0.048315055668354, - -0.041973855346441 + -0.041973855346441, ); const coefficients = [L00, L1_1, L10, L11, L2_2, L2_1, L20, L21, L22]; @@ -255,7 +255,7 @@ Cesium.Matrix4.multiplyByUniformScale( model.modelMatrix, scale, - model.modelMatrix + model.modelMatrix, ); radius *= scale; } @@ -264,7 +264,7 @@ const pitch = 0.0; camera.lookAt( model.boundingSphere.center, - new Cesium.HeadingPitchRange(heading, pitch, radius * 2.0) + new Cesium.HeadingPitchRange(heading, pitch, radius * 2.0), ); } //Sandcastle_End diff --git a/Apps/Sandcastle/index.html b/Apps/Sandcastle/index.html index 03f0dddfec2b..c6745b6a770f 100644 --- a/Apps/Sandcastle/index.html +++ b/Apps/Sandcastle/index.html @@ -1,4 +1,4 @@ -ο»Ώ<!DOCTYPE html> +ο»Ώ<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -30,7 +30,7 @@ if (window.location.protocol === "file:") { if ( confirm( - "You must host this app on a web server.\nSee contributor's guide for more info?" + "You must host this app on a web server.\nSee contributor's guide for more info?", ) ) { window.location = diff --git a/Apps/Sandcastle/standalone.html b/Apps/Sandcastle/standalone.html index 54e348a6c6ec..7bdfff33f922 100644 --- a/Apps/Sandcastle/standalone.html +++ b/Apps/Sandcastle/standalone.html @@ -1,4 +1,4 @@ -ο»Ώ<!DOCTYPE html> +ο»Ώ<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> @@ -71,7 +71,7 @@ document.head.appendChild(scriptElement); scriptElement.innerHTML = window.embedInSandcastleTemplate( code, - isFirefox + isFirefox, ); } </script> diff --git a/Apps/Sandcastle/templates/bucket-requirejs.html b/Apps/Sandcastle/templates/bucket-requirejs.html index bde1e75919fc..8abfa3142e4b 100644 --- a/Apps/Sandcastle/templates/bucket-requirejs.html +++ b/Apps/Sandcastle/templates/bucket-requirejs.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/Sandcastle/templates/bucket.html b/Apps/Sandcastle/templates/bucket.html index f03f1f56af4a..eca73df143de 100644 --- a/Apps/Sandcastle/templates/bucket.html +++ b/Apps/Sandcastle/templates/bucket.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/Apps/TimelineDemo/TimelineDemo.js b/Apps/TimelineDemo/TimelineDemo.js index 5f865c99146d..4d30f2817db7 100644 --- a/Apps/TimelineDemo/TimelineDemo.js +++ b/Apps/TimelineDemo/TimelineDemo.js @@ -21,7 +21,7 @@ define(["dijit/dijit", "dojo"], function (dijit, dojo) { function updateScrubTime(julianDate) { document.getElementById("mousePos").innerHTML = `${timeline.makeLabel( - julianDate + julianDate, )} UTC`; } @@ -64,7 +64,7 @@ define(["dijit/dijit", "dojo"], function (dijit, dojo) { `<br/>Start: ${timeline.makeLabel(e.startJulian)} UTC` + `<br/> Stop: ${timeline.makeLabel(e.endJulian)} UTC` + `<br/> Span: ${spanToString( - e.totalSpan + e.totalSpan, )}<br/>  Tic: ${spanToString(e.mainTicSpan)}`; updateScrubTime(clock.currentTime); } @@ -90,7 +90,7 @@ define(["dijit/dijit", "dojo"], function (dijit, dojo) { }), 8, Color.RED, - new Color(0.55, 0.55, 0.55, 0.25) + new Color(0.55, 0.55, 0.55, 0.25), ); timeline.addTrack( @@ -99,7 +99,7 @@ define(["dijit/dijit", "dojo"], function (dijit, dojo) { stop: endJulian, }), 8, - Color.LIME + Color.LIME, ); const middle = JulianDate.secondsDifference(endJulian, startJulian) / 4; @@ -110,7 +110,7 @@ define(["dijit/dijit", "dojo"], function (dijit, dojo) { }), 8, Color.DEEPSKYBLUE, - new Color(0.55, 0.55, 0.55, 0.25) + new Color(0.55, 0.55, 0.55, 0.25), ); const clockViewModel = new ClockViewModel(clock); @@ -215,7 +215,7 @@ define(["dijit/dijit", "dojo"], function (dijit, dojo) { timeline.resize(); animation.resize(); }, - false + false, ); dojo.ready(function () { diff --git a/Apps/TimelineDemo/index.html b/Apps/TimelineDemo/index.html index 94e2a0143815..b86bcb590e42 100644 --- a/Apps/TimelineDemo/index.html +++ b/Apps/TimelineDemo/index.html @@ -1,4 +1,4 @@ -ο»Ώ<!DOCTYPE html> +ο»Ώ<!doctype html> <html lang="en"> <head> <meta charset="utf-8" /> diff --git a/CHANGES.md b/CHANGES.md index 01ab45bde1cc..fc914d1a4267 100644 --- a/CHANGES.md +++ b/CHANGES.md @@ -2155,7 +2155,7 @@ _This is an npm-only release to fix a publishing issue_. - This is to make clipping planes' coordinates always relative to the object they're attached to. So if you were positioning the clipping planes as in the example below, this is no longer necessary: ```javascript clippingPlanes.modelMatrix = Cesium.Transforms.eastNorthUpToFixedFrame( - tileset.boundingSphere.center + tileset.boundingSphere.center, ); ``` - This also fixes several issues with clipping planes not using the correct transform for tilesets with children. diff --git a/Documentation/Contributors/CodingGuide/README.md b/Documentation/Contributors/CodingGuide/README.md index 99ade2899769..921781f393c2 100644 --- a/Documentation/Contributors/CodingGuide/README.md +++ b/Documentation/Contributors/CodingGuide/README.md @@ -181,7 +181,6 @@ Cartesian3.fromDegrees(); // Not Cartesin3.fromAngle() ```javascript "use strict"; - ``` - :speedboat: To avoid type coercion (implicit type conversion), test for equality with `===` and `!==`, e.g., @@ -369,7 +368,7 @@ function getTransform(node) { return Matrix4.fromTranslationQuaternionRotationScale( node.translation, node.rotation, - node.scale + node.scale, ); } } @@ -386,7 +385,7 @@ function getTransform(node) { return Matrix4.fromTranslationQuaternionRotationScale( node.translation, node.rotation, - node.scale + node.scale, ); } ``` @@ -444,7 +443,7 @@ Cartesian3.fromRadians = function (longitude, latitude, height) { ```javascript this._mapProjection = defaultValue( options.mapProjection, - new GeographicProjection() + new GeographicProjection(), ); ``` @@ -820,7 +819,7 @@ When the overhead of getter/setter functions is prohibitive or reference-type se ```javascript function Model(options) { this.modelMatrix = Matrix4.clone( - defaultValue(options.modelMatrix, Matrix4.IDENTITY) + defaultValue(options.modelMatrix, Matrix4.IDENTITY), ); this._modelMatrix = Matrix4.clone(this.modelMatrix); } @@ -922,7 +921,7 @@ A public identifier (class, function, property) should be deprecated before bein function Foo() { deprecationWarning( "Foo", - "Foo was deprecated in CesiumJS 1.01. It will be removed in 1.03. Use newFoo instead." + "Foo was deprecated in CesiumJS 1.01. It will be removed in 1.03. Use newFoo instead.", ); // ... } diff --git a/Documentation/Contributors/PerformanceTestingGuide/README.md b/Documentation/Contributors/PerformanceTestingGuide/README.md index adfc888ad445..e4ccab3e089c 100644 --- a/Documentation/Contributors/PerformanceTestingGuide/README.md +++ b/Documentation/Contributors/PerformanceTestingGuide/README.md @@ -46,12 +46,12 @@ viewer.scene.camera.setView({ destination: new Cesium.Cartesian3( 2881774.230386411, 1342620.6006856258, - 5510835.220814708 + 5510835.220814708, ), orientation: new Cesium.HeadingPitchRoll( 5.708910165980631, -0.2293856922649915, - 2.875174018868165e-7 + 2.875174018868165e-7, ), }); ``` diff --git a/Documentation/Contributors/TestingGuide/README.md b/Documentation/Contributors/TestingGuide/README.md index 23a9c5131573..915c8bf38d38 100644 --- a/Documentation/Contributors/TestingGuide/README.md +++ b/Documentation/Contributors/TestingGuide/README.md @@ -421,11 +421,11 @@ it("angleBetween works for acute angles", function () { const y = new Cartesian3(1.0, 1.0, 0.0); expect(Cartesian3.angleBetween(x, y)).toEqualEpsilon( CesiumMath.PI_OVER_FOUR, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(Cartesian3.angleBetween(y, x)).toEqualEpsilon( CesiumMath.PI_OVER_FOUR, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); }); ``` @@ -533,7 +533,7 @@ it("does not render when show is false", function () { scene.primitives.add( new DebugModelMatrixPrimitive({ show: false, - }) + }), ); expect(scene).toRender([0, 0, 0, 255]); }); @@ -777,13 +777,13 @@ it("Zooms to longitude, latitude, height", function () { viewModel.search(); expect(Camera.prototype.flyTo).toHaveBeenCalled(); expect(Camera.prototype.flyTo.calls.mostRecent().args[0].destination).toEqual( - Cartesian3.fromDegrees(1.0, 2.0, 3.0) + Cartesian3.fromDegrees(1.0, 2.0, 3.0), ); viewModel.searchText = "1.0 2.0 3.0"; viewModel.search(); expect(Camera.prototype.flyTo.calls.mostRecent().args[0].destination).toEqual( - Cartesian3.fromDegrees(1.0, 2.0, 3.0) + Cartesian3.fromDegrees(1.0, 2.0, 3.0), ); }); ``` @@ -895,7 +895,7 @@ it("fromUrl throws if the SRS is not supported", async function () { metadata.spatialReference.latestWkid = 1234; await expectAsync( - ArcGISTiledElevationTerrainProvider.fromUrl(baseUrl) + ArcGISTiledElevationTerrainProvider.fromUrl(baseUrl), ).toBeRejectedWithError(RuntimeError, "Invalid spatial reference"); }); ``` @@ -905,7 +905,7 @@ Since developer errors are removed for release builds, CesiumJS's `toBeRejectedW ```javascript it("fromUrl throws without url", async function () { await expectAsync(Cesium3DTileset.fromUrl()).toBeRejectedWithDeveloperError( - "url is required, actual value was undefined" + "url is required, actual value was undefined", ); }); ``` @@ -967,7 +967,7 @@ describe( // ... }, - "WebGL" + "WebGL", ); ``` diff --git a/Documentation/OfflineGuide/README.md b/Documentation/OfflineGuide/README.md index e50e84fa1cac..3ea2feaebf65 100644 --- a/Documentation/OfflineGuide/README.md +++ b/Documentation/OfflineGuide/README.md @@ -22,8 +22,8 @@ This example shows how to configure CesiumJS to avoid use of online data sources const viewer = new Cesium.Viewer("cesiumContainer", { baseLayer: Cesium.ImageryLayer.fromProviderAsync( Cesium.TileMapServiceImageryProvider.fromUrl( - Cesium.buildModuleUrl("Assets/Textures/NaturalEarthII") - ) + Cesium.buildModuleUrl("Assets/Textures/NaturalEarthII"), + ), ), baseLayerPicker: false, geocoder: false, @@ -59,7 +59,7 @@ Most other files loaded in CesiumJS, such as 3D Tiles or glTF, are static assets ```js try { const tileset = await Cesium.Cesium3DTileset.fromUrl( - "http://localhost:8003/example/tileset.json" + "http://localhost:8003/example/tileset.json", ); viewer.scene.primitives.add(tileset); } catch (error) { diff --git a/Specs/Cesium3DTilesTester.js b/Specs/Cesium3DTilesTester.js index b52d0e73cea8..430c177ce7eb 100644 --- a/Specs/Cesium3DTilesTester.js +++ b/Specs/Cesium3DTilesTester.js @@ -106,7 +106,7 @@ Cesium3DTilesTester.loadTileset = async function (scene, url, options) { options = defaultValue(options, {}); options.cullRequestsWhileMoving = defaultValue( options.cullRequestsWhileMoving, - false + false, ); const tileset = await Cesium3DTileset.fromUrl(url, options); @@ -119,7 +119,7 @@ Cesium3DTilesTester.loadTileset = async function (scene, url, options) { Cesium3DTilesTester.createContentForMockTile = async function ( arrayBuffer, - type + type, ) { const tileset = {}; const url = Resource.createIfNeeded(""); @@ -128,19 +128,19 @@ Cesium3DTilesTester.createContentForMockTile = async function ( mockTile, url, arrayBuffer, - 0 + 0, ); }; Cesium3DTilesTester.tileDestroys = function (scene, url, options) { - return Cesium3DTilesTester.loadTileset(scene, url, options).then(function ( - tileset - ) { - const content = tileset.root.content; - expect(content.isDestroyed()).toEqual(false); - scene.primitives.remove(tileset); - expect(content.isDestroyed()).toEqual(true); - }); + return Cesium3DTilesTester.loadTileset(scene, url, options).then( + function (tileset) { + const content = tileset.root.content; + expect(content.isDestroyed()).toEqual(false); + scene.primitives.remove(tileset); + expect(content.isDestroyed()).toEqual(true); + }, + ); }; Cesium3DTilesTester.generateBatchedTileBuffer = function (options) { @@ -208,7 +208,7 @@ Cesium3DTilesTester.generateInstancedTileBuffer = function (options) { const featureTableBinary = defaultValue( options.featureTableBinary, - new Uint8Array(0) + new Uint8Array(0), ); const featureTableBinaryByteLength = featureTableBinary.length; @@ -222,7 +222,7 @@ Cesium3DTilesTester.generateInstancedTileBuffer = function (options) { const batchTableBinary = defaultValue( options.batchTableBinary, - new Uint8Array(0) + new Uint8Array(0), ); const batchTableBinaryByteLength = batchTableBinary.length; @@ -292,7 +292,7 @@ Cesium3DTilesTester.generatePointCloudTileBuffer = function (options) { featureTableJsonString = padStringToByteAlignment(featureTableJsonString, 4); const featureTableJsonByteLength = defaultValue( options.featureTableJsonByteLength, - featureTableJsonString.length + featureTableJsonString.length, ); const featureTableBinary = new ArrayBuffer(12); // Enough space to hold 3 floats diff --git a/Specs/Data/WMS/GetFeatureInfo.html b/Specs/Data/WMS/GetFeatureInfo.html index d4f17e22c1e7..b2fb32bcc5b0 100644 --- a/Specs/Data/WMS/GetFeatureInfo.html +++ b/Specs/Data/WMS/GetFeatureInfo.html @@ -1,4 +1,4 @@ -<!DOCTYPE html> +<!doctype html> <html> <head> <title>HTML yeah! diff --git a/Specs/DomEventSimulator.js b/Specs/DomEventSimulator.js index bbff151ae5c0..8a6093ff6146 100644 --- a/Specs/DomEventSimulator.js +++ b/Specs/DomEventSimulator.js @@ -33,7 +33,7 @@ function createMouseEvent(type, options) { shiftKey, metaKey, button, - relatedTarget + relatedTarget, ); return event; } @@ -89,7 +89,7 @@ function createMouseWheelEvent(type, options) { button, relatedTarget, modifiersList, - wheelDelta + wheelDelta, ); return event; } @@ -140,7 +140,7 @@ function createWheelEvent(type, options) { ctrlKey, altKey, shiftKey, - metaKey + metaKey, ); event.initWheelEvent( type, @@ -158,7 +158,7 @@ function createWheelEvent(type, options) { deltaX, deltaY, deltaZ, - deltaMode + deltaMode, ); return event; } @@ -241,7 +241,7 @@ function createPointerEvent(type, options) { pointerId, pointerType, hwTimestamp, - isPrimary + isPrimary, ); } else { event = new window.PointerEvent(type, { @@ -295,7 +295,7 @@ function createDeviceOrientationEvent(type, options) { alpha, beta, gamma, - absolute + absolute, ); } else { event = new DeviceOrientationEvent("deviceorientation", { @@ -356,7 +356,7 @@ const DomEventSimulator = { }, fireDeviceOrientation: function (element, options) { element.dispatchEvent( - createDeviceOrientationEvent("deviceorientation", options) + createDeviceOrientationEvent("deviceorientation", options), ); }, fireMockEvent: function (eventHandler, event) { diff --git a/Specs/ImplicitTilingTester.js b/Specs/ImplicitTilingTester.js index 7513a4b497de..3cce276c929b 100644 --- a/Specs/ImplicitTilingTester.js +++ b/Specs/ImplicitTilingTester.js @@ -85,7 +85,7 @@ function ImplicitTilingTester() {} */ ImplicitTilingTester.generateSubtreeBuffers = function ( subtreeDescription, - constantOnly + constantOnly, ) { constantOnly = defaultValue(constantOnly, false); @@ -127,14 +127,13 @@ function makeBufferViews(subtreeDescription, subtreeJson) { const parsedAvailability = { tileAvailability: parseAvailability(subtreeDescription.tileAvailability), childSubtreeAvailability: parseAvailability( - subtreeDescription.childSubtreeAvailability + subtreeDescription.childSubtreeAvailability, ), }; if (hasContent) { - parsedAvailability.contentAvailability = subtreeDescription.contentAvailability.map( - parseAvailability - ); + parsedAvailability.contentAvailability = + subtreeDescription.contentAvailability.map(parseAvailability); } // to simulate additional buffer views for metadata or other purposes. @@ -151,33 +150,33 @@ function makeBufferViews(subtreeDescription, subtreeJson) { const useLegacySchema = defaultValue( subtreeDescription.useLegacySchema, - false + false, ); const bufferViewJsonArray = []; gatherBufferViews( bufferViewsU8, bufferViewJsonArray, parsedAvailability.tileAvailability, - useLegacySchema + useLegacySchema, ); if (hasContent) { - parsedAvailability.contentAvailability.forEach(function ( - contentAvailability - ) { - gatherBufferViews( - bufferViewsU8, - bufferViewJsonArray, - contentAvailability, - useLegacySchema - ); - }); + parsedAvailability.contentAvailability.forEach( + function (contentAvailability) { + gatherBufferViews( + bufferViewsU8, + bufferViewJsonArray, + contentAvailability, + useLegacySchema, + ); + }, + ); } gatherBufferViews( bufferViewsU8, bufferViewJsonArray, parsedAvailability.childSubtreeAvailability, - useLegacySchema + useLegacySchema, ); // to simulate additional buffer views for metadata or other purposes. @@ -186,7 +185,7 @@ function makeBufferViews(subtreeDescription, subtreeJson) { bufferViewsU8, bufferViewJsonArray, parsedAvailability.other, - useLegacySchema + useLegacySchema, ); } if (bufferViewJsonArray.length > 0) { @@ -210,11 +209,11 @@ function makeBufferViews(subtreeDescription, subtreeJson) { }, }; } else if (contentAvailabilityArray.length > 1) { - subtreeJson.contentAvailability = contentAvailabilityArray.map(function ( - x - ) { - return x.availabilityJson; - }); + subtreeJson.contentAvailability = contentAvailabilityArray.map( + function (x) { + return x.availabilityJson; + }, + ); } else { subtreeJson.contentAvailability = contentAvailabilityArray[0].availabilityJson; @@ -236,7 +235,7 @@ function gatherBufferViews( bufferViewsU8, bufferViewJsonArray, parsedBitstream, - useLegacySchema + useLegacySchema, ) { if (defined(parsedBitstream.constant)) { parsedBitstream.availabilityJson = { @@ -340,7 +339,7 @@ function parseAvailability(availability) { const includeAvailableCount = availability.includeAvailableCount; const parsed = parseAvailabilityDescriptor( availability.descriptor, - includeAvailableCount + includeAvailableCount, ); parsed.isInternal = availability.isInternal; parsed.shareBuffer = availability.shareBuffer; @@ -399,10 +398,10 @@ function addMetadata( bufferViewsU8, subtreeJson, metadataOptions, - useLegacySchema + useLegacySchema, ) { const propertyTableResults = MetadataTester.createPropertyTables( - metadataOptions.propertyTables + metadataOptions.propertyTables, ); // Add bufferViews to the list ----------------------------------- @@ -445,7 +444,7 @@ function addMetadata( const tileProperties = getPropertiesObjectFromPropertyTable( tileTable, firstMetadataIndex, - useLegacySchema + useLegacySchema, ); const propertyTables = []; @@ -479,7 +478,7 @@ function addMetadata( const contentProperties = getPropertiesObjectFromPropertyTable( contentTable, firstMetadataIndex, - useLegacySchema + useLegacySchema, ); const contentMetadata = { class: contentTable.class, @@ -501,7 +500,7 @@ function addMetadata( function getPropertiesObjectFromPropertyTable( propertyTable, firstMetadataIndex, - useLegacySchema + useLegacySchema, ) { const tableProperties = propertyTable.properties; const properties = {}; diff --git a/Specs/MetadataTester.js b/Specs/MetadataTester.js index 119015be69d4..09f8de4de4bb 100644 --- a/Specs/MetadataTester.js +++ b/Specs/MetadataTester.js @@ -84,7 +84,7 @@ function createProperties(options) { count = values.length; const valuesBuffer = addPadding( - createValuesBuffer(values, classProperty) + createValuesBuffer(values, classProperty), ); const valuesBufferView = bufferViewIndex++; bufferViews[valuesBufferView] = valuesBuffer; @@ -114,8 +114,8 @@ function createProperties(options) { createArrayOffsetBuffer( values, classProperty.type, - arrayOffsetBufferType - ) + arrayOffsetBufferType, + ), ); const arrayOffsetBufferView = bufferViewIndex++; bufferViews[arrayOffsetBufferView] = arrayOffsetBuffer; @@ -125,10 +125,10 @@ function createProperties(options) { if (classProperty.type === MetadataType.STRING) { const stringOffsetBufferType = defaultValue( stringOffsetType, - offsetType + offsetType, ); const stringOffsetBuffer = addPadding( - createStringOffsetBuffer(values, stringOffsetBufferType) + createStringOffsetBuffer(values, stringOffsetBufferType), ); const stringOffsetBufferView = bufferViewIndex++; bufferViews[stringOffsetBufferView] = stringOffsetBuffer; @@ -418,7 +418,7 @@ function createBuffer(values, componentType) { //>>includeStart('debug', pragmas.debug); default: throw new DeveloperError( - `${componentType} is not a valid component type` + `${componentType} is not a valid component type`, ); //>>includeEnd('debug'); } diff --git a/Specs/MockImageryProvider.js b/Specs/MockImageryProvider.js index e4116178c041..8d7b1b035464 100644 --- a/Specs/MockImageryProvider.js +++ b/Specs/MockImageryProvider.js @@ -38,7 +38,7 @@ MockImageryProvider.prototype.requestImage = function (x, y, level, request) { MockImageryProvider.prototype.requestImageWillSucceed = function ( xOrTile, y, - level + level, ) { this._requestImageWillSucceed[createTileKey(xOrTile, y, level)] = true; return this; @@ -47,7 +47,7 @@ MockImageryProvider.prototype.requestImageWillSucceed = function ( MockImageryProvider.prototype.requestImageWillFail = function ( xOrTile, y, - level + level, ) { this._requestImageWillSucceed[createTileKey(xOrTile, y, level)] = false; return this; @@ -56,7 +56,7 @@ MockImageryProvider.prototype.requestImageWillFail = function ( MockImageryProvider.prototype.requestImageWillDefer = function ( xOrTile, y, - level + level, ) { this._requestImageWillSucceed[createTileKey(xOrTile, y, level)] = undefined; return this; @@ -66,7 +66,7 @@ MockImageryProvider.prototype.requestImageWillWaitOn = function ( promise, xOrTile, y, - level + level, ) { this._requestImageWillSucceed[createTileKey(xOrTile, y, level)] = promise; return this; diff --git a/Specs/MockTerrainProvider.js b/Specs/MockTerrainProvider.js index 9a482e38182f..7adfe37b1cd9 100644 --- a/Specs/MockTerrainProvider.js +++ b/Specs/MockTerrainProvider.js @@ -12,11 +12,12 @@ import { function MockTerrainProvider() { this.tilingScheme = new GeographicTilingScheme(); this.heightmapWidth = 65; - this.levelZeroMaximumGeometricError = TerrainProvider.getEstimatedLevelZeroGeometricErrorForAHeightmap( - this.tilingScheme.ellipsoid, - this.heightmapWidth, - this.tilingScheme.getNumberOfXTilesAtLevel(0) - ); + this.levelZeroMaximumGeometricError = + TerrainProvider.getEstimatedLevelZeroGeometricErrorForAHeightmap( + this.tilingScheme.ellipsoid, + this.heightmapWidth, + this.tilingScheme.getNumberOfXTilesAtLevel(0), + ); this.hasWaterMask = true; this.errorEvent = new Event(); @@ -32,11 +33,10 @@ MockTerrainProvider.prototype.requestTileGeometry = function ( x, y, level, - request + request, ) { - const willSucceed = this._requestTileGeometryWillSucceed[ - createTileKey(x, y, level) - ]; + const willSucceed = + this._requestTileGeometryWillSucceed[createTileKey(x, y, level)]; if (willSucceed === undefined) { return undefined; // defer by default } @@ -58,7 +58,7 @@ MockTerrainProvider.prototype.requestTileGeometry = function ( MockTerrainProvider.prototype.getTileDataAvailable = function ( xOrTile, y, - level + level, ) { return this._tileDataAvailable[createTileKey(xOrTile, y, level)]; }; @@ -70,7 +70,7 @@ MockTerrainProvider.prototype.getLevelMaximumGeometricError = function (level) { MockTerrainProvider.prototype.requestTileGeometryWillSucceed = function ( xOrTile, y, - level + level, ) { this._requestTileGeometryWillSucceed[createTileKey(xOrTile, y, level)] = true; return this; @@ -80,34 +80,31 @@ MockTerrainProvider.prototype.requestTileGeometryWillSucceedWith = function ( terrainData, xOrTile, y, - level + level, ) { this._requestTileGeometryWillSucceed[createTileKey(xOrTile, y, level)] = true; - this._requestTileGeometryWillSucceedWith[ - createTileKey(xOrTile, y, level) - ] = terrainData; + this._requestTileGeometryWillSucceedWith[createTileKey(xOrTile, y, level)] = + terrainData; return this; }; MockTerrainProvider.prototype.requestTileGeometryWillFail = function ( xOrTile, y, - level + level, ) { - this._requestTileGeometryWillSucceed[ - createTileKey(xOrTile, y, level) - ] = false; + this._requestTileGeometryWillSucceed[createTileKey(xOrTile, y, level)] = + false; return this; }; MockTerrainProvider.prototype.requestTileGeometryWillDefer = function ( xOrTile, y, - level + level, ) { - this._requestTileGeometryWillSucceed[ - createTileKey(xOrTile, y, level) - ] = undefined; + this._requestTileGeometryWillSucceed[createTileKey(xOrTile, y, level)] = + undefined; return this; }; @@ -115,11 +112,10 @@ MockTerrainProvider.prototype.requestTileGeometryWillWaitOn = function ( promise, xOrTile, y, - level + level, ) { - this._requestTileGeometryWillSucceed[ - createTileKey(xOrTile, y, level) - ] = promise; + this._requestTileGeometryWillSucceed[createTileKey(xOrTile, y, level)] = + promise; return this; }; @@ -128,7 +124,7 @@ MockTerrainProvider.prototype.willHaveWaterMask = function ( includeWater, xOrTile, y, - level + level, ) { this._willHaveWaterMask[createTileKey(xOrTile, y, level)] = includeLand || includeWater @@ -143,7 +139,7 @@ MockTerrainProvider.prototype.willHaveWaterMask = function ( MockTerrainProvider.prototype.createMeshWillSucceed = function ( xOrTile, y, - level + level, ) { this._createMeshWillSucceed[createTileKey(xOrTile, y, level)] = true; return this; @@ -152,7 +148,7 @@ MockTerrainProvider.prototype.createMeshWillSucceed = function ( MockTerrainProvider.prototype.createMeshWillFail = function ( xOrTile, y, - level + level, ) { this._createMeshWillSucceed[createTileKey(xOrTile, y, level)] = false; return this; @@ -161,7 +157,7 @@ MockTerrainProvider.prototype.createMeshWillFail = function ( MockTerrainProvider.prototype.createMeshWillDefer = function ( xOrTile, y, - level + level, ) { this._createMeshWillSucceed[createTileKey(xOrTile, y, level)] = undefined; return this; @@ -171,7 +167,7 @@ MockTerrainProvider.prototype.createMeshWillWaitOn = function ( promise, xOrTile, y, - level + level, ) { this._createMeshWillSucceed[createTileKey(xOrTile, y, level)] = promise; return this; @@ -180,7 +176,7 @@ MockTerrainProvider.prototype.createMeshWillWaitOn = function ( MockTerrainProvider.prototype.upsampleWillSucceed = function ( xOrTile, y, - level + level, ) { this._upsampleWillSucceed[createTileKey(xOrTile, y, level)] = true; return this; @@ -209,7 +205,7 @@ MockTerrainProvider.prototype.willBeUnavailable = function (xOrTile, y, level) { MockTerrainProvider.prototype.willBeUnknownAvailability = function ( xOrTile, y, - level + level, ) { this._tileDataAvailable[createTileKey(xOrTile, y, level)] = undefined; return this; @@ -257,7 +253,7 @@ function createTerrainData(terrainProvider, x, y, level, upsampled) { thisY, thisLevel, descendantX, - descendantY + descendantY, ) { const willSucceed = terrainProvider._upsampleWillSucceed[ diff --git a/Specs/ShaderBuilderTester.js b/Specs/ShaderBuilderTester.js index 3f01f3b537f8..af6819864728 100644 --- a/Specs/ShaderBuilderTester.js +++ b/Specs/ShaderBuilderTester.js @@ -14,7 +14,7 @@ function expectEqualUnordered(array1, array2) { ShaderBuilderTester.expectHasAttributes = function ( shaderBuilder, positionAttributeLine, - expectedAttributeLines + expectedAttributeLines, ) { expect(shaderBuilder._positionAttributeLine).toEqual(positionAttributeLine); expectEqualUnordered(shaderBuilder._attributeLines, expectedAttributeLines); @@ -22,63 +22,63 @@ ShaderBuilderTester.expectHasAttributes = function ( ShaderBuilderTester.expectHasVertexDefines = function ( shaderBuilder, - expectedDefines + expectedDefines, ) { expectEqualUnordered( shaderBuilder._vertexShaderParts.defineLines, - expectedDefines + expectedDefines, ); }; ShaderBuilderTester.expectHasFragmentDefines = function ( shaderBuilder, - expectedDefines + expectedDefines, ) { expectEqualUnordered( shaderBuilder._fragmentShaderParts.defineLines, - expectedDefines + expectedDefines, ); }; ShaderBuilderTester.expectHasVertexUniforms = function ( shaderBuilder, - expectedUniforms + expectedUniforms, ) { expectEqualUnordered( shaderBuilder._vertexShaderParts.uniformLines, - expectedUniforms + expectedUniforms, ); }; ShaderBuilderTester.expectHasFragmentUniforms = function ( shaderBuilder, - expectedUniforms + expectedUniforms, ) { expectEqualUnordered( shaderBuilder._fragmentShaderParts.uniformLines, - expectedUniforms + expectedUniforms, ); }; ShaderBuilderTester.expectHasVaryings = function ( shaderBuilder, - expectedVaryings + expectedVaryings, ) { expect(shaderBuilder._vertexShaderParts.varyingLines).toEqual( jasmine.arrayWithExactContents( - expectedVaryings.map((varying) => jasmine.stringContaining(varying)) - ) + expectedVaryings.map((varying) => jasmine.stringContaining(varying)), + ), ); expect(shaderBuilder._fragmentShaderParts.varyingLines).toEqual( jasmine.arrayWithExactContents( - expectedVaryings.map((varying) => jasmine.stringContaining(varying)) - ) + expectedVaryings.map((varying) => jasmine.stringContaining(varying)), + ), ); }; ShaderBuilderTester.expectHasVertexStructIds = function ( shaderBuilder, - expectedStructIds + expectedStructIds, ) { expect(shaderBuilder._vertexShaderParts.structIds).toEqual(expectedStructIds); }; @@ -87,7 +87,7 @@ ShaderBuilderTester.expectHasVertexStruct = function ( shaderBuilder, structId, structName, - expectedFields + expectedFields, ) { expectHasLine(shaderBuilder._vertexShaderParts.structIds, structId); const struct = shaderBuilder._structs[structId]; @@ -98,10 +98,10 @@ ShaderBuilderTester.expectHasVertexStruct = function ( ShaderBuilderTester.expectHasFragmentStructIds = function ( shaderBuilder, - expectedStructIds + expectedStructIds, ) { expect(shaderBuilder._fragmentShaderParts.structIds).toEqual( - expectedStructIds + expectedStructIds, ); }; @@ -109,7 +109,7 @@ ShaderBuilderTester.expectHasFragmentStruct = function ( shaderBuilder, structId, structName, - expectedFields + expectedFields, ) { expectHasLine(shaderBuilder._fragmentShaderParts.structIds, structId); const struct = shaderBuilder._structs[structId]; @@ -120,10 +120,10 @@ ShaderBuilderTester.expectHasFragmentStruct = function ( ShaderBuilderTester.expectHasVertexFunctionIds = function ( shaderBuilder, - expectedFunctionIds + expectedFunctionIds, ) { expect(shaderBuilder._vertexShaderParts.functionIds).toEqual( - expectedFunctionIds + expectedFunctionIds, ); }; @@ -131,7 +131,7 @@ ShaderBuilderTester.expectHasVertexFunction = function ( shaderBuilder, functionId, signature, - bodyLines + bodyLines, ) { expectHasLine(shaderBuilder._vertexShaderParts.functionIds, functionId); const func = shaderBuilder._functions[functionId]; @@ -144,7 +144,7 @@ ShaderBuilderTester.expectHasVertexFunctionUnordered = function ( shaderBuilder, functionId, signature, - bodyLines + bodyLines, ) { expectHasLine(shaderBuilder._vertexShaderParts.functionIds, functionId); const func = shaderBuilder._functions[functionId]; @@ -155,10 +155,10 @@ ShaderBuilderTester.expectHasVertexFunctionUnordered = function ( ShaderBuilderTester.expectHasFragmentFunctionIds = function ( shaderBuilder, - expectedFunctionIds + expectedFunctionIds, ) { expect(shaderBuilder._fragmentShaderParts.functionIds).toEqual( - expectedFunctionIds + expectedFunctionIds, ); }; @@ -166,7 +166,7 @@ ShaderBuilderTester.expectHasFragmentFunction = function ( shaderBuilder, functionId, signature, - bodyLines + bodyLines, ) { expectHasLine(shaderBuilder._fragmentShaderParts.functionIds, functionId); const func = shaderBuilder._functions[functionId]; @@ -179,7 +179,7 @@ ShaderBuilderTester.expectHasFragmentFunctionUnordered = function ( shaderBuilder, functionId, signature, - bodyLines + bodyLines, ) { expectHasLine(shaderBuilder._fragmentShaderParts.functionIds, functionId); const func = shaderBuilder._functions[functionId]; @@ -190,7 +190,7 @@ ShaderBuilderTester.expectHasFragmentFunctionUnordered = function ( ShaderBuilderTester.expectVertexLinesContains = function ( shaderBuilder, - expectedString + expectedString, ) { let hasLine = false; const lines = shaderBuilder._vertexShaderParts.shaderLines; @@ -208,16 +208,16 @@ ShaderBuilderTester.expectVertexLinesContains = function ( ShaderBuilderTester.expectVertexLinesEqual = function ( shaderBuilder, - expectedVertexLines + expectedVertexLines, ) { expect(shaderBuilder._vertexShaderParts.shaderLines).toEqual( - expectedVertexLines + expectedVertexLines, ); }; ShaderBuilderTester.expectFragmentLinesContains = function ( shaderBuilder, - expectedString + expectedString, ) { const lines = shaderBuilder._fragmentShaderParts.shaderLines; for (let i = 0; i < lines; i++) { @@ -228,10 +228,10 @@ ShaderBuilderTester.expectFragmentLinesContains = function ( ShaderBuilderTester.expectFragmentLinesEqual = function ( shaderBuilder, - expectedFragmentLines + expectedFragmentLines, ) { expect(shaderBuilder._fragmentShaderParts.shaderLines).toEqual( - expectedFragmentLines + expectedFragmentLines, ); }; diff --git a/Specs/SpecRunner.html b/Specs/SpecRunner.html index 6ff81e56d106..919b169b3940 100644 --- a/Specs/SpecRunner.html +++ b/Specs/SpecRunner.html @@ -1,4 +1,4 @@ - + @@ -15,7 +15,7 @@ document.write(' diff --git a/Specs/TerrainTileProcessor.js b/Specs/TerrainTileProcessor.js index 7831cb00f5f9..35e2aac381aa 100644 --- a/Specs/TerrainTileProcessor.js +++ b/Specs/TerrainTileProcessor.js @@ -9,7 +9,7 @@ import { function TerrainTileProcessor( frameState, terrainProvider, - imageryLayerCollection + imageryLayerCollection, ) { this.frameState = frameState; this.terrainProvider = terrainProvider; @@ -70,7 +70,7 @@ TerrainTileProcessor.prototype.process = function (tiles, maxIterations) { tile, that.frameState, that.terrainProvider, - that.imageryLayerCollection + that.imageryLayerCollection, ); const afterState = getState(tile); changed = @@ -99,18 +99,17 @@ TerrainTileProcessor.prototype.mockWebGL = function () { "isDestroyed", ]); return vertexArray; - } + }, ); - spyOn(ImageryLayer.prototype, "_createTextureWebGL").and.callFake(function ( - context, - imagery - ) { - const texture = jasmine.createSpyObj("Texture", ["destroy"]); - texture.width = imagery.image.width; - texture.height = imagery.image.height; - return texture; - }); + spyOn(ImageryLayer.prototype, "_createTextureWebGL").and.callFake( + function (context, imagery) { + const texture = jasmine.createSpyObj("Texture", ["destroy"]); + texture.width = imagery.image.width; + texture.height = imagery.image.height; + return texture; + }, + ); spyOn(ImageryLayer.prototype, "_finalizeReprojectTexture"); diff --git a/Specs/TestWorkers/transferArrayBuffer.js b/Specs/TestWorkers/transferArrayBuffer.js index f79f22d0973c..5f16001f287e 100644 --- a/Specs/TestWorkers/transferArrayBuffer.js +++ b/Specs/TestWorkers/transferArrayBuffer.js @@ -1,10 +1,9 @@ import { createTaskProcessorWorker } from "@cesium/engine"; -export default createTaskProcessorWorker(function ( - parameters, - transferableObjects -) { - const arrayBuffer = new ArrayBuffer(parameters.byteLength); - transferableObjects.push(arrayBuffer); - return arrayBuffer; -}); +export default createTaskProcessorWorker( + function (parameters, transferableObjects) { + const arrayBuffer = new ArrayBuffer(parameters.byteLength); + transferableObjects.push(arrayBuffer); + return arrayBuffer; + }, +); diff --git a/Specs/addDefaultMatchers.js b/Specs/addDefaultMatchers.js index b35b1418e1e7..9ad56f65c30a 100644 --- a/Specs/addDefaultMatchers.js +++ b/Specs/addDefaultMatchers.js @@ -18,7 +18,7 @@ import equals from "./equals.js"; function createMissingFunctionMessageFunction( item, actualPrototype, - expectedInterfacePrototype + expectedInterfacePrototype, ) { return function () { return `Expected function '${item}' to exist on ${actualPrototype.constructor.name} because it should implement interface ${expectedInterfacePrototype.constructor.name}.`; @@ -274,7 +274,7 @@ function createDefaultMatchers(debug) { message: createMissingFunctionMessageFunction( item, actualPrototype, - expectedInterfacePrototype + expectedInterfacePrototype, ), }; } @@ -399,7 +399,7 @@ function createDefaultMatchers(debug) { compare: function (actual, expected, args) { const scene = actual; const result = scene.pickVoxel( - defaultValue(args, new Cartesian2(0, 0)) + defaultValue(args, new Cartesian2(0, 0)), ); const webglStub = !!window.webglStub; @@ -467,14 +467,14 @@ function createDefaultMatchers(debug) { ray, limit, objectsToExclude, - width + width, ) { const scene = actual; const results = scene.drillPickFromRay( ray, limit, objectsToExclude, - width + width, ); const webglStub = !!window.webglStub; @@ -499,7 +499,7 @@ function createDefaultMatchers(debug) { expected, position, objectsToExclude, - width + width, ) { const scene = actual; const results = scene.sampleHeight(position, objectsToExclude, width); @@ -526,13 +526,13 @@ function createDefaultMatchers(debug) { expected, cartesian, objectsToExclude, - width + width, ) { const scene = actual; const results = scene.clampToHeight( cartesian, objectsToExclude, - width + width, ); const webglStub = !!window.webglStub; @@ -708,7 +708,7 @@ function createDefaultMatchers(debug) { toThrowDeveloperError: makeThrowFunction( debug, DeveloperError, - "DeveloperError" + "DeveloperError", ), }; } @@ -718,7 +718,7 @@ function createDefaultAsyncMatchers(debug) { toBeRejectedWithDeveloperError: makeAsyncThrowFunction( debug, DeveloperError, - "DeveloperError" + "DeveloperError", ), }; } @@ -796,7 +796,7 @@ function renderEquals(util, actual, expected, expectEqual) { message = `Expected ${ expectEqual ? "" : "not " }to render [${typedArrayToArray( - expected + expected, )}], but actually rendered [${typedArrayToArray(actualRgba)}].`; } @@ -883,19 +883,19 @@ function contextRenderAndReadPixels(options) { if (!defined(fs) && !defined(sp)) { throw new DeveloperError( - "options.fragmentShader or options.shaderProgram is required." + "options.fragmentShader or options.shaderProgram is required.", ); } if (defined(fs) && defined(sp)) { throw new DeveloperError( - "Both options.fragmentShader and options.shaderProgram can not be used at the same time." + "Both options.fragmentShader and options.shaderProgram can not be used at the same time.", ); } if (defined(vs) && defined(sp)) { throw new DeveloperError( - "Both options.vertexShader and options.shaderProgram can not be used at the same time." + "Both options.vertexShader and options.shaderProgram can not be used at the same time.", ); } diff --git a/Specs/concatTypedArrays.js b/Specs/concatTypedArrays.js index 3ac362b5a2a2..d665a8f96624 100644 --- a/Specs/concatTypedArrays.js +++ b/Specs/concatTypedArrays.js @@ -14,7 +14,7 @@ function concatTypedArrays(arrays) { const data = new Uint8Array( array.buffer, array.byteOffset, - array.byteLength + array.byteLength, ); buffer.set(data, byteOffset); byteOffset += data.length; diff --git a/Specs/createDynamicGeometryUpdaterSpecs.js b/Specs/createDynamicGeometryUpdaterSpecs.js index b7567a7e434c..20d0b1737bab 100644 --- a/Specs/createDynamicGeometryUpdaterSpecs.js +++ b/Specs/createDynamicGeometryUpdaterSpecs.js @@ -14,7 +14,7 @@ function createDynamicGeometryUpdaterSpecs( Updater, geometryPropertyName, createDynamicEntity, - getScene + getScene, ) { const time = JulianDate.now(); @@ -36,7 +36,7 @@ function createDynamicGeometryUpdaterSpecs( const groundPrimitives = new PrimitiveCollection(); const dynamicUpdater = updater.createDynamicUpdater( primitives, - groundPrimitives + groundPrimitives, ); expect(primitives.length).toBe(0); expect(groundPrimitives.length).toBe(0); @@ -87,7 +87,7 @@ function createDynamicGeometryUpdaterSpecs( updater._onEntityPropertyChanged(entity, updater._geometryPropertyName); const dynamicUpdater = updater.createDynamicUpdater( scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); dynamicUpdater.update(time); @@ -105,7 +105,7 @@ function createDynamicGeometryUpdaterSpecs( const attributes = primitive.getGeometryInstanceAttributes(entity); expect(result).toEqualEpsilon( attributes.boundingSphere, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); updater.destroy(); @@ -124,7 +124,7 @@ function createDynamicGeometryUpdaterSpecs( const dynamicUpdater = updater.createDynamicUpdater( scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); dynamicUpdater.update(time); @@ -141,7 +141,7 @@ function createDynamicGeometryUpdaterSpecs( const attributes = primitive.getGeometryInstanceAttributes(entity); expect(result).toEqualEpsilon( attributes.boundingSphere, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); updater.destroy(); @@ -155,7 +155,7 @@ function createDynamicGeometryUpdaterSpecs( const updater = new Updater(entity, scene); const dynamicUpdater = updater.createDynamicUpdater( scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); expect(function () { diff --git a/Specs/createFrameState.js b/Specs/createFrameState.js index 8f4ba1c1f6b2..a7838a72bdcf 100644 --- a/Specs/createFrameState.js +++ b/Specs/createFrameState.js @@ -16,9 +16,9 @@ function createFrameState(context, camera, frameNumber, time) { new CreditDisplay( document.createElement("div"), undefined, - document.createElement("div") + document.createElement("div"), ), - new JobScheduler() + new JobScheduler(), ); const projection = new GeographicProjection(); @@ -26,7 +26,7 @@ function createFrameState(context, camera, frameNumber, time) { frameState.frameNumber = defaultValue(frameNumber, 1.0); frameState.time = defaultValue( time, - JulianDate.fromDate(new Date("January 1, 2011 12:00:00 EST")) + JulianDate.fromDate(new Date("January 1, 2011 12:00:00 EST")), ); camera = defaultValue( @@ -35,13 +35,13 @@ function createFrameState(context, camera, frameNumber, time) { drawingBufferWidth: 1, drawingBufferHeight: 1, mapProjection: projection, - }) + }), ); frameState.camera = camera; frameState.cullingVolume = camera.frustum.computeCullingVolume( camera.position, camera.direction, - camera.up + camera.up, ); frameState.verticalExaggeration = 1.0; diff --git a/Specs/createGeometryUpdaterGroundGeometrySpecs.js b/Specs/createGeometryUpdaterGroundGeometrySpecs.js index e91f6b743cc1..60df92fc25da 100644 --- a/Specs/createGeometryUpdaterGroundGeometrySpecs.js +++ b/Specs/createGeometryUpdaterGroundGeometrySpecs.js @@ -16,7 +16,7 @@ function createGeometryUpdaterGroundGeometrySpecs( geometryPropertyName, createEntity, createDynamicEntity, - getScene + getScene, ) { const time = JulianDate.now(); @@ -147,7 +147,7 @@ function createGeometryUpdaterGroundGeometrySpecs( graphics.heightReference = new ConstantProperty(HeightReference.NONE); graphics.extrudedHeightReference = new ConstantProperty( - HeightReference.NONE + HeightReference.NONE, ); updater._onEntityPropertyChanged(entity, geometryPropertyName); instance = updater.createFillGeometryInstance(time); @@ -157,7 +157,7 @@ function createGeometryUpdaterGroundGeometrySpecs( graphics.heightReference = new ConstantProperty(HeightReference.NONE); graphics.extrudedHeightReference = new ConstantProperty( - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); updater._onEntityPropertyChanged(entity, geometryPropertyName); instance = updater.createFillGeometryInstance(time); @@ -167,112 +167,112 @@ function createGeometryUpdaterGroundGeometrySpecs( graphics.heightReference = new ConstantProperty(HeightReference.NONE); graphics.extrudedHeightReference = new ConstantProperty( - HeightReference.RELATIVE_TO_GROUND + HeightReference.RELATIVE_TO_GROUND, ); updater._onEntityPropertyChanged(entity, geometryPropertyName); instance = updater.createFillGeometryInstance(time); expect(instance.geometry._offsetAttribute).toEqual( - GeometryOffsetAttribute.TOP + GeometryOffsetAttribute.TOP, ); instance = updater.createOutlineGeometryInstance(time); expect(instance.geometry._offsetAttribute).toEqual( - GeometryOffsetAttribute.TOP + GeometryOffsetAttribute.TOP, ); graphics.heightReference = new ConstantProperty( - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); graphics.extrudedHeightReference = new ConstantProperty( - HeightReference.NONE + HeightReference.NONE, ); updater._onEntityPropertyChanged(entity, geometryPropertyName); instance = updater.createFillGeometryInstance(time); expect(instance.geometry._offsetAttribute).toEqual( - GeometryOffsetAttribute.TOP + GeometryOffsetAttribute.TOP, ); instance = updater.createOutlineGeometryInstance(time); expect(instance.geometry._offsetAttribute).toEqual( - GeometryOffsetAttribute.TOP + GeometryOffsetAttribute.TOP, ); graphics.heightReference = new ConstantProperty( - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); graphics.extrudedHeightReference = new ConstantProperty( - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); updater._onEntityPropertyChanged(entity, geometryPropertyName); instance = updater.createFillGeometryInstance(time); expect(instance.geometry._offsetAttribute).toEqual( - GeometryOffsetAttribute.TOP + GeometryOffsetAttribute.TOP, ); instance = updater.createOutlineGeometryInstance(time); expect(instance.geometry._offsetAttribute).toEqual( - GeometryOffsetAttribute.TOP + GeometryOffsetAttribute.TOP, ); graphics.heightReference = new ConstantProperty( - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); graphics.extrudedHeightReference = new ConstantProperty( - HeightReference.RELATIVE_TO_GROUND + HeightReference.RELATIVE_TO_GROUND, ); updater._onEntityPropertyChanged(entity, geometryPropertyName); instance = updater.createFillGeometryInstance(time); expect(instance.geometry._offsetAttribute).toEqual( - GeometryOffsetAttribute.ALL + GeometryOffsetAttribute.ALL, ); instance = updater.createOutlineGeometryInstance(time); expect(instance.geometry._offsetAttribute).toEqual( - GeometryOffsetAttribute.ALL + GeometryOffsetAttribute.ALL, ); graphics.heightReference = new ConstantProperty( - HeightReference.RELATIVE_TO_GROUND + HeightReference.RELATIVE_TO_GROUND, ); graphics.extrudedHeightReference = new ConstantProperty( - HeightReference.NONE + HeightReference.NONE, ); updater._onEntityPropertyChanged(entity, geometryPropertyName); instance = updater.createFillGeometryInstance(time); expect(instance.geometry._offsetAttribute).toEqual( - GeometryOffsetAttribute.TOP + GeometryOffsetAttribute.TOP, ); instance = updater.createOutlineGeometryInstance(time); expect(instance.geometry._offsetAttribute).toEqual( - GeometryOffsetAttribute.TOP + GeometryOffsetAttribute.TOP, ); graphics.heightReference = new ConstantProperty( - HeightReference.RELATIVE_TO_GROUND + HeightReference.RELATIVE_TO_GROUND, ); graphics.extrudedHeightReference = new ConstantProperty( - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); updater._onEntityPropertyChanged(entity, geometryPropertyName); instance = updater.createFillGeometryInstance(time); expect(instance.geometry._offsetAttribute).toEqual( - GeometryOffsetAttribute.TOP + GeometryOffsetAttribute.TOP, ); instance = updater.createOutlineGeometryInstance(time); expect(instance.geometry._offsetAttribute).toEqual( - GeometryOffsetAttribute.TOP + GeometryOffsetAttribute.TOP, ); graphics.heightReference = new ConstantProperty( - HeightReference.RELATIVE_TO_GROUND + HeightReference.RELATIVE_TO_GROUND, ); graphics.extrudedHeightReference = new ConstantProperty( - HeightReference.RELATIVE_TO_GROUND + HeightReference.RELATIVE_TO_GROUND, ); updater._onEntityPropertyChanged(entity, geometryPropertyName); instance = updater.createFillGeometryInstance(time); expect(instance.geometry._offsetAttribute).toEqual( - GeometryOffsetAttribute.ALL + GeometryOffsetAttribute.ALL, ); instance = updater.createOutlineGeometryInstance(time); expect(instance.geometry._offsetAttribute).toEqual( - GeometryOffsetAttribute.ALL + GeometryOffsetAttribute.ALL, ); graphics.height = undefined; @@ -303,7 +303,7 @@ function createGeometryUpdaterGroundGeometrySpecs( const groundPrimitives = new PrimitiveCollection(); const dynamicUpdater = updater.createDynamicUpdater( primitives, - groundPrimitives + groundPrimitives, ); expect(dynamicUpdater.isDestroyed()).toBe(false); expect(primitives.length).toBe(0); @@ -332,14 +332,14 @@ function createGeometryUpdaterGroundGeometrySpecs( const groundPrimitives = new PrimitiveCollection(); const dynamicUpdater = updater.createDynamicUpdater( primitives, - groundPrimitives + groundPrimitives, ); dynamicUpdater.update(time); if (GroundPrimitive.isSupported(getScene())) { expect(groundPrimitives.get(0).classificationType).toEqual( - ClassificationType.BOTH + ClassificationType.BOTH, ); } diff --git a/Specs/createGeometryUpdaterSpecs.js b/Specs/createGeometryUpdaterSpecs.js index bfd85501ee98..52fe5cb28f2c 100644 --- a/Specs/createGeometryUpdaterSpecs.js +++ b/Specs/createGeometryUpdaterSpecs.js @@ -20,7 +20,7 @@ function createGeometryUpdaterSpecs( Updater, geometryPropertyName, createEntity, - getScene + getScene, ) { const time = JulianDate.now(); @@ -40,7 +40,7 @@ function createGeometryUpdaterSpecs( expect(updater.outlineWidth).toBe(1.0); expect(updater.shadowsProperty.getValue()).toBe(ShadowMode.DISABLED); expect(updater.distanceDisplayConditionProperty.getValue()).toEqual( - new DistanceDisplayCondition() + new DistanceDisplayCondition(), ); expect(updater.isDynamic).toBe(false); expect(updater.onTerrain).toBe(false); @@ -77,7 +77,7 @@ function createGeometryUpdaterSpecs( expect(updater.isClosed).toBe(updater._getIsClosed(updater._options)); expect(updater.fillEnabled).toBe(true); expect(updater.fillMaterialProperty).toEqual( - new ColorMaterialProperty(Color.WHITE) + new ColorMaterialProperty(Color.WHITE), ); expect(updater.outlineEnabled).toBe(false); expect(updater.hasConstantFill).toBe(true); @@ -85,10 +85,10 @@ function createGeometryUpdaterSpecs( expect(updater.outlineColorProperty).toBe(undefined); expect(updater.outlineWidth).toBe(1.0); expect(updater.shadowsProperty).toEqual( - new ConstantProperty(ShadowMode.DISABLED) + new ConstantProperty(ShadowMode.DISABLED), ); expect(updater.distanceDisplayConditionProperty).toEqual( - new ConstantProperty(new DistanceDisplayCondition()) + new ConstantProperty(new DistanceDisplayCondition()), ); expect(updater.isDynamic).toBe(false); }); @@ -96,12 +96,12 @@ function createGeometryUpdaterSpecs( it("material is correctly exposed.", function () { const entity = createEntity(); entity[geometryPropertyName].material = new GridMaterialProperty( - Color.BLUE + Color.BLUE, ); const updater = new Updater(entity, getScene()); expect(updater.fillMaterialProperty).toBe( - entity[geometryPropertyName].material + entity[geometryPropertyName].material, ); }); @@ -133,7 +133,7 @@ function createGeometryUpdaterSpecs( start: time1, stop: time2, data: false, - }) + }), ); fill.intervals.addInterval( new TimeInterval({ @@ -141,7 +141,7 @@ function createGeometryUpdaterSpecs( stop: time3, isStartIncluded: false, data: true, - }) + }), ); const colorMaterial = new ColorMaterialProperty(); @@ -156,7 +156,7 @@ function createGeometryUpdaterSpecs( start: time1, stop: time2, data: false, - }) + }), ); outline.intervals.addInterval( new TimeInterval({ @@ -164,7 +164,7 @@ function createGeometryUpdaterSpecs( stop: time3, isStartIncluded: false, data: true, - }) + }), ); const outlineColor = new SampledProperty(Color); @@ -184,20 +184,20 @@ function createGeometryUpdaterSpecs( let attributes = instance.attributes; expect(attributes.color.value).toEqual( ColorGeometryInstanceAttribute.toValue( - colorMaterial.color.getValue(time2) - ) + colorMaterial.color.getValue(time2), + ), ); expect(attributes.show.value).toEqual( - ShowGeometryInstanceAttribute.toValue(fill.getValue(time2)) + ShowGeometryInstanceAttribute.toValue(fill.getValue(time2)), ); instance = updater.createOutlineGeometryInstance(time2); attributes = instance.attributes; expect(attributes.color.value).toEqual( - ColorGeometryInstanceAttribute.toValue(outlineColor.getValue(time2)) + ColorGeometryInstanceAttribute.toValue(outlineColor.getValue(time2)), ); expect(attributes.show.value).toEqual( - ShowGeometryInstanceAttribute.toValue(outline.getValue(time2)) + ShowGeometryInstanceAttribute.toValue(outline.getValue(time2)), ); }); @@ -209,7 +209,7 @@ function createGeometryUpdaterSpecs( const instance = updater.createFillGeometryInstance(new JulianDate()); const attributes = instance.attributes; expect(attributes.show.value).toEqual( - ShowGeometryInstanceAttribute.toValue(false) + ShowGeometryInstanceAttribute.toValue(false), ); }); @@ -221,7 +221,7 @@ function createGeometryUpdaterSpecs( const instance = updater.createFillGeometryInstance(new JulianDate()); const attributes = instance.attributes; expect(attributes.show.value).toEqual( - ShowGeometryInstanceAttribute.toValue(false) + ShowGeometryInstanceAttribute.toValue(false), ); }); @@ -288,36 +288,36 @@ function createGeometryUpdaterSpecs( if (options.material instanceof ColorMaterialProperty) { expect(attributes.color.value).toEqual( ColorGeometryInstanceAttribute.toValue( - options.material.color.getValue(time) - ) + options.material.color.getValue(time), + ), ); } else { expect(attributes.color).toBeUndefined(); } expect(attributes.show.value).toEqual( - ShowGeometryInstanceAttribute.toValue(true) + ShowGeometryInstanceAttribute.toValue(true), ); if (options.distanceDisplayCondition) { expect(attributes.distanceDisplayCondition.value).toEqual( DistanceDisplayConditionGeometryInstanceAttribute.toValue( - options.distanceDisplayCondition - ) + options.distanceDisplayCondition, + ), ); } instance = updater.createOutlineGeometryInstance(time); attributes = instance.attributes; expect(attributes.color.value).toEqual( - ColorGeometryInstanceAttribute.toValue(options.outlineColor) + ColorGeometryInstanceAttribute.toValue(options.outlineColor), ); expect(attributes.show.value).toEqual( - ShowGeometryInstanceAttribute.toValue(true) + ShowGeometryInstanceAttribute.toValue(true), ); if (options.distanceDisplayCondition) { expect(attributes.distanceDisplayCondition.value).toEqual( DistanceDisplayConditionGeometryInstanceAttribute.toValue( - options.distanceDisplayCondition - ) + options.distanceDisplayCondition, + ), ); } } @@ -355,7 +355,7 @@ function createGeometryUpdaterSpecs( start: time1, stop: time2, data: false, - }) + }), ); fill.intervals.addInterval( new TimeInterval({ @@ -363,7 +363,7 @@ function createGeometryUpdaterSpecs( stop: time3, isStartIncluded: false, data: true, - }) + }), ); const colorMaterial = new ColorMaterialProperty(); @@ -378,7 +378,7 @@ function createGeometryUpdaterSpecs( start: time1, stop: time2, data: false, - }) + }), ); outline.intervals.addInterval( new TimeInterval({ @@ -386,7 +386,7 @@ function createGeometryUpdaterSpecs( stop: time3, isStartIncluded: false, data: true, - }) + }), ); const outlineColor = new SampledProperty(Color); @@ -407,20 +407,20 @@ function createGeometryUpdaterSpecs( let attributes = instance.attributes; expect(attributes.color.value).toEqual( ColorGeometryInstanceAttribute.toValue( - colorMaterial.color.getValue(time2) - ) + colorMaterial.color.getValue(time2), + ), ); expect(attributes.show.value).toEqual( - ShowGeometryInstanceAttribute.toValue(fill.getValue(time2)) + ShowGeometryInstanceAttribute.toValue(fill.getValue(time2)), ); instance = updater.createOutlineGeometryInstance(time2); attributes = instance.attributes; expect(attributes.color.value).toEqual( - ColorGeometryInstanceAttribute.toValue(outlineColor.getValue(time2)) + ColorGeometryInstanceAttribute.toValue(outlineColor.getValue(time2)), ); expect(attributes.show.value).toEqual( - ShowGeometryInstanceAttribute.toValue(outline.getValue(time2)) + ShowGeometryInstanceAttribute.toValue(outline.getValue(time2)), ); }); @@ -439,7 +439,7 @@ function createGeometryUpdaterSpecs( start: time1, stop: time2, data: Color.BLUE, - }) + }), ); color.intervals.addInterval( new TimeInterval({ @@ -447,7 +447,7 @@ function createGeometryUpdaterSpecs( stop: time4, isStartIncluded: false, data: Color.YELLOW, - }) + }), ); colorMaterial.color = color; @@ -457,7 +457,7 @@ function createGeometryUpdaterSpecs( start: time1, stop: time2, data: Color.RED, - }) + }), ); outlineColor.intervals.addInterval( new TimeInterval({ @@ -465,7 +465,7 @@ function createGeometryUpdaterSpecs( stop: time4, isStartIncluded: false, data: Color.GREEN, - }) + }), ); const entity = createEntity(); @@ -480,13 +480,13 @@ function createGeometryUpdaterSpecs( let instance = updater.createFillGeometryInstance(missingTime); let attributes = instance.attributes; expect(attributes.color.value).toEqual( - ColorGeometryInstanceAttribute.toValue(Color.WHITE) + ColorGeometryInstanceAttribute.toValue(Color.WHITE), ); instance = updater.createOutlineGeometryInstance(missingTime); attributes = instance.attributes; expect(attributes.color.value).toEqual( - ColorGeometryInstanceAttribute.toValue(Color.BLACK) + ColorGeometryInstanceAttribute.toValue(Color.BLACK), ); }); } diff --git a/Specs/createPackableArraySpecs.js b/Specs/createPackableArraySpecs.js index 8d77067e94c2..4d5fc9f41696 100644 --- a/Specs/createPackableArraySpecs.js +++ b/Specs/createPackableArraySpecs.js @@ -5,7 +5,7 @@ function createPackableArraySpecs( unpackedArray, packedArray, stride, - namePrefix + namePrefix, ) { namePrefix = defaultValue(namePrefix, ""); diff --git a/Specs/createPackableSpecs.js b/Specs/createPackableSpecs.js index bd1ee9e98a14..b2678604b830 100644 --- a/Specs/createPackableSpecs.js +++ b/Specs/createPackableSpecs.js @@ -65,13 +65,13 @@ function createPackableSpecs(packable, instance, packedInstance, namePrefix) { packedInstance, 0, 0, - packedForInterpolation + packedForInterpolation, ); const value = packable.unpackInterpolationResult( packedForInterpolation, packedInstance, 0, - 0 + 0, ); const result = packable.unpack(packedInstance); expect(value).toEqual(result); diff --git a/Specs/createScene.js b/Specs/createScene.js index 619ec4c6f4a8..a2cee81a68b5 100644 --- a/Specs/createScene.js +++ b/Specs/createScene.js @@ -18,7 +18,7 @@ function createScene(options) { const debugWidth = window.debugCanvasWidth; const debugHeight = defaultValue( window.debugCanvasHeight, - window.debugCanvasWidth + window.debugCanvasWidth, ); // save the canvas so we don't try to clone an HTMLCanvasElement @@ -36,11 +36,11 @@ function createScene(options) { contextOptions.webgl = defaultValue(contextOptions.webgl, {}); contextOptions.webgl.antialias = defaultValue( contextOptions.webgl.antialias, - false + false, ); contextOptions.webgl.stencil = defaultValue( contextOptions.webgl.stencil, - true + true, ); if (!!window.webglStub) { contextOptions.getWebGLStub = getWebGLStub; diff --git a/Specs/customizeJasmine.js b/Specs/customizeJasmine.js index c85af29111e9..96a2834e80ce 100644 --- a/Specs/customizeJasmine.js +++ b/Specs/customizeJasmine.js @@ -9,7 +9,7 @@ function customizeJasmine( webglStub, release, debugCanvasWidth, - debugCanvasHeight + debugCanvasHeight, ) { // set this for uniform test resolution across devices window.devicePixelRatio = 1; diff --git a/Specs/e2e/cesium.html b/Specs/e2e/cesium.html index 1a9da835b430..c2b4766c600b 100644 --- a/Specs/e2e/cesium.html +++ b/Specs/e2e/cesium.html @@ -1,4 +1,4 @@ -ο»Ώ +ο»Ώ diff --git a/Specs/e2e/models.spec.js b/Specs/e2e/models.spec.js index d2427793d9ce..dde250550555 100644 --- a/Specs/e2e/models.spec.js +++ b/Specs/e2e/models.spec.js @@ -11,7 +11,7 @@ test("loads animated model", async ({ cesiumPage }) => { const position = Cesium.Cartesian3.fromDegrees( -123.0744619, 44.0503706, - 150.0 + 150.0, ); const heading = Cesium.Math.toRadians(135); const pitch = 0; @@ -19,7 +19,7 @@ test("loads animated model", async ({ cesiumPage }) => { const hpr = new Cesium.HeadingPitchRoll(heading, pitch, roll); const orientation = Cesium.Transforms.headingPitchRollQuaternion( position, - hpr + hpr, ); const entity = viewer.entities.add({ @@ -55,7 +55,7 @@ test("loads draco model", async ({ cesiumPage }) => { const position = Cesium.Cartesian3.fromDegrees( -123.0744619, 44.0503706, - 0.0 + 0.0, ); const heading = Cesium.Math.toRadians(135); const pitch = 0; @@ -63,15 +63,14 @@ test("loads draco model", async ({ cesiumPage }) => { const hpr = new Cesium.HeadingPitchRoll(heading, pitch, roll); const orientation = Cesium.Transforms.headingPitchRollQuaternion( position, - hpr + hpr, ); const entity = viewer.entities.add({ position: position, orientation: orientation, model: { - uri: - "../../Apps/SampleData/models/DracoCompressed/CesiumMilkTruck.gltf", + uri: "../../Apps/SampleData/models/DracoCompressed/CesiumMilkTruck.gltf", minimumPixelSize: 128, maximumScale: 20000, }, @@ -93,7 +92,7 @@ test("loads model with KTX2 textures", async ({ cesiumPage }) => { const position = Cesium.Cartesian3.fromDegrees( -123.0744619, 44.0503706, - 1000.0 + 1000.0, ); const heading = Cesium.Math.toRadians(135); const pitch = 0; @@ -101,15 +100,14 @@ test("loads model with KTX2 textures", async ({ cesiumPage }) => { const hpr = new Cesium.HeadingPitchRoll(heading, pitch, roll); const orientation = Cesium.Transforms.headingPitchRollQuaternion( position, - hpr + hpr, ); const entity = viewer.entities.add({ position: position, orientation: orientation, model: { - uri: - "../../Apps/SampleData/models/CesiumBalloonKTX2/CesiumBalloonKTX2.glb", + uri: "../../Apps/SampleData/models/CesiumBalloonKTX2/CesiumBalloonKTX2.glb", minimumPixelSize: 128, maximumScale: 20000, }, diff --git a/Specs/e2e/picking.spec.js b/Specs/e2e/picking.spec.js index cf8a3db4d486..6fa0ade7b383 100644 --- a/Specs/e2e/picking.spec.js +++ b/Specs/e2e/picking.spec.js @@ -26,15 +26,15 @@ test("Shows cartographic position on mouse over", async ({ cesiumPage }) => { handler.setInputAction(function (movement) { const cartesian = viewer.camera.pickEllipsoid( movement.endPosition, - scene.globe.ellipsoid + scene.globe.ellipsoid, ); if (cartesian) { const cartographic = Cesium.Cartographic.fromCartesian(cartesian); const longitudeString = Cesium.Math.toDegrees( - cartographic.longitude + cartographic.longitude, ).toFixed(2); const latitudeString = Cesium.Math.toDegrees( - cartographic.latitude + cartographic.latitude, ).toFixed(2); entity.position = cartesian; diff --git a/Specs/getWebGLStub.js b/Specs/getWebGLStub.js index 6d355a5c229c..6a7e8d4d8a3e 100644 --- a/Specs/getWebGLStub.js +++ b/Specs/getWebGLStub.js @@ -173,7 +173,7 @@ function getStub() { function getStubWarning() { //>>includeStart('debug', pragmas.debug); throw new DeveloperError( - "A stub for this get/is function is not defined. Can it use getStub() or does it need a new one?" + "A stub for this get/is function is not defined. Can it use getStub() or does it need a new one?", ); //>>includeEnd('debug'); } @@ -193,7 +193,7 @@ function getContextAttributesStub(options) { powerPreference: defaultValue(options.powerPreference, false), failIfMajorPerformanceCaveat: defaultValue( options.failIfMajorPerformanceCaveat, - false + false, ), }; @@ -249,7 +249,7 @@ function getParameterStub(options) { //>>includeStart('debug', pragmas.debug); if (!defined(value)) { throw new DeveloperError( - `A WebGL parameter stub for ${pname} is not defined. Add it.` + `A WebGL parameter stub for ${pname} is not defined. Add it.`, ); } //>>includeEnd('debug'); @@ -275,7 +275,7 @@ function getProgramParameterStub(program, pname) { //>>includeStart('debug', pragmas.debug); throw new DeveloperError( - `A WebGL parameter stub for ${pname} is not defined. Add it.` + `A WebGL parameter stub for ${pname} is not defined. Add it.`, ); //>>includeEnd('debug'); } @@ -284,7 +284,7 @@ function getShaderParameterStub(shader, pname) { //>>includeStart('debug', pragmas.debug); if (pname !== WebGLConstants.COMPILE_STATUS) { throw new DeveloperError( - `A WebGL parameter stub for ${pname} is not defined. Add it.` + `A WebGL parameter stub for ${pname} is not defined. Add it.`, ); } //>>includeEnd('debug'); @@ -296,7 +296,7 @@ function getShaderPrecisionStub(shadertype, precisiontype) { //>>includeStart('debug', pragmas.debug); if (shadertype !== WebGLConstants.FRAGMENT_SHADER) { throw new DeveloperError( - "getShaderPrecision only has a stub for FRAGMENT_SHADER. Update it." + "getShaderPrecision only has a stub for FRAGMENT_SHADER. Update it.", ); } @@ -305,7 +305,7 @@ function getShaderPrecisionStub(shadertype, precisiontype) { precisiontype !== WebGLConstants.HIGH_INT ) { throw new DeveloperError( - "getShaderPrecision only has a stub for HIGH_FLOAT and HIGH_INT. Update it." + "getShaderPrecision only has a stub for HIGH_FLOAT and HIGH_INT. Update it.", ); } //>>includeEnd('debug'); diff --git a/Specs/karma-main.js b/Specs/karma-main.js index e0f9aac10319..70163a76c391 100644 --- a/Specs/karma-main.js +++ b/Specs/karma-main.js @@ -34,5 +34,5 @@ customizeJasmine( webglStub, release, debugCanvasWidth, - debugCanvasHeight + debugCanvasHeight, ); diff --git a/Specs/pick.js b/Specs/pick.js index 0745c53a34f9..ca5e29874caa 100644 --- a/Specs/pick.js +++ b/Specs/pick.js @@ -31,9 +31,9 @@ function pick(frameState, primitives, x, y) { new CreditDisplay( document.createElement("div"), undefined, - document.createElement("div") + document.createElement("div"), ), - new JobScheduler() + new JobScheduler(), ).passes; frameState.passes.pick = true; diff --git a/Specs/pollToPromise.js b/Specs/pollToPromise.js index 0e6c3eead363..37feaf8375ad 100644 --- a/Specs/pollToPromise.js +++ b/Specs/pollToPromise.js @@ -23,7 +23,7 @@ function pollToPromise(f, options) { resolve(); } else if (getTimestamp() > endTimestamp) { reject( - new Error(`Timeout - function did not complete within ${timeout}ms`) + new Error(`Timeout - function did not complete within ${timeout}ms`), ); } else { setTimeout(poller, pollInterval); diff --git a/Specs/spec-main.js b/Specs/spec-main.js index 45ba3a2e4af5..b08c0ce1dad9 100644 --- a/Specs/spec-main.js +++ b/Specs/spec-main.js @@ -67,5 +67,5 @@ customizeJasmine( webglStub, release, debugCanvasWidth, - debugCanvasHeight + debugCanvasHeight, ); diff --git a/Specs/testDefinitionChanged.js b/Specs/testDefinitionChanged.js index 37d696d730fc..a29e68154f61 100644 --- a/Specs/testDefinitionChanged.js +++ b/Specs/testDefinitionChanged.js @@ -10,7 +10,7 @@ function testDefinitionChanged(property, name, value1, value2) { property, name, property[name], - oldValue + oldValue, ); listener.calls.reset(); @@ -19,7 +19,7 @@ function testDefinitionChanged(property, name, value1, value2) { property, name, property[name], - property[name] + property[name], ); listener.calls.reset(); diff --git a/Specs/testMaterialDefinitionChanged.js b/Specs/testMaterialDefinitionChanged.js index c9d4219ba917..479d2ab6acbc 100644 --- a/Specs/testMaterialDefinitionChanged.js +++ b/Specs/testMaterialDefinitionChanged.js @@ -10,7 +10,7 @@ function testMaterialDefinitionChanged(property, name, value1, value2) { property, name, property[name], - oldValue + oldValue, ); listener.calls.reset(); @@ -19,7 +19,7 @@ function testMaterialDefinitionChanged(property, name, value1, value2) { property, name, property[name], - property[name] + property[name], ); listener.calls.reset(); diff --git a/Tools/jsdoc/cesium_template/publish.js b/Tools/jsdoc/cesium_template/publish.js index 101e1d3bf314..403da932e31f 100644 --- a/Tools/jsdoc/cesium_template/publish.js +++ b/Tools/jsdoc/cesium_template/publish.js @@ -304,7 +304,7 @@ exports.publish = function (taffyData, opts, tutorials) { if ( example.match( - /^\s*([\s\S]+?)<\/caption>(\s*[\n\r])([\s\S]+)$/i + /^\s*([\s\S]+?)<\/caption>(\s*[\n\r])([\s\S]+)$/i, ) ) { caption = RegExp.$1; @@ -373,7 +373,7 @@ exports.publish = function (taffyData, opts, tutorials) { .replace("{filename}", docletPath); if (process.env.CESIUM_PACKAGES) { doclet.meta.package = process.env.CESIUM_PACKAGES.split(",").find( - (package) => doclet.meta.sourceUrl.indexOf(package) > -1 + (package) => doclet.meta.sourceUrl.indexOf(package) > -1, ); } } @@ -425,7 +425,7 @@ exports.publish = function (taffyData, opts, tutorials) { view.nav = buildNav(members); attachModuleSymbols( find({ kind: ["class", "function"], longname: { left: "module:" } }), - members.modules + members.modules, ); if (members.globals.length) { @@ -449,7 +449,7 @@ exports.publish = function (taffyData, opts, tutorials) { }, ]) .concat(files), - indexUrl + indexUrl, ); view.layout = origLayout; diff --git a/Tools/jsdoc/cesium_template/static/javascript/cesiumDoc.js b/Tools/jsdoc/cesium_template/static/javascript/cesiumDoc.js index ecff98ccacbf..d1f22148f43d 100644 --- a/Tools/jsdoc/cesium_template/static/javascript/cesiumDoc.js +++ b/Tools/jsdoc/cesium_template/static/javascript/cesiumDoc.js @@ -22,7 +22,7 @@ function getQueryParameter(name) { var match = new RegExp("[?&]" + name + "=([^&]*)").exec( - window.location.search + window.location.search, ); return match && decodeURIComponent(match[1].replace(/\+/g, " ")); } diff --git a/Tools/jsdoc/cesium_template/static/javascript/html5.js b/Tools/jsdoc/cesium_template/static/javascript/html5.js index 76d07505ed90..922207c35835 100644 --- a/Tools/jsdoc/cesium_template/static/javascript/html5.js +++ b/Tools/jsdoc/cesium_template/static/javascript/html5.js @@ -18,8 +18,8 @@ b = c.cache[a] ? c.cache[a].cloneNode() : r.test(a) - ? (c.cache[a] = c.createElem(a)).cloneNode() - : c.createElem(a); + ? (c.cache[a] = c.createElem(a)).cloneNode() + : c.createElem(a); return b.canHaveChildren && !s.test(a) ? c.frag.appendChild(b) : b; } function t(a, b) { @@ -41,7 +41,7 @@ b.frag.createElement(a); return 'c("' + a + '")'; }) + - ");return n}" + ");return n}", )(e, b.frag); } function q(a) { @@ -62,7 +62,8 @@ } var k = l.html5 || {}, s = /^<|^(?:button|map|select|textarea|object|iframe|option|optgroup)$/i, - r = /^(?:a|b|code|div|fieldset|h1|h2|h3|h4|h5|h6|i|label|li|ol|p|q|span|strong|style|table|tbody|td|th|tr|ul)$/i, + r = + /^(?:a|b|code|div|fieldset|h1|h2|h3|h4|h5|h6|i|label|li|ol|p|q|span|strong|style|table|tbody|td|th|tr|ul)$/i, j, o = "_html5shiv", h = 0, diff --git a/Tools/jsdoc/cesium_template/static/styles/jsdoc-default.css b/Tools/jsdoc/cesium_template/static/styles/jsdoc-default.css index 37265871bd53..d96290826e09 100644 --- a/Tools/jsdoc/cesium_template/static/styles/jsdoc-default.css +++ b/Tools/jsdoc/cesium_template/static/styles/jsdoc-default.css @@ -2,7 +2,9 @@ font-family: "Source Sans Pro"; font-style: normal; font-weight: 400; - src: local("Source Sans Pro"), local("SourceSansPro-Regular"), + src: + local("Source Sans Pro"), + local("SourceSansPro-Regular"), url(../fonts/SourceSansPro.woff) format("woff"); } diff --git a/index.html b/index.html index 7ffefd7ff360..37c59ab3a7f4 100644 --- a/index.html +++ b/index.html @@ -1,4 +1,4 @@ - + Cesium diff --git a/index.release.html b/index.release.html index 249062aaaa91..0cf60e9f9de2 100644 --- a/index.release.html +++ b/index.release.html @@ -1,4 +1,4 @@ - + Cesium @@ -163,7 +163,7 @@

External links

document.body.innerHTML = ""; document.write("

This file must be hosted in a web server.
"); document.write( - 'Need help? Ask on our Community Forum.

' + 'Need help? Ask on our Community Forum.

', ); } diff --git a/packages/engine/Source/Core/ApproximateTerrainHeights.js b/packages/engine/Source/Core/ApproximateTerrainHeights.js index 35d982aa667d..c8dc1230cdc3 100644 --- a/packages/engine/Source/Core/ApproximateTerrainHeights.js +++ b/packages/engine/Source/Core/ApproximateTerrainHeights.js @@ -44,7 +44,7 @@ ApproximateTerrainHeights.initialize = function () { return initPromise; } initPromise = Resource.fetchJson( - buildModuleUrl("Assets/approximateTerrainHeights.json") + buildModuleUrl("Assets/approximateTerrainHeights.json"), ).then(function (json) { ApproximateTerrainHeights._terrainHeights = json; }); @@ -61,13 +61,13 @@ ApproximateTerrainHeights.initialize = function () { */ ApproximateTerrainHeights.getMinimumMaximumHeights = function ( rectangle, - ellipsoid + ellipsoid, ) { //>>includeStart('debug', pragmas.debug); Check.defined("rectangle", rectangle); if (!defined(ApproximateTerrainHeights._terrainHeights)) { throw new DeveloperError( - "You must call ApproximateTerrainHeights.initialize and wait for the promise to resolve before using this function" + "You must call ApproximateTerrainHeights.initialize and wait for the promise to resolve before using this function", ); } //>>includeEnd('debug'); @@ -89,26 +89,26 @@ ApproximateTerrainHeights.getMinimumMaximumHeights = function ( // Compute min by taking the center of the NE->SW diagonal and finding distance to the surface ellipsoid.cartographicToCartesian( Rectangle.northeast(rectangle, scratchDiagonalCartographic), - scratchDiagonalCartesianNE + scratchDiagonalCartesianNE, ); ellipsoid.cartographicToCartesian( Rectangle.southwest(rectangle, scratchDiagonalCartographic), - scratchDiagonalCartesianSW + scratchDiagonalCartesianSW, ); Cartesian3.midpoint( scratchDiagonalCartesianSW, scratchDiagonalCartesianNE, - scratchCenterCartesian + scratchCenterCartesian, ); const surfacePosition = ellipsoid.scaleToGeodeticSurface( scratchCenterCartesian, - scratchSurfaceCartesian + scratchSurfaceCartesian, ); if (defined(surfacePosition)) { const distance = Cartesian3.distance( scratchCenterCartesian, - surfacePosition + surfacePosition, ); minTerrainHeight = Math.min(minTerrainHeight, -distance); } else { @@ -118,7 +118,7 @@ ApproximateTerrainHeights.getMinimumMaximumHeights = function ( minTerrainHeight = Math.max( ApproximateTerrainHeights._defaultMinTerrainHeight, - minTerrainHeight + minTerrainHeight, ); return { @@ -138,7 +138,7 @@ ApproximateTerrainHeights.getBoundingSphere = function (rectangle, ellipsoid) { Check.defined("rectangle", rectangle); if (!defined(ApproximateTerrainHeights._terrainHeights)) { throw new DeveloperError( - "You must call ApproximateTerrainHeights.initialize and wait for the promise to resolve before using this function" + "You must call ApproximateTerrainHeights.initialize and wait for the promise to resolve before using this function", ); } //>>includeEnd('debug'); @@ -161,7 +161,7 @@ ApproximateTerrainHeights.getBoundingSphere = function (rectangle, ellipsoid) { rectangle, ellipsoid, maxTerrainHeight, - scratchBoundingSphere + scratchBoundingSphere, ); return BoundingSphere.union(result, scratchBoundingSphere, result); @@ -172,25 +172,25 @@ function getTileXYLevel(rectangle) { rectangle.east, rectangle.north, 0.0, - scratchCorners[0] + scratchCorners[0], ); Cartographic.fromRadians( rectangle.west, rectangle.north, 0.0, - scratchCorners[1] + scratchCorners[1], ); Cartographic.fromRadians( rectangle.east, rectangle.south, 0.0, - scratchCorners[2] + scratchCorners[2], ); Cartographic.fromRadians( rectangle.west, rectangle.south, 0.0, - scratchCorners[3] + scratchCorners[3], ); // Determine which tile the bounding rectangle is in diff --git a/packages/engine/Source/Core/ArcGISTiledElevationTerrainProvider.js b/packages/engine/Source/Core/ArcGISTiledElevationTerrainProvider.js index bc796406b8c0..41154ff6aa04 100644 --- a/packages/engine/Source/Core/ArcGISTiledElevationTerrainProvider.js +++ b/packages/engine/Source/Core/ArcGISTiledElevationTerrainProvider.js @@ -73,7 +73,8 @@ TerrainProviderBuilder.prototype.build = function (provider) { provider._hasAvailability = this.hasAvailability; provider._tilesAvailable = this.tilesAvailable; provider._tilesAvailabilityLoaded = this.tilesAvailabilityLoaded; - provider._levelZeroMaximumGeometricError = this.levelZeroMaximumGeometricError; + provider._levelZeroMaximumGeometricError = + this.levelZeroMaximumGeometricError; provider._terrainDataStructure = this.terrainDataStructure; }; @@ -94,10 +95,10 @@ function parseMetadataSuccess(terrainProviderBuilder, metadata) { extent.xmin, extent.ymin, extent.xmax, - extent.ymax + extent.ymax, ); terrainProviderBuilder.tilingScheme = new GeographicTilingScheme( - tilingSchemeOptions + tilingSchemeOptions, ); } else if (wkid === 3857) { // Clamp extent to EPSG 3857 bounds @@ -118,14 +119,14 @@ function parseMetadataSuccess(terrainProviderBuilder, metadata) { tilingSchemeOptions.rectangleSouthwestInMeters = new Cartesian2( extent.xmin, - extent.ymin + extent.ymin, ); tilingSchemeOptions.rectangleNortheastInMeters = new Cartesian2( extent.xmax, - extent.ymax + extent.ymax, ); terrainProviderBuilder.tilingScheme = new WebMercatorTilingScheme( - tilingSchemeOptions + tilingSchemeOptions, ); } else { throw new RuntimeError("Invalid spatial reference"); @@ -149,30 +150,31 @@ function parseMetadataSuccess(terrainProviderBuilder, metadata) { if (hasAvailability) { terrainProviderBuilder.tilesAvailable = new TileAvailability( terrainProviderBuilder.tilingScheme, - terrainProviderBuilder.lodCount + terrainProviderBuilder.lodCount, ); terrainProviderBuilder.tilesAvailable.addAvailableTileRange( 0, 0, 0, terrainProviderBuilder.tilingScheme.getNumberOfXTilesAtLevel(0), - terrainProviderBuilder.tilingScheme.getNumberOfYTilesAtLevel(0) + terrainProviderBuilder.tilingScheme.getNumberOfYTilesAtLevel(0), ); terrainProviderBuilder.tilesAvailabilityLoaded = new TileAvailability( terrainProviderBuilder.tilingScheme, - terrainProviderBuilder.lodCount + terrainProviderBuilder.lodCount, ); } - terrainProviderBuilder.levelZeroMaximumGeometricError = TerrainProvider.getEstimatedLevelZeroGeometricErrorForAHeightmap( - terrainProviderBuilder.tilingScheme.ellipsoid, - terrainProviderBuilder.width, - terrainProviderBuilder.tilingScheme.getNumberOfXTilesAtLevel(0) - ); + terrainProviderBuilder.levelZeroMaximumGeometricError = + TerrainProvider.getEstimatedLevelZeroGeometricErrorForAHeightmap( + terrainProviderBuilder.tilingScheme.ellipsoid, + terrainProviderBuilder.width, + terrainProviderBuilder.tilingScheme.getNumberOfXTilesAtLevel(0), + ); if (metadata.bandCount > 1) { console.log( - "ArcGISTiledElevationTerrainProvider: Terrain data has more than 1 band. Using the first one." + "ArcGISTiledElevationTerrainProvider: Terrain data has more than 1 band. Using the first one.", ); } @@ -192,7 +194,7 @@ function parseMetadataSuccess(terrainProviderBuilder, metadata) { async function requestMetadata( terrainProviderBuilder, metadataResource, - provider + provider, ) { try { const metadata = await metadataResource.fetchJson(); @@ -203,7 +205,7 @@ async function requestMetadata( undefined, provider, defined(provider) ? provider._errorEvent : undefined, - message + message, ); throw error; @@ -400,7 +402,7 @@ ArcGISTiledElevationTerrainProvider.prototype.requestTileGeometry = function ( x, y, level, - request + request, ) { const tileResource = this._resource.getDerivedResource({ url: `tile/${level}/${y}/${x}`, @@ -419,7 +421,7 @@ ArcGISTiledElevationTerrainProvider.prototype.requestTileGeometry = function ( this, level + 1, x * 2, - y * 2 + y * 2, ); availabilityPromise = availabilityResult.promise; @@ -499,11 +501,10 @@ function isTileAvailable(that, level, x, y) { * @param {number} level The tile level for which to get the maximum geometric error. * @returns {number} The maximum geometric error. */ -ArcGISTiledElevationTerrainProvider.prototype.getLevelMaximumGeometricError = function ( - level -) { - return this._levelZeroMaximumGeometricError / (1 << level); -}; +ArcGISTiledElevationTerrainProvider.prototype.getLevelMaximumGeometricError = + function (level) { + return this._levelZeroMaximumGeometricError / (1 << level); + }; /** * Determines whether data for a tile is available to be loaded. @@ -516,7 +517,7 @@ ArcGISTiledElevationTerrainProvider.prototype.getLevelMaximumGeometricError = fu ArcGISTiledElevationTerrainProvider.prototype.getTileDataAvailable = function ( x, y, - level + level, ) { if (!this._hasAvailability) { return undefined; @@ -540,13 +541,10 @@ ArcGISTiledElevationTerrainProvider.prototype.getTileDataAvailable = function ( * @param {number} level The level of the tile for which to request geometry. * @returns {undefined} This provider does not support loading availability. */ -ArcGISTiledElevationTerrainProvider.prototype.loadTileDataAvailability = function ( - x, - y, - level -) { - return undefined; -}; +ArcGISTiledElevationTerrainProvider.prototype.loadTileDataAvailability = + function (x, y, level) { + return undefined; + }; function findRange(origin, width, height, data) { const endCol = width - 1; @@ -710,7 +708,7 @@ function requestAvailability(that, level, x, y) { yOffset, dim, dim, - result.data + result.data, ); // Mark whole area as having availability loaded @@ -719,7 +717,7 @@ function requestAvailability(that, level, x, y) { xOffset, yOffset, xOffset + dim, - yOffset + dim + yOffset + dim, ); const tilesAvailable = that._tilesAvailable; @@ -730,7 +728,7 @@ function requestAvailability(that, level, x, y) { range.startX, range.startY, range.endX, - range.endY + range.endY, ); } diff --git a/packages/engine/Source/Core/AttributeCompression.js b/packages/engine/Source/Core/AttributeCompression.js index b6b521cc0097..aa390cbcdb70 100644 --- a/packages/engine/Source/Core/AttributeCompression.js +++ b/packages/engine/Source/Core/AttributeCompression.js @@ -121,7 +121,7 @@ AttributeCompression.octDecodeInRange = function (x, y, rangeMax, result) { Check.defined("result", result); if (x < 0 || x > rangeMax || y < 0 || y > rangeMax) { throw new DeveloperError( - `x and y must be unsigned normalized integers between 0 and ${rangeMax}` + `x and y must be unsigned normalized integers between 0 and ${rangeMax}`, ); } //>>includeEnd('debug'); @@ -188,7 +188,7 @@ AttributeCompression.octDecodeFromCartesian4 = function (encoded, result) { w > 255 ) { throw new DeveloperError( - "x, y, z, and w must be unsigned normalized integers between 0 and 255" + "x, y, z, and w must be unsigned normalized integers between 0 and 255", ); } //>>includeEnd('debug'); @@ -313,7 +313,7 @@ AttributeCompression.octUnpack = function (packed, v1, v2, v3) { * */ AttributeCompression.compressTextureCoordinates = function ( - textureCoordinates + textureCoordinates, ) { //>>includeStart('debug', pragmas.debug); Check.defined("textureCoordinates", textureCoordinates); @@ -335,7 +335,7 @@ AttributeCompression.compressTextureCoordinates = function ( */ AttributeCompression.decompressTextureCoordinates = function ( compressed, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.defined("compressed", compressed); @@ -365,7 +365,7 @@ function zigZagDecode(value) { AttributeCompression.zigZagDeltaDecode = function ( uBuffer, vBuffer, - heightBuffer + heightBuffer, ) { //>>includeStart('debug', pragmas.debug); Check.defined("uBuffer", uBuffer); @@ -374,14 +374,14 @@ AttributeCompression.zigZagDeltaDecode = function ( "uBuffer.length", "vBuffer.length", uBuffer.length, - vBuffer.length + vBuffer.length, ); if (defined(heightBuffer)) { Check.typeOf.number.equals( "uBuffer.length", "heightBuffer.length", uBuffer.length, - heightBuffer.length + heightBuffer.length, ); } //>>includeEnd('debug'); @@ -422,7 +422,7 @@ AttributeCompression.dequantize = function ( typedArray, componentDatatype, type, - count + count, ) { //>>includeStart('debug', pragmas.debug); Check.defined("typedArray", typedArray); @@ -456,13 +456,13 @@ AttributeCompression.dequantize = function ( //>>includeStart('debug', pragmas.debug); default: throw new DeveloperError( - `Cannot dequantize component datatype: ${componentDatatype}` + `Cannot dequantize component datatype: ${componentDatatype}`, ); //>>includeEnd('debug'); } const dequantizedTypedArray = new Float32Array( - count * componentsPerAttribute + count * componentsPerAttribute, ); for (let i = 0; i < count; i++) { @@ -470,7 +470,7 @@ AttributeCompression.dequantize = function ( const index = i * componentsPerAttribute + j; dequantizedTypedArray[index] = Math.max( typedArray[index] / divisor, - -1.0 + -1.0, ); } } @@ -495,7 +495,7 @@ AttributeCompression.decodeRGB565 = function (typedArray, result) { "result.length", "typedArray.length * 3", result.length, - expectedLength + expectedLength, ); } //>>includeEnd('debug'); diff --git a/packages/engine/Source/Core/AxisAlignedBoundingBox.js b/packages/engine/Source/Core/AxisAlignedBoundingBox.js index 41af002cd3c8..d5ddea4992a3 100644 --- a/packages/engine/Source/Core/AxisAlignedBoundingBox.js +++ b/packages/engine/Source/Core/AxisAlignedBoundingBox.js @@ -197,12 +197,12 @@ AxisAlignedBoundingBox.intersectPlane = function (box, plane) { intersectScratch = Cartesian3.subtract( box.maximum, box.minimum, - intersectScratch + intersectScratch, ); const h = Cartesian3.multiplyByScalar( intersectScratch, 0.5, - intersectScratch + intersectScratch, ); //The positive half diagonal const normal = plane.normal; const e = diff --git a/packages/engine/Source/Core/BingMapsGeocoderService.js b/packages/engine/Source/Core/BingMapsGeocoderService.js index a9292e57015d..647658fcd2d3 100644 --- a/packages/engine/Source/Core/BingMapsGeocoderService.js +++ b/packages/engine/Source/Core/BingMapsGeocoderService.js @@ -43,7 +43,7 @@ function BingMapsGeocoderService(options) { this._credit = new Credit( ``, - false + false, ); } diff --git a/packages/engine/Source/Core/BoundingRectangle.js b/packages/engine/Source/Core/BoundingRectangle.js index 220164a84168..ae678725057a 100644 --- a/packages/engine/Source/Core/BoundingRectangle.js +++ b/packages/engine/Source/Core/BoundingRectangle.js @@ -182,10 +182,10 @@ BoundingRectangle.fromRectangle = function (rectangle, projection, result) { projection = defaultValue(projection, defaultProjection); const lowerLeft = projection.project( - Rectangle.southwest(rectangle, fromRectangleLowerLeft) + Rectangle.southwest(rectangle, fromRectangleLowerLeft), ); const upperRight = projection.project( - Rectangle.northeast(rectangle, fromRectangleUpperRight) + Rectangle.northeast(rectangle, fromRectangleUpperRight), ); Cartesian2.subtract(upperRight, lowerLeft, upperRight); @@ -214,7 +214,7 @@ BoundingRectangle.clone = function (rectangle, result) { rectangle.x, rectangle.y, rectangle.width, - rectangle.height + rectangle.height, ); } diff --git a/packages/engine/Source/Core/BoundingSphere.js b/packages/engine/Source/Core/BoundingSphere.js index 9ee58a40d09d..e43530a8f8a9 100644 --- a/packages/engine/Source/Core/BoundingSphere.js +++ b/packages/engine/Source/Core/BoundingSphere.js @@ -123,13 +123,13 @@ BoundingSphere.fromPoints = function (positions, result) { // Compute x-, y-, and z-spans (Squared distances b/n each component's min. and max.). const xSpan = Cartesian3.magnitudeSquared( - Cartesian3.subtract(xMax, xMin, fromPointsScratch) + Cartesian3.subtract(xMax, xMin, fromPointsScratch), ); const ySpan = Cartesian3.magnitudeSquared( - Cartesian3.subtract(yMax, yMin, fromPointsScratch) + Cartesian3.subtract(yMax, yMin, fromPointsScratch), ); const zSpan = Cartesian3.magnitudeSquared( - Cartesian3.subtract(zMax, zMin, fromPointsScratch) + Cartesian3.subtract(zMax, zMin, fromPointsScratch), ); // Set the diameter endpoints to the largest span. @@ -155,7 +155,7 @@ BoundingSphere.fromPoints = function (positions, result) { // Calculate the radius of the initial sphere found by Ritter's algorithm let radiusSquared = Cartesian3.magnitudeSquared( - Cartesian3.subtract(diameter2, ritterCenter, fromPointsScratch) + Cartesian3.subtract(diameter2, ritterCenter, fromPointsScratch), ); let ritterRadius = Math.sqrt(radiusSquared); @@ -173,7 +173,7 @@ BoundingSphere.fromPoints = function (positions, result) { const naiveCenter = Cartesian3.midpoint( minBoxPt, maxBoxPt, - fromPointsNaiveCenterScratch + fromPointsNaiveCenterScratch, ); // Begin 2nd pass to find naive radius and modify the ritter sphere. @@ -183,7 +183,7 @@ BoundingSphere.fromPoints = function (positions, result) { // Find the furthest point from the naive center to calculate the naive radius. const r = Cartesian3.magnitude( - Cartesian3.subtract(currentPos, naiveCenter, fromPointsScratch) + Cartesian3.subtract(currentPos, naiveCenter, fromPointsScratch), ); if (r > naiveRadius) { naiveRadius = r; @@ -191,7 +191,7 @@ BoundingSphere.fromPoints = function (positions, result) { // Make adjustments to the Ritter Sphere to include all points. const oldCenterToPointSquared = Cartesian3.magnitudeSquared( - Cartesian3.subtract(currentPos, ritterCenter, fromPointsScratch) + Cartesian3.subtract(currentPos, ritterCenter, fromPointsScratch), ); if (oldCenterToPointSquared > radiusSquared) { const oldCenterToPoint = Math.sqrt(oldCenterToPointSquared); @@ -243,7 +243,7 @@ BoundingSphere.fromRectangle2D = function (rectangle, projection, result) { projection, 0.0, 0.0, - result + result, ); }; @@ -263,7 +263,7 @@ BoundingSphere.fromRectangleWithHeights2D = function ( projection, minimumHeight, maximumHeight, - result + result, ) { if (!defined(result)) { result = new BoundingSphere(); @@ -285,11 +285,11 @@ BoundingSphere.fromRectangleWithHeights2D = function ( const lowerLeft = projection.project( fromRectangle2DSouthwest, - fromRectangle2DLowerLeft + fromRectangle2DLowerLeft, ); const upperRight = projection.project( fromRectangle2DNortheast, - fromRectangle2DUpperRight + fromRectangle2DUpperRight, ); const width = upperRight.x - lowerLeft.x; @@ -321,7 +321,7 @@ BoundingSphere.fromRectangle3D = function ( rectangle, ellipsoid, surfaceHeight, - result + result, ) { ellipsoid = defaultValue(ellipsoid, Ellipsoid.default); surfaceHeight = defaultValue(surfaceHeight, 0.0); @@ -340,7 +340,7 @@ BoundingSphere.fromRectangle3D = function ( rectangle, ellipsoid, surfaceHeight, - fromRectangle3DScratch + fromRectangle3DScratch, ); return BoundingSphere.fromPoints(positions, result); }; @@ -448,13 +448,13 @@ BoundingSphere.fromVertices = function (positions, center, stride, result) { // Compute x-, y-, and z-spans (Squared distances b/n each component's min. and max.). const xSpan = Cartesian3.magnitudeSquared( - Cartesian3.subtract(xMax, xMin, fromPointsScratch) + Cartesian3.subtract(xMax, xMin, fromPointsScratch), ); const ySpan = Cartesian3.magnitudeSquared( - Cartesian3.subtract(yMax, yMin, fromPointsScratch) + Cartesian3.subtract(yMax, yMin, fromPointsScratch), ); const zSpan = Cartesian3.magnitudeSquared( - Cartesian3.subtract(zMax, zMin, fromPointsScratch) + Cartesian3.subtract(zMax, zMin, fromPointsScratch), ); // Set the diameter endpoints to the largest span. @@ -480,7 +480,7 @@ BoundingSphere.fromVertices = function (positions, center, stride, result) { // Calculate the radius of the initial sphere found by Ritter's algorithm let radiusSquared = Cartesian3.magnitudeSquared( - Cartesian3.subtract(diameter2, ritterCenter, fromPointsScratch) + Cartesian3.subtract(diameter2, ritterCenter, fromPointsScratch), ); let ritterRadius = Math.sqrt(radiusSquared); @@ -498,7 +498,7 @@ BoundingSphere.fromVertices = function (positions, center, stride, result) { const naiveCenter = Cartesian3.midpoint( minBoxPt, maxBoxPt, - fromPointsNaiveCenterScratch + fromPointsNaiveCenterScratch, ); // Begin 2nd pass to find naive radius and modify the ritter sphere. @@ -510,7 +510,7 @@ BoundingSphere.fromVertices = function (positions, center, stride, result) { // Find the furthest point from the naive center to calculate the naive radius. const r = Cartesian3.magnitude( - Cartesian3.subtract(currentPos, naiveCenter, fromPointsScratch) + Cartesian3.subtract(currentPos, naiveCenter, fromPointsScratch), ); if (r > naiveRadius) { naiveRadius = r; @@ -518,7 +518,7 @@ BoundingSphere.fromVertices = function (positions, center, stride, result) { // Make adjustments to the Ritter Sphere to include all points. const oldCenterToPointSquared = Cartesian3.magnitudeSquared( - Cartesian3.subtract(currentPos, ritterCenter, fromPointsScratch) + Cartesian3.subtract(currentPos, ritterCenter, fromPointsScratch), ); if (oldCenterToPointSquared > radiusSquared) { const oldCenterToPoint = Math.sqrt(oldCenterToPointSquared); @@ -568,7 +568,7 @@ BoundingSphere.fromVertices = function (positions, center, stride, result) { BoundingSphere.fromEncodedCartesianVertices = function ( positionsHigh, positionsLow, - result + result, ) { if (!defined(result)) { result = new BoundingSphere(); @@ -637,13 +637,13 @@ BoundingSphere.fromEncodedCartesianVertices = function ( // Compute x-, y-, and z-spans (Squared distances b/n each component's min. and max.). const xSpan = Cartesian3.magnitudeSquared( - Cartesian3.subtract(xMax, xMin, fromPointsScratch) + Cartesian3.subtract(xMax, xMin, fromPointsScratch), ); const ySpan = Cartesian3.magnitudeSquared( - Cartesian3.subtract(yMax, yMin, fromPointsScratch) + Cartesian3.subtract(yMax, yMin, fromPointsScratch), ); const zSpan = Cartesian3.magnitudeSquared( - Cartesian3.subtract(zMax, zMin, fromPointsScratch) + Cartesian3.subtract(zMax, zMin, fromPointsScratch), ); // Set the diameter endpoints to the largest span. @@ -669,7 +669,7 @@ BoundingSphere.fromEncodedCartesianVertices = function ( // Calculate the radius of the initial sphere found by Ritter's algorithm let radiusSquared = Cartesian3.magnitudeSquared( - Cartesian3.subtract(diameter2, ritterCenter, fromPointsScratch) + Cartesian3.subtract(diameter2, ritterCenter, fromPointsScratch), ); let ritterRadius = Math.sqrt(radiusSquared); @@ -687,7 +687,7 @@ BoundingSphere.fromEncodedCartesianVertices = function ( const naiveCenter = Cartesian3.midpoint( minBoxPt, maxBoxPt, - fromPointsNaiveCenterScratch + fromPointsNaiveCenterScratch, ); // Begin 2nd pass to find naive radius and modify the ritter sphere. @@ -699,7 +699,7 @@ BoundingSphere.fromEncodedCartesianVertices = function ( // Find the furthest point from the naive center to calculate the naive radius. const r = Cartesian3.magnitude( - Cartesian3.subtract(currentPos, naiveCenter, fromPointsScratch) + Cartesian3.subtract(currentPos, naiveCenter, fromPointsScratch), ); if (r > naiveRadius) { naiveRadius = r; @@ -707,7 +707,7 @@ BoundingSphere.fromEncodedCartesianVertices = function ( // Make adjustments to the Ritter Sphere to include all points. const oldCenterToPointSquared = Cartesian3.magnitudeSquared( - Cartesian3.subtract(currentPos, ritterCenter, fromPointsScratch) + Cartesian3.subtract(currentPos, ritterCenter, fromPointsScratch), ); if (oldCenterToPointSquared > radiusSquared) { const oldCenterToPoint = Math.sqrt(oldCenterToPointSquared); @@ -835,7 +835,7 @@ BoundingSphere.fromBoundingSpheres = function (boundingSpheres, result) { radius = Math.max( radius, Cartesian3.distance(center, tmp.center, fromBoundingSpheresScratch) + - tmp.radius + tmp.radius, ); } result.radius = radius; @@ -856,7 +856,7 @@ const fromOrientedBoundingBoxScratchW = new Cartesian3(); */ BoundingSphere.fromOrientedBoundingBox = function ( orientedBoundingBox, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.defined("orientedBoundingBox", orientedBoundingBox); @@ -901,11 +901,11 @@ BoundingSphere.fromTransformation = function (transformation, result) { const center = Matrix4.getTranslation( transformation, - scratchFromTransformationCenter + scratchFromTransformationCenter, ); const scale = Matrix4.getScale( transformation, - scratchFromTransformationScale + scratchFromTransformationScale, ); const radius = 0.5 * Cartesian3.magnitude(scale); result.center = Cartesian3.clone(center, result.center); @@ -1022,7 +1022,7 @@ BoundingSphere.union = function (left, right, result) { const toRightCenter = Cartesian3.subtract( rightCenter, leftCenter, - unionScratch + unionScratch, ); const centerSeparation = Cartesian3.magnitude(toRightCenter); @@ -1046,7 +1046,7 @@ BoundingSphere.union = function (left, right, result) { const center = Cartesian3.multiplyByScalar( toRightCenter, (-leftRadius + halfDistanceBetweenTangentPoints) / centerSeparation, - unionScratchCenter + unionScratchCenter, ); Cartesian3.add(center, leftCenter, center); Cartesian3.clone(center, result.center); @@ -1073,7 +1073,7 @@ BoundingSphere.expand = function (sphere, point, result) { result = BoundingSphere.clone(sphere, result); const radius = Cartesian3.magnitude( - Cartesian3.subtract(point, result.center, expandScratch) + Cartesian3.subtract(point, result.center, expandScratch), ); if (radius > result.radius) { result.radius = radius; @@ -1134,7 +1134,7 @@ BoundingSphere.transform = function (sphere, transform, result) { result.center = Matrix4.multiplyByPoint( transform, sphere.center, - result.center + result.center, ); result.radius = Matrix4.getMaximumScale(transform) * sphere.radius; @@ -1165,7 +1165,7 @@ BoundingSphere.distanceSquaredTo = function (sphere, cartesian) { const diff = Cartesian3.subtract( sphere.center, cartesian, - distanceSquaredToScratch + distanceSquaredToScratch, ); const distance = Cartesian3.magnitude(diff) - sphere.radius; @@ -1204,7 +1204,7 @@ BoundingSphere.transformWithoutScale = function (sphere, transform, result) { result.center = Matrix4.multiplyByPoint( transform, sphere.center, - result.center + result.center, ); result.radius = sphere.radius; @@ -1229,7 +1229,7 @@ BoundingSphere.computePlaneDistances = function ( sphere, position, direction, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.object("sphere", sphere); @@ -1244,7 +1244,7 @@ BoundingSphere.computePlaneDistances = function ( const toCenter = Cartesian3.subtract( sphere.center, position, - scratchCartesian3 + scratchCartesian3, ); const mag = Cartesian3.dot(direction, toCenter); @@ -1296,7 +1296,7 @@ BoundingSphere.projectTo2D = function (sphere, projection, result) { const east = Cartesian3.cross( Cartesian3.UNIT_Z, normal, - projectTo2DEastScratch + projectTo2DEastScratch, ); Cartesian3.normalize(east, east); const north = Cartesian3.cross(normal, east, projectTo2DNorthScratch); @@ -1359,7 +1359,7 @@ BoundingSphere.projectTo2D = function (sphere, projection, result) { Cartesian3.add(center, position, position); const cartographic = ellipsoid.cartesianToCartographic( position, - projectTo2DCartographicScratch + projectTo2DCartographicScratch, ); projection.project(cartographic, position); } @@ -1455,13 +1455,13 @@ BoundingSphere.prototype.distanceSquaredTo = function (cartesian) { BoundingSphere.prototype.computePlaneDistances = function ( position, direction, - result + result, ) { return BoundingSphere.computePlaneDistances( this, position, direction, - result + result, ); }; diff --git a/packages/engine/Source/Core/BoxGeometry.js b/packages/engine/Source/Core/BoxGeometry.js index 405107e0afd5..d6b4e6bc321a 100644 --- a/packages/engine/Source/Core/BoxGeometry.js +++ b/packages/engine/Source/Core/BoxGeometry.js @@ -53,7 +53,7 @@ function BoxGeometry(options) { options.offsetAttribute === GeometryOffsetAttribute.TOP ) { throw new DeveloperError( - "GeometryOffsetAttribute.TOP is not a supported options.offsetAttribute for this geometry." + "GeometryOffsetAttribute.TOP is not a supported options.offsetAttribute for this geometry.", ); } //>>includeEnd('debug'); @@ -167,12 +167,12 @@ BoxGeometry.pack = function (value, array, startingIndex) { Cartesian3.pack( value._maximum, array, - startingIndex + Cartesian3.packedLength + startingIndex + Cartesian3.packedLength, ); VertexFormat.pack( value._vertexFormat, array, - startingIndex + 2 * Cartesian3.packedLength + startingIndex + 2 * Cartesian3.packedLength, ); array[ startingIndex + 2 * Cartesian3.packedLength + VertexFormat.packedLength @@ -210,12 +210,12 @@ BoxGeometry.unpack = function (array, startingIndex, result) { const max = Cartesian3.unpack( array, startingIndex + Cartesian3.packedLength, - scratchMax + scratchMax, ); const vertexFormat = VertexFormat.unpack( array, startingIndex + 2 * Cartesian3.packedLength, - scratchVertexFormat + scratchVertexFormat, ); const offsetAttribute = array[ @@ -885,7 +885,7 @@ BoxGeometry.getUnitBox = function () { BoxGeometry.fromDimensions({ dimensions: new Cartesian3(1.0, 1.0, 1.0), vertexFormat: VertexFormat.POSITION_ONLY, - }) + }), ); } return unitBoxGeometry; diff --git a/packages/engine/Source/Core/BoxOutlineGeometry.js b/packages/engine/Source/Core/BoxOutlineGeometry.js index 5e18ee05e9b1..1933cdad1a40 100644 --- a/packages/engine/Source/Core/BoxOutlineGeometry.js +++ b/packages/engine/Source/Core/BoxOutlineGeometry.js @@ -48,7 +48,7 @@ function BoxOutlineGeometry(options) { options.offsetAttribute === GeometryOffsetAttribute.TOP ) { throw new DeveloperError( - "GeometryOffsetAttribute.TOP is not a supported options.offsetAttribute for this geometry." + "GeometryOffsetAttribute.TOP is not a supported options.offsetAttribute for this geometry.", ); } //>>includeEnd('debug'); @@ -155,7 +155,7 @@ BoxOutlineGeometry.pack = function (value, array, startingIndex) { Cartesian3.pack(value._max, array, startingIndex + Cartesian3.packedLength); array[startingIndex + Cartesian3.packedLength * 2] = defaultValue( value._offsetAttribute, - -1 + -1, ); return array; @@ -188,7 +188,7 @@ BoxOutlineGeometry.unpack = function (array, startingIndex, result) { const max = Cartesian3.unpack( array, startingIndex + Cartesian3.packedLength, - scratchMax + scratchMax, ); const offsetAttribute = array[startingIndex + Cartesian3.packedLength * 2]; diff --git a/packages/engine/Source/Core/Cartesian2.js b/packages/engine/Source/Core/Cartesian2.js index 9d7d8137359c..6993889d5a8b 100644 --- a/packages/engine/Source/Core/Cartesian2.js +++ b/packages/engine/Source/Core/Cartesian2.js @@ -163,7 +163,7 @@ Cartesian2.packArray = function (array, result) { } else if (!Array.isArray(result) && result.length !== resultLength) { //>>includeStart('debug', pragmas.debug); throw new DeveloperError( - "If result is a typed array, it must have exactly array.length * 2 elements" + "If result is a typed array, it must have exactly array.length * 2 elements", ); //>>includeEnd('debug'); } else if (result.length !== resultLength) { @@ -646,7 +646,7 @@ Cartesian2.angleBetween = function (left, right) { Cartesian2.normalize(left, angleBetweenScratch); Cartesian2.normalize(right, angleBetweenScratch2); return CesiumMath.acosClamped( - Cartesian2.dot(angleBetweenScratch, angleBetweenScratch2) + Cartesian2.dot(angleBetweenScratch, angleBetweenScratch2), ); }; @@ -716,7 +716,7 @@ Cartesian2.equalsEpsilon = function ( left, right, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) { return ( left === right || @@ -726,13 +726,13 @@ Cartesian2.equalsEpsilon = function ( left.x, right.x, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) && CesiumMath.equalsEpsilon( left.y, right.y, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, )) ); }; @@ -803,13 +803,13 @@ Cartesian2.prototype.equals = function (right) { Cartesian2.prototype.equalsEpsilon = function ( right, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) { return Cartesian2.equalsEpsilon( this, right, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ); }; diff --git a/packages/engine/Source/Core/Cartesian3.js b/packages/engine/Source/Core/Cartesian3.js index 685587b8fffd..c4415d6bace4 100644 --- a/packages/engine/Source/Core/Cartesian3.js +++ b/packages/engine/Source/Core/Cartesian3.js @@ -191,7 +191,7 @@ Cartesian3.packArray = function (array, result) { } else if (!Array.isArray(result) && result.length !== resultLength) { //>>includeStart('debug', pragmas.debug); throw new DeveloperError( - "If result is a typed array, it must have exactly array.length * 3 elements" + "If result is a typed array, it must have exactly array.length * 3 elements", ); //>>includeEnd('debug'); } else if (result.length !== resultLength) { @@ -679,8 +679,8 @@ Cartesian3.angleBetween = function (left, right) { Cartesian3.cross( angleBetweenScratch, angleBetweenScratch2, - angleBetweenScratch - ) + angleBetweenScratch, + ), ); return Math.atan2(sine, cosine); }; @@ -780,7 +780,7 @@ Cartesian3.equalsEpsilon = function ( left, right, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) { return ( left === right || @@ -790,19 +790,19 @@ Cartesian3.equalsEpsilon = function ( left.x, right.x, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) && CesiumMath.equalsEpsilon( left.y, right.y, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) && CesiumMath.equalsEpsilon( left.z, right.z, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, )) ); }; @@ -878,7 +878,7 @@ Cartesian3.fromDegrees = function ( latitude, height, ellipsoid, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.number("longitude", longitude); @@ -897,7 +897,7 @@ let scratchK = new Cartesian3(); Cartesian3._ellipsoidRadiiSquared = new Cartesian3( 6378137.0 * 6378137.0, 6378137.0 * 6378137.0, - 6356752.3142451793 * 6356752.3142451793 + 6356752.3142451793 * 6356752.3142451793, ); /** @@ -918,7 +918,7 @@ Cartesian3.fromRadians = function ( latitude, height, ellipsoid, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.number("longitude", longitude); @@ -964,7 +964,7 @@ Cartesian3.fromDegreesArray = function (coordinates, ellipsoid, result) { Check.defined("coordinates", coordinates); if (coordinates.length < 2 || coordinates.length % 2 !== 0) { throw new DeveloperError( - "the number of coordinates must be a multiple of 2 and at least 2" + "the number of coordinates must be a multiple of 2 and at least 2", ); } //>>includeEnd('debug'); @@ -985,7 +985,7 @@ Cartesian3.fromDegreesArray = function (coordinates, ellipsoid, result) { latitude, 0, ellipsoid, - result[index] + result[index], ); } @@ -1008,7 +1008,7 @@ Cartesian3.fromRadiansArray = function (coordinates, ellipsoid, result) { Check.defined("coordinates", coordinates); if (coordinates.length < 2 || coordinates.length % 2 !== 0) { throw new DeveloperError( - "the number of coordinates must be a multiple of 2 and at least 2" + "the number of coordinates must be a multiple of 2 and at least 2", ); } //>>includeEnd('debug'); @@ -1029,7 +1029,7 @@ Cartesian3.fromRadiansArray = function (coordinates, ellipsoid, result) { latitude, 0, ellipsoid, - result[index] + result[index], ); } @@ -1052,7 +1052,7 @@ Cartesian3.fromDegreesArrayHeights = function (coordinates, ellipsoid, result) { Check.defined("coordinates", coordinates); if (coordinates.length < 3 || coordinates.length % 3 !== 0) { throw new DeveloperError( - "the number of coordinates must be a multiple of 3 and at least 3" + "the number of coordinates must be a multiple of 3 and at least 3", ); } //>>includeEnd('debug'); @@ -1074,7 +1074,7 @@ Cartesian3.fromDegreesArrayHeights = function (coordinates, ellipsoid, result) { latitude, height, ellipsoid, - result[index] + result[index], ); } @@ -1097,7 +1097,7 @@ Cartesian3.fromRadiansArrayHeights = function (coordinates, ellipsoid, result) { Check.defined("coordinates", coordinates); if (coordinates.length < 3 || coordinates.length % 3 !== 0) { throw new DeveloperError( - "the number of coordinates must be a multiple of 3 and at least 3" + "the number of coordinates must be a multiple of 3 and at least 3", ); } //>>includeEnd('debug'); @@ -1119,7 +1119,7 @@ Cartesian3.fromRadiansArrayHeights = function (coordinates, ellipsoid, result) { latitude, height, ellipsoid, - result[index] + result[index], ); } @@ -1200,13 +1200,13 @@ Cartesian3.prototype.equals = function (right) { Cartesian3.prototype.equalsEpsilon = function ( right, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) { return Cartesian3.equalsEpsilon( this, right, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ); }; diff --git a/packages/engine/Source/Core/Cartesian4.js b/packages/engine/Source/Core/Cartesian4.js index fa841cc9b2aa..a2bffc45b705 100644 --- a/packages/engine/Source/Core/Cartesian4.js +++ b/packages/engine/Source/Core/Cartesian4.js @@ -191,7 +191,7 @@ Cartesian4.packArray = function (array, result) { } else if (!Array.isArray(result) && result.length !== resultLength) { //>>includeStart('debug', pragmas.debug); throw new DeveloperError( - "If result is a typed array, it must have exactly array.length * 4 elements" + "If result is a typed array, it must have exactly array.length * 4 elements", ); //>>includeEnd('debug'); } else if (result.length !== resultLength) { @@ -774,7 +774,7 @@ Cartesian4.equalsEpsilon = function ( left, right, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) { return ( left === right || @@ -784,25 +784,25 @@ Cartesian4.equalsEpsilon = function ( left.x, right.x, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) && CesiumMath.equalsEpsilon( left.y, right.y, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) && CesiumMath.equalsEpsilon( left.z, right.z, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) && CesiumMath.equalsEpsilon( left.w, right.w, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, )) ); }; @@ -889,13 +889,13 @@ Cartesian4.prototype.equals = function (right) { Cartesian4.prototype.equalsEpsilon = function ( right, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) { return Cartesian4.equalsEpsilon( this, right, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ); }; diff --git a/packages/engine/Source/Core/Cartographic.js b/packages/engine/Source/Core/Cartographic.js index 3f0317b6f679..091d80895ca8 100644 --- a/packages/engine/Source/Core/Cartographic.js +++ b/packages/engine/Source/Core/Cartographic.js @@ -98,12 +98,12 @@ const cartesianToCartographicH = new Cartesian3(); Cartographic._ellipsoidOneOverRadii = new Cartesian3( 1.0 / 6378137.0, 1.0 / 6378137.0, - 1.0 / 6356752.3142451793 + 1.0 / 6356752.3142451793, ); Cartographic._ellipsoidOneOverRadiiSquared = new Cartesian3( 1.0 / (6378137.0 * 6378137.0), 1.0 / (6378137.0 * 6378137.0), - 1.0 / (6356752.3142451793 * 6356752.3142451793) + 1.0 / (6356752.3142451793 * 6356752.3142451793), ); Cartographic._ellipsoidCenterToleranceSquared = CesiumMath.EPSILON1; @@ -133,7 +133,7 @@ Cartographic.fromCartesian = function (cartesian, ellipsoid, result) { oneOverRadii, oneOverRadiiSquared, centerToleranceSquared, - cartesianToCartographicP + cartesianToCartographicP, ); if (!defined(p)) { @@ -143,7 +143,7 @@ Cartographic.fromCartesian = function (cartesian, ellipsoid, result) { let n = Cartesian3.multiplyComponents( p, oneOverRadiiSquared, - cartesianToCartographicN + cartesianToCartographicN, ); n = Cartesian3.normalize(n, n); @@ -182,7 +182,7 @@ Cartographic.toCartesian = function (cartographic, ellipsoid, result) { cartographic.latitude, cartographic.height, ellipsoid, - result + result, ); }; @@ -201,7 +201,7 @@ Cartographic.clone = function (cartographic, result) { return new Cartographic( cartographic.longitude, cartographic.latitude, - cartographic.height + cartographic.height, ); } result.longitude = cartographic.longitude; diff --git a/packages/engine/Source/Core/CatmullRomSpline.js b/packages/engine/Source/Core/CatmullRomSpline.js index 8f7c4ea6d9f2..b2181f642e67 100644 --- a/packages/engine/Source/Core/CatmullRomSpline.js +++ b/packages/engine/Source/Core/CatmullRomSpline.js @@ -37,7 +37,7 @@ function createEvaluateFunction(spline) { } const i = (spline._lastTimeIndex = spline.findTimeInterval( time, - spline._lastTimeIndex + spline._lastTimeIndex, )); const u = (time - times[i]) / (times[i + 1] - times[i]); @@ -64,7 +64,7 @@ function createEvaluateFunction(spline) { coefs = Matrix4.multiplyByVector( HermiteSpline.hermiteCoefficientMatrix, timeVec, - timeVec + timeVec, ); } else if (i === points.length - 2) { p0 = points[i]; @@ -77,7 +77,7 @@ function createEvaluateFunction(spline) { coefs = Matrix4.multiplyByVector( HermiteSpline.hermiteCoefficientMatrix, timeVec, - timeVec + timeVec, ); } else { p0 = points[i - 1]; @@ -87,7 +87,7 @@ function createEvaluateFunction(spline) { coefs = Matrix4.multiplyByVector( CatmullRomSpline.catmullRomCoefficientMatrix, timeVec, - timeVec + timeVec, ); } result = Cartesian3.multiplyByScalar(p0, coefs.x, result); @@ -163,7 +163,7 @@ function CatmullRomSpline(options) { "times.length", "points.length", times.length, - points.length + points.length, ); //>>includeEnd('debug'); @@ -272,7 +272,7 @@ CatmullRomSpline.catmullRomCoefficientMatrix = new Matrix4( 0.5, -0.5, 0.0, - 0.0 + 0.0, ); /** diff --git a/packages/engine/Source/Core/CesiumTerrainProvider.js b/packages/engine/Source/Core/CesiumTerrainProvider.js index 9ce3f464f3b3..64497322ab0b 100644 --- a/packages/engine/Source/Core/CesiumTerrainProvider.js +++ b/packages/engine/Source/Core/CesiumTerrainProvider.js @@ -104,7 +104,8 @@ TerrainProviderBuilder.prototype.build = function (provider) { provider._availability = this.availability; provider._tilingScheme = this.tilingScheme; provider._requestWaterMask = this.requestWaterMask; - provider._levelZeroMaximumGeometricError = this.levelZeroMaximumGeometricError; + provider._levelZeroMaximumGeometricError = + this.levelZeroMaximumGeometricError; provider._heightmapStructure = this.heightmapStructure; provider._layers = this.layers; @@ -120,7 +121,7 @@ async function parseMetadataSuccess(terrainProviderBuilder, data, provider) { terrainProviderBuilder.previousError, provider, defined(provider) ? provider._errorEvent : undefined, - message + message, ); throw new RuntimeError(message); @@ -133,7 +134,7 @@ async function parseMetadataSuccess(terrainProviderBuilder, data, provider) { terrainProviderBuilder.previousError, provider, defined(provider) ? provider._errorEvent : undefined, - message + message, ); throw new RuntimeError(message); @@ -166,7 +167,7 @@ async function parseMetadataSuccess(terrainProviderBuilder, data, provider) { terrainProviderBuilder.previousError, provider, defined(provider) ? provider._errorEvent : undefined, - message + message, ); throw new RuntimeError(message); @@ -177,7 +178,7 @@ async function parseMetadataSuccess(terrainProviderBuilder, data, provider) { const maxZoom = data.maxzoom; terrainProviderBuilder.overallMaxZoom = Math.max( terrainProviderBuilder.overallMaxZoom, - maxZoom + maxZoom, ); // Keeps track of which of the availability containing tiles have been loaded @@ -199,17 +200,18 @@ async function parseMetadataSuccess(terrainProviderBuilder, data, provider) { terrainProviderBuilder.previousError, provider, defined(provider) ? provider._errorEvent : undefined, - message + message, ); throw new RuntimeError(message); } - terrainProviderBuilder.levelZeroMaximumGeometricError = TerrainProvider.getEstimatedLevelZeroGeometricErrorForAHeightmap( - terrainProviderBuilder.tilingScheme.ellipsoid, - terrainProviderBuilder.heightmapWidth, - terrainProviderBuilder.tilingScheme.getNumberOfXTilesAtLevel(0) - ); + terrainProviderBuilder.levelZeroMaximumGeometricError = + TerrainProvider.getEstimatedLevelZeroGeometricErrorForAHeightmap( + terrainProviderBuilder.tilingScheme.ellipsoid, + terrainProviderBuilder.heightmapWidth, + terrainProviderBuilder.tilingScheme.getNumberOfXTilesAtLevel(0), + ); if (!data.scheme || data.scheme === "tms" || data.scheme === "slippyMap") { terrainProviderBuilder.scheme = data.scheme; } else { @@ -218,7 +220,7 @@ async function parseMetadataSuccess(terrainProviderBuilder, data, provider) { terrainProviderBuilder.previousError, provider, defined(provider) ? provider._errorEvent : undefined, - message + message, ); throw new RuntimeError(message); @@ -257,13 +259,12 @@ async function parseMetadataSuccess(terrainProviderBuilder, data, provider) { if (defined(availableTiles) && !defined(availabilityLevels)) { availability = new TileAvailability( terrainProviderBuilder.tilingScheme, - availableTiles.length + availableTiles.length, ); for (let level = 0; level < availableTiles.length; ++level) { const rangesAtLevel = availableTiles[level]; - const yTiles = terrainProviderBuilder.tilingScheme.getNumberOfYTilesAtLevel( - level - ); + const yTiles = + terrainProviderBuilder.tilingScheme.getNumberOfYTilesAtLevel(level); if (!defined(terrainProviderBuilder.overallAvailability[level])) { terrainProviderBuilder.overallAvailability[level] = []; } @@ -287,18 +288,18 @@ async function parseMetadataSuccess(terrainProviderBuilder, data, provider) { range.startX, yStart, range.endX, - yEnd + yEnd, ); } } } else if (defined(availabilityLevels)) { availabilityTilesLoaded = new TileAvailability( terrainProviderBuilder.tilingScheme, - maxZoom + maxZoom, ); availability = new TileAvailability( terrainProviderBuilder.tilingScheme, - maxZoom + maxZoom, ); terrainProviderBuilder.overallAvailability[0] = [[0, 0, 1, 0]]; availability.addAvailableTileRange(0, 0, 0, 1, 0); @@ -331,29 +332,27 @@ async function parseMetadataSuccess(terrainProviderBuilder, data, provider) { availabilityLevels: availabilityLevels, availabilityTilesLoaded: availabilityTilesLoaded, littleEndianExtensionSize: littleEndianExtensionSize, - }) + }), ); const parentUrl = data.parentUrl; if (defined(parentUrl)) { if (!defined(availability)) { console.log( - "A layer.json can't have a parentUrl if it does't have an available array." + "A layer.json can't have a parentUrl if it does't have an available array.", ); return true; } - terrainProviderBuilder.lastResource = terrainProviderBuilder.lastResource.getDerivedResource( - { + terrainProviderBuilder.lastResource = + terrainProviderBuilder.lastResource.getDerivedResource({ url: parentUrl, - } - ); + }); terrainProviderBuilder.lastResource.appendForwardSlash(); // Terrain always expects a directory - terrainProviderBuilder.layerJsonResource = terrainProviderBuilder.lastResource.getDerivedResource( - { + terrainProviderBuilder.layerJsonResource = + terrainProviderBuilder.lastResource.getDerivedResource({ url: "layer.json", - } - ); + }); await requestLayerJson(terrainProviderBuilder); return true; } @@ -371,7 +370,7 @@ function parseMetadataFailure(terrainProviderBuilder, error, provider) { terrainProviderBuilder.previousError, provider, defined(provider) ? provider._errorEvent : undefined, - message + message, ); // If we can retry, do so. Otherwise throw the error. @@ -387,10 +386,11 @@ async function metadataSuccess(terrainProviderBuilder, data, provider) { const length = terrainProviderBuilder.overallAvailability.length; if (length > 0) { - const availability = (terrainProviderBuilder.availability = new TileAvailability( - terrainProviderBuilder.tilingScheme, - terrainProviderBuilder.overallMaxZoom - )); + const availability = (terrainProviderBuilder.availability = + new TileAvailability( + terrainProviderBuilder.tilingScheme, + terrainProviderBuilder.overallMaxZoom, + )); for (let level = 0; level < length; ++level) { const levelRanges = terrainProviderBuilder.overallAvailability[level]; for (let i = 0; i < levelRanges.length; ++i) { @@ -400,7 +400,7 @@ async function metadataSuccess(terrainProviderBuilder, data, provider) { range[0], range[1], range[2], - range[3] + range[3], ); } } @@ -430,7 +430,7 @@ async function requestLayerJson(terrainProviderBuilder, provider) { scheme: "tms", tiles: ["{z}/{x}/{y}.terrain?v={version}"], }, - provider + provider, ); return true; @@ -493,7 +493,7 @@ function CesiumTerrainProvider(options) { */ this._requestVertexNormals = defaultValue( options.requestVertexNormals, - false + false, ); /** @@ -579,7 +579,7 @@ function createHeightmapTerrainData(provider, buffer, level, x, y) { const heightBuffer = new Uint16Array( buffer, 0, - provider._heightmapWidth * provider._heightmapWidth + provider._heightmapWidth * provider._heightmapWidth, ); return new HeightmapTerrainData({ buffer: heightBuffer, @@ -587,7 +587,7 @@ function createHeightmapTerrainData(provider, buffer, level, x, y) { waterMask: new Uint8Array( buffer, heightBuffer.byteLength + 1, - buffer.byteLength - heightBuffer.byteLength - 1 + buffer.byteLength - heightBuffer.byteLength - 1, ), width: provider._heightmapWidth, height: provider._heightmapWidth, @@ -615,7 +615,7 @@ function createQuantizedMeshTerrainData(provider, buffer, level, x, y, layer) { const center = new Cartesian3( view.getFloat64(pos, true), view.getFloat64(pos + 8, true), - view.getFloat64(pos + 16, true) + view.getFloat64(pos + 16, true), ); pos += cartesian3Length; @@ -628,16 +628,16 @@ function createQuantizedMeshTerrainData(provider, buffer, level, x, y, layer) { new Cartesian3( view.getFloat64(pos, true), view.getFloat64(pos + 8, true), - view.getFloat64(pos + 16, true) + view.getFloat64(pos + 16, true), ), - view.getFloat64(pos + cartesian3Length, true) + view.getFloat64(pos + cartesian3Length, true), ); pos += boundingSphereLength; const horizonOcclusionPoint = new Cartesian3( view.getFloat64(pos, true), view.getFloat64(pos + 8, true), - view.getFloat64(pos + 16, true) + view.getFloat64(pos + 16, true), ); pos += cartesian3Length; @@ -657,7 +657,7 @@ function createQuantizedMeshTerrainData(provider, buffer, level, x, y, layer) { const vBuffer = encodedVertexBuffer.subarray(vertexCount, 2 * vertexCount); const heightBuffer = encodedVertexBuffer.subarray( vertexCount * 2, - 3 * vertexCount + 3 * vertexCount, ); AttributeCompression.zigZagDeltaDecode(uBuffer, vBuffer, heightBuffer); @@ -673,7 +673,7 @@ function createQuantizedMeshTerrainData(provider, buffer, level, x, y, layer) { vertexCount, buffer, pos, - triangleCount * triangleElements + triangleCount * triangleElements, ); pos += triangleCount * triangleLength; @@ -696,7 +696,7 @@ function createQuantizedMeshTerrainData(provider, buffer, level, x, y, layer) { vertexCount, buffer, pos, - westVertexCount + westVertexCount, ); pos += westVertexCount * bytesPerIndex; @@ -706,7 +706,7 @@ function createQuantizedMeshTerrainData(provider, buffer, level, x, y, layer) { vertexCount, buffer, pos, - southVertexCount + southVertexCount, ); pos += southVertexCount * bytesPerIndex; @@ -716,7 +716,7 @@ function createQuantizedMeshTerrainData(provider, buffer, level, x, y, layer) { vertexCount, buffer, pos, - eastVertexCount + eastVertexCount, ); pos += eastVertexCount * bytesPerIndex; @@ -726,7 +726,7 @@ function createQuantizedMeshTerrainData(provider, buffer, level, x, y, layer) { vertexCount, buffer, pos, - northVertexCount + northVertexCount, ); pos += northVertexCount * bytesPerIndex; @@ -757,16 +757,15 @@ function createQuantizedMeshTerrainData(provider, buffer, level, x, y, layer) { const metadata = getJsonFromTypedArray( new Uint8Array(buffer), pos + Uint32Array.BYTES_PER_ELEMENT, - stringLength + stringLength, ); const availableTiles = metadata.available; if (defined(availableTiles)) { for (let offset = 0; offset < availableTiles.length; ++offset) { const availableLevel = level + offset + 1; const rangesAtLevel = availableTiles[offset]; - const yTiles = provider._tilingScheme.getNumberOfYTilesAtLevel( - availableLevel - ); + const yTiles = + provider._tilingScheme.getNumberOfYTilesAtLevel(availableLevel); for ( let rangeIndex = 0; @@ -781,14 +780,14 @@ function createQuantizedMeshTerrainData(provider, buffer, level, x, y, layer) { range.startX, yStart, range.endX, - yEnd + yEnd, ); layer.availability.addAvailableTileRange( availableLevel, range.startX, yStart, range.endX, - yEnd + yEnd, ); } } @@ -811,7 +810,7 @@ function createQuantizedMeshTerrainData(provider, buffer, level, x, y, layer) { rectangle, minimumHeight, maximumHeight, - provider._tilingScheme.ellipsoid + provider._tilingScheme.ellipsoid, ); return new QuantizedMeshTerrainData({ @@ -856,7 +855,7 @@ CesiumTerrainProvider.prototype.requestTileGeometry = function ( x, y, level, - request + request, ) { const layers = this._layers; let layerToUse; @@ -884,13 +883,13 @@ CesiumTerrainProvider.prototype.requestTileGeometry = function ( y, level, layer, - i === 0 + i === 0, ); if (availabilityUnloaded.result) { // We can't know yet since the availability is not yet loaded unknownAvailability = true; availabilityPromise = availabilityPromise.then( - () => availabilityUnloaded.promise + () => availabilityUnloaded.promise, ); } } @@ -937,7 +936,7 @@ function requestTileGeometry(provider, x, y, level, layerToUse, request) { extensionList.push( layerToUse.littleEndianExtensionSize ? "octvertexnormals" - : "vertexnormals" + : "vertexnormals", ); } if (provider._requestWaterMask && layerToUse.hasWaterMask) { @@ -998,7 +997,7 @@ function requestTileGeometry(provider, x, y, level, layerToUse, request) { level, x, y, - layerToUse + layerToUse, ); }); } @@ -1150,7 +1149,7 @@ Object.defineProperties(CesiumTerrainProvider.prototype, { * @returns {number} The maximum geometric error. */ CesiumTerrainProvider.prototype.getLevelMaximumGeometricError = function ( - level + level, ) { return this._levelZeroMaximumGeometricError / (1 << level); }; @@ -1238,11 +1237,10 @@ CesiumTerrainProvider.fromUrl = async function (url, options) { const terrainProviderBuilder = new TerrainProviderBuilder(options); terrainProviderBuilder.lastResource = resource; - terrainProviderBuilder.layerJsonResource = terrainProviderBuilder.lastResource.getDerivedResource( - { + terrainProviderBuilder.layerJsonResource = + terrainProviderBuilder.lastResource.getDerivedResource({ url: "layer.json", - } - ); + }); await requestLayerJson(terrainProviderBuilder); @@ -1301,7 +1299,7 @@ CesiumTerrainProvider.prototype.getTileDataAvailable = function (x, y, level) { CesiumTerrainProvider.prototype.loadTileDataAvailability = function ( x, y, - level + level, ) { if ( !defined(this._availability) || @@ -1383,7 +1381,7 @@ function checkLayer(provider, x, y, level, layer, topLayer) { tile.y, tile.level, layer, - request + request, ); if (defined(requestPromise)) { layer.availabilityPromiseCache[cacheKey] = requestPromise; diff --git a/packages/engine/Source/Core/Check.js b/packages/engine/Source/Core/Check.js index 83f0c9ab0b11..7fced35d2b39 100644 --- a/packages/engine/Source/Core/Check.js +++ b/packages/engine/Source/Core/Check.js @@ -43,7 +43,7 @@ Check.defined = function (name, test) { Check.typeOf.func = function (name, test) { if (typeof test !== "function") { throw new DeveloperError( - getFailedTypeErrorMessage(typeof test, "function", name) + getFailedTypeErrorMessage(typeof test, "function", name), ); } }; @@ -58,7 +58,7 @@ Check.typeOf.func = function (name, test) { Check.typeOf.string = function (name, test) { if (typeof test !== "string") { throw new DeveloperError( - getFailedTypeErrorMessage(typeof test, "string", name) + getFailedTypeErrorMessage(typeof test, "string", name), ); } }; @@ -73,7 +73,7 @@ Check.typeOf.string = function (name, test) { Check.typeOf.number = function (name, test) { if (typeof test !== "number") { throw new DeveloperError( - getFailedTypeErrorMessage(typeof test, "number", name) + getFailedTypeErrorMessage(typeof test, "number", name), ); } }; @@ -90,7 +90,7 @@ Check.typeOf.number.lessThan = function (name, test, limit) { Check.typeOf.number(name, test); if (test >= limit) { throw new DeveloperError( - `Expected ${name} to be less than ${limit}, actual value was ${test}` + `Expected ${name} to be less than ${limit}, actual value was ${test}`, ); } }; @@ -107,7 +107,7 @@ Check.typeOf.number.lessThanOrEquals = function (name, test, limit) { Check.typeOf.number(name, test); if (test > limit) { throw new DeveloperError( - `Expected ${name} to be less than or equal to ${limit}, actual value was ${test}` + `Expected ${name} to be less than or equal to ${limit}, actual value was ${test}`, ); } }; @@ -124,7 +124,7 @@ Check.typeOf.number.greaterThan = function (name, test, limit) { Check.typeOf.number(name, test); if (test <= limit) { throw new DeveloperError( - `Expected ${name} to be greater than ${limit}, actual value was ${test}` + `Expected ${name} to be greater than ${limit}, actual value was ${test}`, ); } }; @@ -141,7 +141,7 @@ Check.typeOf.number.greaterThanOrEquals = function (name, test, limit) { Check.typeOf.number(name, test); if (test < limit) { throw new DeveloperError( - `Expected ${name} to be greater than or equal to ${limit}, actual value was ${test}` + `Expected ${name} to be greater than or equal to ${limit}, actual value was ${test}`, ); } }; @@ -156,7 +156,7 @@ Check.typeOf.number.greaterThanOrEquals = function (name, test, limit) { Check.typeOf.object = function (name, test) { if (typeof test !== "object") { throw new DeveloperError( - getFailedTypeErrorMessage(typeof test, "object", name) + getFailedTypeErrorMessage(typeof test, "object", name), ); } }; @@ -171,7 +171,7 @@ Check.typeOf.object = function (name, test) { Check.typeOf.bool = function (name, test) { if (typeof test !== "boolean") { throw new DeveloperError( - getFailedTypeErrorMessage(typeof test, "boolean", name) + getFailedTypeErrorMessage(typeof test, "boolean", name), ); } }; @@ -186,7 +186,7 @@ Check.typeOf.bool = function (name, test) { Check.typeOf.bigint = function (name, test) { if (typeof test !== "bigint") { throw new DeveloperError( - getFailedTypeErrorMessage(typeof test, "bigint", name) + getFailedTypeErrorMessage(typeof test, "bigint", name), ); } }; @@ -205,7 +205,7 @@ Check.typeOf.number.equals = function (name1, name2, test1, test2) { Check.typeOf.number(name2, test2); if (test1 !== test2) { throw new DeveloperError( - `${name1} must be equal to ${name2}, the actual values are ${test1} and ${test2}` + `${name1} must be equal to ${name2}, the actual values are ${test1} and ${test2}`, ); } }; diff --git a/packages/engine/Source/Core/CircleGeometry.js b/packages/engine/Source/Core/CircleGeometry.js index c713363cbe71..0f4b0c2bf1e8 100644 --- a/packages/engine/Source/Core/CircleGeometry.js +++ b/packages/engine/Source/Core/CircleGeometry.js @@ -113,26 +113,26 @@ CircleGeometry.unpack = function (array, startingIndex, result) { const ellipseGeometry = EllipseGeometry.unpack( array, startingIndex, - scratchEllipseGeometry + scratchEllipseGeometry, ); scratchOptions.center = Cartesian3.clone( ellipseGeometry._center, - scratchOptions.center + scratchOptions.center, ); scratchOptions.ellipsoid = Ellipsoid.clone( ellipseGeometry._ellipsoid, - scratchOptions.ellipsoid + scratchOptions.ellipsoid, ); scratchOptions.ellipsoid = Ellipsoid.clone( ellipseGeometry._ellipsoid, - scratchEllipseGeometry._ellipsoid + scratchEllipseGeometry._ellipsoid, ); scratchOptions.height = ellipseGeometry._height; scratchOptions.extrudedHeight = ellipseGeometry._extrudedHeight; scratchOptions.granularity = ellipseGeometry._granularity; scratchOptions.vertexFormat = VertexFormat.clone( ellipseGeometry._vertexFormat, - scratchOptions.vertexFormat + scratchOptions.vertexFormat, ); scratchOptions.stRotation = ellipseGeometry._stRotation; scratchOptions.shadowVolume = ellipseGeometry._shadowVolume; @@ -164,7 +164,7 @@ CircleGeometry.createGeometry = function (circleGeometry) { CircleGeometry.createShadowVolume = function ( circleGeometry, minHeightFunc, - maxHeightFunc + maxHeightFunc, ) { const granularity = circleGeometry._ellipseGeometry._granularity; const ellipsoid = circleGeometry._ellipseGeometry._ellipsoid; diff --git a/packages/engine/Source/Core/CircleOutlineGeometry.js b/packages/engine/Source/Core/CircleOutlineGeometry.js index f7b29d09151c..98662f200a21 100644 --- a/packages/engine/Source/Core/CircleOutlineGeometry.js +++ b/packages/engine/Source/Core/CircleOutlineGeometry.js @@ -78,7 +78,7 @@ CircleOutlineGeometry.pack = function (value, array, startingIndex) { return EllipseOutlineGeometry.pack( value._ellipseGeometry, array, - startingIndex + startingIndex, ); }; @@ -111,15 +111,15 @@ CircleOutlineGeometry.unpack = function (array, startingIndex, result) { const ellipseGeometry = EllipseOutlineGeometry.unpack( array, startingIndex, - scratchEllipseGeometry + scratchEllipseGeometry, ); scratchOptions.center = Cartesian3.clone( ellipseGeometry._center, - scratchOptions.center + scratchOptions.center, ); scratchOptions.ellipsoid = Ellipsoid.clone( ellipseGeometry._ellipsoid, - scratchOptions.ellipsoid + scratchOptions.ellipsoid, ); scratchOptions.height = ellipseGeometry._height; scratchOptions.extrudedHeight = ellipseGeometry._extrudedHeight; diff --git a/packages/engine/Source/Core/Clock.js b/packages/engine/Source/Core/Clock.js index 8bdea4fbf34c..272b9dc78915 100644 --- a/packages/engine/Source/Core/Clock.js +++ b/packages/engine/Source/Core/Clock.js @@ -139,7 +139,7 @@ function Clock(options) { this.shouldAnimate = defaultValue(options.shouldAnimate, false); this.clockStep = defaultValue( options.clockStep, - ClockStep.SYSTEM_CLOCK_MULTIPLIER + ClockStep.SYSTEM_CLOCK_MULTIPLIER, ); } @@ -273,14 +273,14 @@ Clock.prototype.tick = function () { currentTime = JulianDate.addSeconds( currentTime, multiplier, - currentTime + currentTime, ); } else { const milliseconds = currentSystemTime - this._lastSystemTime; currentTime = JulianDate.addSeconds( currentTime, multiplier * (milliseconds / 1000.0), - currentTime + currentTime, ); } @@ -303,7 +303,7 @@ Clock.prototype.tick = function () { currentTime = JulianDate.addSeconds( startTime, JulianDate.secondsDifference(currentTime, stopTime), - currentTime + currentTime, ); this.onStop.raiseEvent(this); } diff --git a/packages/engine/Source/Core/Color.js b/packages/engine/Source/Core/Color.js index 23683732133b..ab6860c00d25 100644 --- a/packages/engine/Source/Core/Color.js +++ b/packages/engine/Source/Core/Color.js @@ -173,7 +173,7 @@ Color.fromRgba = function (rgba, result) { scratchUint8Array[1], scratchUint8Array[2], scratchUint8Array[3], - result + result, ); }; @@ -293,7 +293,7 @@ Color.fromRandom = function (options, result) { Check.typeOf.number.lessThanOrEquals( "minimumGreen", minimumGreen, - maximumGreen + maximumGreen, ); //>>includeEnd('debug'); green = @@ -310,7 +310,7 @@ Color.fromRandom = function (options, result) { Check.typeOf.number.lessThanOrEquals( "minimumBlue", minimumBlue, - maximumBlue + maximumBlue, ); //>>includeEnd('debug'); @@ -327,7 +327,7 @@ Color.fromRandom = function (options, result) { Check.typeOf.number.lessThanOrEquals( "minumumAlpha", minimumAlpha, - maximumAlpha + maximumAlpha, ); //>>includeEnd('debug'); @@ -350,11 +350,14 @@ Color.fromRandom = function (options, result) { //#rgba const rgbaMatcher = /^#([0-9a-f])([0-9a-f])([0-9a-f])([0-9a-f])?$/i; //#rrggbbaa -const rrggbbaaMatcher = /^#([0-9a-f]{2})([0-9a-f]{2})([0-9a-f]{2})([0-9a-f]{2})?$/i; +const rrggbbaaMatcher = + /^#([0-9a-f]{2})([0-9a-f]{2})([0-9a-f]{2})([0-9a-f]{2})?$/i; //rgb(), rgba(), or rgb%() -const rgbParenthesesMatcher = /^rgba?\s*\(\s*([0-9.]+%?)\s*[,\s]+\s*([0-9.]+%?)\s*[,\s]+\s*([0-9.]+%?)(?:\s*[,\s/]+\s*([0-9.]+))?\s*\)$/i; +const rgbParenthesesMatcher = + /^rgba?\s*\(\s*([0-9.]+%?)\s*[,\s]+\s*([0-9.]+%?)\s*[,\s]+\s*([0-9.]+%?)(?:\s*[,\s/]+\s*([0-9.]+))?\s*\)$/i; //hsl() or hsla() -const hslParenthesesMatcher = /^hsla?\s*\(\s*([0-9.]+)\s*[,\s]+\s*([0-9.]+%)\s*[,\s]+\s*([0-9.]+%)(?:\s*[,\s/]+\s*([0-9.]+))?\s*\)$/i; +const hslParenthesesMatcher = + /^hsla?\s*\(\s*([0-9.]+)\s*[,\s]+\s*([0-9.]+%)\s*[,\s]+\s*([0-9.]+%)(?:\s*[,\s/]+\s*([0-9.]+))?\s*\)$/i; /** * Creates a Color instance from a CSS color value. @@ -425,7 +428,7 @@ Color.fromCssColorString = function (color, result) { parseFloat(matches[2]) / 100.0, parseFloat(matches[3]) / 100.0, parseFloat(defaultValue(matches[4], "1.0")), - result + result, ); } diff --git a/packages/engine/Source/Core/ColorGeometryInstanceAttribute.js b/packages/engine/Source/Core/ColorGeometryInstanceAttribute.js index 1b409b4255b3..87992d602b2f 100644 --- a/packages/engine/Source/Core/ColorGeometryInstanceAttribute.js +++ b/packages/engine/Source/Core/ColorGeometryInstanceAttribute.js @@ -131,7 +131,7 @@ ColorGeometryInstanceAttribute.fromColor = function (color) { color.red, color.green, color.blue, - color.alpha + color.alpha, ); }; diff --git a/packages/engine/Source/Core/ComponentDatatype.js b/packages/engine/Source/Core/ComponentDatatype.js index 9e92afe2696b..4e6a3892148a 100644 --- a/packages/engine/Source/Core/ComponentDatatype.js +++ b/packages/engine/Source/Core/ComponentDatatype.js @@ -168,7 +168,7 @@ ComponentDatatype.fromTypedArray = function (array) { //>>includeStart('debug', pragmas.debug); throw new DeveloperError( - "array must be an Int8Array, Uint8Array, Int16Array, Uint16Array, Int32Array, Uint32Array, Float32Array, or Float64Array." + "array must be an Int8Array, Uint8Array, Int16Array, Uint16Array, Int32Array, Uint32Array, Float32Array, or Float64Array.", ); //>>includeEnd('debug'); }; @@ -213,7 +213,7 @@ ComponentDatatype.validate = function (componentDatatype) { */ ComponentDatatype.createTypedArray = function ( componentDatatype, - valuesOrLength + valuesOrLength, ) { //>>includeStart('debug', pragmas.debug); if (!defined(componentDatatype)) { @@ -263,7 +263,7 @@ ComponentDatatype.createArrayBufferView = function ( componentDatatype, buffer, byteOffset, - length + length, ) { //>>includeStart('debug', pragmas.debug); if (!defined(componentDatatype)) { @@ -278,7 +278,7 @@ ComponentDatatype.createArrayBufferView = function ( length = defaultValue( length, (buffer.byteLength - byteOffset) / - ComponentDatatype.getSizeInBytes(componentDatatype) + ComponentDatatype.getSizeInBytes(componentDatatype), ); switch (componentDatatype) { diff --git a/packages/engine/Source/Core/CompressedTextureBuffer.js b/packages/engine/Source/Core/CompressedTextureBuffer.js index 0974f06d5305..f9f3fe7d76d6 100644 --- a/packages/engine/Source/Core/CompressedTextureBuffer.js +++ b/packages/engine/Source/Core/CompressedTextureBuffer.js @@ -16,7 +16,7 @@ function CompressedTextureBuffer( pixelDatatype, width, height, - buffer + buffer, ) { this._format = internalFormat; this._datatype = pixelDatatype; @@ -110,7 +110,7 @@ CompressedTextureBuffer.clone = function (object) { object._datatype, object._width, object._height, - object._buffer + object._buffer, ); }; diff --git a/packages/engine/Source/Core/ConstantSpline.js b/packages/engine/Source/Core/ConstantSpline.js index 032003de3a3f..858330ec09ac 100644 --- a/packages/engine/Source/Core/ConstantSpline.js +++ b/packages/engine/Source/Core/ConstantSpline.js @@ -58,7 +58,7 @@ Object.defineProperties(ConstantSpline.prototype, { ConstantSpline.prototype.findTimeInterval = function (time) { //>>includeStart('debug', pragmas.debug); throw new DeveloperError( - "findTimeInterval cannot be called on a ConstantSpline." + "findTimeInterval cannot be called on a ConstantSpline.", ); //>>includeEnd('debug'); }; diff --git a/packages/engine/Source/Core/CoplanarPolygonGeometry.js b/packages/engine/Source/Core/CoplanarPolygonGeometry.js index eba53cf61bd7..8d2c7def35cb 100644 --- a/packages/engine/Source/Core/CoplanarPolygonGeometry.js +++ b/packages/engine/Source/Core/CoplanarPolygonGeometry.js @@ -47,7 +47,7 @@ function createGeometryFromPolygon( projectPointTo2D, normal, tangent, - bitangent + bitangent, ) { const positions = polygon.positions; let indices = PolygonPipeline.triangulate(polygon.positions2D, polygon.holes); @@ -59,7 +59,7 @@ function createGeometryFromPolygon( const newIndices = IndexDatatype.createTypedArray( positions.length, - indices.length + indices.length, ); newIndices.set(indices); @@ -68,7 +68,7 @@ function createGeometryFromPolygon( let rotation = Quaternion.fromAxisAngle( normal, stRotation, - quaternionScratch + quaternionScratch, ); textureMatrix = Matrix3.fromQuaternion(rotation, textureMatrix); @@ -76,21 +76,21 @@ function createGeometryFromPolygon( rotation = Quaternion.fromAxisAngle( normal, -stRotation, - quaternionScratch + quaternionScratch, ); const tangentRotation = Matrix3.fromQuaternion( rotation, - tangentRotationScratch + tangentRotationScratch, ); tangent = Cartesian3.normalize( Matrix3.multiplyByVector(tangentRotation, tangent, tangent), - tangent + tangent, ); if (vertexFormat.bitangent) { bitangent = Cartesian3.normalize( Cartesian3.cross(normal, tangent, bitangent), - bitangent + bitangent, ); } } @@ -141,7 +141,7 @@ function createGeometryFromPolygon( const p = Matrix3.multiplyByVector( textureMatrix, position, - scratchPosition + scratchPosition, ); const st = projectPointTo2D(p, stScratch); Cartesian2.subtract(st, stOrigin, st); @@ -259,7 +259,7 @@ function CoplanarPolygonGeometry(options) { this._polygonHierarchy = polygonHierarchy; this._stRotation = defaultValue(options.stRotation, 0.0); this._ellipsoid = Ellipsoid.clone( - defaultValue(options.ellipsoid, Ellipsoid.default) + defaultValue(options.ellipsoid, Ellipsoid.default), ); this._workerName = "createCoplanarPolygonGeometry"; this._textureCoordinates = textureCoordinates; @@ -271,14 +271,14 @@ function CoplanarPolygonGeometry(options) { this.packedLength = PolygonGeometryLibrary.computeHierarchyPackedLength( polygonHierarchy, - Cartesian3 + Cartesian3, ) + VertexFormat.packedLength + Ellipsoid.packedLength + (defined(textureCoordinates) ? PolygonGeometryLibrary.computeHierarchyPackedLength( textureCoordinates, - Cartesian2 + Cartesian2, ) : 1) + 2; @@ -350,7 +350,7 @@ CoplanarPolygonGeometry.pack = function (value, array, startingIndex) { value._polygonHierarchy, array, startingIndex, - Cartesian3 + Cartesian3, ); Ellipsoid.pack(value._ellipsoid, array, startingIndex); @@ -365,7 +365,7 @@ CoplanarPolygonGeometry.pack = function (value, array, startingIndex) { value._textureCoordinates, array, startingIndex, - Cartesian2 + Cartesian2, ); } else { array[startingIndex++] = -1.0; @@ -398,7 +398,7 @@ CoplanarPolygonGeometry.unpack = function (array, startingIndex, result) { const polygonHierarchy = PolygonGeometryLibrary.unpackPolygonHierarchy( array, startingIndex, - Cartesian3 + Cartesian3, ); startingIndex = polygonHierarchy.startingIndex; delete polygonHierarchy.startingIndex; @@ -409,7 +409,7 @@ CoplanarPolygonGeometry.unpack = function (array, startingIndex, result) { const vertexFormat = VertexFormat.unpack( array, startingIndex, - scratchVertexFormat + scratchVertexFormat, ); startingIndex += VertexFormat.packedLength; @@ -420,7 +420,7 @@ CoplanarPolygonGeometry.unpack = function (array, startingIndex, result) { : PolygonGeometryLibrary.unpackPolygonHierarchy( array, startingIndex, - Cartesian2 + Cartesian2, ); if (defined(textureCoordinates)) { startingIndex = textureCoordinates.startingIndex; @@ -461,7 +461,7 @@ CoplanarPolygonGeometry.createGeometry = function (polygonGeometry) { outerPositions = arrayRemoveDuplicates( outerPositions, Cartesian3.equalsEpsilon, - true + true, ); if (outerPositions.length < 3) { return; @@ -473,12 +473,13 @@ CoplanarPolygonGeometry.createGeometry = function (polygonGeometry) { let axis1 = axis1Scratch; const axis2 = axis2Scratch; - const validGeometry = CoplanarPolygonGeometryLibrary.computeProjectTo2DArguments( - outerPositions, - centerScratch, - axis1, - axis2 - ); + const validGeometry = + CoplanarPolygonGeometryLibrary.computeProjectTo2DArguments( + outerPositions, + centerScratch, + axis1, + axis2, + ); if (!validGeometry) { return undefined; } @@ -490,12 +491,12 @@ CoplanarPolygonGeometry.createGeometry = function (polygonGeometry) { !Cartesian3.equalsEpsilon( centerScratch, Cartesian3.ZERO, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ) ) { const surfaceNormal = polygonGeometry._ellipsoid.geodeticSurfaceNormal( centerScratch, - surfaceNormalScratch + surfaceNormalScratch, ); if (Cartesian3.dot(normal, surfaceNormal) < 0) { normal = Cartesian3.negate(normal, normal); @@ -503,16 +504,18 @@ CoplanarPolygonGeometry.createGeometry = function (polygonGeometry) { } } - const projectPoints = CoplanarPolygonGeometryLibrary.createProjectPointsTo2DFunction( - centerScratch, - axis1, - axis2 - ); - const projectPoint = CoplanarPolygonGeometryLibrary.createProjectPointTo2DFunction( - centerScratch, - axis1, - axis2 - ); + const projectPoints = + CoplanarPolygonGeometryLibrary.createProjectPointsTo2DFunction( + centerScratch, + axis1, + axis2, + ); + const projectPoint = + CoplanarPolygonGeometryLibrary.createProjectPointTo2DFunction( + centerScratch, + axis1, + axis2, + ); if (vertexFormat.tangent) { tangent = Cartesian3.clone(axis1, tangent); @@ -525,7 +528,7 @@ CoplanarPolygonGeometry.createGeometry = function (polygonGeometry) { polygonHierarchy, hasTextureCoordinates, projectPoints, - false + false, ); const hierarchy = results.hierarchy; const polygons = results.polygons; @@ -539,7 +542,7 @@ CoplanarPolygonGeometry.createGeometry = function (polygonGeometry) { textureCoordinates, true, dummyFunction, - false + false, ).polygons : undefined; @@ -554,7 +557,7 @@ CoplanarPolygonGeometry.createGeometry = function (polygonGeometry) { projectPoint, outerPositions, stRotation, - scratchBR + scratchBR, ); const geometries = []; @@ -569,7 +572,7 @@ CoplanarPolygonGeometry.createGeometry = function (polygonGeometry) { projectPoint, normal, tangent, - bitangent + bitangent, ), }); @@ -578,11 +581,11 @@ CoplanarPolygonGeometry.createGeometry = function (polygonGeometry) { const geometry = GeometryPipeline.combineInstances(geometries)[0]; geometry.attributes.position.values = new Float64Array( - geometry.attributes.position.values + geometry.attributes.position.values, ); geometry.indices = IndexDatatype.createTypedArray( geometry.attributes.position.values.length / 3, - geometry.indices + geometry.indices, ); const attributes = geometry.attributes; diff --git a/packages/engine/Source/Core/CoplanarPolygonGeometryLibrary.js b/packages/engine/Source/Core/CoplanarPolygonGeometryLibrary.js index 8137fc757af9..7445eb60a38a 100644 --- a/packages/engine/Source/Core/CoplanarPolygonGeometryLibrary.js +++ b/packages/engine/Source/Core/CoplanarPolygonGeometryLibrary.js @@ -22,7 +22,7 @@ CoplanarPolygonGeometryLibrary.validOutline = function (positions) { const orientedBoundingBox = OrientedBoundingBox.fromPoints( positions, - obbScratch + obbScratch, ); const halfAxes = orientedBoundingBox.halfAxes; const xAxis = Matrix3.getColumn(halfAxes, 0, scratchXAxis); @@ -45,7 +45,7 @@ CoplanarPolygonGeometryLibrary.computeProjectTo2DArguments = function ( positions, centerResult, planeAxis1Result, - planeAxis2Result + planeAxis2Result, ) { //>>includeStart('debug', pragmas.debug); Check.defined("positions", positions); @@ -56,7 +56,7 @@ CoplanarPolygonGeometryLibrary.computeProjectTo2DArguments = function ( const orientedBoundingBox = OrientedBoundingBox.fromPoints( positions, - obbScratch + obbScratch, ); const halfAxes = orientedBoundingBox.halfAxes; const xAxis = Matrix3.getColumn(halfAxes, 0, scratchXAxis); @@ -108,7 +108,7 @@ function projectTo2D(position, center, axis1, axis2, result) { CoplanarPolygonGeometryLibrary.createProjectPointsTo2DFunction = function ( center, axis1, - axis2 + axis2, ) { return function (positions) { const positionResults = new Array(positions.length); @@ -123,7 +123,7 @@ CoplanarPolygonGeometryLibrary.createProjectPointsTo2DFunction = function ( CoplanarPolygonGeometryLibrary.createProjectPointTo2DFunction = function ( center, axis1, - axis2 + axis2, ) { return function (position, result) { return projectTo2D(position, center, axis1, axis2, result); diff --git a/packages/engine/Source/Core/CoplanarPolygonOutlineGeometry.js b/packages/engine/Source/Core/CoplanarPolygonOutlineGeometry.js index 0f9009676708..011799c84f8d 100644 --- a/packages/engine/Source/Core/CoplanarPolygonOutlineGeometry.js +++ b/packages/engine/Source/Core/CoplanarPolygonOutlineGeometry.js @@ -87,7 +87,7 @@ function CoplanarPolygonOutlineGeometry(options) { this.packedLength = PolygonGeometryLibrary.computeHierarchyPackedLength( polygonHierarchy, - Cartesian3 + Cartesian3, ) + 1; } @@ -134,7 +134,7 @@ CoplanarPolygonOutlineGeometry.pack = function (value, array, startingIndex) { value._polygonHierarchy, array, startingIndex, - Cartesian3 + Cartesian3, ); array[startingIndex] = value.packedLength; @@ -156,7 +156,7 @@ const scratchOptions = { CoplanarPolygonOutlineGeometry.unpack = function ( array, startingIndex, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.defined("array", array); @@ -167,7 +167,7 @@ CoplanarPolygonOutlineGeometry.unpack = function ( const polygonHierarchy = PolygonGeometryLibrary.unpackPolygonHierarchy( array, startingIndex, - Cartesian3 + Cartesian3, ); startingIndex = polygonHierarchy.startingIndex; delete polygonHierarchy.startingIndex; @@ -196,7 +196,7 @@ CoplanarPolygonOutlineGeometry.createGeometry = function (polygonGeometry) { outerPositions = arrayRemoveDuplicates( outerPositions, Cartesian3.equalsEpsilon, - true + true, ); if (outerPositions.length < 3) { return; @@ -208,7 +208,7 @@ CoplanarPolygonOutlineGeometry.createGeometry = function (polygonGeometry) { const polygons = PolygonGeometryLibrary.polygonOutlinesFromHierarchy( polygonHierarchy, - false + false, ); if (polygons.length === 0) { diff --git a/packages/engine/Source/Core/CorridorGeometry.js b/packages/engine/Source/Core/CorridorGeometry.js index 4e80a59f09e5..0b5ead6bfcc0 100644 --- a/packages/engine/Source/Core/CorridorGeometry.js +++ b/packages/engine/Source/Core/CorridorGeometry.js @@ -43,7 +43,7 @@ function addNormals(attr, normal, left, front, back, vertexFormat) { const bitangents = attr.bitangents; const forward = Cartesian3.normalize( Cartesian3.cross(left, normal, scratch1), - scratch1 + scratch1, ); if (vertexFormat.normal) { CorridorGeometryLibrary.addAttribute(normals, normal, front, back); @@ -133,19 +133,19 @@ function combine(computedPositions, vertexFormat, ellipsoid) { leftPos = Cartesian3.fromArray( firstEndPositions, (halfLength - 1 - i) * 3, - leftPos + leftPos, ); rightPos = Cartesian3.fromArray( firstEndPositions, (halfLength + i) * 3, - rightPos + rightPos, ); CorridorGeometryLibrary.addAttribute(finalPositions, rightPos, front); CorridorGeometryLibrary.addAttribute( finalPositions, leftPos, undefined, - back + back, ); addNormals(attr, normal, left, front, back, vertexFormat); @@ -179,15 +179,15 @@ function combine(computedPositions, vertexFormat, ellipsoid) { for (i = 0; i < length; i += 3) { rightNormal = ellipsoid.geodeticSurfaceNormal( Cartesian3.fromArray(rightEdge, i, scratch1), - scratch1 + scratch1, ); leftNormal = ellipsoid.geodeticSurfaceNormal( Cartesian3.fromArray(leftEdge, length - i, scratch2), - scratch2 + scratch2, ); normal = Cartesian3.normalize( Cartesian3.add(rightNormal, leftNormal, normal), - normal + normal, ); addNormals(attr, normal, left, front, back, vertexFormat); @@ -208,15 +208,15 @@ function combine(computedPositions, vertexFormat, ellipsoid) { rightNormal = ellipsoid.geodeticSurfaceNormal( Cartesian3.fromArray(rightEdge, length, scratch1), - scratch1 + scratch1, ); leftNormal = ellipsoid.geodeticSurfaceNormal( Cartesian3.fromArray(leftEdge, length, scratch2), - scratch2 + scratch2, ); normal = Cartesian3.normalize( Cartesian3.add(rightNormal, leftNormal, normal), - normal + normal, ); compIndex += 3; for (i = 0; i < corners.length; i++) { @@ -244,17 +244,17 @@ function combine(computedPositions, vertexFormat, ellipsoid) { finalPositions, outsidePoint, undefined, - back + back, ); previousPoint = Cartesian3.fromArray( finalPositions, (start - j - 1) * 3, - previousPoint + previousPoint, ); nextPoint = Cartesian3.fromArray(finalPositions, pivot * 3, nextPoint); left = Cartesian3.normalize( Cartesian3.subtract(previousPoint, nextPoint, left), - left + left, ); addNormals(attr, normal, left, undefined, back, vertexFormat); back -= 3; @@ -262,21 +262,21 @@ function combine(computedPositions, vertexFormat, ellipsoid) { outsidePoint = Cartesian3.fromArray( finalPositions, pivot * 3, - outsidePoint + outsidePoint, ); previousPoint = Cartesian3.subtract( Cartesian3.fromArray(finalPositions, start * 3, previousPoint), outsidePoint, - previousPoint + previousPoint, ); nextPoint = Cartesian3.subtract( Cartesian3.fromArray(finalPositions, (start - j) * 3, nextPoint), outsidePoint, - nextPoint + nextPoint, ); left = Cartesian3.normalize( Cartesian3.add(previousPoint, nextPoint, left), - left + left, ); addNormals(attr, normal, left, front, undefined, vertexFormat); front += 3; @@ -293,21 +293,21 @@ function combine(computedPositions, vertexFormat, ellipsoid) { CorridorGeometryLibrary.addAttribute( finalPositions, outsidePoint, - front + front, ); previousPoint = Cartesian3.fromArray( finalPositions, pivot * 3, - previousPoint + previousPoint, ); nextPoint = Cartesian3.fromArray( finalPositions, (start + j) * 3, - nextPoint + nextPoint, ); left = Cartesian3.normalize( Cartesian3.subtract(previousPoint, nextPoint, left), - left + left, ); addNormals(attr, normal, left, front, undefined, vertexFormat); front += 3; @@ -315,21 +315,21 @@ function combine(computedPositions, vertexFormat, ellipsoid) { outsidePoint = Cartesian3.fromArray( finalPositions, pivot * 3, - outsidePoint + outsidePoint, ); previousPoint = Cartesian3.subtract( Cartesian3.fromArray(finalPositions, (start + j) * 3, previousPoint), outsidePoint, - previousPoint + previousPoint, ); nextPoint = Cartesian3.subtract( Cartesian3.fromArray(finalPositions, start * 3, nextPoint), outsidePoint, - nextPoint + nextPoint, ); left = Cartesian3.normalize( Cartesian3.negate(Cartesian3.add(nextPoint, previousPoint, left), left), - left + left, ); addNormals(attr, normal, left, undefined, back, vertexFormat); back -= 3; @@ -347,15 +347,15 @@ function combine(computedPositions, vertexFormat, ellipsoid) { for (j = 0; j < leftEdge.length; j += 3) { rightNormal = ellipsoid.geodeticSurfaceNormal( Cartesian3.fromArray(rightEdge, j, scratch1), - scratch1 + scratch1, ); leftNormal = ellipsoid.geodeticSurfaceNormal( Cartesian3.fromArray(leftEdge, length - j, scratch2), - scratch2 + scratch2, ); normal = Cartesian3.normalize( Cartesian3.add(rightNormal, leftNormal, normal), - normal + normal, ); addNormals(attr, normal, left, front, back, vertexFormat); @@ -379,7 +379,7 @@ function combine(computedPositions, vertexFormat, ellipsoid) { normal = Cartesian3.fromArray( computedNormals, computedNormals.length - 3, - normal + normal, ); addNormals(attr, normal, left, front, back, vertexFormat); @@ -394,14 +394,14 @@ function combine(computedPositions, vertexFormat, ellipsoid) { leftPos = Cartesian3.fromArray( lastEndPositions, (endPositionLength - i - 1) * 3, - leftPos + leftPos, ); rightPos = Cartesian3.fromArray(lastEndPositions, i * 3, rightPos); CorridorGeometryLibrary.addAttribute( finalPositions, leftPos, undefined, - back + back, ); CorridorGeometryLibrary.addAttribute(finalPositions, rightPos, front); addNormals(attr, normal, left, front, back, vertexFormat); @@ -573,33 +573,33 @@ function extrudedAttributes(attributes, vertexFormat) { bottomPosition = Cartesian3.fromArray( positions, i + threeSize, - bottomPosition + bottomPosition, ); previousPosition = Cartesian3.fromArray( positions, (i + 3) % threeSize, - previousPosition + previousPosition, ); bottomPosition = Cartesian3.subtract( bottomPosition, topPosition, - bottomPosition + bottomPosition, ); previousPosition = Cartesian3.subtract( previousPosition, topPosition, - previousPosition + previousPosition, ); normal = Cartesian3.normalize( Cartesian3.cross(bottomPosition, previousPosition, normal), - normal + normal, ); if (vertexFormat.normal) { CorridorGeometryLibrary.addAttribute(normals, normal, attrIndexOffset); CorridorGeometryLibrary.addAttribute( normals, normal, - attrIndexOffset + 3 + attrIndexOffset + 3, ); CorridorGeometryLibrary.addAttribute(normals, normal, attrIndex); CorridorGeometryLibrary.addAttribute(normals, normal, attrIndex + 3); @@ -610,45 +610,45 @@ function extrudedAttributes(attributes, vertexFormat) { CorridorGeometryLibrary.addAttribute( bitangents, bitangent, - attrIndexOffset + attrIndexOffset, ); CorridorGeometryLibrary.addAttribute( bitangents, bitangent, - attrIndexOffset + 3 + attrIndexOffset + 3, ); CorridorGeometryLibrary.addAttribute( bitangents, bitangent, - attrIndex + attrIndex, ); CorridorGeometryLibrary.addAttribute( bitangents, bitangent, - attrIndex + 3 + attrIndex + 3, ); } if (vertexFormat.tangent) { tangent = Cartesian3.normalize( Cartesian3.cross(bitangent, normal, tangent), - tangent + tangent, ); CorridorGeometryLibrary.addAttribute( tangents, tangent, - attrIndexOffset + attrIndexOffset, ); CorridorGeometryLibrary.addAttribute( tangents, tangent, - attrIndexOffset + 3 + attrIndexOffset + 3, ); CorridorGeometryLibrary.addAttribute(tangents, tangent, attrIndex); CorridorGeometryLibrary.addAttribute( tangents, tangent, - attrIndex + 3 + attrIndex + 3, ); } } @@ -758,18 +758,18 @@ function computePositionsExtruded(params, vertexFormat) { positions = PolygonPipeline.scaleToGeodeticHeight( positions, height, - ellipsoid + ellipsoid, ); wallPositions = addWallPositions(positions, 0, wallPositions); extrudedPositions = PolygonPipeline.scaleToGeodeticHeight( extrudedPositions, extrudedHeight, - ellipsoid + ellipsoid, ); wallPositions = addWallPositions( extrudedPositions, length * 2, - wallPositions + wallPositions, ); newPositions.set(positions); newPositions.set(extrudedPositions, length); @@ -821,7 +821,7 @@ function computePositionsExtruded(params, vertexFormat) { const twoSize = size + size; const newIndices = IndexDatatype.createTypedArray( newPositions.length / 3, - iLength * 2 + twoSize * 3 + iLength * 2 + twoSize * 3, ); newIndices.set(indices); let index = iLength; @@ -867,20 +867,20 @@ function computeOffsetPoints( ellipsoid, halfWidth, min, - max + max, ) { // Compute direction of offset the point const direction = Cartesian3.subtract( position2, position1, - scratchCartesian1 + scratchCartesian1, ); Cartesian3.normalize(direction, direction); const normal = ellipsoid.geodeticSurfaceNormal(position1, scratchCartesian2); const offsetDirection = Cartesian3.cross( direction, normal, - scratchCartesian1 + scratchCartesian1, ); Cartesian3.multiplyByScalar(offsetDirection, halfWidth, offsetDirection); @@ -925,7 +925,7 @@ function computeRectangle(positions, ellipsoid, width, cornerType, result) { positions = scaleToSurface(positions, ellipsoid); const cleanPositions = arrayRemoveDuplicates( positions, - Cartesian3.equalsEpsilon + Cartesian3.equalsEpsilon, ); const length = cleanPositions.length; if (length < 2 || width <= 0) { @@ -947,31 +947,31 @@ function computeRectangle(positions, ellipsoid, width, cornerType, result) { Cartesian3.multiplyByScalar( scratchCartesianOffset, halfWidth, - scratchCartesianOffset + scratchCartesianOffset, ); Cartesian3.add(first, scratchCartesianOffset, scratchCartesianEnds); ellipsoid.cartesianToCartographic( scratchCartesianEnds, - scratchCartographic + scratchCartographic, ); lat = scratchCartographic.latitude; lon = scratchCartographic.longitude; scratchCartographicMin.latitude = Math.min( scratchCartographicMin.latitude, - lat + lat, ); scratchCartographicMin.longitude = Math.min( scratchCartographicMin.longitude, - lon + lon, ); scratchCartographicMax.latitude = Math.max( scratchCartographicMax.latitude, - lat + lat, ); scratchCartographicMax.longitude = Math.max( scratchCartographicMax.longitude, - lon + lon, ); } @@ -983,7 +983,7 @@ function computeRectangle(positions, ellipsoid, width, cornerType, result) { ellipsoid, halfWidth, scratchCartographicMin, - scratchCartographicMax + scratchCartographicMax, ); } @@ -994,7 +994,7 @@ function computeRectangle(positions, ellipsoid, width, cornerType, result) { Cartesian3.multiplyByScalar( scratchCartesianOffset, halfWidth, - scratchCartesianOffset + scratchCartesianOffset, ); Cartesian3.add(last, scratchCartesianOffset, scratchCartesianEnds); computeOffsetPoints( @@ -1003,32 +1003,32 @@ function computeRectangle(positions, ellipsoid, width, cornerType, result) { ellipsoid, halfWidth, scratchCartographicMin, - scratchCartographicMax + scratchCartographicMax, ); if (cornerType === CornerType.ROUNDED) { // Compute end cap ellipsoid.cartesianToCartographic( scratchCartesianEnds, - scratchCartographic + scratchCartographic, ); lat = scratchCartographic.latitude; lon = scratchCartographic.longitude; scratchCartographicMin.latitude = Math.min( scratchCartographicMin.latitude, - lat + lat, ); scratchCartographicMin.longitude = Math.min( scratchCartographicMin.longitude, - lon + lon, ); scratchCartographicMax.latitude = Math.max( scratchCartographicMax.latitude, - lat + lat, ); scratchCartographicMax.longitude = Math.max( scratchCartographicMax.longitude, - lon + lon, ); } @@ -1084,10 +1084,10 @@ function CorridorGeometry(options) { this._positions = positions; this._ellipsoid = Ellipsoid.clone( - defaultValue(options.ellipsoid, Ellipsoid.default) + defaultValue(options.ellipsoid, Ellipsoid.default), ); this._vertexFormat = VertexFormat.clone( - defaultValue(options.vertexFormat, VertexFormat.DEFAULT) + defaultValue(options.vertexFormat, VertexFormat.DEFAULT), ); this._width = width; this._height = Math.max(height, extrudedHeight); @@ -1095,7 +1095,7 @@ function CorridorGeometry(options) { this._cornerType = defaultValue(options.cornerType, CornerType.ROUNDED); this._granularity = defaultValue( options.granularity, - CesiumMath.RADIANS_PER_DEGREE + CesiumMath.RADIANS_PER_DEGREE, ); this._shadowVolume = defaultValue(options.shadowVolume, false); this._workerName = "createCorridorGeometry"; @@ -1199,7 +1199,7 @@ CorridorGeometry.unpack = function (array, startingIndex, result) { const vertexFormat = VertexFormat.unpack( array, startingIndex, - scratchVertexFormat + scratchVertexFormat, ); startingIndex += VertexFormat.packedLength; @@ -1282,7 +1282,7 @@ CorridorGeometry.createGeometry = function (corridorGeometry) { positions = scaleToSurface(positions, ellipsoid); const cleanPositions = arrayRemoveDuplicates( positions, - Cartesian3.equalsEpsilon + Cartesian3.equalsEpsilon, ); if (cleanPositions.length < 2 || width <= 0) { @@ -1295,7 +1295,7 @@ CorridorGeometry.createGeometry = function (corridorGeometry) { height, extrudedHeight, 0, - CesiumMath.EPSILON2 + CesiumMath.EPSILON2, ); const vertexFormat = corridorGeometry._vertexFormat; @@ -1320,7 +1320,7 @@ CorridorGeometry.createGeometry = function (corridorGeometry) { attr.attributes.position.values = PolygonPipeline.scaleToGeodeticHeight( attr.attributes.position.values, height, - ellipsoid + ellipsoid, ); if (defined(corridorGeometry._offsetAttribute)) { @@ -1341,7 +1341,7 @@ CorridorGeometry.createGeometry = function (corridorGeometry) { const boundingSphere = BoundingSphere.fromVertices( attributes.position.values, undefined, - 3 + 3, ); if (!vertexFormat.position) { attr.attributes.position.values = undefined; @@ -1362,7 +1362,7 @@ CorridorGeometry.createGeometry = function (corridorGeometry) { CorridorGeometry.createShadowVolume = function ( corridorGeometry, minHeightFunc, - maxHeightFunc + maxHeightFunc, ) { const granularity = corridorGeometry._granularity; const ellipsoid = corridorGeometry._ellipsoid; @@ -1394,7 +1394,7 @@ Object.defineProperties(CorridorGeometry.prototype, { this._positions, this._ellipsoid, this._width, - this._cornerType + this._cornerType, ); } return this._rectangle; diff --git a/packages/engine/Source/Core/CorridorGeometryLibrary.js b/packages/engine/Source/Core/CorridorGeometryLibrary.js index f564f6b85513..42bbc3031485 100644 --- a/packages/engine/Source/Core/CorridorGeometryLibrary.js +++ b/packages/engine/Source/Core/CorridorGeometryLibrary.js @@ -37,11 +37,11 @@ function computeRoundCorner( startPoint, endPoint, cornerType, - leftIsOutside + leftIsOutside, ) { const angle = Cartesian3.angleBetween( Cartesian3.subtract(startPoint, cornerPoint, scratch1), - Cartesian3.subtract(endPoint, cornerPoint, scratch2) + Cartesian3.subtract(endPoint, cornerPoint, scratch2), ); const granularity = cornerType === CornerType.BEVELED @@ -61,14 +61,14 @@ function computeRoundCorner( Quaternion.fromAxisAngle( Cartesian3.negate(cornerPoint, scratch1), angle / granularity, - quaterion + quaterion, ), - rotMatrix + rotMatrix, ); } else { m = Matrix3.fromQuaternion( Quaternion.fromAxisAngle(cornerPoint, angle / granularity, quaterion), - rotMatrix + rotMatrix, ); } @@ -93,7 +93,7 @@ function addEndCaps(calculatedPositions) { startPoint = Cartesian3.fromArray( calculatedPositions[1], leftEdge.length - 3, - startPoint + startPoint, ); endPoint = Cartesian3.fromArray(calculatedPositions[0], 0, endPoint); cornerPoint = Cartesian3.midpoint(startPoint, endPoint, cornerPoint); @@ -102,7 +102,7 @@ function addEndCaps(calculatedPositions) { startPoint, endPoint, CornerType.ROUNDED, - false + false, ); const length = calculatedPositions.length - 1; @@ -111,7 +111,7 @@ function addEndCaps(calculatedPositions) { startPoint = Cartesian3.fromArray( rightEdge, rightEdge.length - 3, - startPoint + startPoint, ); endPoint = Cartesian3.fromArray(leftEdge, 0, endPoint); cornerPoint = Cartesian3.midpoint(startPoint, endPoint, cornerPoint); @@ -120,7 +120,7 @@ function addEndCaps(calculatedPositions) { startPoint, endPoint, CornerType.ROUNDED, - false + false, ); return [firstEndCap, lastEndCap]; @@ -130,7 +130,7 @@ function computeMiteredCorner( position, leftCornerDirection, lastPoint, - leftIsOutside + leftIsOutside, ) { let cornerPoint = scratch1; if (leftIsOutside) { @@ -138,7 +138,7 @@ function computeMiteredCorner( } else { leftCornerDirection = Cartesian3.negate( leftCornerDirection, - leftCornerDirection + leftCornerDirection, ); cornerPoint = Cartesian3.add(position, leftCornerDirection, cornerPoint); } @@ -184,7 +184,7 @@ CorridorGeometryLibrary.addAttribute = function ( attribute, value, front, - back + back, ) { const x = value.x; const y = value.y; @@ -232,7 +232,7 @@ CorridorGeometryLibrary.computePositions = function (params) { forward = Cartesian3.normalize( Cartesian3.subtract(nextPosition, position, forward), - forward + forward, ); normal = ellipsoid.geodeticSurfaceNormal(position, normal); left = Cartesian3.normalize(Cartesian3.cross(normal, forward, left), left); @@ -254,17 +254,17 @@ CorridorGeometryLibrary.computePositions = function (params) { nextPosition = positions[i + 1]; forward = Cartesian3.normalize( Cartesian3.subtract(nextPosition, position, forward), - forward + forward, ); cornerDirection = Cartesian3.normalize( Cartesian3.add(forward, backward, cornerDirection), - cornerDirection + cornerDirection, ); const forwardProjection = Cartesian3.multiplyByScalar( normal, Cartesian3.dot(forward, normal), - scratchForwardProjection + scratchForwardProjection, ); Cartesian3.subtract(forward, forwardProjection, forwardProjection); Cartesian3.normalize(forwardProjection, forwardProjection); @@ -272,7 +272,7 @@ CorridorGeometryLibrary.computePositions = function (params) { const backwardProjection = Cartesian3.multiplyByScalar( normal, Cartesian3.dot(backward, normal), - scratchBackwardProjection + scratchBackwardProjection, ); Cartesian3.subtract(backward, backwardProjection, backwardProjection); Cartesian3.normalize(backwardProjection, backwardProjection); @@ -280,19 +280,19 @@ CorridorGeometryLibrary.computePositions = function (params) { const doCorner = !CesiumMath.equalsEpsilon( Math.abs(Cartesian3.dot(forwardProjection, backwardProjection)), 1.0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); if (doCorner) { cornerDirection = Cartesian3.cross( cornerDirection, normal, - cornerDirection + cornerDirection, ); cornerDirection = Cartesian3.cross( normal, cornerDirection, - cornerDirection + cornerDirection, ); cornerDirection = Cartesian3.normalize(cornerDirection, cornerDirection); const scalar = @@ -300,31 +300,31 @@ CorridorGeometryLibrary.computePositions = function (params) { Math.max( 0.25, Cartesian3.magnitude( - Cartesian3.cross(cornerDirection, backward, scratch1) - ) + Cartesian3.cross(cornerDirection, backward, scratch1), + ), ); const leftIsOutside = PolylineVolumeGeometryLibrary.angleIsGreaterThanPi( forward, backward, position, - ellipsoid + ellipsoid, ); cornerDirection = Cartesian3.multiplyByScalar( cornerDirection, scalar, - cornerDirection + cornerDirection, ); if (leftIsOutside) { rightPos = Cartesian3.add(position, cornerDirection, rightPos); center = Cartesian3.add( rightPos, Cartesian3.multiplyByScalar(left, width, center), - center + center, ); leftPos = Cartesian3.add( rightPos, Cartesian3.multiplyByScalar(left, width * 2, leftPos), - leftPos + leftPos, ); scaleArray2[0] = Cartesian3.clone(previousPos, scaleArray2[0]); scaleArray2[1] = Cartesian3.clone(center, scaleArray2[1]); @@ -337,7 +337,7 @@ CorridorGeometryLibrary.computePositions = function (params) { subdividedPositions, left, width, - calculatedPositions + calculatedPositions, ); if (saveAttributes) { calculatedLefts.push(left.x, left.y, left.z); @@ -346,17 +346,17 @@ CorridorGeometryLibrary.computePositions = function (params) { startPoint = Cartesian3.clone(leftPos, startPoint); left = Cartesian3.normalize( Cartesian3.cross(normal, forward, left), - left + left, ); leftPos = Cartesian3.add( rightPos, Cartesian3.multiplyByScalar(left, width * 2, leftPos), - leftPos + leftPos, ); previousPos = Cartesian3.add( rightPos, Cartesian3.multiplyByScalar(left, width, previousPos), - previousPos + previousPos, ); if ( cornerType === CornerType.ROUNDED || @@ -368,7 +368,7 @@ CorridorGeometryLibrary.computePositions = function (params) { startPoint, leftPos, cornerType, - leftIsOutside + leftIsOutside, ), }); } else { @@ -377,7 +377,7 @@ CorridorGeometryLibrary.computePositions = function (params) { position, Cartesian3.negate(cornerDirection, cornerDirection), leftPos, - leftIsOutside + leftIsOutside, ), }); } @@ -387,17 +387,17 @@ CorridorGeometryLibrary.computePositions = function (params) { leftPos, Cartesian3.negate( Cartesian3.multiplyByScalar(left, width, center), - center + center, ), - center + center, ); rightPos = Cartesian3.add( leftPos, Cartesian3.negate( Cartesian3.multiplyByScalar(left, width * 2, rightPos), - rightPos + rightPos, ), - rightPos + rightPos, ); scaleArray2[0] = Cartesian3.clone(previousPos, scaleArray2[0]); scaleArray2[1] = Cartesian3.clone(center, scaleArray2[1]); @@ -410,7 +410,7 @@ CorridorGeometryLibrary.computePositions = function (params) { subdividedPositions, left, width, - calculatedPositions + calculatedPositions, ); if (saveAttributes) { calculatedLefts.push(left.x, left.y, left.z); @@ -419,23 +419,23 @@ CorridorGeometryLibrary.computePositions = function (params) { startPoint = Cartesian3.clone(rightPos, startPoint); left = Cartesian3.normalize( Cartesian3.cross(normal, forward, left), - left + left, ); rightPos = Cartesian3.add( leftPos, Cartesian3.negate( Cartesian3.multiplyByScalar(left, width * 2, rightPos), - rightPos + rightPos, ), - rightPos + rightPos, ); previousPos = Cartesian3.add( leftPos, Cartesian3.negate( Cartesian3.multiplyByScalar(left, width, previousPos), - previousPos + previousPos, ), - previousPos + previousPos, ); if ( cornerType === CornerType.ROUNDED || @@ -447,7 +447,7 @@ CorridorGeometryLibrary.computePositions = function (params) { startPoint, rightPos, cornerType, - leftIsOutside + leftIsOutside, ), }); } else { @@ -456,7 +456,7 @@ CorridorGeometryLibrary.computePositions = function (params) { position, cornerDirection, rightPos, - leftIsOutside + leftIsOutside, ), }); } @@ -478,7 +478,7 @@ CorridorGeometryLibrary.computePositions = function (params) { subdividedPositions, left, width, - calculatedPositions + calculatedPositions, ); if (saveAttributes) { calculatedLefts.push(left.x, left.y, left.z); diff --git a/packages/engine/Source/Core/CorridorOutlineGeometry.js b/packages/engine/Source/Core/CorridorOutlineGeometry.js index b786b07f6bc1..5718f107d2f0 100644 --- a/packages/engine/Source/Core/CorridorOutlineGeometry.js +++ b/packages/engine/Source/Core/CorridorOutlineGeometry.js @@ -94,19 +94,19 @@ function combine(computedPositions, cornerType) { leftPos = Cartesian3.fromArray( firstEndPositions, (halfLength - 1 - i) * 3, - leftPos + leftPos, ); rightPos = Cartesian3.fromArray( firstEndPositions, (halfLength + i) * 3, - rightPos + rightPos, ); CorridorGeometryLibrary.addAttribute(finalPositions, rightPos, front); CorridorGeometryLibrary.addAttribute( finalPositions, leftPos, undefined, - back + back, ); LL = front / 3; @@ -164,7 +164,7 @@ function combine(computedPositions, cornerType) { finalPositions, outsidePoint, undefined, - back + back, ); back -= 3; } @@ -184,7 +184,7 @@ function combine(computedPositions, cornerType) { CorridorGeometryLibrary.addAttribute( finalPositions, outsidePoint, - front + front, ); front += 3; } @@ -230,14 +230,14 @@ function combine(computedPositions, cornerType) { leftPos = Cartesian3.fromArray( lastEndPositions, (endPositionLength - i - 1) * 3, - leftPos + leftPos, ); rightPos = Cartesian3.fromArray(lastEndPositions, i * 3, rightPos); CorridorGeometryLibrary.addAttribute( finalPositions, leftPos, undefined, - back + back, ); CorridorGeometryLibrary.addAttribute(finalPositions, rightPos, front); @@ -292,12 +292,12 @@ function computePositionsExtruded(params) { positions = PolygonPipeline.scaleToGeodeticHeight( positions, height, - ellipsoid + ellipsoid, ); extrudedPositions = PolygonPipeline.scaleToGeodeticHeight( extrudedPositions, extrudedHeight, - ellipsoid + ellipsoid, ); newPositions.set(positions); newPositions.set(extrudedPositions, length); @@ -325,7 +325,7 @@ function computePositionsExtruded(params) { const iLength = indices.length; const newIndices = IndexDatatype.createTypedArray( newPositions.length / 3, - (iLength + wallIndices.length) * 2 + (iLength + wallIndices.length) * 2, ); newIndices.set(indices); let index = iLength; @@ -390,7 +390,7 @@ function CorridorOutlineGeometry(options) { this._positions = positions; this._ellipsoid = Ellipsoid.clone( - defaultValue(options.ellipsoid, Ellipsoid.default) + defaultValue(options.ellipsoid, Ellipsoid.default), ); this._width = width; this._height = Math.max(height, extrudedHeight); @@ -398,7 +398,7 @@ function CorridorOutlineGeometry(options) { this._cornerType = defaultValue(options.cornerType, CornerType.ROUNDED); this._granularity = defaultValue( options.granularity, - CesiumMath.RADIANS_PER_DEGREE + CesiumMath.RADIANS_PER_DEGREE, ); this._offsetAttribute = options.offsetAttribute; this._workerName = "createCorridorOutlineGeometry"; @@ -532,7 +532,7 @@ CorridorOutlineGeometry.createGeometry = function (corridorOutlineGeometry) { positions = scaleToSurface(positions, ellipsoid); const cleanPositions = arrayRemoveDuplicates( positions, - Cartesian3.equalsEpsilon + Cartesian3.equalsEpsilon, ); if (cleanPositions.length < 2 || width <= 0) { @@ -545,7 +545,7 @@ CorridorOutlineGeometry.createGeometry = function (corridorOutlineGeometry) { height, extrudedHeight, 0, - CesiumMath.EPSILON2 + CesiumMath.EPSILON2, ); const params = { @@ -568,7 +568,7 @@ CorridorOutlineGeometry.createGeometry = function (corridorOutlineGeometry) { attr.attributes.position.values = PolygonPipeline.scaleToGeodeticHeight( attr.attributes.position.values, height, - ellipsoid + ellipsoid, ); if (defined(corridorOutlineGeometry._offsetAttribute)) { @@ -590,7 +590,7 @@ CorridorOutlineGeometry.createGeometry = function (corridorOutlineGeometry) { const boundingSphere = BoundingSphere.fromVertices( attributes.position.values, undefined, - 3 + 3, ); return new Geometry({ diff --git a/packages/engine/Source/Core/CullingVolume.js b/packages/engine/Source/Core/CullingVolume.js index 080d4a0a7688..67b7724c27f0 100644 --- a/packages/engine/Source/Core/CullingVolume.js +++ b/packages/engine/Source/Core/CullingVolume.js @@ -91,7 +91,7 @@ CullingVolume.fromBoundingSphere = function (boundingSphere, result) { plane1.z = -faceNormal.z; plane1.w = -Cartesian3.dot( Cartesian3.negate(faceNormal, scratchPlaneNormal), - scratchPlaneCenter + scratchPlaneCenter, ); planeIndex += 2; @@ -117,7 +117,7 @@ CullingVolume.prototype.computeVisibility = function (boundingVolume) { let intersecting = false; for (let k = 0, len = planes.length; k < len; ++k) { const result = boundingVolume.intersectPlane( - Plane.fromCartesian4(planes[k], scratchPlane) + Plane.fromCartesian4(planes[k], scratchPlane), ); if (result === Intersect.OUTSIDE) { return Intersect.OUTSIDE; @@ -143,7 +143,7 @@ CullingVolume.prototype.computeVisibility = function (boundingVolume) { */ CullingVolume.prototype.computeVisibilityWithPlaneMask = function ( boundingVolume, - parentPlaneMask + parentPlaneMask, ) { //>>includeStart('debug', pragmas.debug); if (!defined(boundingVolume)) { @@ -176,7 +176,7 @@ CullingVolume.prototype.computeVisibilityWithPlaneMask = function ( } const result = boundingVolume.intersectPlane( - Plane.fromCartesian4(planes[k], scratchPlane) + Plane.fromCartesian4(planes[k], scratchPlane), ); if (result === Intersect.OUTSIDE) { return CullingVolume.MASK_OUTSIDE; diff --git a/packages/engine/Source/Core/CustomHeightmapTerrainProvider.js b/packages/engine/Source/Core/CustomHeightmapTerrainProvider.js index 0df50356e674..eeefc818e31a 100644 --- a/packages/engine/Source/Core/CustomHeightmapTerrainProvider.js +++ b/packages/engine/Source/Core/CustomHeightmapTerrainProvider.js @@ -75,11 +75,12 @@ function CustomHeightmapTerrainProvider(options) { this._height = options.height; const maxTileDimensions = Math.max(this._width, this._height); - this._levelZeroMaximumGeometricError = TerrainProvider.getEstimatedLevelZeroGeometricErrorForAHeightmap( - this._tilingScheme.ellipsoid, - maxTileDimensions, - this._tilingScheme.getNumberOfXTilesAtLevel(0) - ); + this._levelZeroMaximumGeometricError = + TerrainProvider.getEstimatedLevelZeroGeometricErrorForAHeightmap( + this._tilingScheme.ellipsoid, + maxTileDimensions, + this._tilingScheme.getNumberOfXTilesAtLevel(0), + ); this._errorEvent = new Event(); @@ -216,7 +217,7 @@ CustomHeightmapTerrainProvider.prototype.requestTileGeometry = function ( x, y, level, - request + request, ) { const promise = this._callback(x, y, level); if (!defined(promise)) { @@ -247,11 +248,10 @@ CustomHeightmapTerrainProvider.prototype.requestTileGeometry = function ( * @param {number} level The tile level for which to get the maximum geometric error. * @returns {number} The maximum geometric error. */ -CustomHeightmapTerrainProvider.prototype.getLevelMaximumGeometricError = function ( - level -) { - return this._levelZeroMaximumGeometricError / (1 << level); -}; +CustomHeightmapTerrainProvider.prototype.getLevelMaximumGeometricError = + function (level) { + return this._levelZeroMaximumGeometricError / (1 << level); + }; /** * Determines whether data for a tile is available to be loaded. @@ -264,7 +264,7 @@ CustomHeightmapTerrainProvider.prototype.getLevelMaximumGeometricError = functio CustomHeightmapTerrainProvider.prototype.getTileDataAvailable = function ( x, y, - level + level, ) { return undefined; }; @@ -280,7 +280,7 @@ CustomHeightmapTerrainProvider.prototype.getTileDataAvailable = function ( CustomHeightmapTerrainProvider.prototype.loadTileDataAvailability = function ( x, y, - level + level, ) { return undefined; }; diff --git a/packages/engine/Source/Core/CylinderGeometry.js b/packages/engine/Source/Core/CylinderGeometry.js index a2b620c57a72..2733c91d36a2 100644 --- a/packages/engine/Source/Core/CylinderGeometry.js +++ b/packages/engine/Source/Core/CylinderGeometry.js @@ -68,7 +68,7 @@ function CylinderGeometry(options) { } if (slices < 3) { throw new DeveloperError( - "options.slices must be greater than or equal to 3." + "options.slices must be greater than or equal to 3.", ); } if ( @@ -76,7 +76,7 @@ function CylinderGeometry(options) { options.offsetAttribute === GeometryOffsetAttribute.TOP ) { throw new DeveloperError( - "GeometryOffsetAttribute.TOP is not a supported options.offsetAttribute for this geometry." + "GeometryOffsetAttribute.TOP is not a supported options.offsetAttribute for this geometry.", ); } //>>includeEnd('debug'); @@ -159,7 +159,7 @@ CylinderGeometry.unpack = function (array, startingIndex, result) { const vertexFormat = VertexFormat.unpack( array, startingIndex, - scratchVertexFormat + scratchVertexFormat, ); startingIndex += VertexFormat.packedLength; @@ -221,7 +221,7 @@ CylinderGeometry.createGeometry = function (cylinderGeometry) { topRadius, bottomRadius, slices, - true + true, ); const st = vertexFormat.st ? new Float32Array(numVertices * 2) : undefined; @@ -264,7 +264,7 @@ CylinderGeometry.createGeometry = function (cylinderGeometry) { if (computeTangent) { tangent = Cartesian3.normalize( Cartesian3.cross(Cartesian3.UNIT_Z, normal, tangent), - tangent + tangent, ); } @@ -289,7 +289,7 @@ CylinderGeometry.createGeometry = function (cylinderGeometry) { if (vertexFormat.bitangent) { bitangent = Cartesian3.normalize( Cartesian3.cross(normal, tangent, bitangent), - bitangent + bitangent, ); bitangents[bitangentIndex++] = bitangent.x; bitangents[bitangentIndex++] = bitangent.y; @@ -429,7 +429,7 @@ CylinderGeometry.createGeometry = function (cylinderGeometry) { const boundingSphere = new BoundingSphere( Cartesian3.ZERO, - Cartesian2.magnitude(radiusScratch) + Cartesian2.magnitude(radiusScratch), ); if (defined(cylinderGeometry._offsetAttribute)) { @@ -471,7 +471,7 @@ CylinderGeometry.getUnitCylinder = function () { bottomRadius: 1.0, length: 1.0, vertexFormat: VertexFormat.POSITION_ONLY, - }) + }), ); } return unitCylinderGeometry; diff --git a/packages/engine/Source/Core/CylinderGeometryLibrary.js b/packages/engine/Source/Core/CylinderGeometryLibrary.js index c6784a518572..f29beccd698f 100644 --- a/packages/engine/Source/Core/CylinderGeometryLibrary.js +++ b/packages/engine/Source/Core/CylinderGeometryLibrary.js @@ -13,7 +13,7 @@ CylinderGeometryLibrary.computePositions = function ( topRadius, bottomRadius, slices, - fill + fill, ) { const topZ = length * 0.5; const bottomZ = -topZ; diff --git a/packages/engine/Source/Core/CylinderOutlineGeometry.js b/packages/engine/Source/Core/CylinderOutlineGeometry.js index bd587dcb4084..45e7e57fa094 100644 --- a/packages/engine/Source/Core/CylinderOutlineGeometry.js +++ b/packages/engine/Source/Core/CylinderOutlineGeometry.js @@ -55,7 +55,7 @@ function CylinderOutlineGeometry(options) { const slices = defaultValue(options.slices, 128); const numberOfVerticalLines = Math.max( defaultValue(options.numberOfVerticalLines, 16), - 0 + 0, ); //>>includeStart('debug', pragmas.debug); @@ -68,7 +68,7 @@ function CylinderOutlineGeometry(options) { options.offsetAttribute === GeometryOffsetAttribute.TOP ) { throw new DeveloperError( - "GeometryOffsetAttribute.TOP is not a supported options.offsetAttribute for this geometry." + "GeometryOffsetAttribute.TOP is not a supported options.offsetAttribute for this geometry.", ); } //>>includeEnd('debug'); @@ -197,7 +197,7 @@ CylinderOutlineGeometry.createGeometry = function (cylinderGeometry) { topRadius, bottomRadius, slices, - false + false, ); let numIndices = slices * 2; let numSide; @@ -241,7 +241,7 @@ CylinderOutlineGeometry.createGeometry = function (cylinderGeometry) { const boundingSphere = new BoundingSphere( Cartesian3.ZERO, - Cartesian2.magnitude(radiusScratch) + Cartesian2.magnitude(radiusScratch), ); if (defined(cylinderGeometry._offsetAttribute)) { diff --git a/packages/engine/Source/Core/DeveloperError.js b/packages/engine/Source/Core/DeveloperError.js index a330471eef23..9094cdc3e5a8 100644 --- a/packages/engine/Source/Core/DeveloperError.js +++ b/packages/engine/Source/Core/DeveloperError.js @@ -69,7 +69,7 @@ DeveloperError.prototype.toString = function () { */ DeveloperError.throwInstantiationError = function () { throw new DeveloperError( - "This function defines an interface and should not be called directly." + "This function defines an interface and should not be called directly.", ); }; export default DeveloperError; diff --git a/packages/engine/Source/Core/DistanceDisplayConditionGeometryInstanceAttribute.js b/packages/engine/Source/Core/DistanceDisplayConditionGeometryInstanceAttribute.js index 6bf81bd70db0..d999a65cfdff 100644 --- a/packages/engine/Source/Core/DistanceDisplayConditionGeometryInstanceAttribute.js +++ b/packages/engine/Source/Core/DistanceDisplayConditionGeometryInstanceAttribute.js @@ -39,7 +39,7 @@ function DistanceDisplayConditionGeometryInstanceAttribute(near, far) { //>>includeStart('debug', pragmas.debug); if (far <= near) { throw new DeveloperError( - "far distance must be greater than near distance." + "far distance must be greater than near distance.", ); } //>>includeEnd('debug'); @@ -107,7 +107,7 @@ Object.defineProperties( return false; }, }, - } + }, ); /** @@ -127,25 +127,24 @@ Object.defineProperties( * } * }); */ -DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition = function ( - distanceDisplayCondition -) { - //>>includeStart('debug', pragmas.debug); - if (!defined(distanceDisplayCondition)) { - throw new DeveloperError("distanceDisplayCondition is required."); - } - if (distanceDisplayCondition.far <= distanceDisplayCondition.near) { - throw new DeveloperError( - "distanceDisplayCondition.far distance must be greater than distanceDisplayCondition.near distance." - ); - } - //>>includeEnd('debug'); +DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition = + function (distanceDisplayCondition) { + //>>includeStart('debug', pragmas.debug); + if (!defined(distanceDisplayCondition)) { + throw new DeveloperError("distanceDisplayCondition is required."); + } + if (distanceDisplayCondition.far <= distanceDisplayCondition.near) { + throw new DeveloperError( + "distanceDisplayCondition.far distance must be greater than distanceDisplayCondition.near distance.", + ); + } + //>>includeEnd('debug'); - return new DistanceDisplayConditionGeometryInstanceAttribute( - distanceDisplayCondition.near, - distanceDisplayCondition.far - ); -}; + return new DistanceDisplayConditionGeometryInstanceAttribute( + distanceDisplayCondition.near, + distanceDisplayCondition.far, + ); + }; /** * Converts a distance display condition to a typed array that can be used to assign a distance display condition attribute. @@ -160,7 +159,7 @@ DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition = */ DistanceDisplayConditionGeometryInstanceAttribute.toValue = function ( distanceDisplayCondition, - result + result, ) { //>>includeStart('debug', pragmas.debug); if (!defined(distanceDisplayCondition)) { diff --git a/packages/engine/Source/Core/DoubleEndedPriorityQueue.js b/packages/engine/Source/Core/DoubleEndedPriorityQueue.js index e0abcf5f6e0f..d87eb287c582 100644 --- a/packages/engine/Source/Core/DoubleEndedPriorityQueue.js +++ b/packages/engine/Source/Core/DoubleEndedPriorityQueue.js @@ -22,7 +22,7 @@ function DoubleEndedPriorityQueue(options) { Check.typeOf.number.greaterThanOrEquals( "options.maximumLength", options.maximumLength, - 0 + 0, ); } //>>includeEnd('debug'); @@ -370,7 +370,7 @@ function pushDown(that, index) { const grandChildStart = 2 * leftChildIndex + 1; const grandChildCount = Math.max( Math.min(length - grandChildStart, 4), - 0 + 0, ); for (let i = 0; i < grandChildCount; i++) { const grandChildIndex = grandChildStart + i; diff --git a/packages/engine/Source/Core/EarthOrientationParameters.js b/packages/engine/Source/Core/EarthOrientationParameters.js index 514d9284a584..76a34e141422 100644 --- a/packages/engine/Source/Core/EarthOrientationParameters.js +++ b/packages/engine/Source/Core/EarthOrientationParameters.js @@ -117,7 +117,7 @@ EarthOrientationParameters.fromUrl = async function (url, options) { eopData = await resource.fetchJson(); } catch (e) { throw new RuntimeError( - `An error occurred while retrieving the EOP data from the URL ${resource.url}.` + `An error occurred while retrieving the EOP data from the URL ${resource.url}.`, ); } @@ -189,7 +189,7 @@ EarthOrientationParameters.prototype.compute = function (date, result) { const nextIndexDate = dates[lastIndex + 1]; const isAfterPrevious = JulianDate.lessThanOrEquals( previousIndexDate, - date + date, ); const isAfterLastSample = !defined(nextIndexDate); const isBeforeNext = @@ -241,35 +241,31 @@ function compareLeapSecondDates(leapSecond, dateToFind) { function onDataReady(eop, eopData) { if (!defined(eopData.columnNames)) { throw new RuntimeError( - "Error in loaded EOP data: The columnNames property is required." + "Error in loaded EOP data: The columnNames property is required.", ); } if (!defined(eopData.samples)) { throw new RuntimeError( - "Error in loaded EOP data: The samples property is required." + "Error in loaded EOP data: The samples property is required.", ); } const dateColumn = eopData.columnNames.indexOf("modifiedJulianDateUtc"); - const xPoleWanderRadiansColumn = eopData.columnNames.indexOf( - "xPoleWanderRadians" - ); - const yPoleWanderRadiansColumn = eopData.columnNames.indexOf( - "yPoleWanderRadians" - ); - const ut1MinusUtcSecondsColumn = eopData.columnNames.indexOf( - "ut1MinusUtcSeconds" - ); + const xPoleWanderRadiansColumn = + eopData.columnNames.indexOf("xPoleWanderRadians"); + const yPoleWanderRadiansColumn = + eopData.columnNames.indexOf("yPoleWanderRadians"); + const ut1MinusUtcSecondsColumn = + eopData.columnNames.indexOf("ut1MinusUtcSeconds"); const xCelestialPoleOffsetRadiansColumn = eopData.columnNames.indexOf( - "xCelestialPoleOffsetRadians" + "xCelestialPoleOffsetRadians", ); const yCelestialPoleOffsetRadiansColumn = eopData.columnNames.indexOf( - "yCelestialPoleOffsetRadians" - ); - const taiMinusUtcSecondsColumn = eopData.columnNames.indexOf( - "taiMinusUtcSeconds" + "yCelestialPoleOffsetRadians", ); + const taiMinusUtcSecondsColumn = + eopData.columnNames.indexOf("taiMinusUtcSeconds"); if ( dateColumn < 0 || @@ -281,7 +277,7 @@ function onDataReady(eop, eopData) { taiMinusUtcSecondsColumn < 0 ) { throw new RuntimeError( - "Error in loaded EOP data: The columnNames property must include modifiedJulianDateUtc, xPoleWanderRadians, yPoleWanderRadians, ut1MinusUtcSeconds, xCelestialPoleOffsetRadians, yCelestialPoleOffsetRadians, and taiMinusUtcSeconds columns" + "Error in loaded EOP data: The columnNames property must include modifiedJulianDateUtc, xPoleWanderRadians, yPoleWanderRadians, ut1MinusUtcSeconds, xCelestialPoleOffsetRadians, yCelestialPoleOffsetRadians, and taiMinusUtcSeconds columns", ); } @@ -319,7 +315,7 @@ function onDataReady(eop, eopData) { const leapSecondIndex = binarySearch( leapSeconds, date, - compareLeapSecondDates + compareLeapSecondDates, ); if (leapSecondIndex < 0) { const leapSecond = new LeapSecond(date, taiMinusUtc); @@ -407,27 +403,27 @@ function interpolate(eop, dates, samples, date, before, after, result) { result.xPoleWander = linearInterp( factor, samples[startBefore + eop._xPoleWanderRadiansColumn], - samples[startAfter + eop._xPoleWanderRadiansColumn] + samples[startAfter + eop._xPoleWanderRadiansColumn], ); result.yPoleWander = linearInterp( factor, samples[startBefore + eop._yPoleWanderRadiansColumn], - samples[startAfter + eop._yPoleWanderRadiansColumn] + samples[startAfter + eop._yPoleWanderRadiansColumn], ); result.xPoleOffset = linearInterp( factor, samples[startBefore + eop._xCelestialPoleOffsetRadiansColumn], - samples[startAfter + eop._xCelestialPoleOffsetRadiansColumn] + samples[startAfter + eop._xCelestialPoleOffsetRadiansColumn], ); result.yPoleOffset = linearInterp( factor, samples[startBefore + eop._yCelestialPoleOffsetRadiansColumn], - samples[startAfter + eop._yCelestialPoleOffsetRadiansColumn] + samples[startAfter + eop._yCelestialPoleOffsetRadiansColumn], ); result.ut1MinusUtc = linearInterp( factor, beforeUt1MinusUtc, - afterUt1MinusUtc + afterUt1MinusUtc, ); return result; } diff --git a/packages/engine/Source/Core/EarthOrientationParametersSample.js b/packages/engine/Source/Core/EarthOrientationParametersSample.js index 336e564da4b8..9ff066aa6c0f 100644 --- a/packages/engine/Source/Core/EarthOrientationParametersSample.js +++ b/packages/engine/Source/Core/EarthOrientationParametersSample.js @@ -17,7 +17,7 @@ function EarthOrientationParametersSample( yPoleWander, xPoleOffset, yPoleOffset, - ut1MinusUtc + ut1MinusUtc, ) { /** * The pole wander about the X axis, in radians. diff --git a/packages/engine/Source/Core/EllipseGeometry.js b/packages/engine/Source/Core/EllipseGeometry.js index 0a225fdca1fc..1815080ded86 100644 --- a/packages/engine/Source/Core/EllipseGeometry.js +++ b/packages/engine/Source/Core/EllipseGeometry.js @@ -77,12 +77,12 @@ function computeTopBottomAttributes(positions, options, extrude) { const projection = new GeographicProjection(ellipsoid); const projectedCenter = projection.project( ellipsoid.cartesianToCartographic(center, scratchCartographic), - projectedCenterScratch + projectedCenterScratch, ); const geodeticNormal = ellipsoid.scaleToGeodeticSurface( center, - scratchCartesian1 + scratchCartesian1, ); ellipsoid.geodeticSurfaceNormal(geodeticNormal, geodeticNormal); @@ -92,14 +92,14 @@ function computeTopBottomAttributes(positions, options, extrude) { let rotation = Quaternion.fromAxisAngle( geodeticNormal, stRotation, - quaternionScratch + quaternionScratch, ); textureMatrix = Matrix3.fromQuaternion(rotation, textureMatrix); rotation = Quaternion.fromAxisAngle( geodeticNormal, -stRotation, - quaternionScratch + quaternionScratch, ); tangentMatrix = Matrix3.fromQuaternion(rotation, tangentMatrix); } else { @@ -110,12 +110,12 @@ function computeTopBottomAttributes(positions, options, extrude) { const minTexCoord = Cartesian2.fromElements( Number.POSITIVE_INFINITY, Number.POSITIVE_INFINITY, - scratchMinTexCoord + scratchMinTexCoord, ); const maxTexCoord = Cartesian2.fromElements( Number.NEGATIVE_INFINITY, Number.NEGATIVE_INFINITY, - scratchMaxTexCoord + scratchMaxTexCoord, ); let length = positions.length; @@ -130,11 +130,11 @@ function computeTopBottomAttributes(positions, options, extrude) { const rotatedPoint = Matrix3.multiplyByVector( textureMatrix, position, - scratchCartesian2 + scratchCartesian2, ); const projectedPoint = projection.project( ellipsoid.cartesianToCartographic(rotatedPoint, scratchCartographic), - scratchCartesian3 + scratchCartesian3, ); Cartesian3.subtract(projectedPoint, projectedCenter, projectedPoint); @@ -180,7 +180,7 @@ function computeTopBottomAttributes(positions, options, extrude) { if (vertexFormat.tangent || vertexFormat.bitangent) { tangent = Cartesian3.normalize( Cartesian3.cross(Cartesian3.UNIT_Z, normal, tangent), - tangent + tangent, ); Matrix3.multiplyByVector(tangentMatrix, tangent, tangent); } @@ -209,7 +209,7 @@ function computeTopBottomAttributes(positions, options, extrude) { if (vertexFormat.bitangent) { bitangent = Cartesian3.normalize( Cartesian3.cross(normal, tangent, bitangent), - bitangent + bitangent, ); bitangents[i] = bitangent.x; bitangents[i1] = bitangent.y; @@ -242,7 +242,7 @@ function computeTopBottomAttributes(positions, options, extrude) { const finalPositions = EllipseGeometryLibrary.raisePositionsToHeight( positions, options, - extrude + extrude, ); attributes.position = new GeometryAttribute({ componentDatatype: ComponentDatatype.DOUBLE, @@ -419,21 +419,21 @@ function computeEllipse(options) { boundingSphereCenter = Cartesian3.multiplyByScalar( options.ellipsoid.geodeticSurfaceNormal(center, boundingSphereCenter), options.height, - boundingSphereCenter + boundingSphereCenter, ); boundingSphereCenter = Cartesian3.add( center, boundingSphereCenter, - boundingSphereCenter + boundingSphereCenter, ); const boundingSphere = new BoundingSphere( boundingSphereCenter, - options.semiMajorAxis + options.semiMajorAxis, ); const cep = EllipseGeometryLibrary.computeEllipsePositions( options, true, - false + false, ); const positions = cep.positions; const numPts = cep.numPts; @@ -484,30 +484,30 @@ function computeWallAttributes(positions, options) { const projection = new GeographicProjection(ellipsoid); const projectedCenter = projection.project( ellipsoid.cartesianToCartographic(center, scratchCartographic), - projectedCenterScratch + projectedCenterScratch, ); const geodeticNormal = ellipsoid.scaleToGeodeticSurface( center, - scratchCartesian1 + scratchCartesian1, ); ellipsoid.geodeticSurfaceNormal(geodeticNormal, geodeticNormal); const rotation = Quaternion.fromAxisAngle( geodeticNormal, stRotation, - quaternionScratch + quaternionScratch, ); const textureMatrix = Matrix3.fromQuaternion(rotation, textureMatrixScratch); const minTexCoord = Cartesian2.fromElements( Number.POSITIVE_INFINITY, Number.POSITIVE_INFINITY, - scratchMinTexCoord + scratchMinTexCoord, ); const maxTexCoord = Cartesian2.fromElements( Number.NEGATIVE_INFINITY, Number.NEGATIVE_INFINITY, - scratchMaxTexCoord + scratchMaxTexCoord, ); let length = positions.length; @@ -522,11 +522,11 @@ function computeWallAttributes(positions, options) { const rotatedPoint = Matrix3.multiplyByVector( textureMatrix, position, - scratchCartesian2 + scratchCartesian2, ); const projectedPoint = projection.project( ellipsoid.cartesianToCartographic(rotatedPoint, scratchCartographic), - scratchCartesian3 + scratchCartesian3, ); Cartesian3.subtract(projectedPoint, projectedCenter, projectedPoint); @@ -560,18 +560,18 @@ function computeWallAttributes(positions, options) { let scaledNormal = Cartesian3.multiplyByScalar( normal, height, - scratchCartesian4 + scratchCartesian4, ); position = Cartesian3.add(position, scaledNormal, position); scaledNormal = Cartesian3.multiplyByScalar( normal, extrudedHeight, - scaledNormal + scaledNormal, ); extrudedPosition = Cartesian3.add( extrudedPosition, scaledNormal, - extrudedPosition + extrudedPosition, ); if (vertexFormat.position) { @@ -589,18 +589,18 @@ function computeWallAttributes(positions, options) { const next = Cartesian3.fromArray( positions, (i + 3) % length, - scratchCartesian4 + scratchCartesian4, ); Cartesian3.subtract(next, position, next); const bottom = Cartesian3.subtract( extrudedPosition, position, - scratchCartesian3 + scratchCartesian3, ); normal = Cartesian3.normalize( Cartesian3.cross(bottom, next, normal), - normal + normal, ); if (vertexFormat.normal) { @@ -616,7 +616,7 @@ function computeWallAttributes(positions, options) { if (vertexFormat.tangent) { tangent = Cartesian3.normalize( Cartesian3.cross(bitangent, normal, tangent), - tangent + tangent, ); tangents[i] = tangent.x; tangents[i1] = tangent.y; @@ -750,43 +750,43 @@ function computeExtrudedEllipse(options) { let scaledNormal = Cartesian3.multiplyByScalar( ellipsoid.geodeticSurfaceNormal(center, scratchCartesian1), options.height, - scratchCartesian1 + scratchCartesian1, ); topBoundingSphere.center = Cartesian3.add( center, scaledNormal, - topBoundingSphere.center + topBoundingSphere.center, ); topBoundingSphere.radius = semiMajorAxis; scaledNormal = Cartesian3.multiplyByScalar( ellipsoid.geodeticSurfaceNormal(center, scaledNormal), options.extrudedHeight, - scaledNormal + scaledNormal, ); bottomBoundingSphere.center = Cartesian3.add( center, scaledNormal, - bottomBoundingSphere.center + bottomBoundingSphere.center, ); bottomBoundingSphere.radius = semiMajorAxis; const cep = EllipseGeometryLibrary.computeEllipsePositions( options, true, - true + true, ); const positions = cep.positions; const numPts = cep.numPts; const outerPositions = cep.outerPositions; const boundingSphere = BoundingSphere.union( topBoundingSphere, - bottomBoundingSphere + bottomBoundingSphere, ); const topBottomAttributes = computeTopBottomAttributes( positions, options, - true + true, ); let indices = topIndices(numPts); const length = indices.length; @@ -800,7 +800,7 @@ function computeExtrudedEllipse(options) { const topBottomIndices = IndexDatatype.createTypedArray( (posLength * 2) / 3, - indices + indices, ); const topBottomGeo = new Geometry({ @@ -813,7 +813,7 @@ function computeExtrudedEllipse(options) { indices = computeWallIndices(outerPositions); const wallIndices = IndexDatatype.createTypedArray( (outerPositions.length * 2) / 3, - indices + indices, ); const wallGeo = new Geometry({ @@ -845,7 +845,7 @@ function computeRectangle( rotation, granularity, ellipsoid, - result + result, ) { const cep = EllipseGeometryLibrary.computeEllipsePositions( { @@ -856,7 +856,7 @@ function computeRectangle( granularity: granularity, }, false, - true + true, ); const positionsFlat = cep.outerPositions; const positionsCount = positionsFlat.length / 3; @@ -926,7 +926,7 @@ function EllipseGeometry(options) { const semiMinorAxis = options.semiMinorAxis; const granularity = defaultValue( options.granularity, - CesiumMath.RADIANS_PER_DEGREE + CesiumMath.RADIANS_PER_DEGREE, ); const vertexFormat = defaultValue(options.vertexFormat, VertexFormat.DEFAULT); @@ -936,7 +936,7 @@ function EllipseGeometry(options) { Check.typeOf.number("options.semiMinorAxis", semiMinorAxis); if (semiMajorAxis < semiMinorAxis) { throw new DeveloperError( - "semiMajorAxis must be greater than or equal to the semiMinorAxis." + "semiMajorAxis must be greater than or equal to the semiMinorAxis.", ); } if (granularity <= 0.0) { @@ -1056,7 +1056,7 @@ EllipseGeometry.unpack = function (array, startingIndex, result) { const vertexFormat = VertexFormat.unpack( array, startingIndex, - scratchVertexFormat + scratchVertexFormat, ); startingIndex += VertexFormat.packedLength; @@ -1125,7 +1125,7 @@ EllipseGeometry.computeRectangle = function (options, result) { const semiMinorAxis = options.semiMinorAxis; const granularity = defaultValue( options.granularity, - CesiumMath.RADIANS_PER_DEGREE + CesiumMath.RADIANS_PER_DEGREE, ); const rotation = defaultValue(options.rotation, 0.0); @@ -1135,7 +1135,7 @@ EllipseGeometry.computeRectangle = function (options, result) { Check.typeOf.number("options.semiMinorAxis", semiMinorAxis); if (semiMajorAxis < semiMinorAxis) { throw new DeveloperError( - "semiMajorAxis must be greater than or equal to the semiMinorAxis." + "semiMajorAxis must be greater than or equal to the semiMinorAxis.", ); } if (granularity <= 0.0) { @@ -1150,7 +1150,7 @@ EllipseGeometry.computeRectangle = function (options, result) { rotation, granularity, ellipsoid, - result + result, ); }; @@ -1174,12 +1174,12 @@ EllipseGeometry.createGeometry = function (ellipseGeometry) { height, extrudedHeight, 0, - CesiumMath.EPSILON2 + CesiumMath.EPSILON2, ); ellipseGeometry._center = ellipseGeometry._ellipsoid.scaleToGeodeticSurface( ellipseGeometry._center, - ellipseGeometry._center + ellipseGeometry._center, ); const options = { center: ellipseGeometry._center, @@ -1231,7 +1231,7 @@ EllipseGeometry.createGeometry = function (ellipseGeometry) { EllipseGeometry.createShadowVolume = function ( ellipseGeometry, minHeightFunc, - maxHeightFunc + maxHeightFunc, ) { const granularity = ellipseGeometry._granularity; const ellipsoid = ellipseGeometry._ellipsoid; @@ -1269,7 +1269,7 @@ function textureCoordinateRotationPoints(ellipseGeometry) { granularity: ellipseGeometry._granularity, }, false, - true + true, ); const positionsFlat = cep.outerPositions; const positionsCount = positionsFlat.length / 3; @@ -1284,7 +1284,7 @@ function textureCoordinateRotationPoints(ellipseGeometry) { positions, stRotation, ellipsoid, - boundingRectangle + boundingRectangle, ); } @@ -1301,7 +1301,7 @@ Object.defineProperties(EllipseGeometry.prototype, { this._semiMinorAxis, this._rotation, this._granularity, - this._ellipsoid + this._ellipsoid, ); } return this._rectangle; @@ -1314,9 +1314,8 @@ Object.defineProperties(EllipseGeometry.prototype, { textureCoordinateRotationPoints: { get: function () { if (!defined(this._textureCoordinateRotationPoints)) { - this._textureCoordinateRotationPoints = textureCoordinateRotationPoints( - this - ); + this._textureCoordinateRotationPoints = + textureCoordinateRotationPoints(this); } return this._textureCoordinateRotationPoints; }, diff --git a/packages/engine/Source/Core/EllipseGeometryLibrary.js b/packages/engine/Source/Core/EllipseGeometryLibrary.js index acf09c2a2f33..25030fb725a9 100644 --- a/packages/engine/Source/Core/EllipseGeometryLibrary.js +++ b/packages/engine/Source/Core/EllipseGeometryLibrary.js @@ -20,7 +20,7 @@ function pointOnEllipsoid( bSqr, mag, unitPos, - result + result, ) { const azimuth = theta + rotation; @@ -59,7 +59,7 @@ const scratchNormal = new Cartesian3(); EllipseGeometryLibrary.raisePositionsToHeight = function ( positions, options, - extrude + extrude, ) { const ellipsoid = options.ellipsoid; const height = options.height; @@ -82,7 +82,7 @@ EllipseGeometryLibrary.raisePositionsToHeight = function ( const scaledNormal = Cartesian3.multiplyByScalar( normal, height, - scratchCartesian3 + scratchCartesian3, ); Cartesian3.add(position, scaledNormal, position); @@ -113,7 +113,7 @@ const northVecScratch = new Cartesian3(); EllipseGeometryLibrary.computeEllipsePositions = function ( options, addFillPositions, - addEdgePositions + addEdgePositions, ) { const semiMinorAxis = options.semiMinorAxis; const semiMajorAxis = options.semiMajorAxis; @@ -193,7 +193,7 @@ EllipseGeometryLibrary.computeEllipsePositions = function ( bSqr, mag, unitPos, - position + position, ); if (addFillPositions) { positions[positionIndex++] = position.x; @@ -217,7 +217,7 @@ EllipseGeometryLibrary.computeEllipsePositions = function ( bSqr, mag, unitPos, - position + position, ); reflectedPosition = pointOnEllipsoid( Math.PI - theta, @@ -229,7 +229,7 @@ EllipseGeometryLibrary.computeEllipsePositions = function ( bSqr, mag, unitPos, - reflectedPosition + reflectedPosition, ); if (addFillPositions) { @@ -244,7 +244,7 @@ EllipseGeometryLibrary.computeEllipsePositions = function ( position, reflectedPosition, t, - scratchCartesian3 + scratchCartesian3, ); positions[positionIndex++] = interiorPosition.x; positions[positionIndex++] = interiorPosition.y; @@ -282,7 +282,7 @@ EllipseGeometryLibrary.computeEllipsePositions = function ( bSqr, mag, unitPos, - position + position, ); reflectedPosition = pointOnEllipsoid( theta + Math.PI, @@ -294,7 +294,7 @@ EllipseGeometryLibrary.computeEllipsePositions = function ( bSqr, mag, unitPos, - reflectedPosition + reflectedPosition, ); if (addFillPositions) { @@ -309,7 +309,7 @@ EllipseGeometryLibrary.computeEllipsePositions = function ( position, reflectedPosition, t, - scratchCartesian3 + scratchCartesian3, ); positions[positionIndex++] = interiorPosition.x; positions[positionIndex++] = interiorPosition.y; @@ -342,7 +342,7 @@ EllipseGeometryLibrary.computeEllipsePositions = function ( bSqr, mag, unitPos, - position + position, ); const r = {}; diff --git a/packages/engine/Source/Core/EllipseOutlineGeometry.js b/packages/engine/Source/Core/EllipseOutlineGeometry.js index 0fc77099dba2..346bbc3e71bd 100644 --- a/packages/engine/Source/Core/EllipseOutlineGeometry.js +++ b/packages/engine/Source/Core/EllipseOutlineGeometry.js @@ -22,21 +22,21 @@ function computeEllipse(options) { boundingSphereCenter = Cartesian3.multiplyByScalar( options.ellipsoid.geodeticSurfaceNormal(center, boundingSphereCenter), options.height, - boundingSphereCenter + boundingSphereCenter, ); boundingSphereCenter = Cartesian3.add( center, boundingSphereCenter, - boundingSphereCenter + boundingSphereCenter, ); const boundingSphere = new BoundingSphere( boundingSphereCenter, - options.semiMajorAxis + options.semiMajorAxis, ); const positions = EllipseGeometryLibrary.computeEllipsePositions( options, false, - true + true, ).outerPositions; const attributes = new GeometryAttributes({ @@ -46,7 +46,7 @@ function computeEllipse(options) { values: EllipseGeometryLibrary.raisePositionsToHeight( positions, options, - false + false, ), }), }); @@ -75,31 +75,31 @@ function computeExtrudedEllipse(options) { let scaledNormal = Cartesian3.multiplyByScalar( ellipsoid.geodeticSurfaceNormal(center, scratchCartesian1), options.height, - scratchCartesian1 + scratchCartesian1, ); topBoundingSphere.center = Cartesian3.add( center, scaledNormal, - topBoundingSphere.center + topBoundingSphere.center, ); topBoundingSphere.radius = semiMajorAxis; scaledNormal = Cartesian3.multiplyByScalar( ellipsoid.geodeticSurfaceNormal(center, scaledNormal), options.extrudedHeight, - scaledNormal + scaledNormal, ); bottomBoundingSphere.center = Cartesian3.add( center, scaledNormal, - bottomBoundingSphere.center + bottomBoundingSphere.center, ); bottomBoundingSphere.radius = semiMajorAxis; let positions = EllipseGeometryLibrary.computeEllipsePositions( options, false, - true + true, ).outerPositions; const attributes = new GeometryAttributes({ position: new GeometryAttribute({ @@ -108,7 +108,7 @@ function computeExtrudedEllipse(options) { values: EllipseGeometryLibrary.raisePositionsToHeight( positions, options, - true + true, ), }), }); @@ -116,7 +116,7 @@ function computeExtrudedEllipse(options) { positions = attributes.position.values; const boundingSphere = BoundingSphere.union( topBoundingSphere, - bottomBoundingSphere + bottomBoundingSphere, ); let length = positions.length / 3; @@ -141,12 +141,12 @@ function computeExtrudedEllipse(options) { numberOfVerticalLines = CesiumMath.clamp( numberOfVerticalLines, 0, - length / 2 + length / 2, ); const indices = IndexDatatype.createTypedArray( length, - length * 2 + numberOfVerticalLines * 2 + length * 2 + numberOfVerticalLines * 2, ); length /= 2; @@ -219,7 +219,7 @@ function EllipseOutlineGeometry(options) { const semiMinorAxis = options.semiMinorAxis; const granularity = defaultValue( options.granularity, - CesiumMath.RADIANS_PER_DEGREE + CesiumMath.RADIANS_PER_DEGREE, ); //>>includeStart('debug', pragmas.debug); @@ -234,7 +234,7 @@ function EllipseOutlineGeometry(options) { } if (semiMajorAxis < semiMinorAxis) { throw new DeveloperError( - "semiMajorAxis must be greater than or equal to the semiMinorAxis." + "semiMajorAxis must be greater than or equal to the semiMinorAxis.", ); } if (granularity <= 0.0) { @@ -255,7 +255,7 @@ function EllipseOutlineGeometry(options) { this._extrudedHeight = Math.min(extrudedHeight, height); this._numberOfVerticalLines = Math.max( defaultValue(options.numberOfVerticalLines, 16), - 0 + 0, ); this._offsetAttribute = options.offsetAttribute; this._workerName = "createEllipseOutlineGeometry"; @@ -403,12 +403,12 @@ EllipseOutlineGeometry.createGeometry = function (ellipseGeometry) { height, extrudedHeight, 0, - CesiumMath.EPSILON2 + CesiumMath.EPSILON2, ); ellipseGeometry._center = ellipseGeometry._ellipsoid.scaleToGeodeticSurface( ellipseGeometry._center, - ellipseGeometry._center + ellipseGeometry._center, ); const options = { center: ellipseGeometry._center, diff --git a/packages/engine/Source/Core/Ellipsoid.js b/packages/engine/Source/Core/Ellipsoid.js index b76f3f3a04a0..def0d0862dee 100644 --- a/packages/engine/Source/Core/Ellipsoid.js +++ b/packages/engine/Source/Core/Ellipsoid.js @@ -26,19 +26,19 @@ function initialize(ellipsoid, x, y, z) { ellipsoid._radiiToTheFourth = new Cartesian3( x * x * x * x, y * y * y * y, - z * z * z * z + z * z * z * z, ); ellipsoid._oneOverRadii = new Cartesian3( x === 0.0 ? 0.0 : 1.0 / x, y === 0.0 ? 0.0 : 1.0 / y, - z === 0.0 ? 0.0 : 1.0 / z + z === 0.0 ? 0.0 : 1.0 / z, ); ellipsoid._oneOverRadiiSquared = new Cartesian3( x === 0.0 ? 0.0 : 1.0 / (x * x), y === 0.0 ? 0.0 : 1.0 / (y * y), - z === 0.0 ? 0.0 : 1.0 / (z * z) + z === 0.0 ? 0.0 : 1.0 / (z * z), ); ellipsoid._minimumRadius = Math.min(x, y, z); @@ -230,7 +230,7 @@ Ellipsoid.fromCartesian3 = function (cartesian, result) { * @constant */ Ellipsoid.WGS84 = Object.freeze( - new Ellipsoid(6378137.0, 6378137.0, 6356752.3142451793) + new Ellipsoid(6378137.0, 6378137.0, 6356752.3142451793), ); /** @@ -251,8 +251,8 @@ Ellipsoid.MOON = Object.freeze( new Ellipsoid( CesiumMath.LUNAR_RADIUS, CesiumMath.LUNAR_RADIUS, - CesiumMath.LUNAR_RADIUS - ) + CesiumMath.LUNAR_RADIUS, + ), ); Ellipsoid._default = Ellipsoid.WGS84; @@ -366,7 +366,7 @@ Ellipsoid.prototype.geocentricSurfaceNormal = Cartesian3.normalize; */ Ellipsoid.prototype.geodeticSurfaceNormalCartographic = function ( cartographic, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.object("cartographic", cartographic); @@ -414,7 +414,7 @@ Ellipsoid.prototype.geodeticSurfaceNormal = function (cartesian, result) { result = Cartesian3.multiplyComponents( cartesian, this._oneOverRadiiSquared, - result + result, ); return Cartesian3.normalize(result, result); }; @@ -466,7 +466,7 @@ Ellipsoid.prototype.cartographicToCartesian = function (cartographic, result) { */ Ellipsoid.prototype.cartographicArrayToCartesianArray = function ( cartographics, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.defined("cartographics", cartographics); @@ -542,7 +542,7 @@ Ellipsoid.prototype.cartesianToCartographic = function (cartesian, result) { */ Ellipsoid.prototype.cartesianArrayToCartographicArray = function ( cartesians, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.defined("cartesians", cartesians); @@ -575,7 +575,7 @@ Ellipsoid.prototype.scaleToGeodeticSurface = function (cartesian, result) { this._oneOverRadii, this._oneOverRadiiSquared, this._centerToleranceSquared, - result + result, ); }; @@ -606,7 +606,7 @@ Ellipsoid.prototype.scaleToGeocentricSurface = function (cartesian, result) { Math.sqrt( positionX * positionX * oneOverRadiiSquared.x + positionY * positionY * oneOverRadiiSquared.y + - positionZ * positionZ * oneOverRadiiSquared.z + positionZ * positionZ * oneOverRadiiSquared.z, ); return Cartesian3.multiplyByScalar(cartesian, beta, result); @@ -624,7 +624,7 @@ Ellipsoid.prototype.scaleToGeocentricSurface = function (cartesian, result) { */ Ellipsoid.prototype.transformPositionToScaledSpace = function ( position, - result + result, ) { if (!defined(result)) { result = new Cartesian3(); @@ -645,7 +645,7 @@ Ellipsoid.prototype.transformPositionToScaledSpace = function ( */ Ellipsoid.prototype.transformPositionFromScaledSpace = function ( position, - result + result, ) { if (!defined(result)) { result = new Cartesian3(); @@ -696,7 +696,7 @@ Ellipsoid.prototype.toString = function () { Ellipsoid.prototype.getSurfaceNormalIntersectionWithZAxis = function ( position, buffer, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.object("position", position); @@ -705,11 +705,11 @@ Ellipsoid.prototype.getSurfaceNormalIntersectionWithZAxis = function ( !CesiumMath.equalsEpsilon( this._radii.x, this._radii.y, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ) ) { throw new DeveloperError( - "Ellipsoid must be an ellipsoid of revolution (radii.x == radii.y)" + "Ellipsoid must be an ellipsoid of revolution (radii.x == radii.y)", ); } @@ -758,11 +758,11 @@ Ellipsoid.prototype.getLocalCurvature = function (surfacePosition, result) { const primeVerticalEndpoint = this.getSurfaceNormalIntersectionWithZAxis( surfacePosition, 0.0, - scratchEndpoint + scratchEndpoint, ); const primeVerticalRadius = Cartesian3.distance( surfacePosition, - primeVerticalEndpoint + primeVerticalEndpoint, ); // meridional radius = (1 - e^2) * primeVerticalRadius^3 / a^2 // where 1 - e^2 = b^2 / a^2, @@ -775,25 +775,17 @@ Ellipsoid.prototype.getLocalCurvature = function (surfacePosition, result) { return Cartesian2.fromElements( 1.0 / primeVerticalRadius, 1.0 / meridionalRadius, - result + result, ); }; const abscissas = [ - 0.14887433898163, - 0.43339539412925, - 0.67940956829902, - 0.86506336668898, - 0.97390652851717, - 0.0, + 0.14887433898163, 0.43339539412925, 0.67940956829902, 0.86506336668898, + 0.97390652851717, 0.0, ]; const weights = [ - 0.29552422471475, - 0.26926671930999, - 0.21908636251598, - 0.14945134915058, - 0.066671344308684, - 0.0, + 0.29552422471475, 0.26926671930999, 0.21908636251598, 0.14945134915058, + 0.066671344308684, 0.0, ]; /** @@ -879,7 +871,7 @@ Ellipsoid.prototype.surfaceArea = function (rectangle) { c2 * (b2 * cosTheta * cosTheta + a2 * sinTheta * sinTheta) * sinPhi * - sinPhi + sinPhi, ); }) ); diff --git a/packages/engine/Source/Core/EllipsoidGeodesic.js b/packages/engine/Source/Core/EllipsoidGeodesic.js index b15475b93bce..ef15944b1c25 100644 --- a/packages/engine/Source/Core/EllipsoidGeodesic.js +++ b/packages/engine/Source/Core/EllipsoidGeodesic.js @@ -90,7 +90,7 @@ function computeDeltaLambda( sigma, sineSigma, cosineSigma, - cosineTwiceSigmaMidpoint + cosineTwiceSigmaMidpoint, ) { const C = computeC(f, cosineSquaredAlpha); @@ -115,7 +115,7 @@ function vincentyInverseFormula( firstLongitude, firstLatitude, secondLongitude, - secondLatitude + secondLatitude, ) { const eff = (major - minor) / major; const l = secondLongitude - firstLongitude; @@ -151,7 +151,7 @@ function vincentyInverseFormula( const temp = cs - sc * cosineLambda; sineSigma = Math.sqrt( - cosineU2 * cosineU2 * sineLambda * sineLambda + temp * temp + cosineU2 * cosineU2 * sineLambda * sineLambda + temp * temp, ); cosineSigma = ss + cc * cosineLambda; @@ -184,7 +184,7 @@ function vincentyInverseFormula( sigma, sineSigma, cosineSigma, - cosineTwiceSigmaMidpoint + cosineTwiceSigmaMidpoint, ); } while (Math.abs(lambda - lambdaDot) > CesiumMath.EPSILON12); @@ -219,7 +219,7 @@ function vincentyInverseFormula( const startHeading = Math.atan2( cosineU2 * sineLambda, - cs - sc * cosineLambda + cs - sc * cosineLambda, ); const endHeading = Math.atan2(cosineU1 * sineLambda, cs * cosineLambda - sc); @@ -234,20 +234,21 @@ const scratchCart2 = new Cartesian3(); function computeProperties(ellipsoidGeodesic, start, end, ellipsoid) { const firstCartesian = Cartesian3.normalize( ellipsoid.cartographicToCartesian(start, scratchCart2), - scratchCart1 + scratchCart1, ); const lastCartesian = Cartesian3.normalize( ellipsoid.cartographicToCartesian(end, scratchCart2), - scratchCart2 + scratchCart2, ); //>>includeStart('debug', pragmas.debug); Check.typeOf.number.greaterThanOrEquals( "value", Math.abs( - Math.abs(Cartesian3.angleBetween(firstCartesian, lastCartesian)) - Math.PI + Math.abs(Cartesian3.angleBetween(firstCartesian, lastCartesian)) - + Math.PI, ), - 0.0125 + 0.0125, ); //>>includeEnd('debug'); @@ -258,12 +259,12 @@ function computeProperties(ellipsoidGeodesic, start, end, ellipsoid) { start.longitude, start.latitude, end.longitude, - end.latitude + end.latitude, ); ellipsoidGeodesic._start = Cartographic.clone( start, - ellipsoidGeodesic._start + ellipsoidGeodesic._start, ); ellipsoidGeodesic._end = Cartographic.clone(end, ellipsoidGeodesic._end); ellipsoidGeodesic._start.height = 0; @@ -409,11 +410,11 @@ EllipsoidGeodesic.prototype.setEndPoints = function (start, end) { */ EllipsoidGeodesic.prototype.interpolateUsingFraction = function ( fraction, - result + result, ) { return this.interpolateUsingSurfaceDistance( this._distance * fraction, - result + result, ); }; @@ -428,7 +429,7 @@ EllipsoidGeodesic.prototype.interpolateUsingFraction = function ( */ EllipsoidGeodesic.prototype.interpolateUsingSurfaceDistance = function ( distance, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.defined("distance", this._distance); @@ -496,7 +497,7 @@ EllipsoidGeodesic.prototype.interpolateUsingSurfaceDistance = function ( const lambda = Math.atan2( sineSigma * constants.sineHeading, - cc - ss * constants.cosineHeading + cc - ss * constants.cosineHeading, ); const l = @@ -508,7 +509,7 @@ EllipsoidGeodesic.prototype.interpolateUsingSurfaceDistance = function ( sigma, sineSigma, cosineSigma, - cosineTwiceSigmaMidpoint + cosineTwiceSigmaMidpoint, ); if (defined(result)) { diff --git a/packages/engine/Source/Core/EllipsoidGeometry.js b/packages/engine/Source/Core/EllipsoidGeometry.js index e6a8409dc78b..3912ad9c4d10 100644 --- a/packages/engine/Source/Core/EllipsoidGeometry.js +++ b/packages/engine/Source/Core/EllipsoidGeometry.js @@ -70,12 +70,12 @@ function EllipsoidGeometry(options) { //>>includeStart('debug', pragmas.debug); if (slicePartitions < 3) { throw new DeveloperError( - "options.slicePartitions cannot be less than three." + "options.slicePartitions cannot be less than three.", ); } if (stackPartitions < 3) { throw new DeveloperError( - "options.stackPartitions cannot be less than three." + "options.stackPartitions cannot be less than three.", ); } //>>includeEnd('debug'); @@ -183,7 +183,7 @@ EllipsoidGeometry.unpack = function (array, startingIndex, result) { const vertexFormat = VertexFormat.unpack( array, startingIndex, - scratchVertexFormat + scratchVertexFormat, ); startingIndex += VertexFormat.packedLength; @@ -252,10 +252,10 @@ EllipsoidGeometry.createGeometry = function (ellipsoidGeometry) { slicePartitions = Math.round( (slicePartitions * Math.abs(maximumClock - minimumClock)) / - CesiumMath.TWO_PI + CesiumMath.TWO_PI, ); stackPartitions = Math.round( - (stackPartitions * Math.abs(maximumCone - minimumCone)) / CesiumMath.PI + (stackPartitions * Math.abs(maximumCone - minimumCone)) / CesiumMath.PI, ); if (slicePartitions < 2) { @@ -275,13 +275,14 @@ EllipsoidGeometry.createGeometry = function (ellipsoidGeometry) { const thetas = [minimumClock]; for (i = 0; i < stackPartitions; i++) { phis.push( - minimumCone + (i * (maximumCone - minimumCone)) / (stackPartitions - 1) + minimumCone + (i * (maximumCone - minimumCone)) / (stackPartitions - 1), ); } phis.push(maximumCone); for (j = 0; j < slicePartitions; j++) { thetas.push( - minimumClock + (j * (maximumClock - minimumClock)) / (slicePartitions - 1) + minimumClock + + (j * (maximumClock - minimumClock)) / (slicePartitions - 1), ); } thetas.push(maximumClock); @@ -641,7 +642,7 @@ EllipsoidGeometry.getUnitEllipsoid = function () { new EllipsoidGeometry({ radii: new Cartesian3(1.0, 1.0, 1.0), vertexFormat: VertexFormat.POSITION_ONLY, - }) + }), ); } return unitEllipsoidGeometry; diff --git a/packages/engine/Source/Core/EllipsoidOutlineGeometry.js b/packages/engine/Source/Core/EllipsoidOutlineGeometry.js index 53b1fd403a97..0fbd1edd2434 100644 --- a/packages/engine/Source/Core/EllipsoidOutlineGeometry.js +++ b/packages/engine/Source/Core/EllipsoidOutlineGeometry.js @@ -68,7 +68,7 @@ function EllipsoidOutlineGeometry(options) { } if (subdivisions < 0) { throw new DeveloperError( - "options.subdivisions must be greater than or equal to zero." + "options.subdivisions must be greater than or equal to zero.", ); } if ( @@ -76,7 +76,7 @@ function EllipsoidOutlineGeometry(options) { options.offsetAttribute === GeometryOffsetAttribute.TOP ) { throw new DeveloperError( - "GeometryOffsetAttribute.TOP is not a supported options.offsetAttribute for this geometry." + "GeometryOffsetAttribute.TOP is not a supported options.offsetAttribute for this geometry.", ); } //>>includeEnd('debug'); @@ -244,10 +244,10 @@ EllipsoidOutlineGeometry.createGeometry = function (ellipsoidGeometry) { slicePartitions = Math.round( (slicePartitions * Math.abs(maximumClock - minimumClock)) / - CesiumMath.TWO_PI + CesiumMath.TWO_PI, ); stackPartitions = Math.round( - (stackPartitions * Math.abs(maximumCone - minimumCone)) / CesiumMath.PI + (stackPartitions * Math.abs(maximumCone - minimumCone)) / CesiumMath.PI, ); if (slicePartitions < 2) { diff --git a/packages/engine/Source/Core/EllipsoidRhumbLine.js b/packages/engine/Source/Core/EllipsoidRhumbLine.js index 9c61eed0a00c..834b8a857b74 100644 --- a/packages/engine/Source/Core/EllipsoidRhumbLine.js +++ b/packages/engine/Source/Core/EllipsoidRhumbLine.js @@ -176,16 +176,16 @@ function calculateHeading( firstLongitude, firstLatitude, secondLongitude, - secondLatitude + secondLatitude, ) { const sigma1 = calculateSigma(ellipsoidRhumbLine._ellipticity, firstLatitude); const sigma2 = calculateSigma( ellipsoidRhumbLine._ellipticity, - secondLatitude + secondLatitude, ); return Math.atan2( CesiumMath.negativePiToPi(secondLongitude - firstLongitude), - sigma2 - sigma1 + sigma2 - sigma1, ); } @@ -196,7 +196,7 @@ function calculateArcLength( firstLongitude, firstLatitude, secondLongitude, - secondLatitude + secondLatitude, ) { const heading = ellipsoidRhumbLine._heading; const deltaLongitude = secondLongitude - firstLongitude; @@ -209,7 +209,7 @@ function calculateArcLength( CesiumMath.equalsEpsilon( Math.abs(heading), CesiumMath.PI_OVER_TWO, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ) ) { //If heading is close to 90 degrees @@ -230,12 +230,12 @@ function calculateArcLength( const M1 = calculateM( ellipsoidRhumbLine._ellipticity, major, - firstLatitude + firstLatitude, ); const M2 = calculateM( ellipsoidRhumbLine._ellipticity, major, - secondLatitude + secondLatitude, ); distance = (M2 - M1) / Math.cos(heading); @@ -249,20 +249,21 @@ const scratchCart2 = new Cartesian3(); function computeProperties(ellipsoidRhumbLine, start, end, ellipsoid) { const firstCartesian = Cartesian3.normalize( ellipsoid.cartographicToCartesian(start, scratchCart2), - scratchCart1 + scratchCart1, ); const lastCartesian = Cartesian3.normalize( ellipsoid.cartographicToCartesian(end, scratchCart2), - scratchCart2 + scratchCart2, ); //>>includeStart('debug', pragmas.debug); Check.typeOf.number.greaterThanOrEquals( "value", Math.abs( - Math.abs(Cartesian3.angleBetween(firstCartesian, lastCartesian)) - Math.PI + Math.abs(Cartesian3.angleBetween(firstCartesian, lastCartesian)) - + Math.PI, ), - 0.0125 + 0.0125, ); //>>includeEnd('debug'); @@ -273,12 +274,12 @@ function computeProperties(ellipsoidRhumbLine, start, end, ellipsoid) { ellipsoidRhumbLine._ellipticitySquared = (majorSquared - minorSquared) / majorSquared; ellipsoidRhumbLine._ellipticity = Math.sqrt( - ellipsoidRhumbLine._ellipticitySquared + ellipsoidRhumbLine._ellipticitySquared, ); ellipsoidRhumbLine._start = Cartographic.clone( start, - ellipsoidRhumbLine._start + ellipsoidRhumbLine._start, ); ellipsoidRhumbLine._start.height = 0; @@ -290,7 +291,7 @@ function computeProperties(ellipsoidRhumbLine, start, end, ellipsoid) { start.longitude, start.latitude, end.longitude, - end.latitude + end.latitude, ); ellipsoidRhumbLine._distance = calculateArcLength( ellipsoidRhumbLine, @@ -299,7 +300,7 @@ function computeProperties(ellipsoidRhumbLine, start, end, ellipsoid) { start.longitude, start.latitude, end.longitude, - end.latitude + end.latitude, ); } @@ -309,7 +310,7 @@ function interpolateUsingSurfaceDistance( distance, major, ellipticity, - result + result, ) { if (distance === 0.0) { return Cartographic.clone(start, result); @@ -490,7 +491,7 @@ EllipsoidRhumbLine.fromStartHeadingDistance = function ( heading, distance, ellipsoid, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.defined("start", start); @@ -512,7 +513,7 @@ EllipsoidRhumbLine.fromStartHeadingDistance = function ( heading, distance, e.maximumRadius, - ellipticity + ellipticity, ); if ( @@ -550,11 +551,11 @@ EllipsoidRhumbLine.prototype.setEndPoints = function (start, end) { */ EllipsoidRhumbLine.prototype.interpolateUsingFraction = function ( fraction, - result + result, ) { return this.interpolateUsingSurfaceDistance( fraction * this._distance, - result + result, ); }; @@ -569,13 +570,13 @@ EllipsoidRhumbLine.prototype.interpolateUsingFraction = function ( */ EllipsoidRhumbLine.prototype.interpolateUsingSurfaceDistance = function ( distance, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.number("distance", distance); if (!defined(this._distance) || this._distance === 0.0) { throw new DeveloperError( - "EllipsoidRhumbLine must have distinct start and end set." + "EllipsoidRhumbLine must have distinct start and end set.", ); } //>>includeEnd('debug'); @@ -586,7 +587,7 @@ EllipsoidRhumbLine.prototype.interpolateUsingSurfaceDistance = function ( distance, this._ellipsoid.maximumRadius, this._ellipticity, - result + result, ); }; @@ -602,13 +603,13 @@ EllipsoidRhumbLine.prototype.interpolateUsingSurfaceDistance = function ( */ EllipsoidRhumbLine.prototype.findIntersectionWithLongitude = function ( intersectionLongitude, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.number("intersectionLongitude", intersectionLongitude); if (!defined(this._distance) || this._distance === 0.0) { throw new DeveloperError( - "EllipsoidRhumbLine must have distinct start and end set." + "EllipsoidRhumbLine must have distinct start and end set.", ); } //>>includeEnd('debug'); @@ -624,7 +625,7 @@ EllipsoidRhumbLine.prototype.findIntersectionWithLongitude = function ( CesiumMath.equalsEpsilon( Math.abs(intersectionLongitude), Math.PI, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ) ) { intersectionLongitude = CesiumMath.sign(start.longitude) * Math.PI; @@ -645,14 +646,14 @@ EllipsoidRhumbLine.prototype.findIntersectionWithLongitude = function ( CesiumMath.equalsEpsilon( Math.abs(CesiumMath.PI_OVER_TWO - absHeading), CesiumMath.PI_OVER_TWO, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ) ) { if ( CesiumMath.equalsEpsilon( intersectionLongitude, start.longitude, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ) ) { return undefined; @@ -683,7 +684,7 @@ EllipsoidRhumbLine.prototype.findIntersectionWithLongitude = function ( newPhi = 2 * Math.atan( - leftComponent * Math.pow(numerator / denominator, ellipticity / 2) + leftComponent * Math.pow(numerator / denominator, ellipticity / 2), ) - CesiumMath.PI_OVER_TWO; } while (!CesiumMath.equalsEpsilon(newPhi, phi, CesiumMath.EPSILON12)); @@ -706,13 +707,13 @@ EllipsoidRhumbLine.prototype.findIntersectionWithLongitude = function ( */ EllipsoidRhumbLine.prototype.findIntersectionWithLatitude = function ( intersectionLatitude, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.number("intersectionLatitude", intersectionLatitude); if (!defined(this._distance) || this._distance === 0.0) { throw new DeveloperError( - "EllipsoidRhumbLine must have distinct start and end set." + "EllipsoidRhumbLine must have distinct start and end set.", ); } //>>includeEnd('debug'); @@ -726,7 +727,7 @@ EllipsoidRhumbLine.prototype.findIntersectionWithLatitude = function ( CesiumMath.equalsEpsilon( Math.abs(heading), CesiumMath.PI_OVER_TWO, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ) ) { return; diff --git a/packages/engine/Source/Core/EllipsoidTangentPlane.js b/packages/engine/Source/Core/EllipsoidTangentPlane.js index f351b97537b1..c7b73ca4888f 100644 --- a/packages/engine/Source/Core/EllipsoidTangentPlane.js +++ b/packages/engine/Source/Core/EllipsoidTangentPlane.js @@ -37,7 +37,7 @@ function EllipsoidTangentPlane(origin, ellipsoid) { //>>includeStart('debug', pragmas.debug); if (!defined(origin)) { throw new DeveloperError( - "origin must not be at the center of the ellipsoid." + "origin must not be at the center of the ellipsoid.", ); } //>>includeEnd('debug'); @@ -46,14 +46,14 @@ function EllipsoidTangentPlane(origin, ellipsoid) { this._ellipsoid = ellipsoid; this._origin = origin; this._xAxis = Cartesian3.fromCartesian4( - Matrix4.getColumn(eastNorthUp, 0, scratchCart4) + Matrix4.getColumn(eastNorthUp, 0, scratchCart4), ); this._yAxis = Cartesian3.fromCartesian4( - Matrix4.getColumn(eastNorthUp, 1, scratchCart4) + Matrix4.getColumn(eastNorthUp, 1, scratchCart4), ); const normal = Cartesian3.fromCartesian4( - Matrix4.getColumn(eastNorthUp, 2, scratchCart4) + Matrix4.getColumn(eastNorthUp, 2, scratchCart4), ); this._plane = Plane.fromPointNormal(origin, normal); } @@ -160,7 +160,7 @@ const scratchProjectPointOntoPlaneCartesian3 = new Cartesian3(); */ EllipsoidTangentPlane.prototype.projectPointOntoPlane = function ( cartesian, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.defined("cartesian", cartesian); @@ -173,14 +173,14 @@ EllipsoidTangentPlane.prototype.projectPointOntoPlane = function ( let intersectionPoint = IntersectionTests.rayPlane( ray, this._plane, - scratchProjectPointOntoPlaneCartesian3 + scratchProjectPointOntoPlaneCartesian3, ); if (!defined(intersectionPoint)) { Cartesian3.negate(ray.direction, ray.direction); intersectionPoint = IntersectionTests.rayPlane( ray, this._plane, - scratchProjectPointOntoPlaneCartesian3 + scratchProjectPointOntoPlaneCartesian3, ); } @@ -188,7 +188,7 @@ EllipsoidTangentPlane.prototype.projectPointOntoPlane = function ( const v = Cartesian3.subtract( intersectionPoint, this._origin, - intersectionPoint + intersectionPoint, ); const x = Cartesian3.dot(this._xAxis, v); const y = Cartesian3.dot(this._yAxis, v); @@ -215,7 +215,7 @@ EllipsoidTangentPlane.prototype.projectPointOntoPlane = function ( */ EllipsoidTangentPlane.prototype.projectPointsOntoPlane = function ( cartesians, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.defined("cartesians", cartesians); @@ -247,7 +247,7 @@ EllipsoidTangentPlane.prototype.projectPointsOntoPlane = function ( */ EllipsoidTangentPlane.prototype.projectPointToNearestOnPlane = function ( cartesian, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.defined("cartesian", cartesian); @@ -264,21 +264,21 @@ EllipsoidTangentPlane.prototype.projectPointToNearestOnPlane = function ( let intersectionPoint = IntersectionTests.rayPlane( ray, this._plane, - scratchProjectPointOntoPlaneCartesian3 + scratchProjectPointOntoPlaneCartesian3, ); if (!defined(intersectionPoint)) { Cartesian3.negate(ray.direction, ray.direction); intersectionPoint = IntersectionTests.rayPlane( ray, this._plane, - scratchProjectPointOntoPlaneCartesian3 + scratchProjectPointOntoPlaneCartesian3, ); } const v = Cartesian3.subtract( intersectionPoint, this._origin, - intersectionPoint + intersectionPoint, ); const x = Cartesian3.dot(this._xAxis, v); const y = Cartesian3.dot(this._yAxis, v); @@ -299,7 +299,7 @@ EllipsoidTangentPlane.prototype.projectPointToNearestOnPlane = function ( */ EllipsoidTangentPlane.prototype.projectPointsToNearestOnPlane = function ( cartesians, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.defined("cartesians", cartesians); @@ -327,7 +327,7 @@ const projectPointsOntoEllipsoidScratch = new Cartesian3(); */ EllipsoidTangentPlane.prototype.projectPointOntoEllipsoid = function ( cartesian, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.defined("cartesian", cartesian); @@ -361,7 +361,7 @@ EllipsoidTangentPlane.prototype.projectPointOntoEllipsoid = function ( */ EllipsoidTangentPlane.prototype.projectPointsOntoEllipsoid = function ( cartesians, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.defined("cartesians", cartesians); diff --git a/packages/engine/Source/Core/EllipsoidTerrainProvider.js b/packages/engine/Source/Core/EllipsoidTerrainProvider.js index 00ae7885588d..ad08037ccf4b 100644 --- a/packages/engine/Source/Core/EllipsoidTerrainProvider.js +++ b/packages/engine/Source/Core/EllipsoidTerrainProvider.js @@ -35,11 +35,12 @@ function EllipsoidTerrainProvider(options) { // Note: the 64 below does NOT need to match the actual vertex dimensions, because // the ellipsoid is significantly smoother than actual terrain. - this._levelZeroMaximumGeometricError = TerrainProvider.getEstimatedLevelZeroGeometricErrorForAHeightmap( - this._tilingScheme.ellipsoid, - 64, - this._tilingScheme.getNumberOfXTilesAtLevel(0) - ); + this._levelZeroMaximumGeometricError = + TerrainProvider.getEstimatedLevelZeroGeometricErrorForAHeightmap( + this._tilingScheme.ellipsoid, + 64, + this._tilingScheme.getNumberOfXTilesAtLevel(0), + ); this._errorEvent = new Event(); } @@ -141,7 +142,7 @@ EllipsoidTerrainProvider.prototype.requestTileGeometry = function ( x, y, level, - request + request, ) { const width = 16; const height = 16; @@ -150,7 +151,7 @@ EllipsoidTerrainProvider.prototype.requestTileGeometry = function ( buffer: new Uint8Array(width * height), width: width, height: height, - }) + }), ); }; @@ -161,7 +162,7 @@ EllipsoidTerrainProvider.prototype.requestTileGeometry = function ( * @returns {number} The maximum geometric error. */ EllipsoidTerrainProvider.prototype.getLevelMaximumGeometricError = function ( - level + level, ) { return this._levelZeroMaximumGeometricError / (1 << level); }; @@ -177,7 +178,7 @@ EllipsoidTerrainProvider.prototype.getLevelMaximumGeometricError = function ( EllipsoidTerrainProvider.prototype.getTileDataAvailable = function ( x, y, - level + level, ) { return undefined; }; @@ -193,7 +194,7 @@ EllipsoidTerrainProvider.prototype.getTileDataAvailable = function ( EllipsoidTerrainProvider.prototype.loadTileDataAvailability = function ( x, y, - level + level, ) { return undefined; }; diff --git a/packages/engine/Source/Core/EllipsoidalOccluder.js b/packages/engine/Source/Core/EllipsoidalOccluder.js index e94d8bbcbf3d..6f8fee3143f9 100644 --- a/packages/engine/Source/Core/EllipsoidalOccluder.js +++ b/packages/engine/Source/Core/EllipsoidalOccluder.js @@ -70,7 +70,7 @@ Object.defineProperties(EllipsoidalOccluder.prototype, { const ellipsoid = this._ellipsoid; const cv = ellipsoid.transformPositionToScaledSpace( cameraPosition, - this._cameraPositionInScaledSpace + this._cameraPositionInScaledSpace, ); const vhMagnitudeSquared = Cartesian3.magnitudeSquared(cv) - 1.0; @@ -100,12 +100,12 @@ EllipsoidalOccluder.prototype.isPointVisible = function (occludee) { const ellipsoid = this._ellipsoid; const occludeeScaledSpacePosition = ellipsoid.transformPositionToScaledSpace( occludee, - scratchCartesian + scratchCartesian, ); return isScaledSpacePointVisible( occludeeScaledSpacePosition, this._cameraPositionInScaledSpace, - this._distanceToLimbInScaledSpaceSquared + this._distanceToLimbInScaledSpaceSquared, ); }; @@ -126,12 +126,12 @@ EllipsoidalOccluder.prototype.isPointVisible = function (occludee) { * occluder.isScaledSpacePointVisible(scaledSpacePoint); //returns true */ EllipsoidalOccluder.prototype.isScaledSpacePointVisible = function ( - occludeeScaledSpacePosition + occludeeScaledSpacePosition, ) { return isScaledSpacePointVisible( occludeeScaledSpacePosition, this._cameraPositionInScaledSpace, - this._distanceToLimbInScaledSpaceSquared + this._distanceToLimbInScaledSpaceSquared, ); }; @@ -147,36 +147,34 @@ const scratchCameraPositionInScaledSpaceShrunk = new Cartesian3(); * @param {Cartesian3} occludeeScaledSpacePosition The point to test for visibility, represented in the scaled space of the possibly-shrunk ellipsoid. * @returns {boolean} true if the occludee is visible; otherwise false. */ -EllipsoidalOccluder.prototype.isScaledSpacePointVisiblePossiblyUnderEllipsoid = function ( - occludeeScaledSpacePosition, - minimumHeight -) { - const ellipsoid = this._ellipsoid; - let vhMagnitudeSquared; - let cv; - - if ( - defined(minimumHeight) && - minimumHeight < 0.0 && - ellipsoid.minimumRadius > -minimumHeight - ) { - // This code is similar to the cameraPosition setter, but unrolled for performance because it will be called a lot. - cv = scratchCameraPositionInScaledSpaceShrunk; - cv.x = this._cameraPosition.x / (ellipsoid.radii.x + minimumHeight); - cv.y = this._cameraPosition.y / (ellipsoid.radii.y + minimumHeight); - cv.z = this._cameraPosition.z / (ellipsoid.radii.z + minimumHeight); - vhMagnitudeSquared = cv.x * cv.x + cv.y * cv.y + cv.z * cv.z - 1.0; - } else { - cv = this._cameraPositionInScaledSpace; - vhMagnitudeSquared = this._distanceToLimbInScaledSpaceSquared; - } +EllipsoidalOccluder.prototype.isScaledSpacePointVisiblePossiblyUnderEllipsoid = + function (occludeeScaledSpacePosition, minimumHeight) { + const ellipsoid = this._ellipsoid; + let vhMagnitudeSquared; + let cv; + + if ( + defined(minimumHeight) && + minimumHeight < 0.0 && + ellipsoid.minimumRadius > -minimumHeight + ) { + // This code is similar to the cameraPosition setter, but unrolled for performance because it will be called a lot. + cv = scratchCameraPositionInScaledSpaceShrunk; + cv.x = this._cameraPosition.x / (ellipsoid.radii.x + minimumHeight); + cv.y = this._cameraPosition.y / (ellipsoid.radii.y + minimumHeight); + cv.z = this._cameraPosition.z / (ellipsoid.radii.z + minimumHeight); + vhMagnitudeSquared = cv.x * cv.x + cv.y * cv.y + cv.z * cv.z - 1.0; + } else { + cv = this._cameraPositionInScaledSpace; + vhMagnitudeSquared = this._distanceToLimbInScaledSpaceSquared; + } - return isScaledSpacePointVisible( - occludeeScaledSpacePosition, - cv, - vhMagnitudeSquared - ); -}; + return isScaledSpacePointVisible( + occludeeScaledSpacePosition, + cv, + vhMagnitudeSquared, + ); + }; /** * Computes a point that can be used for horizon culling from a list of positions. If the point is below @@ -197,13 +195,13 @@ EllipsoidalOccluder.prototype.isScaledSpacePointVisiblePossiblyUnderEllipsoid = EllipsoidalOccluder.prototype.computeHorizonCullingPoint = function ( directionToPoint, positions, - result + result, ) { return computeHorizonCullingPointFromPositions( this._ellipsoid, directionToPoint, positions, - result + result, ); }; @@ -226,24 +224,20 @@ const scratchEllipsoidShrunk = Ellipsoid.clone(Ellipsoid.UNIT_SPHERE); * @param {Cartesian3} [result] The instance on which to store the result instead of allocating a new instance. * @returns {Cartesian3} The computed horizon culling point, expressed in the possibly-shrunk ellipsoid-scaled space. */ -EllipsoidalOccluder.prototype.computeHorizonCullingPointPossiblyUnderEllipsoid = function ( - directionToPoint, - positions, - minimumHeight, - result -) { - const possiblyShrunkEllipsoid = getPossiblyShrunkEllipsoid( - this._ellipsoid, - minimumHeight, - scratchEllipsoidShrunk - ); - return computeHorizonCullingPointFromPositions( - possiblyShrunkEllipsoid, - directionToPoint, - positions, - result - ); -}; +EllipsoidalOccluder.prototype.computeHorizonCullingPointPossiblyUnderEllipsoid = + function (directionToPoint, positions, minimumHeight, result) { + const possiblyShrunkEllipsoid = getPossiblyShrunkEllipsoid( + this._ellipsoid, + minimumHeight, + scratchEllipsoidShrunk, + ); + return computeHorizonCullingPointFromPositions( + possiblyShrunkEllipsoid, + directionToPoint, + positions, + result, + ); + }; /** * Computes a point that can be used for horizon culling from a list of positions. If the point is below * the horizon, all of the positions are guaranteed to be below the horizon as well. The returned point @@ -262,22 +256,17 @@ EllipsoidalOccluder.prototype.computeHorizonCullingPointPossiblyUnderEllipsoid = * @param {Cartesian3} [result] The instance on which to store the result instead of allocating a new instance. * @returns {Cartesian3} The computed horizon culling point, expressed in the ellipsoid-scaled space. */ -EllipsoidalOccluder.prototype.computeHorizonCullingPointFromVertices = function ( - directionToPoint, - vertices, - stride, - center, - result -) { - return computeHorizonCullingPointFromVertices( - this._ellipsoid, - directionToPoint, - vertices, - stride, - center, - result - ); -}; +EllipsoidalOccluder.prototype.computeHorizonCullingPointFromVertices = + function (directionToPoint, vertices, stride, center, result) { + return computeHorizonCullingPointFromVertices( + this._ellipsoid, + directionToPoint, + vertices, + stride, + center, + result, + ); + }; /** * Similar to {@link EllipsoidalOccluder#computeHorizonCullingPointFromVertices} except computes the culling @@ -298,28 +287,22 @@ EllipsoidalOccluder.prototype.computeHorizonCullingPointFromVertices = function * @param {Cartesian3} [result] The instance on which to store the result instead of allocating a new instance. * @returns {Cartesian3} The computed horizon culling point, expressed in the possibly-shrunk ellipsoid-scaled space. */ -EllipsoidalOccluder.prototype.computeHorizonCullingPointFromVerticesPossiblyUnderEllipsoid = function ( - directionToPoint, - vertices, - stride, - center, - minimumHeight, - result -) { - const possiblyShrunkEllipsoid = getPossiblyShrunkEllipsoid( - this._ellipsoid, - minimumHeight, - scratchEllipsoidShrunk - ); - return computeHorizonCullingPointFromVertices( - possiblyShrunkEllipsoid, - directionToPoint, - vertices, - stride, - center, - result - ); -}; +EllipsoidalOccluder.prototype.computeHorizonCullingPointFromVerticesPossiblyUnderEllipsoid = + function (directionToPoint, vertices, stride, center, minimumHeight, result) { + const possiblyShrunkEllipsoid = getPossiblyShrunkEllipsoid( + this._ellipsoid, + minimumHeight, + scratchEllipsoidShrunk, + ); + return computeHorizonCullingPointFromVertices( + possiblyShrunkEllipsoid, + directionToPoint, + vertices, + stride, + center, + result, + ); + }; const subsampleScratch = []; @@ -335,31 +318,28 @@ const subsampleScratch = []; * @param {Cartesian3} [result] The instance on which to store the result instead of allocating a new instance. * @returns {Cartesian3} The computed horizon culling point, expressed in the ellipsoid-scaled space. */ -EllipsoidalOccluder.prototype.computeHorizonCullingPointFromRectangle = function ( - rectangle, - ellipsoid, - result -) { - //>>includeStart('debug', pragmas.debug); - Check.typeOf.object("rectangle", rectangle); - //>>includeEnd('debug'); - - const positions = Rectangle.subsample( - rectangle, - ellipsoid, - 0.0, - subsampleScratch - ); - const bs = BoundingSphere.fromPoints(positions); +EllipsoidalOccluder.prototype.computeHorizonCullingPointFromRectangle = + function (rectangle, ellipsoid, result) { + //>>includeStart('debug', pragmas.debug); + Check.typeOf.object("rectangle", rectangle); + //>>includeEnd('debug'); + + const positions = Rectangle.subsample( + rectangle, + ellipsoid, + 0.0, + subsampleScratch, + ); + const bs = BoundingSphere.fromPoints(positions); - // If the bounding sphere center is too close to the center of the occluder, it doesn't make - // sense to try to horizon cull it. - if (Cartesian3.magnitude(bs.center) < 0.1 * ellipsoid.minimumRadius) { - return undefined; - } + // If the bounding sphere center is too close to the center of the occluder, it doesn't make + // sense to try to horizon cull it. + if (Cartesian3.magnitude(bs.center) < 0.1 * ellipsoid.minimumRadius) { + return undefined; + } - return this.computeHorizonCullingPoint(bs.center, positions, result); -}; + return this.computeHorizonCullingPoint(bs.center, positions, result); + }; const scratchEllipsoidShrunkRadii = new Cartesian3(); @@ -373,7 +353,7 @@ function getPossiblyShrunkEllipsoid(ellipsoid, minimumHeight, result) { ellipsoid.radii.x + minimumHeight, ellipsoid.radii.y + minimumHeight, ellipsoid.radii.z + minimumHeight, - scratchEllipsoidShrunkRadii + scratchEllipsoidShrunkRadii, ); ellipsoid = Ellipsoid.fromCartesian3(ellipsoidShrunkRadii, result); } @@ -384,7 +364,7 @@ function computeHorizonCullingPointFromPositions( ellipsoid, directionToPoint, positions, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.object("directionToPoint", directionToPoint); @@ -397,7 +377,7 @@ function computeHorizonCullingPointFromPositions( const scaledSpaceDirectionToPoint = computeScaledSpaceDirectionToPoint( ellipsoid, - directionToPoint + directionToPoint, ); let resultMagnitude = 0.0; @@ -406,7 +386,7 @@ function computeHorizonCullingPointFromPositions( const candidateMagnitude = computeMagnitude( ellipsoid, position, - scaledSpaceDirectionToPoint + scaledSpaceDirectionToPoint, ); if (candidateMagnitude < 0.0) { // all points should face the same direction, but this one doesn't, so return undefined @@ -426,7 +406,7 @@ function computeHorizonCullingPointFromVertices( vertices, stride, center, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.object("directionToPoint", directionToPoint); @@ -442,7 +422,7 @@ function computeHorizonCullingPointFromVertices( center = defaultValue(center, Cartesian3.ZERO); const scaledSpaceDirectionToPoint = computeScaledSpaceDirectionToPoint( ellipsoid, - directionToPoint + directionToPoint, ); let resultMagnitude = 0.0; @@ -454,7 +434,7 @@ function computeHorizonCullingPointFromVertices( const candidateMagnitude = computeMagnitude( ellipsoid, positionScratch, - scaledSpaceDirectionToPoint + scaledSpaceDirectionToPoint, ); if (candidateMagnitude < 0.0) { // all points should face the same direction, but this one doesn't, so return undefined @@ -469,7 +449,7 @@ function computeHorizonCullingPointFromVertices( function isScaledSpacePointVisible( occludeeScaledSpacePosition, cameraPositionInScaledSpace, - distanceToLimbInScaledSpaceSquared + distanceToLimbInScaledSpaceSquared, ) { // See https://cesium.com/blog/2013/04/25/Horizon-culling/ const cv = cameraPositionInScaledSpace; @@ -477,7 +457,7 @@ function isScaledSpacePointVisible( const vt = Cartesian3.subtract( occludeeScaledSpacePosition, cv, - scratchCartesian + scratchCartesian, ); const vtDotVc = -Cartesian3.dot(vt, cv); // If vhMagnitudeSquared < 0 then we are below the surface of the ellipsoid and @@ -497,14 +477,14 @@ const directionScratch = new Cartesian3(); function computeMagnitude(ellipsoid, position, scaledSpaceDirectionToPoint) { const scaledSpacePosition = ellipsoid.transformPositionToScaledSpace( position, - scaledSpaceScratch + scaledSpaceScratch, ); let magnitudeSquared = Cartesian3.magnitudeSquared(scaledSpacePosition); let magnitude = Math.sqrt(magnitudeSquared); const direction = Cartesian3.divideByScalar( scaledSpacePosition, magnitude, - directionScratch + directionScratch, ); // For the purpose of this computation, points below the ellipsoid are consider to be on it instead. @@ -513,7 +493,7 @@ function computeMagnitude(ellipsoid, position, scaledSpaceDirectionToPoint) { const cosAlpha = Cartesian3.dot(direction, scaledSpaceDirectionToPoint); const sinAlpha = Cartesian3.magnitude( - Cartesian3.cross(direction, scaledSpaceDirectionToPoint, direction) + Cartesian3.cross(direction, scaledSpaceDirectionToPoint, direction), ); const cosBeta = 1.0 / magnitude; const sinBeta = Math.sqrt(magnitudeSquared - 1.0) * cosBeta; @@ -524,7 +504,7 @@ function computeMagnitude(ellipsoid, position, scaledSpaceDirectionToPoint) { function magnitudeToPoint( scaledSpaceDirectionToPoint, resultMagnitude, - result + result, ) { // The horizon culling point is undefined if there were no positions from which to compute it, // the directionToPoint is pointing opposite all of the positions, or if we computed NaN or infinity. @@ -539,7 +519,7 @@ function magnitudeToPoint( return Cartesian3.multiplyByScalar( scaledSpaceDirectionToPoint, resultMagnitude, - result + result, ); } @@ -552,7 +532,7 @@ function computeScaledSpaceDirectionToPoint(ellipsoid, directionToPoint) { ellipsoid.transformPositionToScaledSpace( directionToPoint, - directionToPointScratch + directionToPointScratch, ); return Cartesian3.normalize(directionToPointScratch, directionToPointScratch); } diff --git a/packages/engine/Source/Core/FeatureDetection.js b/packages/engine/Source/Core/FeatureDetection.js index 795f79e34e4b..425b247ecb95 100644 --- a/packages/engine/Source/Core/FeatureDetection.js +++ b/packages/engine/Source/Core/FeatureDetection.js @@ -104,7 +104,7 @@ function isInternetExplorer() { } } else if (theNavigator.appName === "Netscape") { fields = /Trident\/.*rv:([0-9]{1,}[\.0-9]{0,})/.exec( - theNavigator.userAgent + theNavigator.userAgent, ); if (fields !== null) { isInternetExplorerResult = true; @@ -200,7 +200,7 @@ function supportsImageRenderingPixelated() { const canvas = document.createElement("canvas"); canvas.setAttribute( "style", - "image-rendering: -moz-crisp-edges;" + "image-rendering: pixelated;" + "image-rendering: -moz-crisp-edges;" + "image-rendering: pixelated;", ); //canvas.style.imageRendering will be undefined, null or an empty string on unsupported browsers. const tmp = canvas.style.imageRendering; @@ -222,7 +222,7 @@ function supportsWebP() { //>>includeStart('debug', pragmas.debug); if (!supportsWebP.initialized) { throw new DeveloperError( - "You must call FeatureDetection.supportsWebP.initialize and wait for the promise to resolve before calling FeatureDetection.supportsWebP" + "You must call FeatureDetection.supportsWebP.initialize and wait for the promise to resolve before calling FeatureDetection.supportsWebP", ); } //>>includeEnd('debug'); @@ -271,7 +271,7 @@ if (typeof ArrayBuffer !== "undefined") { Int32Array, Uint32Array, Float32Array, - Float64Array + Float64Array, ); if (typeof Uint8ClampedArray !== "undefined") { diff --git a/packages/engine/Source/Core/FrustumGeometry.js b/packages/engine/Source/Core/FrustumGeometry.js index 46fcb8cfb785..ab6896739f8e 100644 --- a/packages/engine/Source/Core/FrustumGeometry.js +++ b/packages/engine/Source/Core/FrustumGeometry.js @@ -147,14 +147,14 @@ FrustumGeometry.unpack = function (array, startingIndex, result) { frustum = PerspectiveFrustum.unpack( array, startingIndex, - scratchPackPerspective + scratchPackPerspective, ); startingIndex += PerspectiveFrustum.packedLength; } else { frustum = OrthographicFrustum.unpack( array, startingIndex, - scratchPackOrthographic + scratchPackOrthographic, ); startingIndex += OrthographicFrustum.packedLength; } @@ -164,13 +164,13 @@ FrustumGeometry.unpack = function (array, startingIndex, result) { const orientation = Quaternion.unpack( array, startingIndex, - scratchPackQuaternion + scratchPackQuaternion, ); startingIndex += Quaternion.packedLength; const vertexFormat = VertexFormat.unpack( array, startingIndex, - scratchVertexFormat + scratchVertexFormat, ); startingIndex += VertexFormat.packedLength; const drawNearPlane = array[startingIndex] === 1.0; @@ -206,7 +206,7 @@ function getAttributes( st, normal, tangent, - bitangent + bitangent, ) { const stOffset = (offset / 3) * 2; @@ -271,11 +271,11 @@ FrustumGeometry._computeNearFarPlanes = function ( positions, xDirection, yDirection, - zDirection + zDirection, ) { const rotationMatrix = Matrix3.fromQuaternion( orientation, - scratchRotationMatrix + scratchRotationMatrix, ); let x = defaultValue(xDirection, scratchXDirection); let y = defaultValue(yDirection, scratchYDirection); @@ -300,11 +300,11 @@ FrustumGeometry._computeNearFarPlanes = function ( const viewProjection = Matrix4.multiply( projection, view, - scratchInverseMatrix + scratchInverseMatrix, ); inverseViewProjection = Matrix4.inverse( viewProjection, - scratchInverseMatrix + scratchInverseMatrix, ); } else { inverseView = Matrix4.inverseTransformation(view, scratchInverseMatrix); @@ -323,7 +323,7 @@ FrustumGeometry._computeNearFarPlanes = function ( for (let j = 0; j < 4; ++j) { let corner = Cartesian4.clone( frustumCornersNDC[j], - scratchFrustumCorners[j] + scratchFrustumCorners[j], ); if (!defined(inverseViewProjection)) { @@ -353,7 +353,7 @@ FrustumGeometry._computeNearFarPlanes = function ( corner = Matrix4.multiplyByVector( inverseViewProjection, corner, - corner + corner, ); // Reverse perspective divide @@ -396,7 +396,7 @@ FrustumGeometry.createGeometry = function (frustumGeometry) { orientation, frustumType, frustum, - positions + positions, ); // -x plane @@ -513,7 +513,7 @@ FrustumGeometry.createGeometry = function (frustumGeometry) { st, negativeX, negativeZ, - y + y, ); // -x offset += 3 * 4; getAttributes( @@ -524,7 +524,7 @@ FrustumGeometry.createGeometry = function (frustumGeometry) { st, negativeY, negativeZ, - negativeX + negativeX, ); // -y offset += 3 * 4; getAttributes(offset, normals, tangents, bitangents, st, x, z, y); // +x diff --git a/packages/engine/Source/Core/FrustumOutlineGeometry.js b/packages/engine/Source/Core/FrustumOutlineGeometry.js index e49aea1bd141..f77783980bd0 100644 --- a/packages/engine/Source/Core/FrustumOutlineGeometry.js +++ b/packages/engine/Source/Core/FrustumOutlineGeometry.js @@ -134,14 +134,14 @@ FrustumOutlineGeometry.unpack = function (array, startingIndex, result) { frustum = PerspectiveFrustum.unpack( array, startingIndex, - scratchPackPerspective + scratchPackPerspective, ); startingIndex += PerspectiveFrustum.packedLength; } else { frustum = OrthographicFrustum.unpack( array, startingIndex, - scratchPackOrthographic + scratchPackOrthographic, ); startingIndex += OrthographicFrustum.packedLength; } @@ -151,7 +151,7 @@ FrustumOutlineGeometry.unpack = function (array, startingIndex, result) { const orientation = Quaternion.unpack( array, startingIndex, - scratchPackQuaternion + scratchPackQuaternion, ); startingIndex += Quaternion.packedLength; const drawNearPlane = array[startingIndex] === 1.0; @@ -196,7 +196,7 @@ FrustumOutlineGeometry.createGeometry = function (frustumGeometry) { orientation, frustumType, frustum, - positions + positions, ); const attributes = new GeometryAttributes({ diff --git a/packages/engine/Source/Core/GeographicTilingScheme.js b/packages/engine/Source/Core/GeographicTilingScheme.js index ca2449b6b015..f23d5d4c98ab 100644 --- a/packages/engine/Source/Core/GeographicTilingScheme.js +++ b/packages/engine/Source/Core/GeographicTilingScheme.js @@ -32,11 +32,11 @@ function GeographicTilingScheme(options) { this._projection = new GeographicProjection(this._ellipsoid); this._numberOfLevelZeroTilesX = defaultValue( options.numberOfLevelZeroTilesX, - 2 + 2, ); this._numberOfLevelZeroTilesY = defaultValue( options.numberOfLevelZeroTilesY, - 1 + 1, ); } @@ -107,7 +107,7 @@ GeographicTilingScheme.prototype.getNumberOfYTilesAtLevel = function (level) { */ GeographicTilingScheme.prototype.rectangleToNativeRectangle = function ( rectangle, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.defined("rectangle", rectangle); @@ -145,7 +145,7 @@ GeographicTilingScheme.prototype.tileXYToNativeRectangle = function ( x, y, level, - result + result, ) { const rectangleRadians = this.tileXYToRectangle(x, y, level, result); rectangleRadians.west = CesiumMath.toDegrees(rectangleRadians.west); @@ -170,7 +170,7 @@ GeographicTilingScheme.prototype.tileXYToRectangle = function ( x, y, level, - result + result, ) { const rectangle = this._rectangle; @@ -210,7 +210,7 @@ GeographicTilingScheme.prototype.tileXYToRectangle = function ( GeographicTilingScheme.prototype.positionToTileXY = function ( position, level, - result + result, ) { const rectangle = this._rectangle; if (!Rectangle.contains(rectangle, position)) { diff --git a/packages/engine/Source/Core/Geometry.js b/packages/engine/Source/Core/Geometry.js index bbde3c60b1d0..6c134fd02d6d 100644 --- a/packages/engine/Source/Core/Geometry.js +++ b/packages/engine/Source/Core/Geometry.js @@ -137,7 +137,7 @@ function Geometry(options) { */ this.primitiveType = defaultValue( options.primitiveType, - PrimitiveType.TRIANGLES + PrimitiveType.TRIANGLES, ); /** @@ -194,7 +194,7 @@ Geometry.computeNumberOfVertices = function (geometry) { //>>includeStart('debug', pragmas.debug); if (numberOfVertices !== num && numberOfVertices !== -1) { throw new DeveloperError( - "All attribute lists must have the same number of attributes." + "All attribute lists must have the same number of attributes.", ); } //>>includeEnd('debug'); @@ -252,7 +252,7 @@ Geometry._textureCoordinateRotationPoints = function ( positions, stRotation, ellipsoid, - boundingRectangle + boundingRectangle, ) { let i; @@ -262,21 +262,21 @@ Geometry._textureCoordinateRotationPoints = function ( // aka "ENU texture space." const rectangleCenter = Rectangle.center( boundingRectangle, - rectangleCenterScratch + rectangleCenterScratch, ); const enuCenter = Cartographic.toCartesian( rectangleCenter, ellipsoid, - enuCenterScratch + enuCenterScratch, ); const enuToFixedFrame = Transforms.eastNorthUpToFixedFrame( enuCenter, ellipsoid, - fixedFrameToEnuScratch + fixedFrameToEnuScratch, ); const fixedFrameToEnu = Matrix4.inverse( enuToFixedFrame, - fixedFrameToEnuScratch + fixedFrameToEnuScratch, ); const boundingPointsEnu = boundingRectanglePointsEnuScratch; @@ -306,11 +306,11 @@ Geometry._textureCoordinateRotationPoints = function ( const rotation = Quaternion.fromAxisAngle( Cartesian3.UNIT_Z, -stRotation, - enuRotationScratch + enuRotationScratch, ); const textureMatrix = Matrix3.fromQuaternion( rotation, - enuRotationMatrixScratch + enuRotationMatrixScratch, ); const positionsLength = positions.length; @@ -322,7 +322,7 @@ Geometry._textureCoordinateRotationPoints = function ( posEnu = Matrix4.multiplyByPointAsVector( fixedFrameToEnu, positions[i], - posEnu + posEnu, ); posEnu = Matrix3.multiplyByVector(textureMatrix, posEnu, posEnu); @@ -334,7 +334,7 @@ Geometry._textureCoordinateRotationPoints = function ( const toDesiredInComputed = Matrix2.fromRotation( stRotation, - rotation2DScratch + rotation2DScratch, ); const points2D = points2DScratch; diff --git a/packages/engine/Source/Core/GeometryAttribute.js b/packages/engine/Source/Core/GeometryAttribute.js index 91713c415120..9f4d442c0a7a 100644 --- a/packages/engine/Source/Core/GeometryAttribute.js +++ b/packages/engine/Source/Core/GeometryAttribute.js @@ -52,7 +52,7 @@ function GeometryAttribute(options) { options.componentsPerAttribute > 4 ) { throw new DeveloperError( - "options.componentsPerAttribute must be between 1 and 4." + "options.componentsPerAttribute must be between 1 and 4.", ); } if (!defined(options.values)) { diff --git a/packages/engine/Source/Core/GeometryInstance.js b/packages/engine/Source/Core/GeometryInstance.js index da62fe0f302f..18ad60900919 100644 --- a/packages/engine/Source/Core/GeometryInstance.js +++ b/packages/engine/Source/Core/GeometryInstance.js @@ -76,7 +76,7 @@ function GeometryInstance(options) { * @default Matrix4.IDENTITY */ this.modelMatrix = Matrix4.clone( - defaultValue(options.modelMatrix, Matrix4.IDENTITY) + defaultValue(options.modelMatrix, Matrix4.IDENTITY), ); /** diff --git a/packages/engine/Source/Core/GeometryInstanceAttribute.js b/packages/engine/Source/Core/GeometryInstanceAttribute.js index 240ced324bd4..d00730c92778 100644 --- a/packages/engine/Source/Core/GeometryInstanceAttribute.js +++ b/packages/engine/Source/Core/GeometryInstanceAttribute.js @@ -54,7 +54,7 @@ function GeometryInstanceAttribute(options) { options.componentsPerAttribute > 4 ) { throw new DeveloperError( - "options.componentsPerAttribute must be between 1 and 4." + "options.componentsPerAttribute must be between 1 and 4.", ); } if (!defined(options.value)) { diff --git a/packages/engine/Source/Core/GeometryPipeline.js b/packages/engine/Source/Core/GeometryPipeline.js index 9bb1a5c79086..7b7dd96b12d4 100644 --- a/packages/engine/Source/Core/GeometryPipeline.js +++ b/packages/engine/Source/Core/GeometryPipeline.js @@ -72,7 +72,7 @@ function triangleStripToLines(triangles) { index, triangles[i - 1], triangles[i], - triangles[i - 2] + triangles[i - 2], ); } @@ -138,7 +138,7 @@ GeometryPipeline.toWireframe = function (geometry) { //>>includeStart('debug', pragmas.debug); default: throw new DeveloperError( - "geometry.primitiveType must be TRIANGLES, TRIANGLE_STRIP, or TRIANGLE_FAN." + "geometry.primitiveType must be TRIANGLES, TRIANGLE_STRIP, or TRIANGLE_FAN.", ); //>>includeEnd('debug'); } @@ -167,7 +167,7 @@ GeometryPipeline.toWireframe = function (geometry) { GeometryPipeline.createLineSegmentsForVectors = function ( geometry, attributeName, - length + length, ) { attributeName = defaultValue(attributeName, "normal"); @@ -180,7 +180,7 @@ GeometryPipeline.createLineSegmentsForVectors = function ( } if (!defined(geometry.attributes[attributeName])) { throw new DeveloperError( - `geometry.attributes must have an attribute with the same name as the attributeName parameter, ${attributeName}.` + `geometry.attributes must have an attribute with the same name as the attributeName parameter, ${attributeName}.`, ); } //>>includeEnd('debug'); @@ -368,7 +368,7 @@ GeometryPipeline.reorderForPreVertexCache = function (geometry) { const numComponents = attribute.componentsPerAttribute; const elementsOut = ComponentDatatype.createTypedArray( attribute.componentDatatype, - nextIndex * numComponents + nextIndex * numComponents, ); while (intoElementsIn < numVertices) { const temp = indexCrossReferenceOldToNew[intoElementsIn]; @@ -409,7 +409,7 @@ GeometryPipeline.reorderForPreVertexCache = function (geometry) { */ GeometryPipeline.reorderForPostVertexCache = function ( geometry, - cacheCapacity + cacheCapacity, ) { //>>includeStart('debug', pragmas.debug); if (!defined(geometry)) { @@ -469,7 +469,7 @@ function copyVertex(destinationAttributes, sourceAttributes, index) { for (let k = 0; k < attr.componentsPerAttribute; ++k) { destinationAttributes[attribute].values.push( - attr.values[index * attr.componentsPerAttribute + k] + attr.values[index * attr.componentsPerAttribute + k], ); } } @@ -505,7 +505,7 @@ GeometryPipeline.fitToUnsignedShortIndices = function (geometry) { geometry.primitiveType !== PrimitiveType.POINTS ) { throw new DeveloperError( - "geometry.primitiveType must equal to PrimitiveType.TRIANGLES, PrimitiveType.LINES, or PrimitiveType.POINTS." + "geometry.primitiveType must equal to PrimitiveType.TRIANGLES, PrimitiveType.LINES, or PrimitiveType.POINTS.", ); } //>>includeEnd('debug'); @@ -560,7 +560,7 @@ GeometryPipeline.fitToUnsignedShortIndices = function (geometry) { primitiveType: geometry.primitiveType, boundingSphere: geometry.boundingSphere, boundingSphereCV: geometry.boundingSphereCV, - }) + }), ); // Reset for next vertex-array @@ -579,7 +579,7 @@ GeometryPipeline.fitToUnsignedShortIndices = function (geometry) { primitiveType: geometry.primitiveType, boundingSphere: geometry.boundingSphere, boundingSphereCV: geometry.boundingSphereCV, - }) + }), ); } } else { @@ -619,7 +619,7 @@ GeometryPipeline.projectTo2D = function ( attributeName, attributeName3D, attributeName2D, - projection + projection, ) { //>>includeStart('debug', pragmas.debug); if (!defined(geometry)) { @@ -636,7 +636,7 @@ GeometryPipeline.projectTo2D = function ( } if (!defined(geometry.attributes[attributeName])) { throw new DeveloperError( - `geometry must have attribute matching the attributeName argument: ${attributeName}.` + `geometry must have attribute matching the attributeName argument: ${attributeName}.`, ); } if ( @@ -644,7 +644,7 @@ GeometryPipeline.projectTo2D = function ( ComponentDatatype.DOUBLE ) { throw new DeveloperError( - "The attribute componentDatatype must be ComponentDatatype.DOUBLE." + "The attribute componentDatatype must be ComponentDatatype.DOUBLE.", ); } //>>includeEnd('debug'); @@ -662,24 +662,24 @@ GeometryPipeline.projectTo2D = function ( const value = Cartesian3.fromArray( values3D, i, - scratchProjectTo2DCartesian3 + scratchProjectTo2DCartesian3, ); const lonLat = ellipsoid.cartesianToCartographic( value, - scratchProjectTo2DCartographic + scratchProjectTo2DCartographic, ); //>>includeStart('debug', pragmas.debug); if (!defined(lonLat)) { throw new DeveloperError( - `Could not project point (${value.x}, ${value.y}, ${value.z}) to 2D.` + `Could not project point (${value.x}, ${value.y}, ${value.z}) to 2D.`, ); } //>>includeEnd('debug'); const projectedLonLat = projection.project( lonLat, - scratchProjectTo2DCartesian3 + scratchProjectTo2DCartesian3, ); projectedValues[index++] = projectedLonLat.x; @@ -729,7 +729,7 @@ GeometryPipeline.encodeAttribute = function ( geometry, attributeName, attributeHighName, - attributeLowName + attributeLowName, ) { //>>includeStart('debug', pragmas.debug); if (!defined(geometry)) { @@ -746,7 +746,7 @@ GeometryPipeline.encodeAttribute = function ( } if (!defined(geometry.attributes[attributeName])) { throw new DeveloperError( - `geometry must have attribute matching the attributeName argument: ${attributeName}.` + `geometry must have attribute matching the attributeName argument: ${attributeName}.`, ); } if ( @@ -754,7 +754,7 @@ GeometryPipeline.encodeAttribute = function ( ComponentDatatype.DOUBLE ) { throw new DeveloperError( - "The attribute componentDatatype must be ComponentDatatype.DOUBLE." + "The attribute componentDatatype must be ComponentDatatype.DOUBLE.", ); } //>>includeEnd('debug'); @@ -811,7 +811,7 @@ function transformVector(matrix, attribute) { Matrix3.multiplyByVector(matrix, scratchCartesian3, scratchCartesian3); scratchCartesian3 = Cartesian3.normalize( scratchCartesian3, - scratchCartesian3 + scratchCartesian3, ); Cartesian3.pack(scratchCartesian3, values, i); } @@ -873,7 +873,7 @@ GeometryPipeline.transformToWorldCoordinates = function (instance) { instance.geometry.boundingSphere = BoundingSphere.transform( boundingSphere, modelMatrix, - boundingSphere + boundingSphere, ); } @@ -925,7 +925,7 @@ function findAttributesInAllGeometries(instances, propertyName) { normalize: attribute.normalize, values: ComponentDatatype.createTypedArray( attribute.componentDatatype, - numberOfComponents + numberOfComponents, ), }); } @@ -956,12 +956,12 @@ function combineGeometries(instances, propertyName) { } if (defined(instances[i][propertyName].indices) !== haveIndices) { throw new DeveloperError( - "All instance geometries must have an indices or not have one." + "All instance geometries must have an indices or not have one.", ); } if (instances[i][propertyName].primitiveType !== primitiveType) { throw new DeveloperError( - "All instance geometries must have the same primitiveType." + "All instance geometries must have the same primitiveType.", ); } } @@ -1003,11 +1003,11 @@ function combineGeometries(instances, propertyName) { new Geometry({ attributes: attributes, primitiveType: PrimitiveType.POINTS, - }) + }), ); const destIndices = IndexDatatype.createTypedArray( numberOfVertices, - numberOfIndices + numberOfIndices, ); let destOffset = 0; @@ -1050,7 +1050,7 @@ function combineGeometries(instances, propertyName) { bs = instances[i][propertyName].boundingSphere; const tempRadius = Cartesian3.magnitude( - Cartesian3.subtract(bs.center, center, tempScratch) + Cartesian3.subtract(bs.center, center, tempScratch), ) + bs.radius; if (tempRadius > radius) { @@ -1103,7 +1103,7 @@ GeometryPipeline.combineInstances = function (instances) { //>>includeStart('debug', pragmas.debug); if (!defined(instances) || instances.length < 1) { throw new DeveloperError( - "instances is required and must have length greater than zero." + "instances is required and must have length greater than zero.", ); } //>>includeEnd('debug'); @@ -1131,10 +1131,10 @@ GeometryPipeline.combineInstances = function (instances) { if (instanceSplitGeometry.length > 0) { geometries.push( - combineGeometries(instanceSplitGeometry, "westHemisphereGeometry") + combineGeometries(instanceSplitGeometry, "westHemisphereGeometry"), ); geometries.push( - combineGeometries(instanceSplitGeometry, "eastHemisphereGeometry") + combineGeometries(instanceSplitGeometry, "eastHemisphereGeometry"), ); } @@ -1170,7 +1170,7 @@ GeometryPipeline.computeNormal = function (geometry) { !defined(geometry.attributes.position.values) ) { throw new DeveloperError( - "geometry.attributes.position.values is required." + "geometry.attributes.position.values is required.", ); } if (!defined(geometry.indices)) { @@ -1178,12 +1178,12 @@ GeometryPipeline.computeNormal = function (geometry) { } if (geometry.indices.length < 2 || geometry.indices.length % 3 !== 0) { throw new DeveloperError( - "geometry.indices length must be greater than 0 and be a multiple of 3." + "geometry.indices length must be greater than 0 and be a multiple of 3.", ); } if (geometry.primitiveType !== PrimitiveType.TRIANGLES) { throw new DeveloperError( - "geometry.primitiveType must be PrimitiveType.TRIANGLES." + "geometry.primitiveType must be PrimitiveType.TRIANGLES.", ); } //>>includeEnd('debug'); @@ -1271,7 +1271,7 @@ GeometryPipeline.computeNormal = function (geometry) { Cartesian3.add( normal, normalsPerTriangle[normalIndices[vertexNormalData.indexOffset + j]], - normal + normal, ); } @@ -1281,7 +1281,7 @@ GeometryPipeline.computeNormal = function (geometry) { ) { Cartesian3.clone( normalsPerTriangle[normalIndices[vertexNormalData.indexOffset]], - normal + normal, ); } } @@ -1344,7 +1344,7 @@ GeometryPipeline.computeTangentAndBitangent = function (geometry) { //>>includeStart('debug', pragmas.debug); if (!defined(attributes.position) || !defined(attributes.position.values)) { throw new DeveloperError( - "geometry.attributes.position.values is required." + "geometry.attributes.position.values is required.", ); } if (!defined(attributes.normal) || !defined(attributes.normal.values)) { @@ -1358,12 +1358,12 @@ GeometryPipeline.computeTangentAndBitangent = function (geometry) { } if (indices.length < 2 || indices.length % 3 !== 0) { throw new DeveloperError( - "geometry.indices length must be greater than 0 and be a multiple of 3." + "geometry.indices length must be greater than 0 and be a multiple of 3.", ); } if (geometry.primitiveType !== PrimitiveType.TRIANGLES) { throw new DeveloperError( - "geometry.primitiveType must be PrimitiveType.TRIANGLES." + "geometry.primitiveType must be PrimitiveType.TRIANGLES.", ); } //>>includeEnd('debug'); @@ -1504,7 +1504,7 @@ GeometryPipeline.compressVertices = function (geometry) { encodeResult2 = AttributeCompression.octEncodeInRange( toEncode1, 65535, - encodeResult2 + encodeResult2, ); compressedDirections[i2++] = encodeResult2.x; compressedDirections[i2++] = encodeResult2.y; @@ -1567,9 +1567,8 @@ GeometryPipeline.compressVertices = function (geometry) { for (i = 0; i < numVertices; ++i) { if (hasSt) { Cartesian2.fromArray(st, i * 2.0, scratchCartesian2); - compressedAttributes[ - normalIndex++ - ] = AttributeCompression.compressTextureCoordinates(scratchCartesian2); + compressedAttributes[normalIndex++] = + AttributeCompression.compressTextureCoordinates(scratchCartesian2); } const index = i * 3.0; @@ -1582,30 +1581,27 @@ GeometryPipeline.compressVertices = function (geometry) { toEncode1, toEncode2, toEncode3, - scratchCartesian2 + scratchCartesian2, ); compressedAttributes[normalIndex++] = scratchCartesian2.x; compressedAttributes[normalIndex++] = scratchCartesian2.y; } else { if (hasNormal) { Cartesian3.fromArray(normals, index, toEncode1); - compressedAttributes[ - normalIndex++ - ] = AttributeCompression.octEncodeFloat(toEncode1); + compressedAttributes[normalIndex++] = + AttributeCompression.octEncodeFloat(toEncode1); } if (hasTangent) { Cartesian3.fromArray(tangents, index, toEncode1); - compressedAttributes[ - normalIndex++ - ] = AttributeCompression.octEncodeFloat(toEncode1); + compressedAttributes[normalIndex++] = + AttributeCompression.octEncodeFloat(toEncode1); } if (hasBitangent) { Cartesian3.fromArray(bitangents, index, toEncode1); - compressedAttributes[ - normalIndex++ - ] = AttributeCompression.octEncodeFloat(toEncode1); + compressedAttributes[normalIndex++] = + AttributeCompression.octEncodeFloat(toEncode1); } } } @@ -1644,14 +1640,14 @@ function indexTriangles(geometry) { } if (numberOfVertices % 3 !== 0) { throw new DeveloperError( - "The number of vertices must be a multiple of three." + "The number of vertices must be a multiple of three.", ); } //>>includeEnd('debug'); const indices = IndexDatatype.createTypedArray( numberOfVertices, - numberOfVertices + numberOfVertices, ); for (let i = 0; i < numberOfVertices; ++i) { indices[i] = i; @@ -1672,7 +1668,7 @@ function indexTriangleFan(geometry) { const indices = IndexDatatype.createTypedArray( numberOfVertices, - (numberOfVertices - 2) * 3 + (numberOfVertices - 2) * 3, ); indices[0] = 1; indices[1] = 0; @@ -1701,7 +1697,7 @@ function indexTriangleStrip(geometry) { const indices = IndexDatatype.createTypedArray( numberOfVertices, - (numberOfVertices - 2) * 3 + (numberOfVertices - 2) * 3, ); indices[0] = 0; indices[1] = 1; @@ -1748,7 +1744,7 @@ function indexLines(geometry) { const indices = IndexDatatype.createTypedArray( numberOfVertices, - numberOfVertices + numberOfVertices, ); for (let i = 0; i < numberOfVertices; ++i) { indices[i] = i; @@ -1769,7 +1765,7 @@ function indexLineStrip(geometry) { const indices = IndexDatatype.createTypedArray( numberOfVertices, - (numberOfVertices - 1) * 2 + (numberOfVertices - 1) * 2, ); indices[0] = 0; indices[1] = 1; @@ -1795,7 +1791,7 @@ function indexLineLoop(geometry) { const indices = IndexDatatype.createTypedArray( numberOfVertices, - numberOfVertices * 2 + numberOfVertices * 2, ); indices[0] = 0; @@ -1882,9 +1878,9 @@ function getXZIntersectionOffsetPoints(p, p1, u1, v1) { Cartesian3.multiplyByScalar( Cartesian3.subtract(p1, p, c3), p.y / (p.y - p1.y), - c3 + c3, ), - u1 + u1, ); Cartesian3.clone(u1, v1); offsetPointFromXZPlane(u1, true); @@ -2021,7 +2017,7 @@ function updateGeometryAfterSplit(geometry, computeBoundingSphere) { const attribute = attributes[property]; attribute.values = ComponentDatatype.createTypedArray( attribute.componentDatatype, - attribute.values + attribute.values, ); } } @@ -2029,12 +2025,12 @@ function updateGeometryAfterSplit(geometry, computeBoundingSphere) { const numberOfVertices = Geometry.computeNumberOfVertices(geometry); geometry.indices = IndexDatatype.createTypedArray( numberOfVertices, - geometry.indices + geometry.indices, ); if (computeBoundingSphere) { geometry.boundingSphere = BoundingSphere.fromVertices( - attributes.position.values + attributes.position.values, ); } @@ -2087,7 +2083,7 @@ function updateInstanceAfterSplit(instance, westGeometry, eastGeometry) { function generateBarycentricInterpolateFunction( CartesianType, - numberOfComponents + numberOfComponents, ) { const v0Scratch = new CartesianType(); const v1Scratch = new CartesianType(); @@ -2101,22 +2097,22 @@ function generateBarycentricInterpolateFunction( sourceValues, currentValues, insertedIndex, - normalize + normalize, ) { const v0 = CartesianType.fromArray( sourceValues, i0 * numberOfComponents, - v0Scratch + v0Scratch, ); const v1 = CartesianType.fromArray( sourceValues, i1 * numberOfComponents, - v1Scratch + v1Scratch, ); const v2 = CartesianType.fromArray( sourceValues, i2 * numberOfComponents, - v2Scratch + v2Scratch, ); CartesianType.multiplyByScalar(v0, coords.x, v0); @@ -2133,22 +2129,22 @@ function generateBarycentricInterpolateFunction( CartesianType.pack( value, currentValues, - insertedIndex * numberOfComponents + insertedIndex * numberOfComponents, ); }; } const interpolateAndPackCartesian4 = generateBarycentricInterpolateFunction( Cartesian4, - 4 + 4, ); const interpolateAndPackCartesian3 = generateBarycentricInterpolateFunction( Cartesian3, - 3 + 3, ); const interpolateAndPackCartesian2 = generateBarycentricInterpolateFunction( Cartesian2, - 2 + 2, ); const interpolateAndPackBoolean = function ( i0, @@ -2157,7 +2153,7 @@ const interpolateAndPackBoolean = function ( coords, sourceValues, currentValues, - insertedIndex + insertedIndex, ) { const v1 = sourceValues[i0] * coords.x; const v2 = sourceValues[i1] * coords.y; @@ -2186,7 +2182,7 @@ function computeTriangleAttributes( customAttributeNames, customAttributesLength, allAttributes, - insertedIndex + insertedIndex, ) { if ( !defined(normals) && @@ -2216,7 +2212,7 @@ function computeTriangleAttributes( normals, currentAttributes.normal.values, insertedIndex, - true + true, ); } @@ -2247,7 +2243,7 @@ function computeTriangleAttributes( Cartesian3.pack( direction, currentAttributes.extrudeDirection.values, - insertedIndex * 3 + insertedIndex * 3, ); } @@ -2259,7 +2255,7 @@ function computeTriangleAttributes( coords, applyOffset, currentAttributes.applyOffset.values, - insertedIndex + insertedIndex, ); } @@ -2272,7 +2268,7 @@ function computeTriangleAttributes( tangents, currentAttributes.tangent.values, insertedIndex, - true + true, ); } @@ -2285,7 +2281,7 @@ function computeTriangleAttributes( bitangents, currentAttributes.bitangent.values, insertedIndex, - true + true, ); } @@ -2297,7 +2293,7 @@ function computeTriangleAttributes( coords, texCoords, currentAttributes.st.values, - insertedIndex + insertedIndex, ); } @@ -2311,7 +2307,7 @@ function computeTriangleAttributes( coords, insertedIndex, allAttributes[attributeName], - currentAttributes[attributeName] + currentAttributes[attributeName], ); } } @@ -2324,7 +2320,7 @@ function genericInterpolate( coords, insertedIndex, sourceAttribute, - currentAttribute + currentAttribute, ) { const componentsPerAttribute = sourceAttribute.componentsPerAttribute; const sourceValues = sourceAttribute.values; @@ -2339,7 +2335,7 @@ function genericInterpolate( sourceValues, currentValues, insertedIndex, - false + false, ); break; case 3: @@ -2351,7 +2347,7 @@ function genericInterpolate( sourceValues, currentValues, insertedIndex, - false + false, ); break; case 2: @@ -2363,7 +2359,7 @@ function genericInterpolate( sourceValues, currentValues, insertedIndex, - false + false, ); break; default: @@ -2380,7 +2376,7 @@ function insertSplitPoint( currentIndexMap, indices, currentIndex, - point + point, ) { const insertIndex = currentAttributes.position.values.length / 3; @@ -2503,7 +2499,7 @@ function splitLongitudeTriangles(instance) { currentIndexMap, indices, resultIndex < 3 ? i + resultIndex : -1, - point + point, ); computeTriangleAttributes( i0, @@ -2521,7 +2517,7 @@ function splitLongitudeTriangles(instance) { customAttributeNames, customAttributesLength, attributes, - insertedIndex + insertedIndex, ); } } else { @@ -2547,7 +2543,7 @@ function splitLongitudeTriangles(instance) { currentIndexMap, indices, i, - p0 + p0, ); computeTriangleAttributes( i0, @@ -2565,7 +2561,7 @@ function splitLongitudeTriangles(instance) { customAttributeNames, customAttributesLength, attributes, - insertedIndex + insertedIndex, ); insertedIndex = insertSplitPoint( @@ -2574,7 +2570,7 @@ function splitLongitudeTriangles(instance) { currentIndexMap, indices, i + 1, - p1 + p1, ); computeTriangleAttributes( i0, @@ -2592,7 +2588,7 @@ function splitLongitudeTriangles(instance) { customAttributeNames, customAttributesLength, attributes, - insertedIndex + insertedIndex, ); insertedIndex = insertSplitPoint( @@ -2601,7 +2597,7 @@ function splitLongitudeTriangles(instance) { currentIndexMap, indices, i + 2, - p2 + p2, ); computeTriangleAttributes( i0, @@ -2619,7 +2615,7 @@ function splitLongitudeTriangles(instance) { customAttributeNames, customAttributesLength, attributes, - insertedIndex + insertedIndex, ); } } @@ -2639,7 +2635,7 @@ function computeLineAttributes( positions, insertIndex, currentAttributes, - applyOffset + applyOffset, ) { if (!defined(applyOffset)) { return; @@ -2714,14 +2710,14 @@ function splitLongitudeLines(instance) { p0, p1, xzPlane, - p2Scratch + p2Scratch, ); if (defined(intersection)) { // move point on the xz-plane slightly away from the plane const offset = Cartesian3.multiplyByScalar( Cartesian3.UNIT_Y, 5.0 * CesiumMath.EPSILON9, - offsetScratch + offsetScratch, ); if (p0.y < 0.0) { Cartesian3.negate(offset, offset); @@ -2737,7 +2733,7 @@ function splitLongitudeLines(instance) { const offsetPoint = Cartesian3.add( intersection, offset, - offsetPointScratch + offsetPointScratch, ); insertIndex = insertSplitPoint( @@ -2746,7 +2742,7 @@ function splitLongitudeLines(instance) { p0IndexMap, indices, i, - p0 + p0, ); computeLineAttributes( i0, @@ -2755,7 +2751,7 @@ function splitLongitudeLines(instance) { positions, insertIndex, p0Attributes, - applyOffset + applyOffset, ); insertIndex = insertSplitPoint( @@ -2764,7 +2760,7 @@ function splitLongitudeLines(instance) { p0IndexMap, indices, -1, - offsetPoint + offsetPoint, ); computeLineAttributes( i0, @@ -2773,7 +2769,7 @@ function splitLongitudeLines(instance) { positions, insertIndex, p0Attributes, - applyOffset + applyOffset, ); Cartesian3.negate(offset, offset); @@ -2784,7 +2780,7 @@ function splitLongitudeLines(instance) { p1IndexMap, indices, -1, - offsetPoint + offsetPoint, ); computeLineAttributes( i0, @@ -2793,7 +2789,7 @@ function splitLongitudeLines(instance) { positions, insertIndex, p1Attributes, - applyOffset + applyOffset, ); insertIndex = insertSplitPoint( @@ -2802,7 +2798,7 @@ function splitLongitudeLines(instance) { p1IndexMap, indices, i + 1, - p1 + p1, ); computeLineAttributes( i0, @@ -2811,7 +2807,7 @@ function splitLongitudeLines(instance) { positions, insertIndex, p1Attributes, - applyOffset + applyOffset, ); } else { let currentAttributes; @@ -2834,7 +2830,7 @@ function splitLongitudeLines(instance) { currentIndexMap, indices, i, - p0 + p0, ); computeLineAttributes( i0, @@ -2843,7 +2839,7 @@ function splitLongitudeLines(instance) { positions, insertIndex, currentAttributes, - applyOffset + applyOffset, ); insertIndex = insertSplitPoint( @@ -2852,7 +2848,7 @@ function splitLongitudeLines(instance) { currentIndexMap, indices, i + 1, - p1 + p1, ); computeLineAttributes( i0, @@ -2861,7 +2857,7 @@ function splitLongitudeLines(instance) { positions, insertIndex, currentAttributes, - applyOffset + applyOffset, ); } } @@ -2897,7 +2893,7 @@ function updateAdjacencyAfterSplit(geometry) { const prevPosition = Cartesian3.unpack( prevPositions, j, - cartesian3Scratch2 + cartesian3Scratch2, ); if ( (position.y < 0.0 && prevPosition.y > 0.0) || @@ -2915,7 +2911,7 @@ function updateAdjacencyAfterSplit(geometry) { const nextPosition = Cartesian3.unpack( nextPositions, j, - cartesian3Scratch3 + cartesian3Scratch3, ); if ( (position.y < 0.0 && nextPosition.y > 0.0) || @@ -3002,7 +2998,7 @@ function splitLongitudePolyline(instance) { p0, p2, xzPlane, - cartesian3Scratch4 + cartesian3Scratch4, ); if (defined(intersection)) { intersectionFound = true; @@ -3011,7 +3007,7 @@ function splitLongitudePolyline(instance) { const offset = Cartesian3.multiplyByScalar( Cartesian3.UNIT_Y, offsetScalar, - cartesian3Scratch5 + cartesian3Scratch5, ); if (p0.y < 0.0) { Cartesian3.negate(offset, offset); @@ -3024,51 +3020,51 @@ function splitLongitudePolyline(instance) { const offsetPoint = Cartesian3.add( intersection, offset, - cartesian3Scratch6 + cartesian3Scratch6, ); p0Attributes.position.values.push(p0.x, p0.y, p0.z, p0.x, p0.y, p0.z); p0Attributes.position.values.push( offsetPoint.x, offsetPoint.y, - offsetPoint.z + offsetPoint.z, ); p0Attributes.position.values.push( offsetPoint.x, offsetPoint.y, - offsetPoint.z + offsetPoint.z, ); p0Attributes.prevPosition.values.push( prevPositions[i0 * 3], prevPositions[i0 * 3 + 1], - prevPositions[i0 * 3 + 2] + prevPositions[i0 * 3 + 2], ); p0Attributes.prevPosition.values.push( prevPositions[i0 * 3 + 3], prevPositions[i0 * 3 + 4], - prevPositions[i0 * 3 + 5] + prevPositions[i0 * 3 + 5], ); p0Attributes.prevPosition.values.push(p0.x, p0.y, p0.z, p0.x, p0.y, p0.z); p0Attributes.nextPosition.values.push( offsetPoint.x, offsetPoint.y, - offsetPoint.z + offsetPoint.z, ); p0Attributes.nextPosition.values.push( offsetPoint.x, offsetPoint.y, - offsetPoint.z + offsetPoint.z, ); p0Attributes.nextPosition.values.push( offsetPoint.x, offsetPoint.y, - offsetPoint.z + offsetPoint.z, ); p0Attributes.nextPosition.values.push( offsetPoint.x, offsetPoint.y, - offsetPoint.z + offsetPoint.z, ); Cartesian3.negate(offset, offset); @@ -3076,52 +3072,52 @@ function splitLongitudePolyline(instance) { p2Attributes.position.values.push( offsetPoint.x, offsetPoint.y, - offsetPoint.z + offsetPoint.z, ); p2Attributes.position.values.push( offsetPoint.x, offsetPoint.y, - offsetPoint.z + offsetPoint.z, ); p2Attributes.position.values.push(p2.x, p2.y, p2.z, p2.x, p2.y, p2.z); p2Attributes.prevPosition.values.push( offsetPoint.x, offsetPoint.y, - offsetPoint.z + offsetPoint.z, ); p2Attributes.prevPosition.values.push( offsetPoint.x, offsetPoint.y, - offsetPoint.z + offsetPoint.z, ); p2Attributes.prevPosition.values.push( offsetPoint.x, offsetPoint.y, - offsetPoint.z + offsetPoint.z, ); p2Attributes.prevPosition.values.push( offsetPoint.x, offsetPoint.y, - offsetPoint.z + offsetPoint.z, ); p2Attributes.nextPosition.values.push(p2.x, p2.y, p2.z, p2.x, p2.y, p2.z); p2Attributes.nextPosition.values.push( nextPositions[i2 * 3], nextPositions[i2 * 3 + 1], - nextPositions[i2 * 3 + 2] + nextPositions[i2 * 3 + 2], ); p2Attributes.nextPosition.values.push( nextPositions[i2 * 3 + 3], nextPositions[i2 * 3 + 4], - nextPositions[i2 * 3 + 5] + nextPositions[i2 * 3 + 5], ); const ew0 = Cartesian2.fromArray( expandAndWidths, i0 * 2, - cartesian2Scratch0 + cartesian2Scratch0, ); const width = Math.abs(ew0.y); @@ -3131,10 +3127,10 @@ function splitLongitudePolyline(instance) { p2Attributes.expandAndWidth.values.push(-1, -width, 1, -width); let t = Cartesian3.magnitudeSquared( - Cartesian3.subtract(intersection, p0, cartesian3Scratch3) + Cartesian3.subtract(intersection, p0, cartesian3Scratch3), ); t /= Cartesian3.magnitudeSquared( - Cartesian3.subtract(p2, p0, cartesian3Scratch3) + Cartesian3.subtract(p2, p0, cartesian3Scratch3), ); if (defined(colors)) { @@ -3163,7 +3159,7 @@ function splitLongitudePolyline(instance) { const s3 = Cartesian2.fromArray( texCoords, (i + 3) * 2, - cartesian2Scratch1 + cartesian2Scratch1, ); const sx = CesiumMath.lerp(s0.x, s3.x, t); diff --git a/packages/engine/Source/Core/GoogleEarthEnterpriseMetadata.js b/packages/engine/Source/Core/GoogleEarthEnterpriseMetadata.js index 50dc850c7e2c..bc485be490aa 100644 --- a/packages/engine/Source/Core/GoogleEarthEnterpriseMetadata.js +++ b/packages/engine/Source/Core/GoogleEarthEnterpriseMetadata.js @@ -26,7 +26,7 @@ function stringToBuffer(str) { // Decodes packet with a key that has been around since the beginning of Google Earth Enterprise const defaultKey = stringToBuffer( - "\x45\xf4\xbd\x0b\x79\xe2\x6a\x45\x22\x05\x92\x2c\x17\xcd\x06\x71\xf8\x49\x10\x46\x67\x51\x00\x42\x25\xc6\xe8\x61\x2c\x66\x29\x08\xc6\x34\xdc\x6a\x62\x25\x79\x0a\x77\x1d\x6d\x69\xd6\xf0\x9c\x6b\x93\xa1\xbd\x4e\x75\xe0\x41\x04\x5b\xdf\x40\x56\x0c\xd9\xbb\x72\x9b\x81\x7c\x10\x33\x53\xee\x4f\x6c\xd4\x71\x05\xb0\x7b\xc0\x7f\x45\x03\x56\x5a\xad\x77\x55\x65\x0b\x33\x92\x2a\xac\x19\x6c\x35\x14\xc5\x1d\x30\x73\xf8\x33\x3e\x6d\x46\x38\x4a\xb4\xdd\xf0\x2e\xdd\x17\x75\x16\xda\x8c\x44\x74\x22\x06\xfa\x61\x22\x0c\x33\x22\x53\x6f\xaf\x39\x44\x0b\x8c\x0e\x39\xd9\x39\x13\x4c\xb9\xbf\x7f\xab\x5c\x8c\x50\x5f\x9f\x22\x75\x78\x1f\xe9\x07\x71\x91\x68\x3b\xc1\xc4\x9b\x7f\xf0\x3c\x56\x71\x48\x82\x05\x27\x55\x66\x59\x4e\x65\x1d\x98\x75\xa3\x61\x46\x7d\x61\x3f\x15\x41\x00\x9f\x14\x06\xd7\xb4\x34\x4d\xce\x13\x87\x46\xb0\x1a\xd5\x05\x1c\xb8\x8a\x27\x7b\x8b\xdc\x2b\xbb\x4d\x67\x30\xc8\xd1\xf6\x5c\x8f\x50\xfa\x5b\x2f\x46\x9b\x6e\x35\x18\x2f\x27\x43\x2e\xeb\x0a\x0c\x5e\x10\x05\x10\xa5\x73\x1b\x65\x34\xe5\x6c\x2e\x6a\x43\x27\x63\x14\x23\x55\xa9\x3f\x71\x7b\x67\x43\x7d\x3a\xaf\xcd\xe2\x54\x55\x9c\xfd\x4b\xc6\xe2\x9f\x2f\x28\xed\xcb\x5c\xc6\x2d\x66\x07\x88\xa7\x3b\x2f\x18\x2a\x22\x4e\x0e\xb0\x6b\x2e\xdd\x0d\x95\x7d\x7d\x47\xba\x43\xb2\x11\xb2\x2b\x3e\x4d\xaa\x3e\x7d\xe6\xce\x49\x89\xc6\xe6\x78\x0c\x61\x31\x05\x2d\x01\xa4\x4f\xa5\x7e\x71\x20\x88\xec\x0d\x31\xe8\x4e\x0b\x00\x6e\x50\x68\x7d\x17\x3d\x08\x0d\x17\x95\xa6\x6e\xa3\x68\x97\x24\x5b\x6b\xf3\x17\x23\xf3\xb6\x73\xb3\x0d\x0b\x40\xc0\x9f\xd8\x04\x51\x5d\xfa\x1a\x17\x22\x2e\x15\x6a\xdf\x49\x00\xb9\xa0\x77\x55\xc6\xef\x10\x6a\xbf\x7b\x47\x4c\x7f\x83\x17\x05\xee\xdc\xdc\x46\x85\xa9\xad\x53\x07\x2b\x53\x34\x06\x07\xff\x14\x94\x59\x19\x02\xe4\x38\xe8\x31\x83\x4e\xb9\x58\x46\x6b\xcb\x2d\x23\x86\x92\x70\x00\x35\x88\x22\xcf\x31\xb2\x26\x2f\xe7\xc3\x75\x2d\x36\x2c\x72\x74\xb0\x23\x47\xb7\xd3\xd1\x26\x16\x85\x37\x72\xe2\x00\x8c\x44\xcf\x10\xda\x33\x2d\x1a\xde\x60\x86\x69\x23\x69\x2a\x7c\xcd\x4b\x51\x0d\x95\x54\x39\x77\x2e\x29\xea\x1b\xa6\x50\xa2\x6a\x8f\x6f\x50\x99\x5c\x3e\x54\xfb\xef\x50\x5b\x0b\x07\x45\x17\x89\x6d\x28\x13\x77\x37\x1d\xdb\x8e\x1e\x4a\x05\x66\x4a\x6f\x99\x20\xe5\x70\xe2\xb9\x71\x7e\x0c\x6d\x49\x04\x2d\x7a\xfe\x72\xc7\xf2\x59\x30\x8f\xbb\x02\x5d\x73\xe5\xc9\x20\xea\x78\xec\x20\x90\xf0\x8a\x7f\x42\x17\x7c\x47\x19\x60\xb0\x16\xbd\x26\xb7\x71\xb6\xc7\x9f\x0e\xd1\x33\x82\x3d\xd3\xab\xee\x63\x99\xc8\x2b\x53\xa0\x44\x5c\x71\x01\xc6\xcc\x44\x1f\x32\x4f\x3c\xca\xc0\x29\x3d\x52\xd3\x61\x19\x58\xa9\x7d\x65\xb4\xdc\xcf\x0d\xf4\x3d\xf1\x08\xa9\x42\xda\x23\x09\xd8\xbf\x5e\x50\x49\xf8\x4d\xc0\xcb\x47\x4c\x1c\x4f\xf7\x7b\x2b\xd8\x16\x18\xc5\x31\x92\x3b\xb5\x6f\xdc\x6c\x0d\x92\x88\x16\xd1\x9e\xdb\x3f\xe2\xe9\xda\x5f\xd4\x84\xe2\x46\x61\x5a\xde\x1c\x55\xcf\xa4\x00\xbe\xfd\xce\x67\xf1\x4a\x69\x1c\x97\xe6\x20\x48\xd8\x5d\x7f\x7e\xae\x71\x20\x0e\x4e\xae\xc0\x56\xa9\x91\x01\x3c\x82\x1d\x0f\x72\xe7\x76\xec\x29\x49\xd6\x5d\x2d\x83\xe3\xdb\x36\x06\xa9\x3b\x66\x13\x97\x87\x6a\xd5\xb6\x3d\x50\x5e\x52\xb9\x4b\xc7\x73\x57\x78\xc9\xf4\x2e\x59\x07\x95\x93\x6f\xd0\x4b\x17\x57\x19\x3e\x27\x27\xc7\x60\xdb\x3b\xed\x9a\x0e\x53\x44\x16\x3e\x3f\x8d\x92\x6d\x77\xa2\x0a\xeb\x3f\x52\xa8\xc6\x55\x5e\x31\x49\x37\x85\xf4\xc5\x1f\x26\x2d\xa9\x1c\xbf\x8b\x27\x54\xda\xc3\x6a\x20\xe5\x2a\x78\x04\xb0\xd6\x90\x70\x72\xaa\x8b\x68\xbd\x88\xf7\x02\x5f\x48\xb1\x7e\xc0\x58\x4c\x3f\x66\x1a\xf9\x3e\xe1\x65\xc0\x70\xa7\xcf\x38\x69\xaf\xf0\x56\x6c\x64\x49\x9c\x27\xad\x78\x74\x4f\xc2\x87\xde\x56\x39\x00\xda\x77\x0b\xcb\x2d\x1b\x89\xfb\x35\x4f\x02\xf5\x08\x51\x13\x60\xc1\x0a\x5a\x47\x4d\x26\x1c\x33\x30\x78\xda\xc0\x9c\x46\x47\xe2\x5b\x79\x60\x49\x6e\x37\x67\x53\x0a\x3e\xe9\xec\x46\x39\xb2\xf1\x34\x0d\xc6\x84\x53\x75\x6e\xe1\x0c\x59\xd9\x1e\xde\x29\x85\x10\x7b\x49\x49\xa5\x77\x79\xbe\x49\x56\x2e\x36\xe7\x0b\x3a\xbb\x4f\x03\x62\x7b\xd2\x4d\x31\x95\x2f\xbd\x38\x7b\xa8\x4f\x21\xe1\xec\x46\x70\x76\x95\x7d\x29\x22\x78\x88\x0a\x90\xdd\x9d\x5c\xda\xde\x19\x51\xcf\xf0\xfc\x59\x52\x65\x7c\x33\x13\xdf\xf3\x48\xda\xbb\x2a\x75\xdb\x60\xb2\x02\x15\xd4\xfc\x19\xed\x1b\xec\x7f\x35\xa8\xff\x28\x31\x07\x2d\x12\xc8\xdc\x88\x46\x7c\x8a\x5b\x22" + "\x45\xf4\xbd\x0b\x79\xe2\x6a\x45\x22\x05\x92\x2c\x17\xcd\x06\x71\xf8\x49\x10\x46\x67\x51\x00\x42\x25\xc6\xe8\x61\x2c\x66\x29\x08\xc6\x34\xdc\x6a\x62\x25\x79\x0a\x77\x1d\x6d\x69\xd6\xf0\x9c\x6b\x93\xa1\xbd\x4e\x75\xe0\x41\x04\x5b\xdf\x40\x56\x0c\xd9\xbb\x72\x9b\x81\x7c\x10\x33\x53\xee\x4f\x6c\xd4\x71\x05\xb0\x7b\xc0\x7f\x45\x03\x56\x5a\xad\x77\x55\x65\x0b\x33\x92\x2a\xac\x19\x6c\x35\x14\xc5\x1d\x30\x73\xf8\x33\x3e\x6d\x46\x38\x4a\xb4\xdd\xf0\x2e\xdd\x17\x75\x16\xda\x8c\x44\x74\x22\x06\xfa\x61\x22\x0c\x33\x22\x53\x6f\xaf\x39\x44\x0b\x8c\x0e\x39\xd9\x39\x13\x4c\xb9\xbf\x7f\xab\x5c\x8c\x50\x5f\x9f\x22\x75\x78\x1f\xe9\x07\x71\x91\x68\x3b\xc1\xc4\x9b\x7f\xf0\x3c\x56\x71\x48\x82\x05\x27\x55\x66\x59\x4e\x65\x1d\x98\x75\xa3\x61\x46\x7d\x61\x3f\x15\x41\x00\x9f\x14\x06\xd7\xb4\x34\x4d\xce\x13\x87\x46\xb0\x1a\xd5\x05\x1c\xb8\x8a\x27\x7b\x8b\xdc\x2b\xbb\x4d\x67\x30\xc8\xd1\xf6\x5c\x8f\x50\xfa\x5b\x2f\x46\x9b\x6e\x35\x18\x2f\x27\x43\x2e\xeb\x0a\x0c\x5e\x10\x05\x10\xa5\x73\x1b\x65\x34\xe5\x6c\x2e\x6a\x43\x27\x63\x14\x23\x55\xa9\x3f\x71\x7b\x67\x43\x7d\x3a\xaf\xcd\xe2\x54\x55\x9c\xfd\x4b\xc6\xe2\x9f\x2f\x28\xed\xcb\x5c\xc6\x2d\x66\x07\x88\xa7\x3b\x2f\x18\x2a\x22\x4e\x0e\xb0\x6b\x2e\xdd\x0d\x95\x7d\x7d\x47\xba\x43\xb2\x11\xb2\x2b\x3e\x4d\xaa\x3e\x7d\xe6\xce\x49\x89\xc6\xe6\x78\x0c\x61\x31\x05\x2d\x01\xa4\x4f\xa5\x7e\x71\x20\x88\xec\x0d\x31\xe8\x4e\x0b\x00\x6e\x50\x68\x7d\x17\x3d\x08\x0d\x17\x95\xa6\x6e\xa3\x68\x97\x24\x5b\x6b\xf3\x17\x23\xf3\xb6\x73\xb3\x0d\x0b\x40\xc0\x9f\xd8\x04\x51\x5d\xfa\x1a\x17\x22\x2e\x15\x6a\xdf\x49\x00\xb9\xa0\x77\x55\xc6\xef\x10\x6a\xbf\x7b\x47\x4c\x7f\x83\x17\x05\xee\xdc\xdc\x46\x85\xa9\xad\x53\x07\x2b\x53\x34\x06\x07\xff\x14\x94\x59\x19\x02\xe4\x38\xe8\x31\x83\x4e\xb9\x58\x46\x6b\xcb\x2d\x23\x86\x92\x70\x00\x35\x88\x22\xcf\x31\xb2\x26\x2f\xe7\xc3\x75\x2d\x36\x2c\x72\x74\xb0\x23\x47\xb7\xd3\xd1\x26\x16\x85\x37\x72\xe2\x00\x8c\x44\xcf\x10\xda\x33\x2d\x1a\xde\x60\x86\x69\x23\x69\x2a\x7c\xcd\x4b\x51\x0d\x95\x54\x39\x77\x2e\x29\xea\x1b\xa6\x50\xa2\x6a\x8f\x6f\x50\x99\x5c\x3e\x54\xfb\xef\x50\x5b\x0b\x07\x45\x17\x89\x6d\x28\x13\x77\x37\x1d\xdb\x8e\x1e\x4a\x05\x66\x4a\x6f\x99\x20\xe5\x70\xe2\xb9\x71\x7e\x0c\x6d\x49\x04\x2d\x7a\xfe\x72\xc7\xf2\x59\x30\x8f\xbb\x02\x5d\x73\xe5\xc9\x20\xea\x78\xec\x20\x90\xf0\x8a\x7f\x42\x17\x7c\x47\x19\x60\xb0\x16\xbd\x26\xb7\x71\xb6\xc7\x9f\x0e\xd1\x33\x82\x3d\xd3\xab\xee\x63\x99\xc8\x2b\x53\xa0\x44\x5c\x71\x01\xc6\xcc\x44\x1f\x32\x4f\x3c\xca\xc0\x29\x3d\x52\xd3\x61\x19\x58\xa9\x7d\x65\xb4\xdc\xcf\x0d\xf4\x3d\xf1\x08\xa9\x42\xda\x23\x09\xd8\xbf\x5e\x50\x49\xf8\x4d\xc0\xcb\x47\x4c\x1c\x4f\xf7\x7b\x2b\xd8\x16\x18\xc5\x31\x92\x3b\xb5\x6f\xdc\x6c\x0d\x92\x88\x16\xd1\x9e\xdb\x3f\xe2\xe9\xda\x5f\xd4\x84\xe2\x46\x61\x5a\xde\x1c\x55\xcf\xa4\x00\xbe\xfd\xce\x67\xf1\x4a\x69\x1c\x97\xe6\x20\x48\xd8\x5d\x7f\x7e\xae\x71\x20\x0e\x4e\xae\xc0\x56\xa9\x91\x01\x3c\x82\x1d\x0f\x72\xe7\x76\xec\x29\x49\xd6\x5d\x2d\x83\xe3\xdb\x36\x06\xa9\x3b\x66\x13\x97\x87\x6a\xd5\xb6\x3d\x50\x5e\x52\xb9\x4b\xc7\x73\x57\x78\xc9\xf4\x2e\x59\x07\x95\x93\x6f\xd0\x4b\x17\x57\x19\x3e\x27\x27\xc7\x60\xdb\x3b\xed\x9a\x0e\x53\x44\x16\x3e\x3f\x8d\x92\x6d\x77\xa2\x0a\xeb\x3f\x52\xa8\xc6\x55\x5e\x31\x49\x37\x85\xf4\xc5\x1f\x26\x2d\xa9\x1c\xbf\x8b\x27\x54\xda\xc3\x6a\x20\xe5\x2a\x78\x04\xb0\xd6\x90\x70\x72\xaa\x8b\x68\xbd\x88\xf7\x02\x5f\x48\xb1\x7e\xc0\x58\x4c\x3f\x66\x1a\xf9\x3e\xe1\x65\xc0\x70\xa7\xcf\x38\x69\xaf\xf0\x56\x6c\x64\x49\x9c\x27\xad\x78\x74\x4f\xc2\x87\xde\x56\x39\x00\xda\x77\x0b\xcb\x2d\x1b\x89\xfb\x35\x4f\x02\xf5\x08\x51\x13\x60\xc1\x0a\x5a\x47\x4d\x26\x1c\x33\x30\x78\xda\xc0\x9c\x46\x47\xe2\x5b\x79\x60\x49\x6e\x37\x67\x53\x0a\x3e\xe9\xec\x46\x39\xb2\xf1\x34\x0d\xc6\x84\x53\x75\x6e\xe1\x0c\x59\xd9\x1e\xde\x29\x85\x10\x7b\x49\x49\xa5\x77\x79\xbe\x49\x56\x2e\x36\xe7\x0b\x3a\xbb\x4f\x03\x62\x7b\xd2\x4d\x31\x95\x2f\xbd\x38\x7b\xa8\x4f\x21\xe1\xec\x46\x70\x76\x95\x7d\x29\x22\x78\x88\x0a\x90\xdd\x9d\x5c\xda\xde\x19\x51\xcf\xf0\xfc\x59\x52\x65\x7c\x33\x13\xdf\xf3\x48\xda\xbb\x2a\x75\xdb\x60\xb2\x02\x15\xd4\xfc\x19\xed\x1b\xec\x7f\x35\xa8\xff\x28\x31\x07\x2d\x12\xc8\xdc\x88\x46\x7c\x8a\x5b\x22", ); /** @@ -302,7 +302,7 @@ const taskProcessor = new TaskProcessor("decodeGoogleEarthEnterprisePacket"); GoogleEarthEnterpriseMetadata.prototype.getQuadTreePacket = function ( quadKey, version, - request + request, ) { version = defaultValue(version, 1); quadKey = defaultValue(quadKey, ""); @@ -324,7 +324,7 @@ GoogleEarthEnterpriseMetadata.prototype.getQuadTreePacket = function ( type: "Metadata", key: key, }, - [metadata] + [metadata], ); return decodePromise.then(function (result) { @@ -385,7 +385,7 @@ GoogleEarthEnterpriseMetadata.prototype.populateSubtree = function ( x, y, level, - request + request, ) { const quadkey = GoogleEarthEnterpriseMetadata.tileXYToQuadKey(x, y, level); return populateSubtree(this, quadkey, request); @@ -427,7 +427,7 @@ function populateSubtree(that, quadKey, request) { // undefined so no parent exists - this shouldn't ever happen once the provider is ready if (!defined(t) || !t.hasSubtree()) { return Promise.reject( - new RuntimeError(`Couldn't load metadata for tile ${quadKey}`) + new RuntimeError(`Couldn't load metadata for tile ${quadKey}`), ); } @@ -469,7 +469,7 @@ function populateSubtree(that, quadKey, request) { GoogleEarthEnterpriseMetadata.prototype.getTileInformation = function ( x, y, - level + level, ) { const quadkey = GoogleEarthEnterpriseMetadata.tileXYToQuadKey(x, y, level); return this._tileInfo[quadkey]; @@ -483,11 +483,10 @@ GoogleEarthEnterpriseMetadata.prototype.getTileInformation = function ( * * @private */ -GoogleEarthEnterpriseMetadata.prototype.getTileInformationFromQuadKey = function ( - quadkey -) { - return this._tileInfo[quadkey]; -}; +GoogleEarthEnterpriseMetadata.prototype.getTileInformationFromQuadKey = + function (quadkey) { + return this._tileInfo[quadkey]; + }; function getMetadataResource(that, quadKey, version, request) { return that._resource.getDerivedResource({ @@ -525,7 +524,7 @@ function requestDbRoot(that) { }) .then(function (buf) { const encryptedDbRootProto = dbrootParser.EncryptedDbRootProto.decode( - new Uint8Array(buf) + new Uint8Array(buf), ); let byteArray = encryptedDbRootProto.encryptionData; @@ -543,37 +542,37 @@ function requestDbRoot(that) { type: "DbRoot", key: key, }, - [dbRootCompressed] + [dbRootCompressed], ); }) .then(function (result) { const dbRoot = dbrootParser.DbRootProto.decode( - new Uint8Array(result.buffer) + new Uint8Array(result.buffer), ); that.imageryPresent = defaultValue( dbRoot.imageryPresent, - that.imageryPresent + that.imageryPresent, ); that.protoImagery = dbRoot.protoImagery; that.terrainPresent = defaultValue( dbRoot.terrainPresent, - that.terrainPresent + that.terrainPresent, ); if (defined(dbRoot.endSnippet) && defined(dbRoot.endSnippet.model)) { const model = dbRoot.endSnippet.model; that.negativeAltitudeExponentBias = defaultValue( model.negativeAltitudeExponentBias, - that.negativeAltitudeExponentBias + that.negativeAltitudeExponentBias, ); that.negativeAltitudeThreshold = defaultValue( model.compressedNegativeAltitudeThreshold, - that.negativeAltitudeThreshold + that.negativeAltitudeThreshold, ); } if (defined(dbRoot.databaseVersion)) { that._quadPacketVersion = defaultValue( dbRoot.databaseVersion.quadtreeVersion, - that._quadPacketVersion + that._quadPacketVersion, ); } const providers = that.providers; diff --git a/packages/engine/Source/Core/GoogleEarthEnterpriseTerrainData.js b/packages/engine/Source/Core/GoogleEarthEnterpriseTerrainData.js index f343aff99575..e3c4b68bf208 100644 --- a/packages/engine/Source/Core/GoogleEarthEnterpriseTerrainData.js +++ b/packages/engine/Source/Core/GoogleEarthEnterpriseTerrainData.js @@ -60,11 +60,11 @@ function GoogleEarthEnterpriseTerrainData(options) { Check.typeOf.object("options.buffer", options.buffer); Check.typeOf.number( "options.negativeAltitudeExponentBias", - options.negativeAltitudeExponentBias + options.negativeAltitudeExponentBias, ); Check.typeOf.number( "options.negativeElevationThreshold", - options.negativeElevationThreshold + options.negativeElevationThreshold, ); //>>includeEnd('debug'); @@ -121,7 +121,7 @@ const createMeshTaskName = "createVerticesFromGoogleEarthEnterpriseBuffer"; const createMeshTaskProcessorNoThrottle = new TaskProcessor(createMeshTaskName); const createMeshTaskProcessorThrottle = new TaskProcessor( createMeshTaskName, - TerrainData.maximumAsynchronousTasks + TerrainData.maximumAsynchronousTasks, ); const nativeRectangleScratch = new Rectangle(); @@ -161,7 +161,7 @@ GoogleEarthEnterpriseTerrainData.prototype.createMesh = function (options) { const exaggeration = defaultValue(options.exaggeration, 1.0); const exaggerationRelativeHeight = defaultValue( options.exaggerationRelativeHeight, - 0.0 + 0.0, ); const throttle = defaultValue(options.throttle, true); @@ -171,7 +171,7 @@ GoogleEarthEnterpriseTerrainData.prototype.createMesh = function (options) { // Compute the center of the tile for RTC rendering. const center = ellipsoid.cartographicToCartesian( - Rectangle.center(rectangleScratch) + Rectangle.center(rectangleScratch), ); const levelZeroMaxError = 40075.16; // From Google's Doc @@ -221,7 +221,7 @@ GoogleEarthEnterpriseTerrainData.prototype.createMesh = function (options) { result.westIndicesSouthToNorth, result.southIndicesEastToWest, result.eastIndicesNorthToSouth, - result.northIndicesWestToEast + result.northIndicesWestToEast, ); that._minimumHeight = result.minimumHeight; @@ -246,17 +246,17 @@ GoogleEarthEnterpriseTerrainData.prototype.createMesh = function (options) { GoogleEarthEnterpriseTerrainData.prototype.interpolateHeight = function ( rectangle, longitude, - latitude + latitude, ) { const u = CesiumMath.clamp( (longitude - rectangle.west) / rectangle.width, 0.0, - 1.0 + 1.0, ); const v = CesiumMath.clamp( (latitude - rectangle.south) / rectangle.height, 0.0, - 1.0 + 1.0, ); if (!defined(this._mesh)) { @@ -268,7 +268,7 @@ GoogleEarthEnterpriseTerrainData.prototype.interpolateHeight = function ( const upsampleTaskProcessor = new TaskProcessor( "upsampleQuantizedTerrainMesh", - TerrainData.maximumAsynchronousTasks + TerrainData.maximumAsynchronousTasks, ); /** @@ -293,7 +293,7 @@ GoogleEarthEnterpriseTerrainData.prototype.upsample = function ( thisLevel, descendantX, descendantY, - descendantLevel + descendantLevel, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.object("tilingScheme", tilingScheme); @@ -306,7 +306,7 @@ GoogleEarthEnterpriseTerrainData.prototype.upsample = function ( const levelDifference = descendantLevel - thisLevel; if (levelDifference > 1) { throw new DeveloperError( - "Upsampling through more than one level at a time is not currently supported." + "Upsampling through more than one level at a time is not currently supported.", ); } //>>includeEnd('debug'); @@ -323,7 +323,7 @@ GoogleEarthEnterpriseTerrainData.prototype.upsample = function ( const childRectangle = tilingScheme.tileXYToRectangle( descendantX, descendantY, - descendantLevel + descendantLevel, ); const upsamplePromise = upsampleTaskProcessor.scheduleTask({ @@ -350,7 +350,7 @@ GoogleEarthEnterpriseTerrainData.prototype.upsample = function ( const quantizedVertices = new Uint16Array(result.vertices); const indicesTypedArray = IndexDatatype.createTypedArray( quantizedVertices.length / 3, - result.indices + result.indices, ); const skirtHeight = that._skirtHeight; @@ -363,7 +363,7 @@ GoogleEarthEnterpriseTerrainData.prototype.upsample = function ( maximumHeight: result.maximumHeight, boundingSphere: BoundingSphere.clone(result.boundingSphere), orientedBoundingBox: OrientedBoundingBox.clone( - result.orientedBoundingBox + result.orientedBoundingBox, ), horizonOcclusionPoint: Cartesian3.clone(result.horizonOcclusionPoint), westIndices: result.westIndices, @@ -397,7 +397,7 @@ GoogleEarthEnterpriseTerrainData.prototype.isChildAvailable = function ( thisX, thisY, childX, - childY + childY, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.number("thisX", thisX); @@ -425,9 +425,10 @@ GoogleEarthEnterpriseTerrainData.prototype.isChildAvailable = function ( * * @returns {boolean} True if this instance was created by upsampling; otherwise, false. */ -GoogleEarthEnterpriseTerrainData.prototype.wasCreatedByUpsampling = function () { - return this._createdByUpsampling; -}; +GoogleEarthEnterpriseTerrainData.prototype.wasCreatedByUpsampling = + function () { + return this._createdByUpsampling; + }; const texCoordScratch0 = new Cartesian2(); const texCoordScratch1 = new Cartesian2(); @@ -448,17 +449,17 @@ function interpolateMeshHeight(terrainData, u, v) { const uv0 = encoding.decodeTextureCoordinates( vertices, i0, - texCoordScratch0 + texCoordScratch0, ); const uv1 = encoding.decodeTextureCoordinates( vertices, i1, - texCoordScratch1 + texCoordScratch1, ); const uv2 = encoding.decodeTextureCoordinates( vertices, i2, - texCoordScratch2 + texCoordScratch2, ); const barycentric = Intersections2D.computeBarycentricCoordinates( @@ -470,7 +471,7 @@ function interpolateMeshHeight(terrainData, u, v) { uv1.y, uv2.x, uv2.y, - barycentricCoordinateScratch + barycentricCoordinateScratch, ); if ( barycentric.x >= -1e-15 && @@ -586,7 +587,7 @@ function interpolateHeight(terrainData, u, v, rectangle) { v1, u2, v2, - barycentricCoordinateScratch + barycentricCoordinateScratch, ); if ( barycentric.x >= -1e-15 && diff --git a/packages/engine/Source/Core/GoogleEarthEnterpriseTerrainProvider.js b/packages/engine/Source/Core/GoogleEarthEnterpriseTerrainProvider.js index 05e348e000ff..d13e05ac1d71 100644 --- a/packages/engine/Source/Core/GoogleEarthEnterpriseTerrainProvider.js +++ b/packages/engine/Source/Core/GoogleEarthEnterpriseTerrainProvider.js @@ -106,7 +106,7 @@ function GoogleEarthEnterpriseTerrainProvider(options) { -CesiumMath.PI, -CesiumMath.PI, CesiumMath.PI, - CesiumMath.PI + CesiumMath.PI, ), ellipsoid: options.ellipsoid, }); @@ -249,7 +249,7 @@ Object.defineProperties(GoogleEarthEnterpriseTerrainProvider.prototype, { */ GoogleEarthEnterpriseTerrainProvider.fromMetadata = function ( metadata, - options + options, ) { //>>includeStart('debug', pragmas.debug); Check.defined("metadata", metadata); @@ -275,7 +275,7 @@ function computeChildMask(quadKey, info, metadata) { childMask = 0; for (let i = 0; i < 4; ++i) { const child = metadata.getTileInformationFromQuadKey( - quadKey + i.toString() + quadKey + i.toString(), ); if (defined(child) && child.hasTerrain()) { childMask |= 1 << i; @@ -302,7 +302,7 @@ GoogleEarthEnterpriseTerrainProvider.prototype.requestTileGeometry = function ( x, y, level, - request + request, ) { const quadKey = GoogleEarthEnterpriseMetadata.tileXYToQuadKey(x, y, level); const terrainCache = this._terrainCache; @@ -331,7 +331,7 @@ GoogleEarthEnterpriseTerrainProvider.prototype.requestTileGeometry = function ( credits: defined(credit) ? [credit] : undefined, negativeAltitudeExponentBias: metadata.negativeAltitudeExponentBias, negativeElevationThreshold: metadata.negativeAltitudeThreshold, - }) + }), ); } @@ -346,7 +346,7 @@ GoogleEarthEnterpriseTerrainProvider.prototype.requestTileGeometry = function ( buffer: new Uint8Array(16 * 16), width: 16, height: 16, - }) + }), ); } else if (terrainState === TerrainState.NONE) { // Already have info and there isn't any terrain here @@ -400,7 +400,7 @@ GoogleEarthEnterpriseTerrainProvider.prototype.requestTileGeometry = function ( this, q, terrainVersion, - sharedRequest + sharedRequest, ).fetchArrayBuffer(); if (!defined(requestPromise)) { @@ -416,7 +416,7 @@ GoogleEarthEnterpriseTerrainProvider.prototype.requestTileGeometry = function ( type: "Terrain", key: metadata.key, }, - [terrain] + [terrain], ) .then(function (terrainTiles) { // Add requested tile and mark it as SELF @@ -486,11 +486,10 @@ GoogleEarthEnterpriseTerrainProvider.prototype.requestTileGeometry = function ( * @param {number} level The tile level for which to get the maximum geometric error. * @returns {number} The maximum geometric error. */ -GoogleEarthEnterpriseTerrainProvider.prototype.getLevelMaximumGeometricError = function ( - level -) { - return this._levelZeroMaximumGeometricError / (1 << level); -}; +GoogleEarthEnterpriseTerrainProvider.prototype.getLevelMaximumGeometricError = + function (level) { + return this._levelZeroMaximumGeometricError / (1 << level); + }; /** * Determines whether data for a tile is available to be loaded. @@ -503,7 +502,7 @@ GoogleEarthEnterpriseTerrainProvider.prototype.getLevelMaximumGeometricError = f GoogleEarthEnterpriseTerrainProvider.prototype.getTileDataAvailable = function ( x, y, - level + level, ) { const metadata = this._metadata; let quadKey = GoogleEarthEnterpriseMetadata.tileXYToQuadKey(x, y, level); @@ -557,13 +556,10 @@ GoogleEarthEnterpriseTerrainProvider.prototype.getTileDataAvailable = function ( * @param {number} level The level of the tile for which to request geometry. * @returns {undefined} */ -GoogleEarthEnterpriseTerrainProvider.prototype.loadTileDataAvailability = function ( - x, - y, - level -) { - return undefined; -}; +GoogleEarthEnterpriseTerrainProvider.prototype.loadTileDataAvailability = + function (x, y, level) { + return undefined; + }; // // Functions to handle imagery packets diff --git a/packages/engine/Source/Core/GoogleEarthEnterpriseTileInformation.js b/packages/engine/Source/Core/GoogleEarthEnterpriseTileInformation.js index e1caecb56ae5..72eeb54b2953 100644 --- a/packages/engine/Source/Core/GoogleEarthEnterpriseTileInformation.js +++ b/packages/engine/Source/Core/GoogleEarthEnterpriseTileInformation.js @@ -26,7 +26,7 @@ function GoogleEarthEnterpriseTileInformation( imageryVersion, terrainVersion, imageryProvider, - terrainProvider + terrainProvider, ) { this._bits = bits; this.cnodeVersion = cnodeVersion; @@ -53,7 +53,7 @@ GoogleEarthEnterpriseTileInformation.clone = function (info, result) { info.imageryVersion, info.terrainVersion, info.imageryProvider, - info.terrainProvider + info.terrainProvider, ); } else { result._bits = info._bits; diff --git a/packages/engine/Source/Core/GoogleMaps.js b/packages/engine/Source/Core/GoogleMaps.js index 15d1a1251cc0..7ec935068796 100644 --- a/packages/engine/Source/Core/GoogleMaps.js +++ b/packages/engine/Source/Core/GoogleMaps.js @@ -34,7 +34,7 @@ GoogleMaps.mapTilesApiEndpoint = new Resource({ GoogleMaps.getDefaultCredit = function () { return new Credit( `Google`, - true + true, ); }; export default GoogleMaps; diff --git a/packages/engine/Source/Core/GregorianDate.js b/packages/engine/Source/Core/GregorianDate.js index 4fb7446d61db..c5291ca31ab1 100644 --- a/packages/engine/Source/Core/GregorianDate.js +++ b/packages/engine/Source/Core/GregorianDate.js @@ -30,7 +30,7 @@ function GregorianDate( minute, second, millisecond, - isLeapSecond + isLeapSecond, ) { const minimumYear = 1; const minimumMonth = 1; @@ -124,18 +124,18 @@ function GregorianDate( Check.typeOf.number.lessThanOrEquals( "Second", second, - isLeapSecond ? maximumSecond + 1 : maximumSecond + isLeapSecond ? maximumSecond + 1 : maximumSecond, ); Check.typeOf.number.greaterThanOrEquals( "Millisecond", millisecond, - minimumMillisecond + minimumMillisecond, ); Check.typeOf.number.lessThan( "Millisecond", millisecond, - excludedMaximumMilisecond + excludedMaximumMilisecond, ); } diff --git a/packages/engine/Source/Core/GroundPolylineGeometry.js b/packages/engine/Source/Core/GroundPolylineGeometry.js index 2d3a1375346a..56f207eb9c6f 100644 --- a/packages/engine/Source/Core/GroundPolylineGeometry.js +++ b/packages/engine/Source/Core/GroundPolylineGeometry.js @@ -85,7 +85,7 @@ function GroundPolylineGeometry(options) { options.arcType !== ArcType.RHUMB ) { throw new DeveloperError( - "Valid options for arcType are ArcType.GEODESIC and ArcType.RHUMB." + "Valid options for arcType are ArcType.GEODESIC and ArcType.RHUMB.", ); } //>>includeEnd('debug'); @@ -165,7 +165,7 @@ Object.defineProperties(GroundPolylineGeometry.prototype, { */ GroundPolylineGeometry.setProjectionAndEllipsoid = function ( groundPolylineGeometry, - mapProjection + mapProjection, ) { let projectionIndex = 0; for (let i = 0; i < PROJECTION_COUNT; i++) { @@ -209,7 +209,7 @@ function interpolateSegment( normalsArray, bottomPositionsArray, topPositionsArray, - cartographicsArray + cartographicsArray, ) { if (granularity === 0.0) { return; @@ -233,7 +233,7 @@ function interpolateSegment( end, maxHeight, ellipsoid, - interpolatedNormalScratch + interpolatedNormalScratch, ); const segments = Math.ceil(surfaceDistance / granularity); @@ -242,21 +242,22 @@ function interpolateSegment( const pointsToAdd = segments - 1; let packIndex = normalsArray.length; for (let i = 0; i < pointsToAdd; i++) { - const interpolatedCartographic = ellipsoidLine.interpolateUsingSurfaceDistance( - distanceFromStart, - interpolatedCartographicScratch - ); + const interpolatedCartographic = + ellipsoidLine.interpolateUsingSurfaceDistance( + distanceFromStart, + interpolatedCartographicScratch, + ); const interpolatedBottom = getPosition( ellipsoid, interpolatedCartographic, minHeight, - interpolatedBottomScratch + interpolatedBottomScratch, ); const interpolatedTop = getPosition( ellipsoid, interpolatedCartographic, maxHeight, - interpolatedTopScratch + interpolatedTopScratch, ); Cartesian3.pack(interpolatedNormal, normalsArray, packIndex); @@ -277,7 +278,7 @@ function getPosition(ellipsoid, cartographic, height, result) { return Cartographic.toCartesian( heightlessCartographicScratch, ellipsoid, - result + result, ); } @@ -397,7 +398,7 @@ function computeVertexMiterNormal( vertexBottom, vertexTop, nextBottom, - result + result, ) { const up = direction(vertexTop, vertexBottom, vertexUpScratch); @@ -406,7 +407,7 @@ function computeVertexMiterNormal( previousBottom, vertexBottom, up, - toPreviousScratch + toPreviousScratch, ); const toNext = tangentDirection(nextBottom, vertexBottom, up, toNextScratch); @@ -415,7 +416,7 @@ function computeVertexMiterNormal( CesiumMath.equalsEpsilon( Cartesian3.dot(toPrevious, toNext), cosine180, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ) ) { result = Cartesian3.cross(up, toPrevious, result); @@ -462,7 +463,7 @@ GroundPolylineGeometry.createGeometry = function (groundPolylineGeometry) { const granularity = groundPolylineGeometry.granularity; const arcType = groundPolylineGeometry.arcType; const projection = new PROJECTIONS[groundPolylineGeometry._projectionIndex]( - ellipsoid + ellipsoid, ); const minHeight = WALL_INITIAL_MIN_HEIGHT; @@ -497,7 +498,7 @@ GroundPolylineGeometry.createGeometry = function (groundPolylineGeometry) { p0, p1, XZ_PLANE, - intersectionScratch + intersectionScratch, ); if ( defined(intersection) && @@ -509,18 +510,18 @@ GroundPolylineGeometry.createGeometry = function (groundPolylineGeometry) { } else if (groundPolylineGeometry.arcType === ArcType.RHUMB) { intersectionLongitude = ellipsoid.cartesianToCartographic( intersection, - cartographicScratch0 + cartographicScratch0, ).longitude; c0 = ellipsoid.cartesianToCartographic(p0, cartographicScratch0); c1 = ellipsoid.cartesianToCartographic(p1, cartographicScratch1); rhumbLine.setEndPoints(c0, c1); intersectionCartographic = rhumbLine.findIntersectionWithLongitude( intersectionLongitude, - cartographicIntersectionScratch + cartographicIntersectionScratch, ); intersection = ellipsoid.cartographicToCartesian( intersectionCartographic, - intersectionScratch + intersectionScratch, ); if ( defined(intersection) && @@ -541,7 +542,7 @@ GroundPolylineGeometry.createGeometry = function (groundPolylineGeometry) { p0, p1, XZ_PLANE, - intersectionScratch + intersectionScratch, ); if ( defined(intersection) && @@ -553,18 +554,18 @@ GroundPolylineGeometry.createGeometry = function (groundPolylineGeometry) { } else if (groundPolylineGeometry.arcType === ArcType.RHUMB) { intersectionLongitude = ellipsoid.cartesianToCartographic( intersection, - cartographicScratch0 + cartographicScratch0, ).longitude; c0 = ellipsoid.cartesianToCartographic(p0, cartographicScratch0); c1 = ellipsoid.cartesianToCartographic(p1, cartographicScratch1); rhumbLine.setEndPoints(c0, c1); intersectionCartographic = rhumbLine.findIntersectionWithLongitude( intersectionLongitude, - cartographicIntersectionScratch + cartographicIntersectionScratch, ); intersection = ellipsoid.cartographicToCartesian( intersectionCartographic, - intersectionScratch + intersectionScratch, ); if ( defined(intersection) && @@ -582,7 +583,7 @@ GroundPolylineGeometry.createGeometry = function (groundPolylineGeometry) { for (i = 0; i < cartographicsLength; i++) { const cartographic = Cartographic.fromCartesian( splitPositions[i], - ellipsoid + ellipsoid, ); cartographic.height = 0.0; cartographics[i] = cartographic; @@ -590,7 +591,7 @@ GroundPolylineGeometry.createGeometry = function (groundPolylineGeometry) { cartographics = arrayRemoveDuplicates( cartographics, - Cartographic.equalsEpsilon + Cartographic.equalsEpsilon, ); cartographicsLength = cartographics.length; @@ -623,14 +624,14 @@ GroundPolylineGeometry.createGeometry = function (groundPolylineGeometry) { ellipsoid, prestartCartographic, minHeight, - previousBottom + previousBottom, ); nextBottom = getPosition(ellipsoid, nextCartographic, minHeight, nextBottom); vertexBottom = getPosition( ellipsoid, startCartographic, minHeight, - vertexBottom + vertexBottom, ); vertexTop = getPosition(ellipsoid, startCartographic, maxHeight, vertexTop); @@ -640,7 +641,7 @@ GroundPolylineGeometry.createGeometry = function (groundPolylineGeometry) { vertexBottom, vertexTop, nextBottom, - vertexNormal + vertexNormal, ); } else { vertexNormal = computeRightNormal( @@ -648,7 +649,7 @@ GroundPolylineGeometry.createGeometry = function (groundPolylineGeometry) { nextCartographic, maxHeight, ellipsoid, - vertexNormal + vertexNormal, ); } @@ -669,7 +670,7 @@ GroundPolylineGeometry.createGeometry = function (groundPolylineGeometry) { normalsArray, bottomPositionsArray, topPositionsArray, - cartographicsArray + cartographicsArray, ); // All inbetween points @@ -685,7 +686,7 @@ GroundPolylineGeometry.createGeometry = function (groundPolylineGeometry) { vertexBottom, vertexTop, nextBottom, - vertexNormal + vertexNormal, ); index = normalsArray.length; @@ -706,7 +707,7 @@ GroundPolylineGeometry.createGeometry = function (groundPolylineGeometry) { normalsArray, bottomPositionsArray, topPositionsArray, - cartographicsArray + cartographicsArray, ); } @@ -718,7 +719,7 @@ GroundPolylineGeometry.createGeometry = function (groundPolylineGeometry) { ellipsoid, endCartographic, minHeight, - vertexBottom + vertexBottom, ); vertexTop = getPosition(ellipsoid, endCartographic, maxHeight, vertexTop); @@ -728,13 +729,13 @@ GroundPolylineGeometry.createGeometry = function (groundPolylineGeometry) { ellipsoid, preEndCartographic, minHeight, - previousBottom + previousBottom, ); nextBottom = getPosition( ellipsoid, postEndCartographic, minHeight, - nextBottom + nextBottom, ); vertexNormal = computeVertexMiterNormal( @@ -742,7 +743,7 @@ GroundPolylineGeometry.createGeometry = function (groundPolylineGeometry) { vertexBottom, vertexTop, nextBottom, - vertexNormal + vertexNormal, ); } else { vertexNormal = computeRightNormal( @@ -750,7 +751,7 @@ GroundPolylineGeometry.createGeometry = function (groundPolylineGeometry) { endCartographic, maxHeight, ellipsoid, - vertexNormal + vertexNormal, ); } @@ -773,7 +774,7 @@ GroundPolylineGeometry.createGeometry = function (groundPolylineGeometry) { normalsArray, bottomPositionsArray, topPositionsArray, - cartographicsArray + cartographicsArray, ); index = normalsArray.length; for (i = 0; i < 3; ++i) { @@ -792,7 +793,7 @@ GroundPolylineGeometry.createGeometry = function (groundPolylineGeometry) { topPositionsArray, normalsArray, cartographicsArray, - compute2dAttributes + compute2dAttributes, ); }; @@ -815,13 +816,13 @@ function breakMiter(endGeometryNormal, startBottom, endBottom, endTop) { const quaternion = Quaternion.fromAxisAngle( vertexUp, angle, - quaternionScratch + quaternionScratch, ); const rotationMatrix = Matrix3.fromQuaternion(quaternion, matrix3Scratch); Matrix3.multiplyByVector( rotationMatrix, endGeometryNormal, - endGeometryNormal + endGeometryNormal, ); return true; } @@ -836,12 +837,12 @@ function projectNormal( cartographic, normal, projectedPosition, - result + result, ) { const position = Cartographic.toCartesian( cartographic, projection._ellipsoid, - normalStartpointScratch + normalStartpointScratch, ); let normalEndpoint = Cartesian3.add(position, normal, normalEndpointScratch); let flipNormal = false; @@ -849,7 +850,7 @@ function projectNormal( const ellipsoid = projection._ellipsoid; let normalEndpointCartographic = ellipsoid.cartesianToCartographic( normalEndpoint, - endPosCartographicScratch + endPosCartographicScratch, ); // If normal crosses the IDL, go the other way and flip the result. // In practice this almost never happens because the cartographic start @@ -863,23 +864,23 @@ function projectNormal( normalEndpoint = Cartesian3.subtract( position, normal, - normalEndpointScratch + normalEndpointScratch, ); normalEndpointCartographic = ellipsoid.cartesianToCartographic( normalEndpoint, - endPosCartographicScratch + endPosCartographicScratch, ); } normalEndpointCartographic.height = 0.0; const normalEndpointProjected = projection.project( normalEndpointCartographic, - result + result, ); result = Cartesian3.subtract( normalEndpointProjected, projectedPosition, - result + result, ); result.z = 0.0; result = Cartesian3.normalize(result, result); @@ -897,13 +898,13 @@ function adjustHeights( minHeight, maxHeight, adjustHeightBottom, - adjustHeightTop + adjustHeightTop, ) { // bottom and top should be at WALL_INITIAL_MIN_HEIGHT and WALL_INITIAL_MAX_HEIGHT, respectively const adjustHeightNormal = Cartesian3.subtract( top, bottom, - adjustHeightNormalScratch + adjustHeightNormalScratch, ); Cartesian3.normalize(adjustHeightNormal, adjustHeightNormal); @@ -911,7 +912,7 @@ function adjustHeights( let adjustHeightOffset = Cartesian3.multiplyByScalar( adjustHeightNormal, distanceForBottom, - adjustHeightOffsetScratch + adjustHeightOffsetScratch, ); Cartesian3.add(bottom, adjustHeightOffset, adjustHeightBottom); @@ -919,7 +920,7 @@ function adjustHeights( adjustHeightOffset = Cartesian3.multiplyByScalar( adjustHeightNormal, distanceForTop, - adjustHeightOffsetScratch + adjustHeightOffsetScratch, ); Cartesian3.add(top, adjustHeightOffset, adjustHeightTop); } @@ -1059,7 +1060,7 @@ function generateGeometryAttributes( topPositionsArray, normalsArray, cartographicsArray, - compute2dAttributes + compute2dAttributes, ) { let i; let index; @@ -1081,10 +1082,10 @@ function generateGeometryAttributes( const startLoAndForwardOffsetY = new Float32Array(arraySizeVec4); const startNormalAndForwardOffsetZ = new Float32Array(arraySizeVec4); const endNormalAndTextureCoordinateNormalizationX = new Float32Array( - arraySizeVec4 + arraySizeVec4, ); const rightNormalAndTextureCoordinateNormalizationY = new Float32Array( - arraySizeVec4 + arraySizeVec4, ); let startHiLo2D; @@ -1123,15 +1124,15 @@ function generateGeometryAttributes( segmentStartCartesian = projection.project( startCartographic, - segmentStartCartesian + segmentStartCartesian, ); segmentEndCartesian = projection.project( endCartographic, - segmentEndCartesian + segmentEndCartesian, ); length2D += Cartesian3.distance( segmentStartCartesian, - segmentEndCartesian + segmentEndCartesian, ); index += 2; } @@ -1142,7 +1143,7 @@ function generateGeometryAttributes( segmentEndCartesian = Cartesian3.unpack( topPositionsArray, 0, - segmentEndCartesian + segmentEndCartesian, ); let length3D = 0.0; @@ -1150,12 +1151,12 @@ function generateGeometryAttributes( for (i = 1; i < positionsLength; i++) { segmentStartCartesian = Cartesian3.clone( segmentEndCartesian, - segmentStartCartesian + segmentStartCartesian, ); segmentEndCartesian = Cartesian3.unpack( topPositionsArray, index, - segmentEndCartesian + segmentEndCartesian, ); length3D += Cartesian3.distance(segmentStartCartesian, segmentEndCartesian); index += 3; @@ -1173,26 +1174,26 @@ function generateGeometryAttributes( let endBottom = Cartesian3.unpack( bottomPositionsArray, 0, - segmentEndBottomScratch + segmentEndBottomScratch, ); let endTop = Cartesian3.unpack(topPositionsArray, 0, segmentEndTopScratch); let endGeometryNormal = Cartesian3.unpack( normalsArray, 0, - segmentEndNormalScratch + segmentEndNormalScratch, ); if (loop) { const preEndBottom = Cartesian3.unpack( bottomPositionsArray, bottomPositionsArray.length - 6, - segmentStartBottomScratch + segmentStartBottomScratch, ); if (breakMiter(endGeometryNormal, preEndBottom, endBottom, endTop)) { // Miter broken as if for the last point in the loop, needs to be inverted for first point (clone of endBottom) endGeometryNormal = Cartesian3.negate( endGeometryNormal, - endGeometryNormal + endGeometryNormal, ); } } @@ -1208,26 +1209,26 @@ function generateGeometryAttributes( const startTop = Cartesian3.clone(endTop, segmentStartTopScratch); let startGeometryNormal = Cartesian3.clone( endGeometryNormal, - segmentStartNormalScratch + segmentStartNormalScratch, ); if (miterBroken) { startGeometryNormal = Cartesian3.negate( startGeometryNormal, - startGeometryNormal + startGeometryNormal, ); } endBottom = Cartesian3.unpack( bottomPositionsArray, index, - segmentEndBottomScratch + segmentEndBottomScratch, ); endTop = Cartesian3.unpack(topPositionsArray, index, segmentEndTopScratch); endGeometryNormal = Cartesian3.unpack( normalsArray, index, - segmentEndNormalScratch + segmentEndNormalScratch, ); miterBroken = breakMiter(endGeometryNormal, startBottom, endBottom, endTop); @@ -1263,14 +1264,14 @@ function generateGeometryAttributes( startCartographic, startGeometryNormal, start2D, - segmentStartNormal2DScratch + segmentStartNormal2DScratch, ); endGeometryNormal2D = projectNormal( projection, endCartographic, endGeometryNormal, end2D, - segmentEndNormal2DScratch + segmentEndNormal2DScratch, ); } else if (nudgeResult === 1) { // Start is close to IDL - snap start normal to align with IDL @@ -1279,13 +1280,13 @@ function generateGeometryAttributes( endCartographic, endGeometryNormal, end2D, - segmentEndNormal2DScratch + segmentEndNormal2DScratch, ); startGeometryNormal2D.x = 0.0; // If start longitude is negative and end longitude is less negative, relative right is unit -Y // If start longitude is positive and end longitude is less positive, relative right is unit +Y startGeometryNormal2D.y = CesiumMath.sign( - startCartographic.longitude - Math.abs(endCartographic.longitude) + startCartographic.longitude - Math.abs(endCartographic.longitude), ); startGeometryNormal2D.z = 0.0; } else { @@ -1295,13 +1296,13 @@ function generateGeometryAttributes( startCartographic, startGeometryNormal, start2D, - segmentStartNormal2DScratch + segmentStartNormal2DScratch, ); endGeometryNormal2D.x = 0.0; // If end longitude is negative and start longitude is less negative, relative right is unit Y // If end longitude is positive and start longitude is less positive, relative right is unit -Y endGeometryNormal2D.y = CesiumMath.sign( - startCartographic.longitude - endCartographic.longitude + startCartographic.longitude - endCartographic.longitude, ); endGeometryNormal2D.z = 0.0; } @@ -1321,12 +1322,12 @@ function generateGeometryAttributes( const encodedStart = EncodedCartesian3.fromCartesian( startBottom, - encodeScratch + encodeScratch, ); const forwardOffset = Cartesian3.subtract( endBottom, startBottom, - offsetScratch + offsetScratch, ); const forward = Cartesian3.normalize(forwardOffset, rightScratch); @@ -1338,7 +1339,7 @@ function generateGeometryAttributes( let startPlaneNormal = Cartesian3.cross( startUp, startGeometryNormal, - startPlaneNormalScratch + startPlaneNormalScratch, ); startPlaneNormal = Cartesian3.normalize(startPlaneNormal, startPlaneNormal); @@ -1347,7 +1348,7 @@ function generateGeometryAttributes( let endPlaneNormal = Cartesian3.cross( endGeometryNormal, endUp, - endPlaneNormalScratch + endPlaneNormalScratch, ); endPlaneNormal = Cartesian3.normalize(endPlaneNormal, endPlaneNormal); @@ -1366,12 +1367,12 @@ function generateGeometryAttributes( encodedStart2D = EncodedCartesian3.fromCartesian( start2D, - encodeScratch2D + encodeScratch2D, ); forwardOffset2D = Cartesian3.subtract( end2D, start2D, - forwardOffset2DScratch + forwardOffset2DScratch, ); // Right direction is just forward direction rotated by -90 degrees around Z @@ -1406,14 +1407,14 @@ function generateGeometryAttributes( Cartesian3.pack( startPlaneNormal, startNormalAndForwardOffsetZ, - vec4Index + vec4Index, ); startNormalAndForwardOffsetZ[wIndex] = forwardOffset.z; Cartesian3.pack( endPlaneNormal, endNormalAndTextureCoordinateNormalizationX, - vec4Index + vec4Index, ); endNormalAndTextureCoordinateNormalizationX[wIndex] = texcoordNormalization3DX * rightPlaneSide; @@ -1421,16 +1422,15 @@ function generateGeometryAttributes( Cartesian3.pack( rightNormal, rightNormalAndTextureCoordinateNormalizationY, - vec4Index + vec4Index, ); let texcoordNormalization = texcoordNormalization3DY * topBottomSide; if (texcoordNormalization === 0.0 && topBottomSide < 0.0) { texcoordNormalization = 9.0; // some value greater than 1.0 } - rightNormalAndTextureCoordinateNormalizationY[ - wIndex - ] = texcoordNormalization; + rightNormalAndTextureCoordinateNormalizationY[wIndex] = + texcoordNormalization; // 2D if (compute2dAttributes) { @@ -1468,11 +1468,11 @@ function generateGeometryAttributes( const getHeightsRectangle = Rectangle.fromCartographicArray( getHeightCartographics, - getHeightRectangleScratch + getHeightRectangleScratch, ); const minMaxHeights = ApproximateTerrainHeights.getMinimumMaximumHeights( getHeightsRectangle, - ellipsoid + ellipsoid, ); const minHeight = minMaxHeights.minimumTerrainHeight; const maxHeight = minMaxHeights.maximumTerrainHeight; @@ -1487,7 +1487,7 @@ function generateGeometryAttributes( minHeight, maxHeight, adjustHeightStartBottom, - adjustHeightStartTop + adjustHeightStartTop, ); adjustHeights( endBottom, @@ -1495,19 +1495,19 @@ function generateGeometryAttributes( minHeight, maxHeight, adjustHeightEndBottom, - adjustHeightEndTop + adjustHeightEndTop, ); // Nudge the positions away from the "polyline" a little bit to prevent errors in GeometryPipeline let normalNudge = Cartesian3.multiplyByScalar( rightNormal, CesiumMath.EPSILON5, - normalNudgeScratch + normalNudgeScratch, ); Cartesian3.add( adjustHeightStartBottom, normalNudge, - adjustHeightStartBottom + adjustHeightStartBottom, ); Cartesian3.add(adjustHeightEndBottom, normalNudge, adjustHeightEndBottom); Cartesian3.add(adjustHeightStartTop, normalNudge, adjustHeightStartTop); @@ -1525,12 +1525,12 @@ function generateGeometryAttributes( normalNudge = Cartesian3.multiplyByScalar( rightNormal, -2.0 * CesiumMath.EPSILON5, - normalNudgeScratch + normalNudgeScratch, ); Cartesian3.add( adjustHeightStartBottom, normalNudge, - adjustHeightStartBottom + adjustHeightStartBottom, ); Cartesian3.add(adjustHeightEndBottom, normalNudge, adjustHeightEndBottom); Cartesian3.add(adjustHeightStartTop, normalNudge, adjustHeightStartTop); @@ -1542,12 +1542,12 @@ function generateGeometryAttributes( Cartesian3.pack( adjustHeightStartBottom, positionsArray, - vec3sWriteIndex + 12 + vec3sWriteIndex + 12, ); Cartesian3.pack( adjustHeightEndBottom, positionsArray, - vec3sWriteIndex + 15 + vec3sWriteIndex + 15, ); Cartesian3.pack(adjustHeightEndTop, positionsArray, vec3sWriteIndex + 18); Cartesian3.pack(adjustHeightStartTop, positionsArray, vec3sWriteIndex + 21); @@ -1578,13 +1578,13 @@ function generateGeometryAttributes( bottomPositionsArray, Cartesian3.ZERO, 3, - boundingSpheres[0] + boundingSpheres[0], ); BoundingSphere.fromVertices( topPositionsArray, Cartesian3.ZERO, 3, - boundingSpheres[1] + boundingSpheres[1], ); const boundingSphere = BoundingSphere.fromBoundingSpheres(boundingSpheres); @@ -1599,19 +1599,19 @@ function generateGeometryAttributes( values: positionsArray, }), startHiAndForwardOffsetX: getVec4GeometryAttribute( - startHiAndForwardOffsetX + startHiAndForwardOffsetX, ), startLoAndForwardOffsetY: getVec4GeometryAttribute( - startLoAndForwardOffsetY + startLoAndForwardOffsetY, ), startNormalAndForwardOffsetZ: getVec4GeometryAttribute( - startNormalAndForwardOffsetZ + startNormalAndForwardOffsetZ, ), endNormalAndTextureCoordinateNormalizationX: getVec4GeometryAttribute( - endNormalAndTextureCoordinateNormalizationX + endNormalAndTextureCoordinateNormalizationX, ), rightNormalAndTextureCoordinateNormalizationY: getVec4GeometryAttribute( - rightNormalAndTextureCoordinateNormalizationY + rightNormalAndTextureCoordinateNormalizationY, ), }; diff --git a/packages/engine/Source/Core/HeadingPitchRoll.js b/packages/engine/Source/Core/HeadingPitchRoll.js index 92a3dadfefc2..2fe724cc998b 100644 --- a/packages/engine/Source/Core/HeadingPitchRoll.js +++ b/packages/engine/Source/Core/HeadingPitchRoll.js @@ -111,7 +111,7 @@ HeadingPitchRoll.clone = function (headingPitchRoll, result) { return new HeadingPitchRoll( headingPitchRoll.heading, headingPitchRoll.pitch, - headingPitchRoll.roll + headingPitchRoll.roll, ); } result.heading = headingPitchRoll.heading; @@ -154,7 +154,7 @@ HeadingPitchRoll.equalsEpsilon = function ( left, right, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) { return ( left === right || @@ -164,19 +164,19 @@ HeadingPitchRoll.equalsEpsilon = function ( left.heading, right.heading, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) && CesiumMath.equalsEpsilon( left.pitch, right.pitch, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) && CesiumMath.equalsEpsilon( left.roll, right.roll, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, )) ); }; @@ -215,13 +215,13 @@ HeadingPitchRoll.prototype.equals = function (right) { HeadingPitchRoll.prototype.equalsEpsilon = function ( right, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) { return HeadingPitchRoll.equalsEpsilon( this, right, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ); }; diff --git a/packages/engine/Source/Core/HeightmapTerrainData.js b/packages/engine/Source/Core/HeightmapTerrainData.js index fab32e682b89..1b8b47d3be61 100644 --- a/packages/engine/Source/Core/HeightmapTerrainData.js +++ b/packages/engine/Source/Core/HeightmapTerrainData.js @@ -119,24 +119,24 @@ function HeightmapTerrainData(options) { } else if (structure !== defaultStructure) { structure.heightScale = defaultValue( structure.heightScale, - defaultStructure.heightScale + defaultStructure.heightScale, ); structure.heightOffset = defaultValue( structure.heightOffset, - defaultStructure.heightOffset + defaultStructure.heightOffset, ); structure.elementsPerHeight = defaultValue( structure.elementsPerHeight, - defaultStructure.elementsPerHeight + defaultStructure.elementsPerHeight, ); structure.stride = defaultValue(structure.stride, defaultStructure.stride); structure.elementMultiplier = defaultValue( structure.elementMultiplier, - defaultStructure.elementMultiplier + defaultStructure.elementMultiplier, ); structure.isBigEndian = defaultValue( structure.isBigEndian, - defaultStructure.isBigEndian + defaultStructure.isBigEndian, ); } @@ -187,7 +187,7 @@ const createMeshTaskName = "createVerticesFromHeightmap"; const createMeshTaskProcessorNoThrottle = new TaskProcessor(createMeshTaskName); const createMeshTaskProcessorThrottle = new TaskProcessor( createMeshTaskName, - TerrainData.maximumAsynchronousTasks + TerrainData.maximumAsynchronousTasks, ); /** @@ -224,7 +224,7 @@ HeightmapTerrainData.prototype.createMesh = function (options) { const exaggeration = defaultValue(options.exaggeration, 1.0); const exaggerationRelativeHeight = defaultValue( options.exaggerationRelativeHeight, - 0.0 + 0.0, ); const throttle = defaultValue(options.throttle, true); @@ -237,11 +237,12 @@ HeightmapTerrainData.prototype.createMesh = function (options) { const structure = this._structure; - const levelZeroMaxError = TerrainProvider.getEstimatedLevelZeroGeometricErrorForAHeightmap( - ellipsoid, - this._width, - tilingScheme.getNumberOfXTilesAtLevel(0) - ); + const levelZeroMaxError = + TerrainProvider.getEstimatedLevelZeroGeometricErrorForAHeightmap( + ellipsoid, + this._width, + tilingScheme.getNumberOfXTilesAtLevel(0), + ); const thisLevelMaxError = levelZeroMaxError / (1 << level); this._skirtHeight = Math.min(thisLevelMaxError * 4.0, 1000.0); @@ -275,14 +276,15 @@ HeightmapTerrainData.prototype.createMesh = function (options) { return Promise.resolve(verticesPromise).then(function (result) { let indicesAndEdges; if (that._skirtHeight > 0.0) { - indicesAndEdges = TerrainProvider.getRegularGridAndSkirtIndicesAndEdgeIndices( - result.gridWidth, - result.gridHeight - ); + indicesAndEdges = + TerrainProvider.getRegularGridAndSkirtIndicesAndEdgeIndices( + result.gridWidth, + result.gridHeight, + ); } else { indicesAndEdges = TerrainProvider.getRegularGridIndicesAndEdgeIndices( result.gridWidth, - result.gridHeight + result.gridHeight, ); } @@ -306,7 +308,7 @@ HeightmapTerrainData.prototype.createMesh = function (options) { indicesAndEdges.westIndicesSouthToNorth, indicesAndEdges.southIndicesEastToWest, indicesAndEdges.eastIndicesNorthToSouth, - indicesAndEdges.northIndicesWestToEast + indicesAndEdges.northIndicesWestToEast, ); // Free memory received from server after mesh is created. @@ -341,7 +343,7 @@ HeightmapTerrainData.prototype._createMeshSync = function (options) { const exaggeration = defaultValue(options.exaggeration, 1.0); const exaggerationRelativeHeight = defaultValue( options.exaggerationRelativeHeight, - 0.0 + 0.0, ); const ellipsoid = tilingScheme.ellipsoid; @@ -353,11 +355,12 @@ HeightmapTerrainData.prototype._createMeshSync = function (options) { const structure = this._structure; - const levelZeroMaxError = TerrainProvider.getEstimatedLevelZeroGeometricErrorForAHeightmap( - ellipsoid, - this._width, - tilingScheme.getNumberOfXTilesAtLevel(0) - ); + const levelZeroMaxError = + TerrainProvider.getEstimatedLevelZeroGeometricErrorForAHeightmap( + ellipsoid, + this._width, + tilingScheme.getNumberOfXTilesAtLevel(0), + ); const thisLevelMaxError = levelZeroMaxError / (1 << level); this._skirtHeight = Math.min(thisLevelMaxError * 4.0, 1000.0); @@ -382,14 +385,15 @@ HeightmapTerrainData.prototype._createMeshSync = function (options) { let indicesAndEdges; if (this._skirtHeight > 0.0) { - indicesAndEdges = TerrainProvider.getRegularGridAndSkirtIndicesAndEdgeIndices( - this._width, - this._height - ); + indicesAndEdges = + TerrainProvider.getRegularGridAndSkirtIndicesAndEdgeIndices( + this._width, + this._height, + ); } else { indicesAndEdges = TerrainProvider.getRegularGridIndicesAndEdgeIndices( this._width, - this._height + this._height, ); } @@ -413,7 +417,7 @@ HeightmapTerrainData.prototype._createMeshSync = function (options) { indicesAndEdges.westIndicesSouthToNorth, indicesAndEdges.southIndicesEastToWest, indicesAndEdges.eastIndicesNorthToSouth, - indicesAndEdges.northIndicesWestToEast + indicesAndEdges.northIndicesWestToEast, ); return this._mesh; @@ -432,7 +436,7 @@ HeightmapTerrainData.prototype._createMeshSync = function (options) { HeightmapTerrainData.prototype.interpolateHeight = function ( rectangle, longitude, - latitude + latitude, ) { const width = this._width; const height = this._height; @@ -468,7 +472,7 @@ HeightmapTerrainData.prototype.interpolateHeight = function ( width, height, longitude, - latitude + latitude, ); } else { heightSample = interpolateHeight( @@ -481,7 +485,7 @@ HeightmapTerrainData.prototype.interpolateHeight = function ( width, height, longitude, - latitude + latitude, ); heightSample = heightSample * heightScale + heightOffset; } @@ -510,7 +514,7 @@ HeightmapTerrainData.prototype.upsample = function ( thisLevel, descendantX, descendantY, - descendantLevel + descendantLevel, ) { //>>includeStart('debug', pragmas.debug); if (!defined(tilingScheme)) { @@ -537,7 +541,7 @@ HeightmapTerrainData.prototype.upsample = function ( const levelDifference = descendantLevel - thisLevel; if (levelDifference > 1) { throw new DeveloperError( - "Upsampling through more than one level at a time is not currently supported." + "Upsampling through more than one level at a time is not currently supported.", ); } //>>includeEnd('debug'); @@ -561,12 +565,12 @@ HeightmapTerrainData.prototype.upsample = function ( const sourceRectangle = tilingScheme.tileXYToRectangle( thisX, thisY, - thisLevel + thisLevel, ); const destinationRectangle = tilingScheme.tileXYToRectangle( descendantX, descendantY, - descendantLevel + descendantLevel, ); const heightOffset = structure.heightOffset; @@ -582,13 +586,13 @@ HeightmapTerrainData.prototype.upsample = function ( const latitude = CesiumMath.lerp( destinationRectangle.north, destinationRectangle.south, - j / (height - 1) + j / (height - 1), ); for (let i = 0; i < width; ++i) { const longitude = CesiumMath.lerp( destinationRectangle.west, destinationRectangle.east, - i / (width - 1) + i / (width - 1), ); let heightSample = interpolateMeshHeight( buffer, @@ -599,7 +603,7 @@ HeightmapTerrainData.prototype.upsample = function ( width, height, longitude, - latitude + latitude, ); // Use conditionals here instead of Math.min and Math.max so that an undefined @@ -621,7 +625,7 @@ HeightmapTerrainData.prototype.upsample = function ( stride, isBigEndian, j * width + i, - heightSample + heightSample, ); } } @@ -634,7 +638,7 @@ HeightmapTerrainData.prototype.upsample = function ( childTileMask: 0, structure: this._structure, createdByUpsampling: true, - }) + }), ); }; @@ -654,7 +658,7 @@ HeightmapTerrainData.prototype.isChildAvailable = function ( thisX, thisY, childX, - childY + childY, ) { //>>includeStart('debug', pragmas.debug); if (!defined(thisX)) { @@ -704,7 +708,7 @@ function interpolateHeight( width, height, longitude, - latitude + latitude, ) { const fromWest = ((longitude - sourceRectangle.west) * (width - 1)) / @@ -739,7 +743,7 @@ function interpolateHeight( elementMultiplier, stride, isBigEndian, - southInteger * width + westInteger + southInteger * width + westInteger, ); const southeastHeight = getHeight( sourceHeights, @@ -747,7 +751,7 @@ function interpolateHeight( elementMultiplier, stride, isBigEndian, - southInteger * width + eastInteger + southInteger * width + eastInteger, ); const northwestHeight = getHeight( sourceHeights, @@ -755,7 +759,7 @@ function interpolateHeight( elementMultiplier, stride, isBigEndian, - northInteger * width + westInteger + northInteger * width + westInteger, ); const northeastHeight = getHeight( sourceHeights, @@ -763,7 +767,7 @@ function interpolateHeight( elementMultiplier, stride, isBigEndian, - northInteger * width + eastInteger + northInteger * width + eastInteger, ); return triangleInterpolateHeight( @@ -772,7 +776,7 @@ function interpolateHeight( southwestHeight, southeastHeight, northwestHeight, - northeastHeight + northeastHeight, ); } @@ -785,7 +789,7 @@ function interpolateMeshHeight( width, height, longitude, - latitude + latitude, ) { // returns a height encoded according to the structure's heightScale and heightOffset. const fromWest = @@ -838,7 +842,7 @@ function interpolateMeshHeight( southwestHeight, southeastHeight, northwestHeight, - northeastHeight + northeastHeight, ); } @@ -848,7 +852,7 @@ function triangleInterpolateHeight( southwestHeight, southeastHeight, northwestHeight, - northeastHeight + northeastHeight, ) { // The HeightmapTessellator bisects the quad from southwest to northeast. if (dY < dX) { @@ -874,7 +878,7 @@ function getHeight( elementMultiplier, stride, isBigEndian, - index + index, ) { index *= stride; @@ -902,7 +906,7 @@ function setHeight( stride, isBigEndian, index, - height + height, ) { index *= stride; diff --git a/packages/engine/Source/Core/HeightmapTessellator.js b/packages/engine/Source/Core/HeightmapTessellator.js index 73c23b5e8e98..b49e1a695e05 100644 --- a/packages/engine/Source/Core/HeightmapTessellator.js +++ b/packages/engine/Source/Core/HeightmapTessellator.js @@ -192,38 +192,38 @@ HeightmapTessellator.computeVertices = function (options) { const exaggeration = defaultValue(options.exaggeration, 1.0); const exaggerationRelativeHeight = defaultValue( options.exaggerationRelativeHeight, - 0.0 + 0.0, ); const hasExaggeration = exaggeration !== 1.0; const includeGeodeticSurfaceNormals = hasExaggeration; const structure = defaultValue( options.structure, - HeightmapTessellator.DEFAULT_STRUCTURE + HeightmapTessellator.DEFAULT_STRUCTURE, ); const heightScale = defaultValue( structure.heightScale, - HeightmapTessellator.DEFAULT_STRUCTURE.heightScale + HeightmapTessellator.DEFAULT_STRUCTURE.heightScale, ); const heightOffset = defaultValue( structure.heightOffset, - HeightmapTessellator.DEFAULT_STRUCTURE.heightOffset + HeightmapTessellator.DEFAULT_STRUCTURE.heightOffset, ); const elementsPerHeight = defaultValue( structure.elementsPerHeight, - HeightmapTessellator.DEFAULT_STRUCTURE.elementsPerHeight + HeightmapTessellator.DEFAULT_STRUCTURE.elementsPerHeight, ); const stride = defaultValue( structure.stride, - HeightmapTessellator.DEFAULT_STRUCTURE.stride + HeightmapTessellator.DEFAULT_STRUCTURE.stride, ); const elementMultiplier = defaultValue( structure.elementMultiplier, - HeightmapTessellator.DEFAULT_STRUCTURE.elementMultiplier + HeightmapTessellator.DEFAULT_STRUCTURE.elementMultiplier, ); const isBigEndian = defaultValue( structure.isBigEndian, - HeightmapTessellator.DEFAULT_STRUCTURE.isBigEndian + HeightmapTessellator.DEFAULT_STRUCTURE.isBigEndian, ); let rectangleWidth = Rectangle.computeWidth(nativeRectangle); @@ -247,16 +247,15 @@ HeightmapTessellator.computeVertices = function (options) { const fromENU = Transforms.eastNorthUpToFixedFrame( relativeToCenter, - ellipsoid + ellipsoid, ); const toENU = Matrix4.inverseTransformation(fromENU, matrix4Scratch); let southMercatorY; let oneOverMercatorHeight; if (includeWebMercatorT) { - southMercatorY = WebMercatorProjection.geodeticLatitudeToMercatorAngle( - geographicSouth - ); + southMercatorY = + WebMercatorProjection.geodeticLatitudeToMercatorAngle(geographicSouth); oneOverMercatorHeight = 1.0 / (WebMercatorProjection.geodeticLatitudeToMercatorAngle(geographicNorth) - @@ -465,9 +464,8 @@ HeightmapTessellator.computeVertices = function (options) { } if (includeGeodeticSurfaceNormals) { - geodeticSurfaceNormals[index] = ellipsoid.geodeticSurfaceNormal( - position - ); + geodeticSurfaceNormals[index] = + ellipsoid.geodeticSurfaceNormal(position); } } } @@ -479,18 +477,19 @@ HeightmapTessellator.computeVertices = function (options) { rectangle, minimumHeight, maximumHeight, - ellipsoid + ellipsoid, ); } let occludeePointInScaledSpace; if (hasRelativeToCenter) { const occluder = new EllipsoidalOccluder(ellipsoid); - occludeePointInScaledSpace = occluder.computeHorizonCullingPointPossiblyUnderEllipsoid( - relativeToCenter, - positions, - minimumHeight - ); + occludeePointInScaledSpace = + occluder.computeHorizonCullingPointPossiblyUnderEllipsoid( + relativeToCenter, + positions, + minimumHeight, + ); } const aaBox = new AxisAlignedBoundingBox(minimum, maximum, relativeToCenter); @@ -504,7 +503,7 @@ HeightmapTessellator.computeVertices = function (options) { includeWebMercatorT, includeGeodeticSurfaceNormals, exaggeration, - exaggerationRelativeHeight + exaggerationRelativeHeight, ); const vertices = new Float32Array(vertexCount * encoding.stride); @@ -518,7 +517,7 @@ HeightmapTessellator.computeVertices = function (options) { heights[j], undefined, webMercatorTs[j], - geodeticSurfaceNormals[j] + geodeticSurfaceNormals[j], ); } diff --git a/packages/engine/Source/Core/HermitePolynomialApproximation.js b/packages/engine/Source/Core/HermitePolynomialApproximation.js index c2737cd26d28..e8cab0d6fa28 100644 --- a/packages/engine/Source/Core/HermitePolynomialApproximation.js +++ b/packages/engine/Source/Core/HermitePolynomialApproximation.js @@ -11,7 +11,7 @@ function calculateCoefficientTerm( xTable, derivOrder, termOrder, - reservedIndices + reservedIndices, ) { let result = 0; let reserved; @@ -35,7 +35,7 @@ function calculateCoefficientTerm( xTable, derivOrder - 1, termOrder, - reservedIndices + reservedIndices, ); reservedIndices.splice(reservedIndices.length - 1, 1); } @@ -81,7 +81,7 @@ const HermitePolynomialApproximation = { */ HermitePolynomialApproximation.getRequiredDataPoints = function ( degree, - inputOrder + inputOrder, ) { inputOrder = defaultValue(inputOrder, 0); @@ -118,7 +118,7 @@ HermitePolynomialApproximation.interpolateOrderZero = function ( xTable, yTable, yStride, - result + result, ) { if (!defined(result)) { result = new Array(yStride); @@ -219,7 +219,7 @@ HermitePolynomialApproximation.interpolate = function ( yStride, inputOrder, outputOrder, - result + result, ) { const resultLength = yStride * (outputOrder + 1); if (!defined(result)) { @@ -249,7 +249,7 @@ HermitePolynomialApproximation.interpolate = function ( xTable, yTable, yStride, - inputOrder + inputOrder, ); const reservedIndices = []; @@ -264,7 +264,7 @@ HermitePolynomialApproximation.interpolate = function ( xTable, d, i, - reservedIndices + reservedIndices, ); const dimTwo = Math.floor((i * (1 - i)) / 2) + zIndiceslength * i; @@ -285,7 +285,7 @@ function fillCoefficientList( xTable, yTable, yStride, - inputOrder + inputOrder, ) { let j; let index; diff --git a/packages/engine/Source/Core/HermiteSpline.js b/packages/engine/Source/Core/HermiteSpline.js index 629e81e7687a..bad84e49c200 100644 --- a/packages/engine/Source/Core/HermiteSpline.js +++ b/packages/engine/Source/Core/HermiteSpline.js @@ -182,12 +182,12 @@ function HermiteSpline(options) { !defined(outTangents) ) { throw new DeveloperError( - "times, points, inTangents, and outTangents are required." + "times, points, inTangents, and outTangents are required.", ); } if (points.length < 2) { throw new DeveloperError( - "points.length must be greater than or equal to 2." + "points.length must be greater than or equal to 2.", ); } if (times.length !== points.length) { @@ -198,7 +198,7 @@ function HermiteSpline(options) { inTangents.length !== points.length - 1 ) { throw new DeveloperError( - "inTangents and outTangents must have a length equal to points.length - 1." + "inTangents and outTangents must have a length equal to points.length - 1.", ); } //>>includeEnd('debug'); @@ -212,7 +212,7 @@ function HermiteSpline(options) { this._pointType !== Spline.getPointType(outTangents[0]) ) { throw new DeveloperError( - "inTangents and outTangents must be of the same type as points." + "inTangents and outTangents must be of the same type as points.", ); } //>>includeEnd('debug'); @@ -332,12 +332,12 @@ HermiteSpline.createC1 = function (options) { } if (points.length < 2) { throw new DeveloperError( - "points.length must be greater than or equal to 2." + "points.length must be greater than or equal to 2.", ); } if (times.length !== points.length || times.length !== tangents.length) { throw new DeveloperError( - "times, points and tangents must have the same length." + "times, points and tangents must have the same length.", ); } //>>includeEnd('debug'); @@ -391,7 +391,7 @@ HermiteSpline.createNaturalCubic = function (options) { } if (points.length < 2) { throw new DeveloperError( - "points.length must be greater than or equal to 2." + "points.length must be greater than or equal to 2.", ); } if (times.length !== points.length) { @@ -465,12 +465,12 @@ HermiteSpline.createClampedCubic = function (options) { !defined(lastTangent) ) { throw new DeveloperError( - "points, times, firstTangent and lastTangent are required." + "points, times, firstTangent and lastTangent are required.", ); } if (points.length < 2) { throw new DeveloperError( - "points.length must be greater than or equal to 2." + "points.length must be greater than or equal to 2.", ); } if (times.length !== points.length) { @@ -486,7 +486,7 @@ HermiteSpline.createClampedCubic = function (options) { PointType !== Spline.getPointType(lastTangent) ) { throw new DeveloperError( - "firstTangent and lastTangent must be of the same type as points." + "firstTangent and lastTangent must be of the same type as points.", ); } //>>includeEnd('debug'); @@ -587,7 +587,7 @@ HermiteSpline.prototype.evaluate = function (time, result) { const coefs = Matrix4.multiplyByVector( HermiteSpline.hermiteCoefficientMatrix, timeVec, - timeVec + timeVec, ); // Multiply the out-tangent and in-tangent values by the time delta. diff --git a/packages/engine/Source/Core/HilbertOrder.js b/packages/engine/Source/Core/HilbertOrder.js index 540a1ea493b4..768ad47e23d0 100644 --- a/packages/engine/Source/Core/HilbertOrder.js +++ b/packages/engine/Source/Core/HilbertOrder.js @@ -70,7 +70,7 @@ HilbertOrder.decode2D = function (level, index) { // eslint-disable-next-line no-undef if (index < BigInt(0) || index >= BigInt(Math.pow(4, level))) { throw new DeveloperError( - "Hilbert index exceeds valid maximum for given level." + "Hilbert index exceeds valid maximum for given level.", ); } //>>includeEnd('debug'); diff --git a/packages/engine/Source/Core/Iau2006XysData.js b/packages/engine/Source/Core/Iau2006XysData.js index c09aec64a55c..86849d1756d6 100644 --- a/packages/engine/Source/Core/Iau2006XysData.js +++ b/packages/engine/Source/Core/Iau2006XysData.js @@ -29,17 +29,17 @@ function Iau2006XysData(options) { options = defaultValue(options, defaultValue.EMPTY_OBJECT); this._xysFileUrlTemplate = Resource.createIfNeeded( - options.xysFileUrlTemplate + options.xysFileUrlTemplate, ); this._interpolationOrder = defaultValue(options.interpolationOrder, 9); this._sampleZeroJulianEphemerisDate = defaultValue( options.sampleZeroJulianEphemerisDate, - 2442396.5 + 2442396.5, ); this._sampleZeroDateTT = new JulianDate( this._sampleZeroJulianEphemerisDate, 0.0, - TimeStandard.TAI + TimeStandard.TAI, ); this._stepSizeDays = defaultValue(options.stepSizeDays, 1.0); this._samplesPerXysFile = defaultValue(options.samplesPerXysFile, 1000); @@ -100,12 +100,12 @@ Iau2006XysData.prototype.preload = function ( startDayTT, startSecondTT, stopDayTT, - stopSecondTT + stopSecondTT, ) { const startDaysSinceEpoch = getDaysSinceEpoch( this, startDayTT, - startSecondTT + startSecondTT, ); const stopDaysSinceEpoch = getDaysSinceEpoch(this, stopDayTT, stopSecondTT); @@ -152,7 +152,7 @@ Iau2006XysData.prototype.preload = function ( Iau2006XysData.prototype.computeXysRadians = function ( dayTT, secondTT, - result + result, ) { const daysSinceEpoch = getDaysSinceEpoch(this, dayTT, secondTT); if (daysSinceEpoch < 0.0) { diff --git a/packages/engine/Source/Core/IauOrientationAxes.js b/packages/engine/Source/Core/IauOrientationAxes.js index 098867325578..7c590f89b10e 100644 --- a/packages/engine/Source/Core/IauOrientationAxes.js +++ b/packages/engine/Source/Core/IauOrientationAxes.js @@ -81,14 +81,14 @@ IauOrientationAxes.prototype.evaluate = function (date, result) { const precMtx = computeRotationMatrix( alphaDeltaW.rightAscension, alphaDeltaW.declination, - result + result, ); const rot = CesiumMath.zeroToTwoPi(alphaDeltaW.rotation); const quat = Quaternion.fromAxisAngle(Cartesian3.UNIT_Z, rot, quatScratch); const rotMtx = Matrix3.fromQuaternion( Quaternion.conjugate(quat, quat), - rotMtxScratch + rotMtxScratch, ); const cbi2cbf = Matrix3.multiply(rotMtx, precMtx, precMtx); diff --git a/packages/engine/Source/Core/IauOrientationParameters.js b/packages/engine/Source/Core/IauOrientationParameters.js index ba72c47384e6..5531e1af0f1d 100644 --- a/packages/engine/Source/Core/IauOrientationParameters.js +++ b/packages/engine/Source/Core/IauOrientationParameters.js @@ -14,7 +14,7 @@ function IauOrientationParameters( rightAscension, declination, rotation, - rotationRate + rotationRate, ) { /** * The right ascension of the north pole of the body with respect to diff --git a/packages/engine/Source/Core/IndexDatatype.js b/packages/engine/Source/Core/IndexDatatype.js index 6a31e2ce9426..ccd8373f9033 100644 --- a/packages/engine/Source/Core/IndexDatatype.js +++ b/packages/engine/Source/Core/IndexDatatype.js @@ -60,7 +60,7 @@ IndexDatatype.getSizeInBytes = function (indexDatatype) { //>>includeStart('debug', pragmas.debug); throw new DeveloperError( - "indexDatatype is required and must be a valid IndexDatatype constant." + "indexDatatype is required and must be a valid IndexDatatype constant.", ); //>>includeEnd('debug'); }; @@ -82,7 +82,7 @@ IndexDatatype.fromSizeInBytes = function (sizeInBytes) { //>>includeStart('debug', pragmas.debug); default: throw new DeveloperError( - "Size in bytes cannot be mapped to an IndexDatatype" + "Size in bytes cannot be mapped to an IndexDatatype", ); //>>includeEnd('debug'); } @@ -121,7 +121,7 @@ IndexDatatype.validate = function (indexDatatype) { */ IndexDatatype.createTypedArray = function ( numberOfVertices, - indicesLengthOrArray + indicesLengthOrArray, ) { //>>includeStart('debug', pragmas.debug); if (!defined(numberOfVertices)) { @@ -151,7 +151,7 @@ IndexDatatype.createTypedArrayFromArrayBuffer = function ( numberOfVertices, sourceArray, byteOffset, - length + length, ) { //>>includeStart('debug', pragmas.debug); if (!defined(numberOfVertices)) { @@ -191,7 +191,7 @@ IndexDatatype.fromTypedArray = function (array) { //>>includeStart('debug', pragmas.debug); throw new DeveloperError( - "array must be a Uint8Array, Uint16Array, or Uint32Array." + "array must be a Uint8Array, Uint16Array, or Uint32Array.", ); //>>includeEnd('debug'); }; diff --git a/packages/engine/Source/Core/IntersectionTests.js b/packages/engine/Source/Core/IntersectionTests.js index 295b467bdb45..4ba53f228c12 100644 --- a/packages/engine/Source/Core/IntersectionTests.js +++ b/packages/engine/Source/Core/IntersectionTests.js @@ -86,7 +86,7 @@ IntersectionTests.rayTriangleParametric = function ( p0, p1, p2, - cullBackFaces + cullBackFaces, ) { //>>includeStart('debug', pragmas.debug); if (!defined(ray)) { @@ -188,14 +188,14 @@ IntersectionTests.rayTriangle = function ( p1, p2, cullBackFaces, - result + result, ) { const t = IntersectionTests.rayTriangleParametric( ray, p0, p1, p2, - cullBackFaces + cullBackFaces, ); if (!defined(t) || t < 0.0) { return undefined; @@ -232,7 +232,7 @@ IntersectionTests.lineSegmentTriangle = function ( p1, p2, cullBackFaces, - result + result, ) { //>>includeStart('debug', pragmas.debug); if (!defined(v0)) { @@ -262,7 +262,7 @@ IntersectionTests.lineSegmentTriangle = function ( p0, p1, p2, - cullBackFaces + cullBackFaces, ); if (!defined(t) || t < 0.0 || t > Cartesian3.distance(v0, v1)) { return undefined; @@ -433,7 +433,7 @@ IntersectionTests.rayEllipsoid = function (ray, ellipsoid) { const w = Cartesian3.multiplyComponents( inverseRadii, ray.direction, - scratchW + scratchW, ); const q2 = Cartesian3.magnitudeSquared(q); @@ -522,7 +522,7 @@ IntersectionTests.quadraticVectorExpression = function (A, b, c, x, w) { addWithCancellationCheck( A[Matrix3.COLUMN1ROW0], A[Matrix3.COLUMN0ROW1], - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ) + b.y); const l0 = @@ -536,7 +536,7 @@ IntersectionTests.quadraticVectorExpression = function (A, b, c, x, w) { addWithCancellationCheck( A[Matrix3.COLUMN2ROW1], A[Matrix3.COLUMN1ROW2], - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); const r0 = w * @@ -600,26 +600,26 @@ IntersectionTests.quadraticVectorExpression = function (A, b, c, x, w) { left = addWithCancellationCheck( l2 * cosineSquared + l0, l1 * cosine, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); } else if (CesiumMath.sign(l0) === CesiumMath.sign(l1 * cosine)) { left = addWithCancellationCheck( l2 * cosineSquared, l1 * cosine + l0, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); } else { left = addWithCancellationCheck( l2 * cosineSquared + l1 * cosine, l0, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); } const right = addWithCancellationCheck( r1 * cosine, r0, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); const product = left * right; @@ -688,7 +688,7 @@ IntersectionTests.grazingAltitudeLocation = function (ray, ellipsoid) { // Compute the scaled direction vector. const f = ellipsoid.transformPositionToScaledSpace( direction, - firstAxisScratch + firstAxisScratch, ); // Constructs a basis from the unit scaled direction vector. Construct its rotation and transpose. @@ -696,11 +696,11 @@ IntersectionTests.grazingAltitudeLocation = function (ray, ellipsoid) { const reference = Cartesian3.mostOrthogonalAxis(f, referenceScratch); const secondAxis = Cartesian3.normalize( Cartesian3.cross(reference, firstAxis, secondAxisScratch), - secondAxisScratch + secondAxisScratch, ); const thirdAxis = Cartesian3.normalize( Cartesian3.cross(firstAxis, secondAxis, thirdAxisScratch), - thirdAxisScratch + thirdAxisScratch, ); const B = bScratch; B[0] = firstAxis.x; @@ -733,12 +733,12 @@ IntersectionTests.grazingAltitudeLocation = function (ray, ellipsoid) { const temp = Matrix3.multiply( Matrix3.multiply(B_T, D, tempMatrix), C, - tempMatrix + tempMatrix, ); const A = Matrix3.multiply( Matrix3.multiply(temp, D_I, aScratch), B, - aScratch + aScratch, ); const b = Matrix3.multiplyByVector(temp, position, bCart); @@ -748,7 +748,7 @@ IntersectionTests.grazingAltitudeLocation = function (ray, ellipsoid) { Cartesian3.negate(b, firstAxisScratch), 0.0, 0.0, - 1.0 + 1.0, ); let s; @@ -762,11 +762,11 @@ IntersectionTests.grazingAltitudeLocation = function (ray, ellipsoid) { s = Matrix3.multiplyByVector( D_I, Matrix3.multiplyByVector(B, solutions[i], sScratch), - sScratch + sScratch, ); const v = Cartesian3.normalize( Cartesian3.subtract(s, position, referenceScratch), - referenceScratch + referenceScratch, ); const dotProduct = Cartesian3.dot(v, direction); @@ -778,12 +778,12 @@ IntersectionTests.grazingAltitudeLocation = function (ray, ellipsoid) { const surfacePoint = ellipsoid.cartesianToCartographic( closest, - surfPointScratch + surfPointScratch, ); maximumValue = CesiumMath.clamp(maximumValue, 0.0, 1.0); altitude = Cartesian3.magnitude( - Cartesian3.subtract(closest, position, referenceScratch) + Cartesian3.subtract(closest, position, referenceScratch), ) * Math.sqrt(1.0 - maximumValue * maximumValue); altitude = intersects ? -altitude : altitude; surfacePoint.height = altitude; @@ -819,7 +819,7 @@ IntersectionTests.lineSegmentPlane = function ( endPoint0, endPoint1, plane, - result + result, ) { //>>includeStart('debug', pragmas.debug); if (!defined(endPoint0)) { @@ -840,7 +840,7 @@ IntersectionTests.lineSegmentPlane = function ( const difference = Cartesian3.subtract( endPoint1, endPoint0, - lineSegmentPlaneDifference + lineSegmentPlaneDifference, ); const normal = plane.normal; const nDotDiff = Cartesian3.dot(normal, difference); @@ -920,17 +920,10 @@ IntersectionTests.trianglePlaneIntersection = function (p0, p1, p2, plane) { positions: [p0, p1, p2, u1, u2], indices: [ // Behind - 0, - 3, - 4, + 0, 3, 4, // In front - 1, - 2, - 4, - 1, - 4, - 3, + 1, 2, 4, 1, 4, 3, ], }; } else if (p1Behind) { @@ -941,17 +934,10 @@ IntersectionTests.trianglePlaneIntersection = function (p0, p1, p2, plane) { positions: [p0, p1, p2, u1, u2], indices: [ // Behind - 1, - 3, - 4, + 1, 3, 4, // In front - 2, - 0, - 4, - 2, - 4, - 3, + 2, 0, 4, 2, 4, 3, ], }; } else if (p2Behind) { @@ -962,17 +948,10 @@ IntersectionTests.trianglePlaneIntersection = function (p0, p1, p2, plane) { positions: [p0, p1, p2, u1, u2], indices: [ // Behind - 2, - 3, - 4, + 2, 3, 4, // In front - 0, - 1, - 4, - 0, - 4, - 3, + 0, 1, 4, 0, 4, 3, ], }; } @@ -985,17 +964,10 @@ IntersectionTests.trianglePlaneIntersection = function (p0, p1, p2, plane) { positions: [p0, p1, p2, u1, u2], indices: [ // Behind - 1, - 2, - 4, - 1, - 4, - 3, + 1, 2, 4, 1, 4, 3, // In front - 0, - 3, - 4, + 0, 3, 4, ], }; } else if (!p1Behind) { @@ -1006,17 +978,10 @@ IntersectionTests.trianglePlaneIntersection = function (p0, p1, p2, plane) { positions: [p0, p1, p2, u1, u2], indices: [ // Behind - 2, - 0, - 4, - 2, - 4, - 3, + 2, 0, 4, 2, 4, 3, // In front - 1, - 3, - 4, + 1, 3, 4, ], }; } else if (!p2Behind) { @@ -1027,17 +992,10 @@ IntersectionTests.trianglePlaneIntersection = function (p0, p1, p2, plane) { positions: [p0, p1, p2, u1, u2], indices: [ // Behind - 0, - 1, - 4, - 0, - 4, - 3, + 0, 1, 4, 0, 4, 3, // In front - 2, - 3, - 4, + 2, 3, 4, ], }; } diff --git a/packages/engine/Source/Core/Intersections2D.js b/packages/engine/Source/Core/Intersections2D.js index df98e06093db..aabc0cd5561d 100644 --- a/packages/engine/Source/Core/Intersections2D.js +++ b/packages/engine/Source/Core/Intersections2D.js @@ -43,7 +43,7 @@ Intersections2D.clipTriangleAtAxisAlignedThreshold = function ( u0, u1, u2, - result + result, ) { //>>includeStart('debug', pragmas.debug); if (!defined(threshold)) { @@ -242,7 +242,7 @@ Intersections2D.computeBarycentricCoordinates = function ( y2, x3, y3, - result + result, ) { //>>includeStart('debug', pragmas.debug); if (!defined(x)) { @@ -319,7 +319,7 @@ Intersections2D.computeLineSegmentLineSegmentIntersection = function ( y10, x11, y11, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.number("x00", x00); diff --git a/packages/engine/Source/Core/IonGeocoderService.js b/packages/engine/Source/Core/IonGeocoderService.js index 7ff6f6260d2d..81da96b7dbba 100644 --- a/packages/engine/Source/Core/IonGeocoderService.js +++ b/packages/engine/Source/Core/IonGeocoderService.js @@ -27,14 +27,14 @@ function IonGeocoderService(options) { const accessToken = defaultValue(options.accessToken, Ion.defaultAccessToken); const server = Resource.createIfNeeded( - defaultValue(options.server, Ion.defaultServer) + defaultValue(options.server, Ion.defaultServer), ); server.appendForwardSlash(); const defaultTokenCredit = Ion.getDefaultTokenCredit(accessToken); if (defined(defaultTokenCredit)) { options.scene.frameState.creditDisplay.addStaticCredit( - Credit.clone(defaultTokenCredit) + Credit.clone(defaultTokenCredit), ); } diff --git a/packages/engine/Source/Core/IonResource.js b/packages/engine/Source/Core/IonResource.js index b0dfe6bd43ff..c9cc74bca53e 100644 --- a/packages/engine/Source/Core/IonResource.js +++ b/packages/engine/Source/Core/IonResource.js @@ -48,7 +48,7 @@ function IonResource(endpoint, endpointResource) { } else { //External imagery assets have additional configuration that can't be represented as a Resource throw new RuntimeError( - "Ion.createResource does not support external imagery assets; use IonImageryProvider instead." + "Ion.createResource does not support external imagery assets; use IonImageryProvider instead.", ); } @@ -106,7 +106,7 @@ if (defined(Object.create)) { IonResource.fromAssetId = function (assetId, options) { const endpointResource = IonResource._createEndpointResource( assetId, - options + options, ); return endpointResource.fetchJson().then(function (endpoint) { @@ -136,7 +136,7 @@ Object.defineProperties(IonResource.prototype, { this._credits = IonResource.getCreditsFromEndpoint( this._ionEndpoint, - this._ionEndpointResource + this._ionEndpointResource, ); return this._credits; @@ -148,7 +148,7 @@ Object.defineProperties(IonResource.prototype, { IonResource.getCreditsFromEndpoint = function (endpoint, endpointResource) { const credits = endpoint.attributions.map(Credit.getIonCredit); const defaultTokenCredit = Ion.getDefaultTokenCredit( - endpointResource.queryParameters.access_token + endpointResource.queryParameters.access_token, ); if (defined(defaultTokenCredit)) { credits.push(Credit.clone(defaultTokenCredit)); @@ -164,7 +164,7 @@ IonResource.prototype.clone = function (result) { if (!defined(result)) { result = new IonResource( ionRoot._ionEndpoint, - ionRoot._ionEndpointResource + ionRoot._ionEndpointResource, ); } diff --git a/packages/engine/Source/Core/Iso8601.js b/packages/engine/Source/Core/Iso8601.js index 3b491f54c380..e1b2664e13d6 100644 --- a/packages/engine/Source/Core/Iso8601.js +++ b/packages/engine/Source/Core/Iso8601.js @@ -2,16 +2,16 @@ import JulianDate from "./JulianDate.js"; import TimeInterval from "./TimeInterval.js"; const MINIMUM_VALUE = Object.freeze( - JulianDate.fromIso8601("0000-01-01T00:00:00Z") + JulianDate.fromIso8601("0000-01-01T00:00:00Z"), ); const MAXIMUM_VALUE = Object.freeze( - JulianDate.fromIso8601("9999-12-31T24:00:00Z") + JulianDate.fromIso8601("9999-12-31T24:00:00Z"), ); const MAXIMUM_INTERVAL = Object.freeze( new TimeInterval({ start: MINIMUM_VALUE, stop: MAXIMUM_VALUE, - }) + }), ); /** diff --git a/packages/engine/Source/Core/JulianDate.js b/packages/engine/Source/Core/JulianDate.js index 3e8a6ec24d5e..092e4683e5a8 100644 --- a/packages/engine/Source/Core/JulianDate.js +++ b/packages/engine/Source/Core/JulianDate.js @@ -27,7 +27,7 @@ function convertUtcToTai(julianDate) { let index = binarySearch( leapSeconds, binarySearchScratchLeapSecond, - compareLeapSecondDates + compareLeapSecondDates, ); if (index < 0) { @@ -46,7 +46,7 @@ function convertUtcToTai(julianDate) { //the previous leap second. const difference = JulianDate.secondsDifference( leapSeconds[index].julianDate, - julianDate + julianDate, ); if (difference > offset) { index--; @@ -63,7 +63,7 @@ function convertTaiToUtc(julianDate, result) { let index = binarySearch( leapSeconds, binarySearchScratchLeapSecond, - compareLeapSecondDates + compareLeapSecondDates, ); if (index < 0) { index = ~index; @@ -79,14 +79,14 @@ function convertTaiToUtc(julianDate, result) { return JulianDate.addSeconds( julianDate, -leapSeconds[index - 1].offset, - result + result, ); } //Compute the difference between the found leap second and the time we are converting. const difference = JulianDate.secondsDifference( leapSeconds[index].julianDate, - julianDate + julianDate, ); if (difference === 0) { @@ -94,7 +94,7 @@ function convertTaiToUtc(julianDate, result) { return JulianDate.addSeconds( julianDate, -leapSeconds[index].offset, - result + result, ); } @@ -108,7 +108,7 @@ function convertTaiToUtc(julianDate, result) { return JulianDate.addSeconds( julianDate, -leapSeconds[--index].offset, - result + result, ); } @@ -134,7 +134,7 @@ function computeJulianDateComponents( hour, minute, second, - millisecond + millisecond, ) { // Algorithm from page 604 of the Explanatory Supplement to the // Astronomical Almanac (Seidelmann 1992). @@ -256,7 +256,7 @@ JulianDate.fromGregorianDate = function (date, result) { date.hour, date.minute, date.second, - date.millisecond + date.millisecond, ); if (!defined(result)) { return new JulianDate(components[0], components[1], TimeStandard.UTC); @@ -289,7 +289,7 @@ JulianDate.fromDate = function (date, result) { date.getUTCHours(), date.getUTCMinutes(), date.getUTCSeconds(), - date.getUTCMilliseconds() + date.getUTCMilliseconds(), ); if (!defined(result)) { return new JulianDate(components[0], components[1], TimeStandard.UTC); @@ -514,7 +514,7 @@ JulianDate.fromIso8601 = function (iso8601String, result) { minute = minute + new Date( - Date.UTC(year, month - 1, day, hour, minute) + Date.UTC(year, month - 1, day, hour, minute), ).getTimezoneOffset(); break; } @@ -587,7 +587,7 @@ JulianDate.fromIso8601 = function (iso8601String, result) { hour, minute, second, - millisecond + millisecond, ); if (!defined(result)) { @@ -692,7 +692,7 @@ JulianDate.toGregorianDate = function (julianDate, result) { minute, second, millisecond, - isLeapSecond + isLeapSecond, ); } @@ -736,8 +736,8 @@ JulianDate.toDate = function (julianDate) { gDate.hour, gDate.minute, second, - gDate.millisecond - ) + gDate.millisecond, + ), ); }; @@ -788,13 +788,9 @@ JulianDate.toIso8601 = function (julianDate, precision) { millisecondStr = (millisecond * 0.01).toString().replace(".", ""); return `${year.toString().padStart(4, "0")}-${month .toString() - .padStart(2, "0")}-${day - .toString() - .padStart(2, "0")}T${hour - .toString() - .padStart(2, "0")}:${minute + .padStart(2, "0")}-${day.toString().padStart(2, "0")}T${hour .toString() - .padStart(2, "0")}:${second + .padStart(2, "0")}:${minute.toString().padStart(2, "0")}:${second .toString() .padStart(2, "0")}.${millisecondStr}Z`; } @@ -803,9 +799,7 @@ JulianDate.toIso8601 = function (julianDate, precision) { if (!defined(precision) || precision === 0) { return `${year.toString().padStart(4, "0")}-${month .toString() - .padStart(2, "0")}-${day - .toString() - .padStart(2, "0")}T${hour + .padStart(2, "0")}-${day.toString().padStart(2, "0")}T${hour .toString() .padStart(2, "0")}:${minute .toString() @@ -819,13 +813,9 @@ JulianDate.toIso8601 = function (julianDate, precision) { .slice(0, precision); return `${year.toString().padStart(4, "0")}-${month .toString() - .padStart(2, "0")}-${day - .toString() - .padStart(2, "0")}T${hour - .toString() - .padStart(2, "0")}:${minute + .padStart(2, "0")}-${day.toString().padStart(2, "0")}T${hour .toString() - .padStart(2, "0")}:${second + .padStart(2, "0")}:${minute.toString().padStart(2, "0")}:${second .toString() .padStart(2, "0")}.${millisecondStr}Z`; }; @@ -845,7 +835,7 @@ JulianDate.clone = function (julianDate, result) { return new JulianDate( julianDate.dayNumber, julianDate.secondsOfDay, - TimeStandard.TAI + TimeStandard.TAI, ); } result.dayNumber = julianDate.dayNumber; @@ -991,7 +981,7 @@ JulianDate.computeTaiMinusUtc = function (julianDate) { let index = binarySearch( leapSeconds, binarySearchScratchLeapSecond, - compareLeapSecondDates + compareLeapSecondDates, ); if (index < 0) { index = ~index; @@ -1027,7 +1017,7 @@ JulianDate.addSeconds = function (julianDate, seconds, result) { return setComponents( julianDate.dayNumber, julianDate.secondsOfDay + seconds, - result + result, ); }; diff --git a/packages/engine/Source/Core/KTX2Transcoder.js b/packages/engine/Source/Core/KTX2Transcoder.js index f09aca0f2e99..4e4aff9465df 100644 --- a/packages/engine/Source/Core/KTX2Transcoder.js +++ b/packages/engine/Source/Core/KTX2Transcoder.js @@ -13,7 +13,7 @@ function KTX2Transcoder() {} KTX2Transcoder._transcodeTaskProcessor = new TaskProcessor( "transcodeKTX2", - Number.POSITIVE_INFINITY // KTX2 transcoding is used in place of Resource.fetchImage, so it can't reject as "just soooo busy right now" + Number.POSITIVE_INFINITY, // KTX2 transcoding is used in place of Resource.fetchImage, so it can't reject as "just soooo busy right now" ); KTX2Transcoder._readyPromise = undefined; @@ -67,7 +67,7 @@ KTX2Transcoder.transcode = function (ktx2Buffer, supportedTargetFormats) { face.datatype, face.width, face.height, - face.levelBuffer + face.levelBuffer, ); } } diff --git a/packages/engine/Source/Core/LagrangePolynomialApproximation.js b/packages/engine/Source/Core/LagrangePolynomialApproximation.js index 67c15aa28fb1..7863ae49b2a5 100644 --- a/packages/engine/Source/Core/LagrangePolynomialApproximation.js +++ b/packages/engine/Source/Core/LagrangePolynomialApproximation.js @@ -37,7 +37,7 @@ LagrangePolynomialApproximation.interpolateOrderZero = function ( xTable, yTable, yStride, - result + result, ) { if (!defined(result)) { result = new Array(yStride); diff --git a/packages/engine/Source/Core/LinearApproximation.js b/packages/engine/Source/Core/LinearApproximation.js index c605a7f4fb39..0e46bdbca726 100644 --- a/packages/engine/Source/Core/LinearApproximation.js +++ b/packages/engine/Source/Core/LinearApproximation.js @@ -40,16 +40,16 @@ LinearApproximation.interpolateOrderZero = function ( xTable, yTable, yStride, - result + result, ) { //>>includeStart('debug', pragmas.debug); if (xTable.length !== 2) { throw new DeveloperError( - "The xTable provided to the linear interpolator must have exactly two elements." + "The xTable provided to the linear interpolator must have exactly two elements.", ); } else if (yStride <= 0) { throw new DeveloperError( - "There must be at least 1 dependent variable for each independent variable." + "There must be at least 1 dependent variable for each independent variable.", ); } //>>includeEnd('debug'); @@ -67,7 +67,7 @@ LinearApproximation.interpolateOrderZero = function ( //>>includeStart('debug', pragmas.debug); if (x0 === x1) { throw new DeveloperError( - "Divide by zero error: xTable[0] and xTable[1] are equal" + "Divide by zero error: xTable[0] and xTable[1] are equal", ); } //>>includeEnd('debug'); diff --git a/packages/engine/Source/Core/LinearSpline.js b/packages/engine/Source/Core/LinearSpline.js index d067d318cc26..3c025670b704 100644 --- a/packages/engine/Source/Core/LinearSpline.js +++ b/packages/engine/Source/Core/LinearSpline.js @@ -53,7 +53,7 @@ function LinearSpline(options) { } if (points.length < 2) { throw new DeveloperError( - "points.length must be greater than or equal to 2." + "points.length must be greater than or equal to 2.", ); } if (times.length !== points.length) { @@ -147,7 +147,7 @@ LinearSpline.prototype.evaluate = function (time, result) { const i = (this._lastTimeIndex = this.findTimeInterval( time, - this._lastTimeIndex + this._lastTimeIndex, )); const u = (time - times[i]) / (times[i + 1] - times[i]); diff --git a/packages/engine/Source/Core/Math.js b/packages/engine/Source/Core/Math.js index 59ae199d8dc0..150cd9aa30aa 100644 --- a/packages/engine/Source/Core/Math.js +++ b/packages/engine/Source/Core/Math.js @@ -236,7 +236,7 @@ CesiumMath.signNotZero = function (value) { CesiumMath.toSNorm = function (value, rangeMaximum) { rangeMaximum = defaultValue(rangeMaximum, 255); return Math.round( - (CesiumMath.clamp(value, -1.0, 1.0) * 0.5 + 0.5) * rangeMaximum + (CesiumMath.clamp(value, -1.0, 1.0) * 0.5 + 0.5) * rangeMaximum, ); }; @@ -509,7 +509,7 @@ CesiumMath.clampToLatitudeRange = function (angle) { return CesiumMath.clamp( angle, -1 * CesiumMath.PI_OVER_TWO, - CesiumMath.PI_OVER_TWO + CesiumMath.PI_OVER_TWO, ); }; @@ -610,7 +610,7 @@ CesiumMath.equalsEpsilon = function ( left, right, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) { //>>includeStart('debug', pragmas.debug); if (!defined(left)) { @@ -753,7 +753,7 @@ CesiumMath.factorial = function (n) { //>>includeStart('debug', pragmas.debug); if (typeof n !== "number" || n < 0) { throw new DeveloperError( - "A number greater than or equal to 0 is required." + "A number greater than or equal to 0 is required.", ); } //>>includeEnd('debug'); diff --git a/packages/engine/Source/Core/Matrix2.js b/packages/engine/Source/Core/Matrix2.js index 7f0cba621c0d..479489d6cd93 100644 --- a/packages/engine/Source/Core/Matrix2.js +++ b/packages/engine/Source/Core/Matrix2.js @@ -109,7 +109,7 @@ Matrix2.packArray = function (array, result) { } else if (!Array.isArray(result) && result.length !== resultLength) { //>>includeStart('debug', pragmas.debug); throw new DeveloperError( - "If result is a typed array, it must have exactly array.length * 4 elements" + "If result is a typed array, it must have exactly array.length * 4 elements", ); //>>includeEnd('debug'); } else if (result.length !== resultLength) { @@ -584,10 +584,10 @@ Matrix2.getScale = function (matrix, result) { //>>includeEnd('debug'); result.x = Cartesian2.magnitude( - Cartesian2.fromElements(matrix[0], matrix[1], scratchColumn) + Cartesian2.fromElements(matrix[0], matrix[1], scratchColumn), ); result.y = Cartesian2.magnitude( - Cartesian2.fromElements(matrix[2], matrix[3], scratchColumn) + Cartesian2.fromElements(matrix[2], matrix[3], scratchColumn), ); return result; }; diff --git a/packages/engine/Source/Core/Matrix3.js b/packages/engine/Source/Core/Matrix3.js index 80b4a5185c24..9174f3c37096 100644 --- a/packages/engine/Source/Core/Matrix3.js +++ b/packages/engine/Source/Core/Matrix3.js @@ -45,7 +45,7 @@ function Matrix3( column2Row1, column0Row2, column1Row2, - column2Row2 + column2Row2, ) { this[0] = defaultValue(column0Row0, 0.0); this[1] = defaultValue(column0Row1, 0.0); @@ -145,7 +145,7 @@ Matrix3.packArray = function (array, result) { } else if (!Array.isArray(result) && result.length !== resultLength) { //>>includeStart('debug', pragmas.debug); throw new DeveloperError( - "If result is a typed array, it must have exactly array.length * 9 elements" + "If result is a typed array, it must have exactly array.length * 9 elements", ); //>>includeEnd('debug'); } else if (result.length !== resultLength) { @@ -209,7 +209,7 @@ Matrix3.clone = function (matrix, result) { matrix[7], matrix[2], matrix[5], - matrix[8] + matrix[8], ); } result[0] = matrix[0]; @@ -286,7 +286,7 @@ Matrix3.fromRowMajorArray = function (values, result) { values[5], values[6], values[7], - values[8] + values[8], ); } result[0] = values[0]; @@ -496,7 +496,7 @@ Matrix3.fromCrossProduct = function (vector, result) { -vector.x, -vector.y, vector.x, - 0.0 + 0.0, ); } @@ -543,7 +543,7 @@ Matrix3.fromRotationX = function (angle, result) { -sinAngle, 0.0, sinAngle, - cosAngle + cosAngle, ); } @@ -591,7 +591,7 @@ Matrix3.fromRotationY = function (angle, result) { 0.0, -sinAngle, 0.0, - cosAngle + cosAngle, ); } @@ -639,7 +639,7 @@ Matrix3.fromRotationZ = function (angle, result) { 0.0, 0.0, 0.0, - 1.0 + 1.0, ); } @@ -942,13 +942,13 @@ Matrix3.getScale = function (matrix, result) { //>>includeEnd('debug'); result.x = Cartesian3.magnitude( - Cartesian3.fromElements(matrix[0], matrix[1], matrix[2], scratchColumn) + Cartesian3.fromElements(matrix[0], matrix[1], matrix[2], scratchColumn), ); result.y = Cartesian3.magnitude( - Cartesian3.fromElements(matrix[3], matrix[4], matrix[5], scratchColumn) + Cartesian3.fromElements(matrix[3], matrix[4], matrix[5], scratchColumn), ); result.z = Cartesian3.magnitude( - Cartesian3.fromElements(matrix[6], matrix[7], matrix[8], scratchColumn) + Cartesian3.fromElements(matrix[6], matrix[7], matrix[8], scratchColumn), ); return result; }; @@ -1371,7 +1371,7 @@ function shurDecomposition(matrix, result) { // find pivot (rotAxis) based on max diagonal of matrix for (let i = 0; i < 3; ++i) { const temp = Math.abs( - matrix[Matrix3.getElementIndex(colVal[i], rowVal[i])] + matrix[Matrix3.getElementIndex(colVal[i], rowVal[i])], ); if (temp > maxDiagonal) { rotAxis = i; @@ -1468,7 +1468,7 @@ Matrix3.computeEigenDecomposition = function (matrix, result) { const unitaryMatrix = (result.unitary = Matrix3.clone( Matrix3.IDENTITY, - result.unitary + result.unitary, )); const diagMatrix = (result.diagonal = Matrix3.clone(matrix, result.diagonal)); @@ -1608,7 +1608,7 @@ Matrix3.inverseTranspose = function (matrix, result) { return Matrix3.inverse( Matrix3.transpose(matrix, scratchTransposeMatrix), - result + result, ); }; @@ -1673,7 +1673,7 @@ Matrix3.equalsEpsilon = function (left, right, epsilon) { * @constant */ Matrix3.IDENTITY = Object.freeze( - new Matrix3(1.0, 0.0, 0.0, 0.0, 1.0, 0.0, 0.0, 0.0, 1.0) + new Matrix3(1.0, 0.0, 0.0, 0.0, 1.0, 0.0, 0.0, 0.0, 1.0), ); /** @@ -1683,7 +1683,7 @@ Matrix3.IDENTITY = Object.freeze( * @constant */ Matrix3.ZERO = Object.freeze( - new Matrix3(0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0) + new Matrix3(0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0), ); /** diff --git a/packages/engine/Source/Core/Matrix4.js b/packages/engine/Source/Core/Matrix4.js index 8babc307afeb..afd1949ad9f4 100644 --- a/packages/engine/Source/Core/Matrix4.js +++ b/packages/engine/Source/Core/Matrix4.js @@ -69,7 +69,7 @@ function Matrix4( column0Row3, column1Row3, column2Row3, - column3Row3 + column3Row3, ) { this[0] = defaultValue(column0Row0, 0.0); this[1] = defaultValue(column0Row1, 0.0); @@ -190,7 +190,7 @@ Matrix4.packArray = function (array, result) { } else if (!Array.isArray(result) && result.length !== resultLength) { //>>includeStart('debug', pragmas.debug); throw new DeveloperError( - "If result is a typed array, it must have exactly array.length * 16 elements" + "If result is a typed array, it must have exactly array.length * 16 elements", ); //>>includeEnd('debug'); } else if (result.length !== resultLength) { @@ -261,7 +261,7 @@ Matrix4.clone = function (matrix, result) { matrix[3], matrix[7], matrix[11], - matrix[15] + matrix[15], ); } result[0] = matrix[0]; @@ -353,7 +353,7 @@ Matrix4.fromRowMajorArray = function (values, result) { values[12], values[13], values[14], - values[15] + values[15], ); } result[0] = values[0]; @@ -408,7 +408,7 @@ Matrix4.fromRotationTranslation = function (rotation, translation, result) { 0.0, 0.0, 0.0, - 1.0 + 1.0, ); } @@ -452,7 +452,7 @@ Matrix4.fromTranslationQuaternionRotationScale = function ( translation, rotation, scale, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.object("translation", translation); @@ -520,7 +520,7 @@ Matrix4.fromTranslationQuaternionRotationScale = function ( */ Matrix4.fromTranslationRotationScale = function ( translationRotationScale, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.object("translationRotationScale", translationRotationScale); @@ -530,7 +530,7 @@ Matrix4.fromTranslationRotationScale = function ( translationRotationScale.translation, translationRotationScale.rotation, translationRotationScale.scale, - result + result, ); }; @@ -588,7 +588,7 @@ Matrix4.fromScale = function (scale, result) { 0.0, 0.0, 0.0, - 1.0 + 1.0, ); } @@ -648,7 +648,7 @@ Matrix4.fromUniformScale = function (scale, result) { 0.0, 0.0, 0.0, - 1.0 + 1.0, ); } @@ -738,11 +738,11 @@ Matrix4.fromCamera = function (camera, result) { Cartesian3.normalize(direction, fromCameraF); Cartesian3.normalize( Cartesian3.cross(fromCameraF, up, fromCameraR), - fromCameraR + fromCameraR, ); Cartesian3.normalize( Cartesian3.cross(fromCameraR, fromCameraF, fromCameraU), - fromCameraU + fromCameraU, ); const sX = fromCameraR.x; @@ -793,7 +793,7 @@ Matrix4.fromCamera = function (camera, result) { 0.0, 0.0, 0.0, - 1.0 + 1.0, ); } result[0] = sX; @@ -835,7 +835,7 @@ Matrix4.computePerspectiveFieldOfView = function ( aspectRatio, near, far, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.number.greaterThan("fovY", fovY, 0.0); @@ -890,7 +890,7 @@ Matrix4.computeOrthographicOffCenter = function ( top, near, far, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.number("left", left); @@ -951,7 +951,7 @@ Matrix4.computePerspectiveOffCenter = function ( top, near, far, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.number("left", left); @@ -1007,7 +1007,7 @@ Matrix4.computeInfinitePerspectiveOffCenter = function ( bottom, top, near, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.number("left", left); @@ -1067,7 +1067,7 @@ Matrix4.computeViewportTransformation = function ( viewport, nearDepthRange, farDepthRange, - result + result, ) { if (!defined(result)) { result = new Matrix4(); @@ -1600,13 +1600,13 @@ Matrix4.getScale = function (matrix, result) { //>>includeEnd('debug'); result.x = Cartesian3.magnitude( - Cartesian3.fromElements(matrix[0], matrix[1], matrix[2], scratchColumn) + Cartesian3.fromElements(matrix[0], matrix[1], matrix[2], scratchColumn), ); result.y = Cartesian3.magnitude( - Cartesian3.fromElements(matrix[4], matrix[5], matrix[6], scratchColumn) + Cartesian3.fromElements(matrix[4], matrix[5], matrix[6], scratchColumn), ); result.z = Cartesian3.magnitude( - Cartesian3.fromElements(matrix[8], matrix[9], matrix[10], scratchColumn) + Cartesian3.fromElements(matrix[8], matrix[9], matrix[10], scratchColumn), ); return result; }; @@ -2826,11 +2826,11 @@ Matrix4.inverse = function (matrix, result) { Matrix3.equalsEpsilon( Matrix4.getMatrix3(matrix, scratchInverseRotation), scratchMatrix3Zero, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ) && Cartesian4.equals( Matrix4.getRow(matrix, 3, scratchBottomRow), - scratchExpectedBottomRow + scratchExpectedBottomRow, ) ) { result[0] = 0.0; @@ -2853,7 +2853,7 @@ Matrix4.inverse = function (matrix, result) { } throw new RuntimeError( - "matrix is not invertible because its determinate is zero." + "matrix is not invertible because its determinate is zero.", ); } @@ -2958,7 +2958,7 @@ Matrix4.inverseTranspose = function (matrix, result) { return Matrix4.inverse( Matrix4.transpose(matrix, scratchTransposeMatrix), - result + result, ); }; @@ -2985,8 +2985,8 @@ Matrix4.IDENTITY = Object.freeze( 0.0, 0.0, 0.0, - 1.0 - ) + 1.0, + ), ); /** @@ -3012,8 +3012,8 @@ Matrix4.ZERO = Object.freeze( 0.0, 0.0, 0.0, - 0.0 - ) + 0.0, + ), ); /** diff --git a/packages/engine/Source/Core/MorphWeightSpline.js b/packages/engine/Source/Core/MorphWeightSpline.js index d566c1e604f7..a3ff99b7555b 100644 --- a/packages/engine/Source/Core/MorphWeightSpline.js +++ b/packages/engine/Source/Core/MorphWeightSpline.js @@ -51,7 +51,7 @@ function MorphWeightSpline(options) { Check.typeOf.number.greaterThanOrEquals("weights.length", weights.length, 3); if (weights.length % times.length !== 0) { throw new DeveloperError( - "times.length must be a factor of weights.length." + "times.length must be a factor of weights.length.", ); } //>>includeEnd('debug'); @@ -143,7 +143,7 @@ MorphWeightSpline.prototype.evaluate = function (time, result) { const i = (this._lastTimeIndex = this.findTimeInterval( time, - this._lastTimeIndex + this._lastTimeIndex, )); const u = (time - times[i]) / (times[i + 1] - times[i]); diff --git a/packages/engine/Source/Core/NearFarScalar.js b/packages/engine/Source/Core/NearFarScalar.js index 9b693fcc6387..bddaf7912839 100644 --- a/packages/engine/Source/Core/NearFarScalar.js +++ b/packages/engine/Source/Core/NearFarScalar.js @@ -58,7 +58,7 @@ NearFarScalar.clone = function (nearFarScalar, result) { nearFarScalar.near, nearFarScalar.nearValue, nearFarScalar.far, - nearFarScalar.farValue + nearFarScalar.farValue, ); } diff --git a/packages/engine/Source/Core/Occluder.js b/packages/engine/Source/Core/Occluder.js index 3ae77aa49649..ed24dde73bf9 100644 --- a/packages/engine/Source/Core/Occluder.js +++ b/packages/engine/Source/Core/Occluder.js @@ -91,11 +91,10 @@ Object.defineProperties(Occluder.prototype, { const cameraToOccluderVec = Cartesian3.subtract( this._occluderPosition, cameraPosition, - scratchCartesian3 - ); - let invCameraToOccluderDistance = Cartesian3.magnitudeSquared( - cameraToOccluderVec + scratchCartesian3, ); + let invCameraToOccluderDistance = + Cartesian3.magnitudeSquared(cameraToOccluderVec); const occluderRadiusSqrd = this._occluderRadius * this._occluderRadius; let horizonDistance; @@ -103,14 +102,14 @@ Object.defineProperties(Occluder.prototype, { let horizonPlanePosition; if (invCameraToOccluderDistance > occluderRadiusSqrd) { horizonDistance = Math.sqrt( - invCameraToOccluderDistance - occluderRadiusSqrd + invCameraToOccluderDistance - occluderRadiusSqrd, ); invCameraToOccluderDistance = 1.0 / Math.sqrt(invCameraToOccluderDistance); horizonPlaneNormal = Cartesian3.multiplyByScalar( cameraToOccluderVec, invCameraToOccluderDistance, - scratchCartesian3 + scratchCartesian3, ); const nearPlaneDistance = horizonDistance * horizonDistance * invCameraToOccluderDistance; @@ -119,9 +118,9 @@ Object.defineProperties(Occluder.prototype, { Cartesian3.multiplyByScalar( horizonPlaneNormal, nearPlaneDistance, - scratchCartesian3 + scratchCartesian3, ), - scratchCartesian3 + scratchCartesian3, ); } else { horizonDistance = Number.MAX_VALUE; @@ -146,7 +145,7 @@ Object.defineProperties(Occluder.prototype, { Occluder.fromBoundingSphere = function ( occluderBoundingSphere, cameraPosition, - result + result, ) { //>>includeStart('debug', pragmas.debug); if (!defined(occluderBoundingSphere)) { @@ -192,7 +191,7 @@ Occluder.prototype.isPointVisible = function (occludee) { let tempVec = Cartesian3.subtract( occludee, this._occluderPosition, - tempVecScratch + tempVecScratch, ); let temp = this._occluderRadius; temp = Cartesian3.magnitudeSquared(tempVec) - temp * temp; @@ -226,7 +225,7 @@ const occludeePositionScratch = new Cartesian3(); Occluder.prototype.isBoundingSphereVisible = function (occludee) { const occludeePosition = Cartesian3.clone( occludee.center, - occludeePositionScratch + occludeePositionScratch, ); const occludeeRadius = occludee.radius; @@ -234,7 +233,7 @@ Occluder.prototype.isBoundingSphereVisible = function (occludee) { let tempVec = Cartesian3.subtract( occludeePosition, this._occluderPosition, - tempVecScratch + tempVecScratch, ); let temp = this._occluderRadius - occludeeRadius; temp = Cartesian3.magnitudeSquared(tempVec) - temp * temp; @@ -244,7 +243,7 @@ Occluder.prototype.isBoundingSphereVisible = function (occludee) { tempVec = Cartesian3.subtract( occludeePosition, this._cameraPosition, - tempVec + tempVec, ); return ( temp * temp + occludeeRadius * occludeeRadius > @@ -260,7 +259,7 @@ Occluder.prototype.isBoundingSphereVisible = function (occludee) { tempVec = Cartesian3.subtract( occludeePosition, this._cameraPosition, - tempVec + tempVec, ); const tempVecMagnitudeSquared = Cartesian3.magnitudeSquared(tempVec); const occluderRadiusSquared = this._occluderRadius * this._occluderRadius; @@ -324,7 +323,7 @@ Occluder.prototype.computeVisibility = function (occludeeBS) { let tempVec = Cartesian3.subtract( occludeePosition, this._occluderPosition, - tempScratch + tempScratch, ); let temp = this._occluderRadius - occludeeRadius; const occluderToOccludeeDistSqrd = Cartesian3.magnitudeSquared(tempVec); @@ -336,7 +335,7 @@ Occluder.prototype.computeVisibility = function (occludeeBS) { tempVec = Cartesian3.subtract( occludeePosition, this._cameraPosition, - tempVec + tempVec, ); const cameraToOccludeeDistSqrd = Cartesian3.magnitudeSquared(tempVec); if ( @@ -364,7 +363,7 @@ Occluder.prototype.computeVisibility = function (occludeeBS) { tempVec = Cartesian3.subtract( occludeePosition, this._horizonPlanePosition, - tempVec + tempVec, ); return Cartesian3.dot(tempVec, this._horizonPlaneNormal) > -occludeeRadius ? Visibility.PARTIAL @@ -405,7 +404,7 @@ const occludeePointScratch = new Cartesian3(); Occluder.computeOccludeePoint = function ( occluderBoundingSphere, occludeePosition, - positions + positions, ) { //>>includeStart('debug', pragmas.debug); if (!defined(occluderBoundingSphere)) { @@ -427,7 +426,7 @@ Occluder.computeOccludeePoint = function ( //>>includeStart('debug', pragmas.debug); if (Cartesian3.equals(occluderPosition, occludeePosition)) { throw new DeveloperError( - "occludeePosition must be different than occluderBoundingSphere.center" + "occludeePosition must be different than occluderBoundingSphere.center", ); } //>>includeEnd('debug'); @@ -435,7 +434,7 @@ Occluder.computeOccludeePoint = function ( // Compute a plane with a normal from the occluder to the occludee position. const occluderPlaneNormal = Cartesian3.normalize( Cartesian3.subtract(occludeePos, occluderPosition, occludeePointScratch), - occludeePointScratch + occludeePointScratch, ); const occluderPlaneD = -Cartesian3.dot(occluderPlaneNormal, occluderPosition); @@ -444,14 +443,14 @@ Occluder.computeOccludeePoint = function ( const aRotationVector = Occluder._anyRotationVector( occluderPosition, occluderPlaneNormal, - occluderPlaneD + occluderPlaneD, ); let dot = Occluder._horizonToPlaneNormalDotProduct( occluderBoundingSphere, occluderPlaneNormal, occluderPlaneD, aRotationVector, - positions[0] + positions[0], ); if (!dot) { //The position is inside the mimimum radius, which is invalid @@ -464,7 +463,7 @@ Occluder.computeOccludeePoint = function ( occluderPlaneNormal, occluderPlaneD, aRotationVector, - positions[i] + positions[i], ); if (!tempDot) { //The position is inside the minimum radius, which is invalid @@ -486,9 +485,9 @@ Occluder.computeOccludeePoint = function ( Cartesian3.multiplyByScalar( occluderPlaneNormal, distance, - occludeePointScratch + occludeePointScratch, ), - occludeePointScratch + occludeePointScratch, ); }; @@ -513,7 +512,7 @@ Occluder.computeOccludeePointFromRectangle = function (rectangle, ellipsoid) { rectangle, ellipsoid, 0.0, - computeOccludeePointFromRectangleScratch + computeOccludeePointFromRectangleScratch, ); const bs = BoundingSphere.fromPoints(positions); @@ -523,7 +522,7 @@ Occluder.computeOccludeePointFromRectangle = function (rectangle, ellipsoid) { return Occluder.computeOccludeePoint( new BoundingSphere(ellipsoidCenter, ellipsoid.minimumRadius), bs.center, - positions + positions, ); } @@ -534,7 +533,7 @@ const tempVec0Scratch = new Cartesian3(); Occluder._anyRotationVector = function ( occluderPosition, occluderPlaneNormal, - occluderPlaneD + occluderPlaneD, ) { const tempVec0 = Cartesian3.abs(occluderPlaneNormal, tempVec0Scratch); let majorAxis = tempVec0.x > tempVec0.y ? 0 : 1; @@ -570,12 +569,12 @@ Occluder._anyRotationVector = function ( Cartesian3.add( tempVec0, Cartesian3.multiplyByScalar(tempVec1, u, tempVec), - tempVec0 + tempVec0, ), occluderPosition, - tempVec0 + tempVec0, ), - tempVec0 + tempVec0, ); }; @@ -585,17 +584,17 @@ Occluder._rotationVector = function ( occluderPlaneNormal, occluderPlaneD, position, - anyRotationVector + anyRotationVector, ) { //Determine the angle between the occluder plane normal and the position direction let positionDirection = Cartesian3.subtract( position, occluderPosition, - posDirectionScratch + posDirectionScratch, ); positionDirection = Cartesian3.normalize( positionDirection, - positionDirection + positionDirection, ); if ( Cartesian3.dot(occluderPlaneNormal, positionDirection) < @@ -605,7 +604,7 @@ Occluder._rotationVector = function ( const crossProduct = Cartesian3.cross( occluderPlaneNormal, positionDirection, - positionDirection + positionDirection, ); const length = Cartesian3.magnitude(crossProduct); if (length > CesiumMath.EPSILON13) { @@ -626,12 +625,12 @@ Occluder._horizonToPlaneNormalDotProduct = function ( occluderPlaneNormal, occluderPlaneD, anyRotationVector, - position + position, ) { const pos = Cartesian3.clone(position, posScratch1); const occluderPosition = Cartesian3.clone( occluderBS.center, - occluerPosScratch + occluerPosScratch, ); const occluderRadius = occluderBS.radius; @@ -639,11 +638,10 @@ Occluder._horizonToPlaneNormalDotProduct = function ( let positionToOccluder = Cartesian3.subtract( occluderPosition, pos, - posScratch2 - ); - const occluderToPositionDistanceSquared = Cartesian3.magnitudeSquared( - positionToOccluder + posScratch2, ); + const occluderToPositionDistanceSquared = + Cartesian3.magnitudeSquared(positionToOccluder); const occluderRadiusSquared = occluderRadius * occluderRadius; if (occluderToPositionDistanceSquared < occluderRadiusSquared) { return false; @@ -654,26 +652,26 @@ Occluder._horizonToPlaneNormalDotProduct = function ( occluderToPositionDistanceSquared - occluderRadiusSquared; const horizonDistance = Math.sqrt(horizonDistanceSquared); const occluderToPositionDistance = Math.sqrt( - occluderToPositionDistanceSquared + occluderToPositionDistanceSquared, ); const invOccluderToPositionDistance = 1.0 / occluderToPositionDistance; const cosTheta = horizonDistance * invOccluderToPositionDistance; const horizonPlaneDistance = cosTheta * horizonDistance; positionToOccluder = Cartesian3.normalize( positionToOccluder, - positionToOccluder + positionToOccluder, ); const horizonPlanePosition = Cartesian3.add( pos, Cartesian3.multiplyByScalar( positionToOccluder, horizonPlaneDistance, - horizonPlanePosScratch + horizonPlanePosScratch, ), - horizonPlanePosScratch + horizonPlanePosScratch, ); const horizonCrossDistance = Math.sqrt( - horizonDistanceSquared - horizonPlaneDistance * horizonPlaneDistance + horizonDistanceSquared - horizonPlaneDistance * horizonPlaneDistance, ); //Rotate the position to occluder vector 90 degrees @@ -682,7 +680,7 @@ Occluder._horizonToPlaneNormalDotProduct = function ( occluderPlaneNormal, occluderPlaneD, pos, - anyRotationVector + anyRotationVector, ); let horizonCrossDirection = Cartesian3.fromElements( tempVec.x * tempVec.x * positionToOccluder.x + @@ -694,35 +692,35 @@ Occluder._horizonToPlaneNormalDotProduct = function ( (tempVec.x * tempVec.z - tempVec.y) * positionToOccluder.x + (tempVec.y * tempVec.z + tempVec.x) * positionToOccluder.y + tempVec.z * tempVec.z * positionToOccluder.z, - posScratch1 + posScratch1, ); horizonCrossDirection = Cartesian3.normalize( horizonCrossDirection, - horizonCrossDirection + horizonCrossDirection, ); //Horizon positions const offset = Cartesian3.multiplyByScalar( horizonCrossDirection, horizonCrossDistance, - posScratch1 + posScratch1, ); tempVec = Cartesian3.normalize( Cartesian3.subtract( Cartesian3.add(horizonPlanePosition, offset, posScratch2), occluderPosition, - posScratch2 + posScratch2, ), - posScratch2 + posScratch2, ); const dot0 = Cartesian3.dot(occluderPlaneNormal, tempVec); tempVec = Cartesian3.normalize( Cartesian3.subtract( Cartesian3.subtract(horizonPlanePosition, offset, tempVec), occluderPosition, - tempVec + tempVec, ), - tempVec + tempVec, ); const dot1 = Cartesian3.dot(occluderPlaneNormal, tempVec); return dot0 < dot1 ? dot0 : dot1; diff --git a/packages/engine/Source/Core/OpenCageGeocoderService.js b/packages/engine/Source/Core/OpenCageGeocoderService.js index 139a7f194f2d..0ff5c2e62c76 100644 --- a/packages/engine/Source/Core/OpenCageGeocoderService.js +++ b/packages/engine/Source/Core/OpenCageGeocoderService.js @@ -51,7 +51,7 @@ function OpenCageGeocoderService(url, apiKey, params) { this._params = defaultValue(params, {}); this._credit = new Credit( `Geodata copyright OpenStreetMap contributors`, - false + false, ); } @@ -117,7 +117,7 @@ OpenCageGeocoderService.prototype.geocode = async function (query) { bounds.southwest.lng, bounds.southwest.lat, bounds.northeast.lng, - bounds.northeast.lat + bounds.northeast.lat, ); } else { const lon = resultObject.geometry.lat; diff --git a/packages/engine/Source/Core/OrientedBoundingBox.js b/packages/engine/Source/Core/OrientedBoundingBox.js index c94354adfc3d..cf4a6d392b47 100644 --- a/packages/engine/Source/Core/OrientedBoundingBox.js +++ b/packages/engine/Source/Core/OrientedBoundingBox.js @@ -108,7 +108,7 @@ OrientedBoundingBox.unpack = function (array, startingIndex, result) { Matrix3.unpack( array, startingIndex + Cartesian3.packedLength, - result.halfAxes + result.halfAxes, ); return result; }; @@ -197,7 +197,7 @@ OrientedBoundingBox.fromPoints = function (positions, result) { const eigenDecomposition = Matrix3.computeEigenDecomposition( covarianceMatrix, - scratchEigenResult + scratchEigenResult, ); const rotation = Matrix3.clone(eigenDecomposition.unitary, result.halfAxes); @@ -253,7 +253,7 @@ function fromPlaneExtents( maximumY, minimumZ, maximumZ, - result + result, ) { //>>includeStart('debug', pragmas.debug); if ( @@ -265,7 +265,7 @@ function fromPlaneExtents( !defined(maximumZ) ) { throw new DeveloperError( - "all extents (minimum/maximum X/Y/Z) are required." + "all extents (minimum/maximum X/Y/Z) are required.", ); } //>>includeEnd('debug'); @@ -345,7 +345,7 @@ OrientedBoundingBox.fromRectangle = function ( minimumHeight, maximumHeight, ellipsoid, - result + result, ) { //>>includeStart('debug', pragmas.debug); if (!defined(rectangle)) { @@ -362,11 +362,11 @@ OrientedBoundingBox.fromRectangle = function ( !CesiumMath.equalsEpsilon( ellipsoid.radii.x, ellipsoid.radii.y, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ) ) { throw new DeveloperError( - "Ellipsoid must be an ellipsoid of revolution (radii.x == radii.y)" + "Ellipsoid must be an ellipsoid of revolution (radii.x == radii.y)", ); } //>>includeEnd('debug'); @@ -381,11 +381,11 @@ OrientedBoundingBox.fromRectangle = function ( // The bounding box will be aligned with the tangent plane at the center of the rectangle. const tangentPointCartographic = Rectangle.center( rectangle, - scratchRectangleCenterCartographic + scratchRectangleCenterCartographic, ); const tangentPoint = ellipsoid.cartographicToCartesian( tangentPointCartographic, - scratchRectangleCenter + scratchRectangleCenter, ); const tangentPlane = new EllipsoidTangentPlane(tangentPoint, ellipsoid); plane = tangentPlane.plane; @@ -402,79 +402,79 @@ OrientedBoundingBox.fromRectangle = function ( lonCenter, rectangle.north, maximumHeight, - scratchPerimeterCartographicNC + scratchPerimeterCartographicNC, ); const perimeterCartographicNW = Cartographic.fromRadians( rectangle.west, rectangle.north, maximumHeight, - scratchPerimeterCartographicNW + scratchPerimeterCartographicNW, ); const perimeterCartographicCW = Cartographic.fromRadians( rectangle.west, latCenter, maximumHeight, - scratchPerimeterCartographicCW + scratchPerimeterCartographicCW, ); const perimeterCartographicSW = Cartographic.fromRadians( rectangle.west, rectangle.south, maximumHeight, - scratchPerimeterCartographicSW + scratchPerimeterCartographicSW, ); const perimeterCartographicSC = Cartographic.fromRadians( lonCenter, rectangle.south, maximumHeight, - scratchPerimeterCartographicSC + scratchPerimeterCartographicSC, ); const perimeterCartesianNC = ellipsoid.cartographicToCartesian( perimeterCartographicNC, - scratchPerimeterCartesianNC + scratchPerimeterCartesianNC, ); let perimeterCartesianNW = ellipsoid.cartographicToCartesian( perimeterCartographicNW, - scratchPerimeterCartesianNW + scratchPerimeterCartesianNW, ); const perimeterCartesianCW = ellipsoid.cartographicToCartesian( perimeterCartographicCW, - scratchPerimeterCartesianCW + scratchPerimeterCartesianCW, ); let perimeterCartesianSW = ellipsoid.cartographicToCartesian( perimeterCartographicSW, - scratchPerimeterCartesianSW + scratchPerimeterCartesianSW, ); const perimeterCartesianSC = ellipsoid.cartographicToCartesian( perimeterCartographicSC, - scratchPerimeterCartesianSC + scratchPerimeterCartesianSC, ); const perimeterProjectedNC = tangentPlane.projectPointToNearestOnPlane( perimeterCartesianNC, - scratchPerimeterProjectedNC + scratchPerimeterProjectedNC, ); const perimeterProjectedNW = tangentPlane.projectPointToNearestOnPlane( perimeterCartesianNW, - scratchPerimeterProjectedNW + scratchPerimeterProjectedNW, ); const perimeterProjectedCW = tangentPlane.projectPointToNearestOnPlane( perimeterCartesianCW, - scratchPerimeterProjectedCW + scratchPerimeterProjectedCW, ); const perimeterProjectedSW = tangentPlane.projectPointToNearestOnPlane( perimeterCartesianSW, - scratchPerimeterProjectedSW + scratchPerimeterProjectedSW, ); const perimeterProjectedSC = tangentPlane.projectPointToNearestOnPlane( perimeterCartesianSC, - scratchPerimeterProjectedSC + scratchPerimeterProjectedSC, ); minX = Math.min( perimeterProjectedNW.x, perimeterProjectedCW.x, - perimeterProjectedSW.x + perimeterProjectedSW.x, ); maxX = -minX; // symmetrical @@ -482,19 +482,20 @@ OrientedBoundingBox.fromRectangle = function ( minY = Math.min(perimeterProjectedSW.y, perimeterProjectedSC.y); // Compute minimum Z using the rectangle at minimum height, since it will be deeper than the maximum height - perimeterCartographicNW.height = perimeterCartographicSW.height = minimumHeight; + perimeterCartographicNW.height = perimeterCartographicSW.height = + minimumHeight; perimeterCartesianNW = ellipsoid.cartographicToCartesian( perimeterCartographicNW, - scratchPerimeterCartesianNW + scratchPerimeterCartesianNW, ); perimeterCartesianSW = ellipsoid.cartographicToCartesian( perimeterCartographicSW, - scratchPerimeterCartesianSW + scratchPerimeterCartesianSW, ); minZ = Math.min( Plane.getPointDistance(plane, perimeterCartesianNW), - Plane.getPointDistance(plane, perimeterCartesianSW) + Plane.getPointDistance(plane, perimeterCartesianSW), ); maxZ = maximumHeight; // Since the tangent plane touches the surface at height = 0, this is okay @@ -509,7 +510,7 @@ OrientedBoundingBox.fromRectangle = function ( maxY, minZ, maxZ, - result + result, ); } @@ -519,11 +520,11 @@ OrientedBoundingBox.fromRectangle = function ( const latitudeNearestToEquator = fullyAboveEquator ? rectangle.south : fullyBelowEquator - ? rectangle.north - : 0.0; + ? rectangle.north + : 0.0; const centerLongitude = Rectangle.center( rectangle, - scratchRectangleCenterCartographic + scratchRectangleCenterCartographic, ).longitude; // Plane is located at the rectangle's center longitude and the rectangle's latitude that is closest to the equator. It rotates around the Z axis. @@ -533,7 +534,7 @@ OrientedBoundingBox.fromRectangle = function ( latitudeNearestToEquator, maximumHeight, ellipsoid, - scratchPlaneOrigin + scratchPlaneOrigin, ); planeOrigin.z = 0.0; // center the plane on the equator to simpify plane normal calculation const isPole = @@ -546,7 +547,7 @@ OrientedBoundingBox.fromRectangle = function ( const planeXAxis = Cartesian3.cross( planeNormal, planeYAxis, - scratchPlaneXAxis + scratchPlaneXAxis, ); plane = Plane.fromPointNormal(planeOrigin, planeNormal, scratchPlane); @@ -556,15 +557,15 @@ OrientedBoundingBox.fromRectangle = function ( latitudeNearestToEquator, maximumHeight, ellipsoid, - scratchHorizonCartesian + scratchHorizonCartesian, ); maxX = Cartesian3.dot( Plane.projectPointOntoPlane( plane, horizonCartesian, - scratchHorizonProjected + scratchHorizonProjected, ), - planeXAxis + planeXAxis, ); minX = -maxX; // symmetrical @@ -574,14 +575,14 @@ OrientedBoundingBox.fromRectangle = function ( rectangle.north, fullyBelowEquator ? minimumHeight : maximumHeight, ellipsoid, - scratchMaxY + scratchMaxY, ).z; minY = Cartesian3.fromRadians( 0.0, rectangle.south, fullyAboveEquator ? minimumHeight : maximumHeight, ellipsoid, - scratchMinY + scratchMinY, ).z; const farZ = Cartesian3.fromRadians( @@ -589,7 +590,7 @@ OrientedBoundingBox.fromRectangle = function ( latitudeNearestToEquator, maximumHeight, ellipsoid, - scratchZ + scratchZ, ); minZ = Plane.getPointDistance(plane, farZ); maxZ = 0.0; // plane origin starts at maxZ already @@ -606,7 +607,7 @@ OrientedBoundingBox.fromRectangle = function ( maxY, minZ, maxZ, - result + result, ); }; @@ -631,7 +632,7 @@ OrientedBoundingBox.fromTransformation = function (transformation, result) { result.halfAxes = Matrix3.multiplyByScalar( result.halfAxes, 0.5, - result.halfAxes + result.halfAxes, ); return result; }; @@ -690,17 +691,17 @@ OrientedBoundingBox.intersectPlane = function (box, plane) { Math.abs( normalX * halfAxes[Matrix3.COLUMN0ROW0] + normalY * halfAxes[Matrix3.COLUMN0ROW1] + - normalZ * halfAxes[Matrix3.COLUMN0ROW2] + normalZ * halfAxes[Matrix3.COLUMN0ROW2], ) + Math.abs( normalX * halfAxes[Matrix3.COLUMN1ROW0] + normalY * halfAxes[Matrix3.COLUMN1ROW1] + - normalZ * halfAxes[Matrix3.COLUMN1ROW2] + normalZ * halfAxes[Matrix3.COLUMN1ROW2], ) + Math.abs( normalX * halfAxes[Matrix3.COLUMN2ROW0] + normalY * halfAxes[Matrix3.COLUMN2ROW1] + - normalZ * halfAxes[Matrix3.COLUMN2ROW2] + normalZ * halfAxes[Matrix3.COLUMN2ROW2], ); const distanceToPlane = Cartesian3.dot(normal, center) + plane.distance; @@ -893,7 +894,7 @@ OrientedBoundingBox.computePlaneDistances = function ( box, position, direction, - result + result, ) { //>>includeStart('debug', pragmas.debug); if (!defined(box)) { @@ -1116,7 +1117,7 @@ OrientedBoundingBox.computeTransformation = function (box, result) { const rotationScale = Matrix3.multiplyByUniformScale( box.halfAxes, 2.0, - scratchRotationScale + scratchRotationScale, ); return Matrix4.fromRotationTranslation(rotationScale, translation, result); }; @@ -1142,7 +1143,7 @@ OrientedBoundingBox.isOccluded = function (box, occluder) { const sphere = BoundingSphere.fromOrientedBoundingBox( box, - scratchBoundingSphere + scratchBoundingSphere, ); return !occluder.isBoundingSphereVisible(sphere); @@ -1191,13 +1192,13 @@ OrientedBoundingBox.prototype.distanceSquaredTo = function (cartesian) { OrientedBoundingBox.prototype.computePlaneDistances = function ( position, direction, - result + result, ) { return OrientedBoundingBox.computePlaneDistances( this, position, direction, - result + result, ); }; diff --git a/packages/engine/Source/Core/OrthographicFrustum.js b/packages/engine/Source/Core/OrthographicFrustum.js index 2153564288b1..5d3d60245128 100644 --- a/packages/engine/Source/Core/OrthographicFrustum.js +++ b/packages/engine/Source/Core/OrthographicFrustum.js @@ -132,7 +132,7 @@ function update(frustum) { !defined(frustum.far) ) { throw new DeveloperError( - "width, aspectRatio, near, or far parameters are not set." + "width, aspectRatio, near, or far parameters are not set.", ); } //>>includeEnd('debug'); @@ -151,7 +151,7 @@ function update(frustum) { } if (frustum.near < 0 || frustum.near > frustum.far) { throw new DeveloperError( - "near must be greater than zero and less than far." + "near must be greater than zero and less than far.", ); } //>>includeEnd('debug'); @@ -215,7 +215,7 @@ Object.defineProperties(OrthographicFrustum.prototype, { OrthographicFrustum.prototype.computeCullingVolume = function ( position, direction, - up + up, ) { update(this); return this._offCenterFrustum.computeCullingVolume(position, direction, up); @@ -245,7 +245,7 @@ OrthographicFrustum.prototype.getPixelDimensions = function ( drawingBufferHeight, distance, pixelRatio, - result + result, ) { update(this); return this._offCenterFrustum.getPixelDimensions( @@ -253,7 +253,7 @@ OrthographicFrustum.prototype.getPixelDimensions = function ( drawingBufferHeight, distance, pixelRatio, - result + result, ); }; @@ -319,7 +319,7 @@ OrthographicFrustum.prototype.equals = function (other) { OrthographicFrustum.prototype.equalsEpsilon = function ( other, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) { if (!defined(other) || !(other instanceof OrthographicFrustum)) { return false; @@ -333,18 +333,18 @@ OrthographicFrustum.prototype.equalsEpsilon = function ( this.width, other.width, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) && CesiumMath.equalsEpsilon( this.aspectRatio, other.aspectRatio, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) && this._offCenterFrustum.equalsEpsilon( other._offCenterFrustum, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) ); }; diff --git a/packages/engine/Source/Core/OrthographicOffCenterFrustum.js b/packages/engine/Source/Core/OrthographicOffCenterFrustum.js index ad203f1570ca..a28790bc965b 100644 --- a/packages/engine/Source/Core/OrthographicOffCenterFrustum.js +++ b/packages/engine/Source/Core/OrthographicOffCenterFrustum.js @@ -101,7 +101,7 @@ function update(frustum) { !defined(frustum.far) ) { throw new DeveloperError( - "right, left, top, bottom, near, or far parameters are not set." + "right, left, top, bottom, near, or far parameters are not set.", ); } //>>includeEnd('debug'); @@ -123,7 +123,7 @@ function update(frustum) { } if (frustum.near <= 0 || frustum.near > frustum.far) { throw new DeveloperError( - "near must be greater than zero and less than far." + "near must be greater than zero and less than far.", ); } //>>includeEnd('debug'); @@ -141,7 +141,7 @@ function update(frustum) { frustum.top, frustum.near, frustum.far, - frustum._orthographicMatrix + frustum._orthographicMatrix, ); } } @@ -182,7 +182,7 @@ const negateScratch = new Cartesian3(); OrthographicOffCenterFrustum.prototype.computeCullingVolume = function ( position, direction, - up + up, ) { //>>includeStart('debug', pragmas.debug); if (!defined(position)) { @@ -314,14 +314,14 @@ OrthographicOffCenterFrustum.prototype.getPixelDimensions = function ( drawingBufferHeight, distance, pixelRatio, - result + result, ) { update(this); //>>includeStart('debug', pragmas.debug); if (!defined(drawingBufferWidth) || !defined(drawingBufferHeight)) { throw new DeveloperError( - "Both drawingBufferWidth and drawingBufferHeight are required." + "Both drawingBufferWidth and drawingBufferHeight are required.", ); } if (drawingBufferWidth <= 0) { @@ -416,7 +416,7 @@ OrthographicOffCenterFrustum.prototype.equals = function (other) { OrthographicOffCenterFrustum.prototype.equalsEpsilon = function ( other, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) { return ( other === this || @@ -426,37 +426,37 @@ OrthographicOffCenterFrustum.prototype.equalsEpsilon = function ( this.right, other.right, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) && CesiumMath.equalsEpsilon( this.left, other.left, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) && CesiumMath.equalsEpsilon( this.top, other.top, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) && CesiumMath.equalsEpsilon( this.bottom, other.bottom, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) && CesiumMath.equalsEpsilon( this.near, other.near, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) && CesiumMath.equalsEpsilon( this.far, other.far, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, )) ); }; diff --git a/packages/engine/Source/Core/PeliasGeocoderService.js b/packages/engine/Source/Core/PeliasGeocoderService.js index 30da8c2c43c1..2df428627bc0 100644 --- a/packages/engine/Source/Core/PeliasGeocoderService.js +++ b/packages/engine/Source/Core/PeliasGeocoderService.js @@ -87,7 +87,7 @@ PeliasGeocoderService.prototype.geocode = async function (query, type) { bboxDegrees[0], bboxDegrees[1], bboxDegrees[2], - bboxDegrees[3] + bboxDegrees[3], ); } else { const lon = resultObject.geometry.coordinates[0]; diff --git a/packages/engine/Source/Core/PerspectiveFrustum.js b/packages/engine/Source/Core/PerspectiveFrustum.js index 5ce65b2c9aab..9c42b739ce58 100644 --- a/packages/engine/Source/Core/PerspectiveFrustum.js +++ b/packages/engine/Source/Core/PerspectiveFrustum.js @@ -162,7 +162,7 @@ function update(frustum) { !defined(frustum.far) ) { throw new DeveloperError( - "fov, aspectRatio, near, or far parameters are not set." + "fov, aspectRatio, near, or far parameters are not set.", ); } //>>includeEnd('debug'); @@ -186,7 +186,7 @@ function update(frustum) { Check.typeOf.number.greaterThanOrEquals( "aspectRatio", frustum.aspectRatio, - 0.0 + 0.0, ); Check.typeOf.number.greaterThanOrEquals("near", frustum.near, 0.0); @@ -312,7 +312,7 @@ Object.defineProperties(PerspectiveFrustum.prototype, { PerspectiveFrustum.prototype.computeCullingVolume = function ( position, direction, - up + up, ) { update(this); return this._offCenterFrustum.computeCullingVolume(position, direction, up); @@ -353,7 +353,7 @@ PerspectiveFrustum.prototype.getPixelDimensions = function ( drawingBufferHeight, distance, pixelRatio, - result + result, ) { update(this); return this._offCenterFrustum.getPixelDimensions( @@ -361,7 +361,7 @@ PerspectiveFrustum.prototype.getPixelDimensions = function ( drawingBufferHeight, distance, pixelRatio, - result + result, ); }; @@ -427,7 +427,7 @@ PerspectiveFrustum.prototype.equals = function (other) { PerspectiveFrustum.prototype.equalsEpsilon = function ( other, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) { if (!defined(other) || !(other instanceof PerspectiveFrustum)) { return false; @@ -441,18 +441,18 @@ PerspectiveFrustum.prototype.equalsEpsilon = function ( this.fov, other.fov, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) && CesiumMath.equalsEpsilon( this.aspectRatio, other.aspectRatio, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) && this._offCenterFrustum.equalsEpsilon( other._offCenterFrustum, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) ); }; diff --git a/packages/engine/Source/Core/PerspectiveOffCenterFrustum.js b/packages/engine/Source/Core/PerspectiveOffCenterFrustum.js index 4b02ccdc190f..fb4c4af981dd 100644 --- a/packages/engine/Source/Core/PerspectiveOffCenterFrustum.js +++ b/packages/engine/Source/Core/PerspectiveOffCenterFrustum.js @@ -103,7 +103,7 @@ function update(frustum) { !defined(frustum.far) ) { throw new DeveloperError( - "right, left, top, bottom, near, or far parameters are not set." + "right, left, top, bottom, near, or far parameters are not set.", ); } //>>includeEnd('debug'); @@ -124,7 +124,7 @@ function update(frustum) { //>>includeStart('debug', pragmas.debug); if (frustum.near <= 0 || frustum.near > frustum.far) { throw new DeveloperError( - "near must be greater than zero and less than far." + "near must be greater than zero and less than far.", ); } //>>includeEnd('debug'); @@ -142,7 +142,7 @@ function update(frustum) { top, near, far, - frustum._perspectiveMatrix + frustum._perspectiveMatrix, ); frustum._infinitePerspective = Matrix4.computeInfinitePerspectiveOffCenter( left, @@ -150,7 +150,7 @@ function update(frustum) { bottom, top, near, - frustum._infinitePerspective + frustum._infinitePerspective, ); } @@ -208,7 +208,7 @@ const getPlanesNormal = new Cartesian3(); PerspectiveOffCenterFrustum.prototype.computeCullingVolume = function ( position, direction, - up + up, ) { //>>includeStart('debug', pragmas.debug); if (!defined(position)) { @@ -370,14 +370,14 @@ PerspectiveOffCenterFrustum.prototype.getPixelDimensions = function ( drawingBufferHeight, distance, pixelRatio, - result + result, ) { update(this); //>>includeStart('debug', pragmas.debug); if (!defined(drawingBufferWidth) || !defined(drawingBufferHeight)) { throw new DeveloperError( - "Both drawingBufferWidth and drawingBufferHeight are required." + "Both drawingBufferWidth and drawingBufferHeight are required.", ); } if (drawingBufferWidth <= 0) { @@ -475,7 +475,7 @@ PerspectiveOffCenterFrustum.prototype.equals = function (other) { PerspectiveOffCenterFrustum.prototype.equalsEpsilon = function ( other, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) { return ( other === this || @@ -485,37 +485,37 @@ PerspectiveOffCenterFrustum.prototype.equalsEpsilon = function ( this.right, other.right, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) && CesiumMath.equalsEpsilon( this.left, other.left, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) && CesiumMath.equalsEpsilon( this.top, other.top, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) && CesiumMath.equalsEpsilon( this.bottom, other.bottom, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) && CesiumMath.equalsEpsilon( this.near, other.near, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, ) && CesiumMath.equalsEpsilon( this.far, other.far, relativeEpsilon, - absoluteEpsilon + absoluteEpsilon, )) ); }; diff --git a/packages/engine/Source/Core/PinBuilder.js b/packages/engine/Source/Core/PinBuilder.js index 143477b41c9d..85671c2771e8 100644 --- a/packages/engine/Source/Core/PinBuilder.js +++ b/packages/engine/Source/Core/PinBuilder.js @@ -89,7 +89,7 @@ PinBuilder.prototype.fromMakiIconId = function (id, color, size) { undefined, color, size, - this._cache + this._cache, ); }; diff --git a/packages/engine/Source/Core/Plane.js b/packages/engine/Source/Core/Plane.js index ab9ee77567c9..9344486e9d2c 100644 --- a/packages/engine/Source/Core/Plane.js +++ b/packages/engine/Source/Core/Plane.js @@ -38,7 +38,7 @@ function Plane(normal, distance) { !CesiumMath.equalsEpsilon( Cartesian3.magnitude(normal), 1.0, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ) ) { throw new DeveloperError("normal must be normalized."); @@ -88,7 +88,7 @@ Plane.fromPointNormal = function (point, normal, result) { !CesiumMath.equalsEpsilon( Cartesian3.magnitude(normal), 1.0, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ) ) { throw new DeveloperError("normal must be normalized."); @@ -129,7 +129,7 @@ Plane.fromCartesian4 = function (coefficients, result) { !CesiumMath.equalsEpsilon( Cartesian3.magnitude(normal), 1.0, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ) ) { throw new DeveloperError("normal must be normalized."); @@ -187,7 +187,7 @@ Plane.projectPointOntoPlane = function (plane, point, result) { const scaledNormal = Cartesian3.multiplyByScalar( plane.normal, pointDistance, - scratchCartesian + scratchCartesian, ); return Cartesian3.subtract(point, scaledNormal, result); @@ -214,31 +214,31 @@ Plane.transform = function (plane, transform, result) { const distance = plane.distance; const inverseTranspose = Matrix4.inverseTranspose( transform, - scratchInverseTranspose + scratchInverseTranspose, ); let planeAsCartesian4 = Cartesian4.fromElements( normal.x, normal.y, normal.z, distance, - scratchPlaneCartesian4 + scratchPlaneCartesian4, ); planeAsCartesian4 = Matrix4.multiplyByVector( inverseTranspose, planeAsCartesian4, - planeAsCartesian4 + planeAsCartesian4, ); // Convert the transformed plane to Hessian Normal Form const transformedNormal = Cartesian3.fromCartesian4( planeAsCartesian4, - scratchTransformNormal + scratchTransformNormal, ); planeAsCartesian4 = Cartesian4.divideByScalar( planeAsCartesian4, Cartesian3.magnitude(transformedNormal), - planeAsCartesian4 + planeAsCartesian4, ); return Plane.fromCartesian4(planeAsCartesian4, result); diff --git a/packages/engine/Source/Core/PlaneGeometry.js b/packages/engine/Source/Core/PlaneGeometry.js index 8bc861fd149f..cfc96a536ae7 100644 --- a/packages/engine/Source/Core/PlaneGeometry.js +++ b/packages/engine/Source/Core/PlaneGeometry.js @@ -84,7 +84,7 @@ PlaneGeometry.unpack = function (array, startingIndex, result) { const vertexFormat = VertexFormat.unpack( array, startingIndex, - scratchVertexFormat + scratchVertexFormat, ); if (!defined(result)) { diff --git a/packages/engine/Source/Core/PolygonGeometry.js b/packages/engine/Source/Core/PolygonGeometry.js index bdc605861b8d..de5ab60ecf30 100644 --- a/packages/engine/Source/Core/PolygonGeometry.js +++ b/packages/engine/Source/Core/PolygonGeometry.js @@ -125,24 +125,24 @@ function computeAttributes(options) { let rotation = Quaternion.fromAxisAngle( rotationAxis, stRotation, - appendTextureCoordinatesQuaternion + appendTextureCoordinatesQuaternion, ); textureMatrix = Matrix3.fromQuaternion(rotation, textureMatrix); rotation = Quaternion.fromAxisAngle( rotationAxis, -stRotation, - appendTextureCoordinatesQuaternion + appendTextureCoordinatesQuaternion, ); tangentRotationMatrix = Matrix3.fromQuaternion( rotation, - tangentRotationMatrix + tangentRotationMatrix, ); } else { textureMatrix = Matrix3.clone(Matrix3.IDENTITY, textureMatrix); tangentRotationMatrix = Matrix3.clone( Matrix3.IDENTITY, - tangentRotationMatrix + tangentRotationMatrix, ); } @@ -160,7 +160,7 @@ function computeAttributes(options) { const position = Cartesian3.fromArray( flatPositions, i, - appendTextureCoordinatesCartesian3 + appendTextureCoordinatesCartesian3, ); if (vertexFormat.st) { @@ -168,7 +168,7 @@ function computeAttributes(options) { let p = Matrix3.multiplyByVector( textureMatrix, position, - scratchPosition + scratchPosition, ); p = ellipsoid.scaleToGeodeticSurface(p, p); const st = projectTo2d([p], appendTextureCoordinatesCartesian2)[0]; @@ -206,7 +206,7 @@ function computeAttributes(options) { const p2 = Cartesian3.fromArray( flatPositions, i + length, - p2Scratch + p2Scratch, ); if (perPositionHeight) { adjustPosHeightsForNormal(position, p1, p2, ellipsoid); @@ -215,7 +215,7 @@ function computeAttributes(options) { Cartesian3.subtract(p2, position, p2); normal = Cartesian3.normalize( Cartesian3.cross(p2, p1, normal), - normal + normal, ); recomputeNormal = false; } @@ -231,7 +231,7 @@ function computeAttributes(options) { if (vertexFormat.tangent) { tangent = Cartesian3.normalize( Cartesian3.cross(bitangent, normal, tangent), - tangent + tangent, ); } } @@ -242,29 +242,29 @@ function computeAttributes(options) { scratchPerPosNormal = Cartesian3.fromArray( normals, attrIndex, - scratchPerPosNormal + scratchPerPosNormal, ); scratchPerPosTangent = Cartesian3.cross( Cartesian3.UNIT_Z, scratchPerPosNormal, - scratchPerPosTangent + scratchPerPosTangent, ); scratchPerPosTangent = Cartesian3.normalize( Matrix3.multiplyByVector( tangentRotationMatrix, scratchPerPosTangent, - scratchPerPosTangent + scratchPerPosTangent, ), - scratchPerPosTangent + scratchPerPosTangent, ); if (vertexFormat.bitangent) { scratchPerPosBitangent = Cartesian3.normalize( Cartesian3.cross( scratchPerPosNormal, scratchPerPosTangent, - scratchPerPosBitangent + scratchPerPosBitangent, ), - scratchPerPosBitangent + scratchPerPosBitangent, ); } } @@ -272,12 +272,12 @@ function computeAttributes(options) { tangent = Cartesian3.cross(Cartesian3.UNIT_Z, normal, tangent); tangent = Cartesian3.normalize( Matrix3.multiplyByVector(tangentRotationMatrix, tangent, tangent), - tangent + tangent, ); if (vertexFormat.bitangent) { bitangent = Cartesian3.normalize( Cartesian3.cross(normal, tangent, bitangent), - bitangent + bitangent, ); } } @@ -435,7 +435,7 @@ function createGeometryFromPositionsExtruded( closeTop, closeBottom, vertexFormat, - arcType + arcType, ) { const geos = { walls: [], @@ -450,7 +450,7 @@ function createGeometryFromPositionsExtruded( granularity, perPositionHeight, vertexFormat, - arcType + arcType, ); const edgePoints = topGeo.attributes.position.values; @@ -465,7 +465,7 @@ function createGeometryFromPositionsExtruded( newIndices = IndexDatatype.createTypedArray( numPositions, - indices.length * 2 + indices.length * 2, ); newIndices.set(indices); const ilength = indices.length; @@ -486,7 +486,7 @@ function createGeometryFromPositionsExtruded( if (perPositionHeight && vertexFormat.normal) { const normals = topGeo.attributes.normal.values; topGeo.attributes.normal.values = new Float32Array( - topBottomPositions.length + topBottomPositions.length, ); topGeo.attributes.normal.values.set(normals); } @@ -520,7 +520,7 @@ function createGeometryFromPositionsExtruded( const tangentPlane = EllipsoidTangentPlane.fromPoints(outerRing, ellipsoid); let positions2D = tangentPlane.projectPointsOntoPlane( outerRing, - createGeometryFromPositionsExtrudedPositions + createGeometryFromPositionsExtrudedPositions, ); let windingOrder = PolygonPipeline.computeWindingOrder2D(positions2D); @@ -534,12 +534,12 @@ function createGeometryFromPositionsExtruded( ellipsoid, granularity, perPositionHeight, - arcType + arcType, ); geos.walls.push( new GeometryInstance({ geometry: wallGeo, - }) + }), ); const holes = hierarchy.holes; @@ -547,7 +547,7 @@ function createGeometryFromPositionsExtruded( let hole = holes[i]; positions2D = tangentPlane.projectPointsOntoPlane( hole, - createGeometryFromPositionsExtrudedPositions + createGeometryFromPositionsExtrudedPositions, ); windingOrder = PolygonPipeline.computeWindingOrder2D(positions2D); @@ -561,12 +561,12 @@ function createGeometryFromPositionsExtruded( ellipsoid, granularity, perPositionHeight, - arcType + arcType, ); geos.walls.push( new GeometryInstance({ geometry: wallGeo, - }) + }), ); } @@ -675,7 +675,7 @@ function PolygonGeometry(options) { defined(options.height) ) { throw new DeveloperError( - "Cannot use both options.perPositionHeight and options.height" + "Cannot use both options.perPositionHeight and options.height", ); } if ( @@ -684,7 +684,7 @@ function PolygonGeometry(options) { options.arcType !== ArcType.RHUMB ) { throw new DeveloperError( - "Invalid arcType. Valid options are ArcType.GEODESIC and ArcType.RHUMB." + "Invalid arcType. Valid options are ArcType.GEODESIC and ArcType.RHUMB.", ); } //>>includeEnd('debug'); @@ -694,7 +694,7 @@ function PolygonGeometry(options) { const ellipsoid = defaultValue(options.ellipsoid, Ellipsoid.default); const granularity = defaultValue( options.granularity, - CesiumMath.RADIANS_PER_DEGREE + CesiumMath.RADIANS_PER_DEGREE, ); const stRotation = defaultValue(options.stRotation, 0.0); const textureCoordinates = options.textureCoordinates; @@ -737,14 +737,14 @@ function PolygonGeometry(options) { this.packedLength = PolygonGeometryLibrary.computeHierarchyPackedLength( polygonHierarchy, - Cartesian3 + Cartesian3, ) + Ellipsoid.packedLength + VertexFormat.packedLength + (textureCoordinates ? PolygonGeometryLibrary.computeHierarchyPackedLength( textureCoordinates, - Cartesian2 + Cartesian2, ) : 1) + 12; @@ -831,7 +831,7 @@ PolygonGeometry.pack = function (value, array, startingIndex) { value._polygonHierarchy, array, startingIndex, - Cartesian3 + Cartesian3, ); Ellipsoid.pack(value._ellipsoid, array, startingIndex); @@ -856,7 +856,7 @@ PolygonGeometry.pack = function (value, array, startingIndex) { value._textureCoordinates, array, startingIndex, - Cartesian2 + Cartesian2, ); } else { array[startingIndex++] = -1.0; @@ -890,7 +890,7 @@ PolygonGeometry.unpack = function (array, startingIndex, result) { const polygonHierarchy = PolygonGeometryLibrary.unpackPolygonHierarchy( array, startingIndex, - Cartesian3 + Cartesian3, ); startingIndex = polygonHierarchy.startingIndex; delete polygonHierarchy.startingIndex; @@ -901,7 +901,7 @@ PolygonGeometry.unpack = function (array, startingIndex, result) { const vertexFormat = VertexFormat.unpack( array, startingIndex, - scratchVertexFormat + scratchVertexFormat, ); startingIndex += VertexFormat.packedLength; @@ -922,7 +922,7 @@ PolygonGeometry.unpack = function (array, startingIndex, result) { : PolygonGeometryLibrary.unpackPolygonHierarchy( array, startingIndex, - Cartesian2 + Cartesian2, ); if (defined(textureCoordinates)) { startingIndex = textureCoordinates.startingIndex; @@ -966,7 +966,7 @@ function expandRectangle( ellipsoid, arcType, polygon, - result + result, ) { const longitude = polar.longitude; const lonAdjusted = @@ -988,7 +988,7 @@ function expandRectangle( const segment = Cartesian2.subtract( lastPolar.position, polar.position, - scratchCartesian0 + scratchCartesian0, ); const t = Cartesian2.dot(lastPolar.position, segment) / @@ -997,7 +997,7 @@ function expandRectangle( const projected = Cartesian2.add( lastPolar.position, Cartesian2.multiplyByScalar(segment, -t, segment), - scratchCartesian1 + scratchCartesian1, ); const closestPolar = Stereographic.clone(lastPolar, scratchPolarClosest); closestPolar.position = projected; @@ -1050,7 +1050,7 @@ PolygonGeometry.computeRectangleFromPositions = function ( positions, ellipsoid, arcType, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.defined("positions", positions); @@ -1077,12 +1077,12 @@ PolygonGeometry.computeRectangleFromPositions = function ( const positionsLength = positions.length; let lastPolarPosition = Stereographic.fromCartesian( positions[0], - scratchPolarPrevious + scratchPolarPrevious, ); for (let i = 1; i < positionsLength; i++) { const polarPosition = Stereographic.fromCartesian( positions[i], - scratchPolar + scratchPolar, ); expandRectangle( polarPosition, @@ -1090,7 +1090,7 @@ PolygonGeometry.computeRectangleFromPositions = function ( ellipsoid, arcType, polygon, - result + result, ); lastPolarPosition = Stereographic.clone(polarPosition, lastPolarPosition); @@ -1102,7 +1102,7 @@ PolygonGeometry.computeRectangleFromPositions = function ( ellipsoid, arcType, polygon, - result + result, ); if (result.east - result.west > polygon.eastOverIdl - polygon.westOverIdl) { @@ -1122,7 +1122,7 @@ PolygonGeometry.computeRectangleFromPositions = function ( CesiumMath.equalsEpsilon( Math.abs(polygon.northAngle), CesiumMath.TWO_PI, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ) ) { result.north = CesiumMath.PI_OVER_TWO; @@ -1134,7 +1134,7 @@ PolygonGeometry.computeRectangleFromPositions = function ( CesiumMath.equalsEpsilon( Math.abs(polygon.southAngle), CesiumMath.TWO_PI, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ) ) { result.south = -CesiumMath.PI_OVER_TWO; @@ -1150,7 +1150,7 @@ function getTangentPlane(rectangle, positions, ellipsoid) { if (rectangle.height >= CesiumMath.PI || rectangle.width >= CesiumMath.PI) { const polar = Stereographic.fromCartesian( positions[0], - scratchPolarForPlane + scratchPolarForPlane, ); return polar.tangentPlane; } @@ -1173,11 +1173,11 @@ function createProjectTo2d(rectangle, outerPositions, ellipsoid) { for (let i = 0; i < positions.length; ++i) { const cartographic = ellipsoid.cartesianToCartographic( positions[i], - scratchCartographicCyllindrical + scratchCartographicCyllindrical, ); results[i] = new Cartesian2( cartographic.longitude / CesiumMath.PI, - cartographic.latitude / CesiumMath.PI_OVER_TWO + cartographic.latitude / CesiumMath.PI_OVER_TWO, ); } @@ -1191,7 +1191,7 @@ function createProjectTo2d(rectangle, outerPositions, ellipsoid) { // Use a local tangent plane for smaller extents const tangentPlane = EllipsoidTangentPlane.fromPoints( outerPositions, - ellipsoid + ellipsoid, ); return tangentPlane.projectPointsOntoPlane(positions, results); }; @@ -1205,7 +1205,7 @@ function createProjectPositionTo2d(rectangle, outerRing, ellipsoid) { if (rectangle.south < 0 && rectangle.north > 0) { const cartographic = ellipsoid.cartesianToCartographic( position, - scratchCartographicCyllindrical + scratchCartographicCyllindrical, ); if (!defined(result)) { result = new Cartesian2(); @@ -1238,7 +1238,7 @@ function createSplitPolygons(rectangle, ellipsoid, arcType, perPositionHeight) { polygons, ellipsoid, arcType, - results + results, ); } @@ -1251,21 +1251,21 @@ function computeBoundingRectangle(outerRing, rectangle, ellipsoid, stRotation) { return BoundingRectangle.fromRectangle( rectangle, undefined, - scratchBoundingRectangle + scratchBoundingRectangle, ); } const outerPositions = outerRing; const tangentPlane = EllipsoidTangentPlane.fromPoints( outerPositions, - ellipsoid + ellipsoid, ); return PolygonGeometryLibrary.computeBoundingRectangle( tangentPlane.plane.normal, tangentPlane.projectPointOntoPlane.bind(tangentPlane), outerPositions, stRotation, - scratchBoundingRectangle + scratchBoundingRectangle, ); } @@ -1301,7 +1301,7 @@ PolygonGeometry.createGeometry = function (polygonGeometry) { createProjectTo2d(rectangle, outerPositions, ellipsoid), !perPositionHeight, ellipsoid, - createSplitPolygons(rectangle, ellipsoid, arcType, perPositionHeight) + createSplitPolygons(rectangle, ellipsoid, arcType, perPositionHeight), ); const hierarchy = results.hierarchy; @@ -1317,7 +1317,7 @@ PolygonGeometry.createGeometry = function (polygonGeometry) { true, dummyFunction, false, - ellipsoid + ellipsoid, ).polygons : undefined; @@ -1330,7 +1330,7 @@ PolygonGeometry.createGeometry = function (polygonGeometry) { outerRing, rectangle, ellipsoid, - stRotation + stRotation, ); const geometries = []; @@ -1377,7 +1377,7 @@ PolygonGeometry.createGeometry = function (polygonGeometry) { closeTop, closeBottom, vertexFormat, - arcType + arcType, ); let topAndBottom; @@ -1388,25 +1388,27 @@ PolygonGeometry.createGeometry = function (polygonGeometry) { height, extrudedHeight, ellipsoid, - perPositionHeight + perPositionHeight, ); } else if (closeTop) { topAndBottom = splitGeometry.topAndBottom; - topAndBottom.geometry.attributes.position.values = PolygonPipeline.scaleToGeodeticHeight( - topAndBottom.geometry.attributes.position.values, - height, - ellipsoid, - !perPositionHeight - ); + topAndBottom.geometry.attributes.position.values = + PolygonPipeline.scaleToGeodeticHeight( + topAndBottom.geometry.attributes.position.values, + height, + ellipsoid, + !perPositionHeight, + ); options.geometry = topAndBottom.geometry; } else if (closeBottom) { topAndBottom = splitGeometry.topAndBottom; - topAndBottom.geometry.attributes.position.values = PolygonPipeline.scaleToGeodeticHeight( - topAndBottom.geometry.attributes.position.values, - extrudedHeight, - ellipsoid, - true - ); + topAndBottom.geometry.attributes.position.values = + PolygonPipeline.scaleToGeodeticHeight( + topAndBottom.geometry.attributes.position.values, + extrudedHeight, + ellipsoid, + true, + ); options.geometry = topAndBottom.geometry; } if (closeTop || closeBottom) { @@ -1424,7 +1426,7 @@ PolygonGeometry.createGeometry = function (polygonGeometry) { height, extrudedHeight, ellipsoid, - perPositionHeight + perPositionHeight, ); wall.geometry = computeAttributes(options); geometries.push(wall); @@ -1440,15 +1442,16 @@ PolygonGeometry.createGeometry = function (polygonGeometry) { granularity, perPositionHeight, vertexFormat, - arcType + arcType, ), }); - geometryInstance.geometry.attributes.position.values = PolygonPipeline.scaleToGeodeticHeight( - geometryInstance.geometry.attributes.position.values, - height, - ellipsoid, - !perPositionHeight - ); + geometryInstance.geometry.attributes.position.values = + PolygonPipeline.scaleToGeodeticHeight( + geometryInstance.geometry.attributes.position.values, + height, + ellipsoid, + !perPositionHeight, + ); options.geometry = geometryInstance.geometry; geometryInstance.geometry = computeAttributes(options); @@ -1461,13 +1464,12 @@ PolygonGeometry.createGeometry = function (polygonGeometry) { ? 0 : 1; const applyOffset = new Uint8Array(length / 3).fill(offsetValue); - geometryInstance.geometry.attributes.applyOffset = new GeometryAttribute( - { + geometryInstance.geometry.attributes.applyOffset = + new GeometryAttribute({ componentDatatype: ComponentDatatype.UNSIGNED_BYTE, componentsPerAttribute: 1, values: applyOffset, - } - ); + }); } geometries.push(geometryInstance); @@ -1476,16 +1478,16 @@ PolygonGeometry.createGeometry = function (polygonGeometry) { const geometry = GeometryPipeline.combineInstances(geometries)[0]; geometry.attributes.position.values = new Float64Array( - geometry.attributes.position.values + geometry.attributes.position.values, ); geometry.indices = IndexDatatype.createTypedArray( geometry.attributes.position.values.length / 3, - geometry.indices + geometry.indices, ); const attributes = geometry.attributes; const boundingSphere = BoundingSphere.fromVertices( - attributes.position.values + attributes.position.values, ); if (!vertexFormat.position) { @@ -1507,7 +1509,7 @@ PolygonGeometry.createGeometry = function (polygonGeometry) { PolygonGeometry.createShadowVolume = function ( polygonGeometry, minHeightFunc, - maxHeightFunc + maxHeightFunc, ) { const granularity = polygonGeometry._granularity; const ellipsoid = polygonGeometry._ellipsoid; @@ -1541,7 +1543,7 @@ function textureCoordinateRotationPoints(polygonGeometry) { positions, stRotation, ellipsoid, - boundingRectangle + boundingRectangle, ); } @@ -1556,7 +1558,7 @@ Object.defineProperties(PolygonGeometry.prototype, { this._rectangle = PolygonGeometry.computeRectangleFromPositions( positions, this._ellipsoid, - this._arcType + this._arcType, ); } @@ -1570,9 +1572,8 @@ Object.defineProperties(PolygonGeometry.prototype, { textureCoordinateRotationPoints: { get: function () { if (!defined(this._textureCoordinateRotationPoints)) { - this._textureCoordinateRotationPoints = textureCoordinateRotationPoints( - this - ); + this._textureCoordinateRotationPoints = + textureCoordinateRotationPoints(this); } return this._textureCoordinateRotationPoints; }, diff --git a/packages/engine/Source/Core/PolygonGeometryLibrary.js b/packages/engine/Source/Core/PolygonGeometryLibrary.js index 432bb3f3bdc0..ef288ae330df 100644 --- a/packages/engine/Source/Core/PolygonGeometryLibrary.js +++ b/packages/engine/Source/Core/PolygonGeometryLibrary.js @@ -31,7 +31,7 @@ const PolygonGeometryLibrary = {}; PolygonGeometryLibrary.computeHierarchyPackedLength = function ( polygonHierarchy, - CartesianX + CartesianX, ) { let numComponents = 0; const stack = [polygonHierarchy]; @@ -65,7 +65,7 @@ PolygonGeometryLibrary.packPolygonHierarchy = function ( polygonHierarchy, array, startingIndex, - CartesianX + CartesianX, ) { const stack = [polygonHierarchy]; while (stack.length > 0) { @@ -105,7 +105,7 @@ PolygonGeometryLibrary.packPolygonHierarchy = function ( PolygonGeometryLibrary.unpackPolygonHierarchy = function ( array, startingIndex, - CartesianX + CartesianX, ) { const positionsLength = array[startingIndex++]; const holesLength = array[startingIndex++]; @@ -125,7 +125,7 @@ PolygonGeometryLibrary.unpackPolygonHierarchy = function ( holes[j] = PolygonGeometryLibrary.unpackPolygonHierarchy( array, startingIndex, - CartesianX + CartesianX, ); startingIndex = holes[j].startingIndex; delete holes[j].startingIndex; @@ -144,7 +144,7 @@ function getPointAtDistance2D(p0, p1, distance, length) { Cartesian2.multiplyByScalar( distance2DScratch, distance / length, - distance2DScratch + distance2DScratch, ); Cartesian2.add(p0, distance2DScratch, distance2DScratch); return [distance2DScratch.x, distance2DScratch.y]; @@ -156,7 +156,7 @@ function getPointAtDistance(p0, p1, distance, length) { Cartesian3.multiplyByScalar( distanceScratch, distance / length, - distanceScratch + distanceScratch, ); Cartesian3.add(p0, distanceScratch, distanceScratch); return [distanceScratch.x, distanceScratch.y, distanceScratch.z]; @@ -178,7 +178,7 @@ PolygonGeometryLibrary.subdivideRhumbLineCount = function ( ellipsoid, p0, p1, - minDistance + minDistance, ) { const c0 = ellipsoid.cartesianToCartographic(p0, scratchCartographic0); const c1 = ellipsoid.cartesianToCartographic(p1, scratchCartographic1); @@ -206,13 +206,13 @@ PolygonGeometryLibrary.subdivideTexcoordLine = function ( p0, p1, minDistance, - result + result, ) { // Compute the number of subdivisions. const subdivisions = PolygonGeometryLibrary.subdivideLineCount( p0, p1, - minDistance + minDistance, ); // Compute the distance between each subdivided point. @@ -238,7 +238,7 @@ PolygonGeometryLibrary.subdivideLine = function (p0, p1, minDistance, result) { const numVertices = PolygonGeometryLibrary.subdivideLineCount( p0, p1, - minDistance + minDistance, ); const length = Cartesian3.distance(p0, p1); const distanceBetweenVertices = length / numVertices; @@ -281,7 +281,7 @@ PolygonGeometryLibrary.subdivideTexcoordRhumbLine = function ( p0, p1, minDistance, - result + result, ) { // Compute the surface distance. const c0 = ellipsoid.cartesianToCartographic(p0, scratchCartographic0); @@ -317,7 +317,7 @@ PolygonGeometryLibrary.subdivideRhumbLine = function ( p0, p1, minDistance, - result + result, ) { const c0 = ellipsoid.cartesianToCartographic(p0, scratchCartographic0); const c1 = ellipsoid.cartesianToCartographic(p1, scratchCartographic1); @@ -339,7 +339,7 @@ PolygonGeometryLibrary.subdivideRhumbLine = function ( for (let i = 0; i < numVertices; i++) { const c = rhumb.interpolateUsingSurfaceDistance( i * distanceBetweenVertices, - scratchCartographic2 + scratchCartographic2, ); const p = ellipsoid.cartographicToCartesian(c, scratchCartesian0); positions[index++] = p.x; @@ -360,7 +360,7 @@ PolygonGeometryLibrary.scaleToGeodeticHeightExtruded = function ( maxHeight, minHeight, ellipsoid, - perPositionHeight + perPositionHeight, ) { ellipsoid = defaultValue(ellipsoid, Ellipsoid.default); @@ -404,7 +404,7 @@ PolygonGeometryLibrary.scaleToGeodeticHeightExtruded = function ( PolygonGeometryLibrary.polygonOutlinesFromHierarchy = function ( polygonHierarchy, scaleToEllipsoidSurface, - ellipsoid + ellipsoid, ) { // create from a polygon hierarchy // Algorithm adapted from http://www.geometrictools.com/Documentation/TriangulationByEarClipping.pdf @@ -426,7 +426,7 @@ PolygonGeometryLibrary.polygonOutlinesFromHierarchy = function ( outerRing = arrayRemoveDuplicates( outerRing, Cartesian3.equalsEpsilon, - true + true, ); if (outerRing.length < 3) { continue; @@ -446,7 +446,7 @@ PolygonGeometryLibrary.polygonOutlinesFromHierarchy = function ( holePositions = arrayRemoveDuplicates( holePositions, Cartesian3.equalsEpsilon, - true + true, ); if (holePositions.length < 3) { continue; @@ -482,7 +482,7 @@ function computeEquatorIntersectionRhumb(start, end, ellipsoid) { const intersection = scratchRhumbLine.findIntersectionWithLatitude( 0, - scratchRhumbIntersection + scratchRhumbIntersection, ); if (!defined(intersection)) { @@ -517,7 +517,7 @@ function computeEquatorIntersection(start, end, ellipsoid, arcType) { const intersection = IntersectionTests.lineSegmentPlane( start, end, - Plane.ORIGIN_XY_PLANE + Plane.ORIGIN_XY_PLANE, ); if (!defined(intersection)) { @@ -546,7 +546,7 @@ function computeEdgesOnPlane(positions, ellipsoid, arcType) { const getLongitude = (position) => { const cartographic = ellipsoid.cartesianToCartographic( position, - scratchCartographic + scratchCartographic, ); return cartographic.longitude; }; @@ -565,7 +565,7 @@ function computeEdgesOnPlane(positions, ellipsoid, arcType) { startPoint, endPoint, ellipsoid, - arcType + arcType, ); ++i; @@ -598,7 +598,7 @@ function wirePolygon( edgesOnPlane, toDelete, startIndex, - abovePlane + abovePlane, ) { const polygon = []; let i = startIndex; @@ -678,7 +678,7 @@ function wirePolygon( edgesOnPlane, 0, index, - !abovePlane + !abovePlane, ); } @@ -699,7 +699,7 @@ PolygonGeometryLibrary.splitPolygonsOnEquator = function ( outerRings, ellipsoid, arcType, - result + result, ) { if (!defined(result)) { result = []; @@ -743,7 +743,7 @@ PolygonGeometryLibrary.splitPolygonsOnEquator = function ( edgesOnPlane, 1, 0, - north + north, ); } @@ -756,7 +756,7 @@ PolygonGeometryLibrary.polygonsFromHierarchy = function ( projectPointsTo2D, scaleToEllipsoidSurface, ellipsoid, - splitPolygons + splitPolygons, ) { // create from a polygon hierarchy // Algorithm adapted from http://www.geometrictools.com/Documentation/TriangulationByEarClipping.pdf @@ -786,7 +786,7 @@ PolygonGeometryLibrary.polygonsFromHierarchy = function ( outerRing = arrayRemoveDuplicates( outerRing, Cartesian3.equalsEpsilon, - true + true, ); } if (outerRing.length < 3) { @@ -799,9 +799,8 @@ PolygonGeometryLibrary.polygonsFromHierarchy = function ( } const holeIndices = []; - let originalWindingOrder = PolygonPipeline.computeWindingOrder2D( - positions2D - ); + let originalWindingOrder = + PolygonPipeline.computeWindingOrder2D(positions2D); if (originalWindingOrder === WindingOrder.CLOCKWISE) { positions2D.reverse(); outerRing = outerRing.slice().reverse(); @@ -840,7 +839,7 @@ PolygonGeometryLibrary.polygonsFromHierarchy = function ( holePositions = arrayRemoveDuplicates( holePositions, Cartesian3.equalsEpsilon, - true + true, ); } if (holePositions.length < 3) { @@ -852,9 +851,8 @@ PolygonGeometryLibrary.polygonsFromHierarchy = function ( continue; } - originalWindingOrder = PolygonPipeline.computeWindingOrder2D( - holePositions2D - ); + originalWindingOrder = + PolygonPipeline.computeWindingOrder2D(holePositions2D); if (originalWindingOrder === WindingOrder.CLOCKWISE) { holePositions2D.reverse(); holePositions = holePositions.slice().reverse(); @@ -901,16 +899,16 @@ PolygonGeometryLibrary.computeBoundingRectangle = function ( projectPointTo2D, positions, angle, - result + result, ) { const rotation = Quaternion.fromAxisAngle( planeNormal, angle, - computeBoundingRectangleQuaternion + computeBoundingRectangleQuaternion, ); const textureMatrix = Matrix3.fromQuaternion( rotation, - computeBoundingRectangleMatrix3 + computeBoundingRectangleMatrix3, ); let minX = Number.POSITIVE_INFINITY; @@ -922,7 +920,7 @@ PolygonGeometryLibrary.computeBoundingRectangle = function ( for (let i = 0; i < length; ++i) { const p = Cartesian3.clone( positions[i], - computeBoundingRectangleCartesian3 + computeBoundingRectangleCartesian3, ); Matrix3.multiplyByVector(textureMatrix, p, p); const st = projectPointTo2D(p, computeBoundingRectangleCartesian2); @@ -950,7 +948,7 @@ PolygonGeometryLibrary.createGeometryFromPositions = function ( granularity, perPositionHeight, vertexFormat, - arcType + arcType, ) { let indices = PolygonPipeline.triangulate(polygon.positions2D, polygon.holes); @@ -1010,7 +1008,7 @@ PolygonGeometryLibrary.createGeometryFromPositions = function ( positions, indices, texcoords, - granularity + granularity, ); } else if (arcType === ArcType.RHUMB) { return PolygonPipeline.computeRhumbLineSubdivision( @@ -1018,7 +1016,7 @@ PolygonGeometryLibrary.createGeometryFromPositions = function ( positions, indices, texcoords, - granularity + granularity, ); } }; @@ -1034,7 +1032,7 @@ PolygonGeometryLibrary.computeWallGeometry = function ( ellipsoid, granularity, perPositionHeight, - arcType + arcType, ) { let edgePositions; let topEdgeLength; @@ -1056,7 +1054,7 @@ PolygonGeometryLibrary.computeWallGeometry = function ( if (!perPositionHeight) { const minDistance = CesiumMath.chordLength( granularity, - ellipsoid.maximumRadius + ellipsoid.maximumRadius, ); let numVertices = 0; @@ -1065,7 +1063,7 @@ PolygonGeometryLibrary.computeWallGeometry = function ( numVertices += PolygonGeometryLibrary.subdivideLineCount( positions[i], positions[(i + 1) % length], - minDistance + minDistance, ); } } else if (arcType === ArcType.RHUMB) { @@ -1074,7 +1072,7 @@ PolygonGeometryLibrary.computeWallGeometry = function ( ellipsoid, positions[i], positions[(i + 1) % length], - minDistance + minDistance, ); } } @@ -1104,7 +1102,7 @@ PolygonGeometryLibrary.computeWallGeometry = function ( p1, p2, minDistance, - computeWallIndicesSubdivided + computeWallIndicesSubdivided, ); if (hasTexcoords) { tempTexcoords = PolygonGeometryLibrary.subdivideTexcoordLine( @@ -1113,7 +1111,7 @@ PolygonGeometryLibrary.computeWallGeometry = function ( p1, p2, minDistance, - computeWallTexcoordsSubdivided + computeWallTexcoordsSubdivided, ); } } else if (arcType === ArcType.RHUMB) { @@ -1122,7 +1120,7 @@ PolygonGeometryLibrary.computeWallGeometry = function ( p1, p2, minDistance, - computeWallIndicesSubdivided + computeWallIndicesSubdivided, ); if (hasTexcoords) { tempTexcoords = PolygonGeometryLibrary.subdivideTexcoordRhumbLine( @@ -1132,7 +1130,7 @@ PolygonGeometryLibrary.computeWallGeometry = function ( p1, p2, minDistance, - computeWallTexcoordsSubdivided + computeWallTexcoordsSubdivided, ); } } @@ -1222,7 +1220,7 @@ PolygonGeometryLibrary.computeWallGeometry = function ( length = edgePositions.length; const indices = IndexDatatype.createTypedArray( length / 3, - length - positions.length * 6 + length - positions.length * 6, ); let edgeIndex = 0; length /= 6; @@ -1240,7 +1238,7 @@ PolygonGeometryLibrary.computeWallGeometry = function ( p1, p2, CesiumMath.EPSILON10, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ) ) { //skip corner diff --git a/packages/engine/Source/Core/PolygonOutlineGeometry.js b/packages/engine/Source/Core/PolygonOutlineGeometry.js index 638df675e395..f103369d1dce 100644 --- a/packages/engine/Source/Core/PolygonOutlineGeometry.js +++ b/packages/engine/Source/Core/PolygonOutlineGeometry.js @@ -28,17 +28,16 @@ function createGeometryFromPositions( positions, minDistance, perPositionHeight, - arcType + arcType, ) { const tangentPlane = EllipsoidTangentPlane.fromPoints(positions, ellipsoid); const positions2D = tangentPlane.projectPointsOntoPlane( positions, - createGeometryFromPositionsPositions + createGeometryFromPositionsPositions, ); - const originalWindingOrder = PolygonPipeline.computeWindingOrder2D( - positions2D - ); + const originalWindingOrder = + PolygonPipeline.computeWindingOrder2D(positions2D); if (originalWindingOrder === WindingOrder.CLOCKWISE) { positions2D.reverse(); positions = positions.slice().reverse(); @@ -57,7 +56,7 @@ function createGeometryFromPositions( numVertices += PolygonGeometryLibrary.subdivideLineCount( positions[i], positions[(i + 1) % length], - minDistance + minDistance, ); } } else if (arcType === ArcType.RHUMB) { @@ -66,7 +65,7 @@ function createGeometryFromPositions( ellipsoid, positions[i], positions[(i + 1) % length], - minDistance + minDistance, ); } } @@ -78,7 +77,7 @@ function createGeometryFromPositions( positions[i], positions[(i + 1) % length], minDistance, - createGeometryFromPositionsSubdivided + createGeometryFromPositionsSubdivided, ); } else if (arcType === ArcType.RHUMB) { tempPositions = PolygonGeometryLibrary.subdivideRhumbLine( @@ -86,7 +85,7 @@ function createGeometryFromPositions( positions[i], positions[(i + 1) % length], minDistance, - createGeometryFromPositionsSubdivided + createGeometryFromPositionsSubdivided, ); } const tempPositionsLength = tempPositions.length; @@ -139,17 +138,16 @@ function createGeometryFromPositionsExtruded( positions, minDistance, perPositionHeight, - arcType + arcType, ) { const tangentPlane = EllipsoidTangentPlane.fromPoints(positions, ellipsoid); const positions2D = tangentPlane.projectPointsOntoPlane( positions, - createGeometryFromPositionsPositions + createGeometryFromPositionsPositions, ); - const originalWindingOrder = PolygonPipeline.computeWindingOrder2D( - positions2D - ); + const originalWindingOrder = + PolygonPipeline.computeWindingOrder2D(positions2D); if (originalWindingOrder === WindingOrder.CLOCKWISE) { positions2D.reverse(); positions = positions.slice().reverse(); @@ -169,7 +167,7 @@ function createGeometryFromPositionsExtruded( numVertices += PolygonGeometryLibrary.subdivideLineCount( positions[i], positions[(i + 1) % length], - minDistance + minDistance, ); } } else if (arcType === ArcType.RHUMB) { @@ -178,7 +176,7 @@ function createGeometryFromPositionsExtruded( ellipsoid, positions[i], positions[(i + 1) % length], - minDistance + minDistance, ); } } @@ -192,7 +190,7 @@ function createGeometryFromPositionsExtruded( positions[i], positions[(i + 1) % length], minDistance, - createGeometryFromPositionsSubdivided + createGeometryFromPositionsSubdivided, ); } else if (arcType === ArcType.RHUMB) { tempPositions = PolygonGeometryLibrary.subdivideRhumbLine( @@ -200,7 +198,7 @@ function createGeometryFromPositionsExtruded( positions[i], positions[(i + 1) % length], minDistance, - createGeometryFromPositionsSubdivided + createGeometryFromPositionsSubdivided, ); } const tempPositionsLength = tempPositions.length; @@ -230,7 +228,7 @@ function createGeometryFromPositionsExtruded( const indicesSize = (length * 2 + cornersLength) * 2; const indices = IndexDatatype.createTypedArray( length + cornersLength, - indicesSize + indicesSize, ); index = 0; @@ -355,7 +353,7 @@ function PolygonOutlineGeometry(options) { if (options.perPositionHeight && defined(options.height)) { throw new DeveloperError( - "Cannot use both options.perPositionHeight and options.height" + "Cannot use both options.perPositionHeight and options.height", ); } if ( @@ -364,7 +362,7 @@ function PolygonOutlineGeometry(options) { options.arcType !== ArcType.RHUMB ) { throw new DeveloperError( - "Invalid arcType. Valid options are ArcType.GEODESIC and ArcType.RHUMB." + "Invalid arcType. Valid options are ArcType.GEODESIC and ArcType.RHUMB.", ); } //>>includeEnd('debug'); @@ -373,7 +371,7 @@ function PolygonOutlineGeometry(options) { const ellipsoid = defaultValue(options.ellipsoid, Ellipsoid.default); const granularity = defaultValue( options.granularity, - CesiumMath.RADIANS_PER_DEGREE + CesiumMath.RADIANS_PER_DEGREE, ); const perPositionHeight = defaultValue(options.perPositionHeight, false); const perPositionHeightExtrude = @@ -407,7 +405,7 @@ function PolygonOutlineGeometry(options) { this.packedLength = PolygonGeometryLibrary.computeHierarchyPackedLength( polygonHierarchy, - Cartesian3 + Cartesian3, ) + Ellipsoid.packedLength + 8; @@ -434,7 +432,7 @@ PolygonOutlineGeometry.pack = function (value, array, startingIndex) { value._polygonHierarchy, array, startingIndex, - Cartesian3 + Cartesian3, ); Ellipsoid.pack(value._ellipsoid, array, startingIndex); @@ -475,7 +473,7 @@ PolygonOutlineGeometry.unpack = function (array, startingIndex, result) { const polygonHierarchy = PolygonGeometryLibrary.unpackPolygonHierarchy( array, startingIndex, - Cartesian3 + Cartesian3, ); startingIndex = polygonHierarchy.startingIndex; delete polygonHierarchy.startingIndex; @@ -578,7 +576,7 @@ PolygonOutlineGeometry.createGeometry = function (polygonGeometry) { const polygons = PolygonGeometryLibrary.polygonOutlinesFromHierarchy( polygonHierarchy, !perPositionHeight, - ellipsoid + ellipsoid, ); if (polygons.length === 0) { @@ -589,7 +587,7 @@ PolygonOutlineGeometry.createGeometry = function (polygonGeometry) { const geometries = []; const minDistance = CesiumMath.chordLength( granularity, - ellipsoid.maximumRadius + ellipsoid.maximumRadius, ); const height = polygonGeometry._height; @@ -606,15 +604,16 @@ PolygonOutlineGeometry.createGeometry = function (polygonGeometry) { polygons[i], minDistance, perPositionHeight, - arcType - ); - geometryInstance.geometry = PolygonGeometryLibrary.scaleToGeodeticHeightExtruded( - geometryInstance.geometry, - height, - extrudedHeight, - ellipsoid, - perPositionHeight + arcType, ); + geometryInstance.geometry = + PolygonGeometryLibrary.scaleToGeodeticHeightExtruded( + geometryInstance.geometry, + height, + extrudedHeight, + ellipsoid, + perPositionHeight, + ); if (defined(polygonGeometry._offsetAttribute)) { const size = geometryInstance.geometry.attributes.position.values.length / 3; @@ -629,13 +628,12 @@ PolygonOutlineGeometry.createGeometry = function (polygonGeometry) { offsetAttribute = offsetAttribute.fill(offsetValue); } - geometryInstance.geometry.attributes.applyOffset = new GeometryAttribute( - { + geometryInstance.geometry.attributes.applyOffset = + new GeometryAttribute({ componentDatatype: ComponentDatatype.UNSIGNED_BYTE, componentsPerAttribute: 1, values: offsetAttribute, - } - ); + }); } geometries.push(geometryInstance); } @@ -646,14 +644,15 @@ PolygonOutlineGeometry.createGeometry = function (polygonGeometry) { polygons[i], minDistance, perPositionHeight, - arcType - ); - geometryInstance.geometry.attributes.position.values = PolygonPipeline.scaleToGeodeticHeight( - geometryInstance.geometry.attributes.position.values, - height, - ellipsoid, - !perPositionHeight + arcType, ); + geometryInstance.geometry.attributes.position.values = + PolygonPipeline.scaleToGeodeticHeight( + geometryInstance.geometry.attributes.position.values, + height, + ellipsoid, + !perPositionHeight, + ); if (defined(polygonGeometry._offsetAttribute)) { const length = @@ -663,13 +662,12 @@ PolygonOutlineGeometry.createGeometry = function (polygonGeometry) { ? 0 : 1; const applyOffset = new Uint8Array(length / 3).fill(offsetValue); - geometryInstance.geometry.attributes.applyOffset = new GeometryAttribute( - { + geometryInstance.geometry.attributes.applyOffset = + new GeometryAttribute({ componentDatatype: ComponentDatatype.UNSIGNED_BYTE, componentsPerAttribute: 1, values: applyOffset, - } - ); + }); } geometries.push(geometryInstance); @@ -678,7 +676,7 @@ PolygonOutlineGeometry.createGeometry = function (polygonGeometry) { const geometry = GeometryPipeline.combineInstances(geometries)[0]; const boundingSphere = BoundingSphere.fromVertices( - geometry.attributes.position.values + geometry.attributes.position.values, ); return new Geometry({ diff --git a/packages/engine/Source/Core/PolygonPipeline.js b/packages/engine/Source/Core/PolygonPipeline.js index 3e6bc888f2a5..328668edb7ce 100644 --- a/packages/engine/Source/Core/PolygonPipeline.js +++ b/packages/engine/Source/Core/PolygonPipeline.js @@ -31,7 +31,7 @@ PolygonPipeline.computeArea2D = function (positions) { Check.typeOf.number.greaterThanOrEquals( "positions.length", positions.length, - 3 + 3, ); //>>includeEnd('debug'); @@ -104,7 +104,7 @@ PolygonPipeline.computeSubdivision = function ( positions, indices, texcoords, - granularity + granularity, ) { granularity = defaultValue(granularity, CesiumMath.RADIANS_PER_DEGREE); @@ -159,17 +159,17 @@ PolygonPipeline.computeSubdivision = function ( const v0 = Cartesian3.fromArray( subdividedPositions, i0 * 3, - subdivisionV0Scratch + subdivisionV0Scratch, ); const v1 = Cartesian3.fromArray( subdividedPositions, i1 * 3, - subdivisionV1Scratch + subdivisionV1Scratch, ); const v2 = Cartesian3.fromArray( subdividedPositions, i2 * 3, - subdivisionV2Scratch + subdivisionV2Scratch, ); let t0, t1, t2; @@ -177,44 +177,44 @@ PolygonPipeline.computeSubdivision = function ( t0 = Cartesian2.fromArray( subdividedTexcoords, i0 * 2, - subdivisionT0Scratch + subdivisionT0Scratch, ); t1 = Cartesian2.fromArray( subdividedTexcoords, i1 * 2, - subdivisionT1Scratch + subdivisionT1Scratch, ); t2 = Cartesian2.fromArray( subdividedTexcoords, i2 * 2, - subdivisionT2Scratch + subdivisionT2Scratch, ); } const s0 = Cartesian3.multiplyByScalar( Cartesian3.normalize(v0, subdivisionS0Scratch), radius, - subdivisionS0Scratch + subdivisionS0Scratch, ); const s1 = Cartesian3.multiplyByScalar( Cartesian3.normalize(v1, subdivisionS1Scratch), radius, - subdivisionS1Scratch + subdivisionS1Scratch, ); const s2 = Cartesian3.multiplyByScalar( Cartesian3.normalize(v2, subdivisionS2Scratch), radius, - subdivisionS2Scratch + subdivisionS2Scratch, ); const g0 = Cartesian3.magnitudeSquared( - Cartesian3.subtract(s0, s1, subdivisionMidScratch) + Cartesian3.subtract(s0, s1, subdivisionMidScratch), ); const g1 = Cartesian3.magnitudeSquared( - Cartesian3.subtract(s1, s2, subdivisionMidScratch) + Cartesian3.subtract(s1, s2, subdivisionMidScratch), ); const g2 = Cartesian3.magnitudeSquared( - Cartesian3.subtract(s2, s0, subdivisionMidScratch) + Cartesian3.subtract(s2, s0, subdivisionMidScratch), ); const max = Math.max(g0, g1, g2); @@ -339,7 +339,7 @@ PolygonPipeline.computeRhumbLineSubdivision = function ( positions, indices, texcoords, - granularity + granularity, ) { granularity = defaultValue(granularity, CesiumMath.RADIANS_PER_DEGREE); @@ -397,17 +397,17 @@ PolygonPipeline.computeRhumbLineSubdivision = function ( const v0 = Cartesian3.fromArray( subdividedPositions, i0 * 3, - subdivisionV0Scratch + subdivisionV0Scratch, ); const v1 = Cartesian3.fromArray( subdividedPositions, i1 * 3, - subdivisionV1Scratch + subdivisionV1Scratch, ); const v2 = Cartesian3.fromArray( subdividedPositions, i2 * 3, - subdivisionV2Scratch + subdivisionV2Scratch, ); let t0, t1, t2; @@ -415,17 +415,17 @@ PolygonPipeline.computeRhumbLineSubdivision = function ( t0 = Cartesian2.fromArray( subdividedTexcoords, i0 * 2, - subdivisionT0Scratch + subdivisionT0Scratch, ); t1 = Cartesian2.fromArray( subdividedTexcoords, i1 * 2, - subdivisionT1Scratch + subdivisionT1Scratch, ); t2 = Cartesian2.fromArray( subdividedTexcoords, i2 * 2, - subdivisionT2Scratch + subdivisionT2Scratch, ); } @@ -456,7 +456,7 @@ PolygonPipeline.computeRhumbLineSubdivision = function ( if (!defined(i)) { mid = rhumb0.interpolateUsingFraction( 0.5, - subdivisionCartographicScratch + subdivisionCartographicScratch, ); midHeight = (c0.height + c1.height) * 0.5; midCartesian3 = Cartesian3.fromRadians( @@ -464,12 +464,12 @@ PolygonPipeline.computeRhumbLineSubdivision = function ( mid.latitude, midHeight, ellipsoid, - subdivisionMidScratch + subdivisionMidScratch, ); subdividedPositions.push( midCartesian3.x, midCartesian3.y, - midCartesian3.z + midCartesian3.z, ); i = subdividedPositions.length / 3 - 1; edges[edge] = i; @@ -490,7 +490,7 @@ PolygonPipeline.computeRhumbLineSubdivision = function ( if (!defined(i)) { mid = rhumb1.interpolateUsingFraction( 0.5, - subdivisionCartographicScratch + subdivisionCartographicScratch, ); midHeight = (c1.height + c2.height) * 0.5; midCartesian3 = Cartesian3.fromRadians( @@ -498,12 +498,12 @@ PolygonPipeline.computeRhumbLineSubdivision = function ( mid.latitude, midHeight, ellipsoid, - subdivisionMidScratch + subdivisionMidScratch, ); subdividedPositions.push( midCartesian3.x, midCartesian3.y, - midCartesian3.z + midCartesian3.z, ); i = subdividedPositions.length / 3 - 1; edges[edge] = i; @@ -524,7 +524,7 @@ PolygonPipeline.computeRhumbLineSubdivision = function ( if (!defined(i)) { mid = rhumb2.interpolateUsingFraction( 0.5, - subdivisionCartographicScratch + subdivisionCartographicScratch, ); midHeight = (c2.height + c0.height) * 0.5; midCartesian3 = Cartesian3.fromRadians( @@ -532,12 +532,12 @@ PolygonPipeline.computeRhumbLineSubdivision = function ( mid.latitude, midHeight, ellipsoid, - subdivisionMidScratch + subdivisionMidScratch, ); subdividedPositions.push( midCartesian3.x, midCartesian3.y, - midCartesian3.z + midCartesian3.z, ); i = subdividedPositions.length / 3 - 1; edges[edge] = i; @@ -595,7 +595,7 @@ PolygonPipeline.scaleToGeodeticHeight = function ( positions, height, ellipsoid, - scaleToSurface + scaleToSurface, ) { ellipsoid = defaultValue(ellipsoid, Ellipsoid.default); diff --git a/packages/engine/Source/Core/PolylineGeometry.js b/packages/engine/Source/Core/PolylineGeometry.js index 832af1c70fff..d0f9ec3dcf68 100644 --- a/packages/engine/Source/Core/PolylineGeometry.js +++ b/packages/engine/Source/Core/PolylineGeometry.js @@ -52,7 +52,7 @@ function interpolateColors(p0, p1, color0, color1, numPoints) { r0 + i * redPerVertex, g0 + i * greenPerVertex, b0 + i * bluePerVertex, - a0 + i * alphaPerVertex + a0 + i * alphaPerVertex, ); } @@ -125,16 +125,16 @@ function PolylineGeometry(options) { this._width = width; this._colorsPerVertex = colorsPerVertex; this._vertexFormat = VertexFormat.clone( - defaultValue(options.vertexFormat, VertexFormat.DEFAULT) + defaultValue(options.vertexFormat, VertexFormat.DEFAULT), ); this._arcType = defaultValue(options.arcType, ArcType.GEODESIC); this._granularity = defaultValue( options.granularity, - CesiumMath.RADIANS_PER_DEGREE + CesiumMath.RADIANS_PER_DEGREE, ); this._ellipsoid = Ellipsoid.clone( - defaultValue(options.ellipsoid, Ellipsoid.default) + defaultValue(options.ellipsoid, Ellipsoid.default), ); this._workerName = "createPolylineGeometry"; @@ -254,7 +254,7 @@ PolylineGeometry.unpack = function (array, startingIndex, result) { const vertexFormat = VertexFormat.unpack( array, startingIndex, - scratchVertexFormat + scratchVertexFormat, ); startingIndex += VertexFormat.packedLength; @@ -314,7 +314,7 @@ PolylineGeometry.createGeometry = function (polylineGeometry) { polylineGeometry._positions, Cartesian3.equalsEpsilon, false, - removedIndices + removedIndices, ); if (defined(colors) && removedIndices.length > 0) { @@ -352,7 +352,7 @@ PolylineGeometry.createGeometry = function (polylineGeometry) { if (arcType === ArcType.GEODESIC) { subdivisionSize = CesiumMath.chordLength( granularity, - ellipsoid.maximumRadius + ellipsoid.maximumRadius, ); numberOfPointsFunction = PolylinePipeline.numberOfPoints; } else { @@ -368,7 +368,7 @@ PolylineGeometry.createGeometry = function (polylineGeometry) { colorLength += numberOfPointsFunction( positions[i], positions[i + 1], - subdivisionSize + subdivisionSize, ); } @@ -388,7 +388,7 @@ PolylineGeometry.createGeometry = function (polylineGeometry) { p1, c0, c1, - numColors + numColors, ); const interpolatedColorsLength = interpolatedColors.length; for (j = 0; j < interpolatedColorsLength; ++j) { @@ -457,7 +457,7 @@ PolylineGeometry.createGeometry = function (polylineGeometry) { Cartesian3.subtract( positions[positionsLength - 1], positions[positionsLength - 2], - position + position, ); Cartesian3.add(positions[positionsLength - 1], position, position); } else { diff --git a/packages/engine/Source/Core/PolylinePipeline.js b/packages/engine/Source/Core/PolylinePipeline.js index d4f2593a584a..62227355b99c 100644 --- a/packages/engine/Source/Core/PolylinePipeline.js +++ b/packages/engine/Source/Core/PolylinePipeline.js @@ -28,7 +28,7 @@ PolylinePipeline.numberOfPointsRhumbLine = function (p0, p1, granularity) { return Math.max( 1, - Math.ceil(Math.sqrt(radiansDistanceSquared / (granularity * granularity))) + Math.ceil(Math.sqrt(radiansDistanceSquared / (granularity * granularity))), ); }; @@ -96,7 +96,7 @@ function generateCartesianArc( h0, h1, array, - offset + offset, ) { const first = ellipsoid.scaleToGeodeticSurface(p0, scaleFirst); const last = ellipsoid.scaleToGeodeticSurface(p1, scaleLast); @@ -118,7 +118,7 @@ function generateCartesianArc( for (let i = 1; i < numPoints; i++) { const carto = ellipsoidGeodesic.interpolateUsingSurfaceDistance( i * surfaceDistanceBetweenPoints, - carto2 + carto2, ); carto.height = heights[i]; cart = ellipsoid.cartographicToCartesian(carto, cartesian); @@ -140,14 +140,14 @@ function generateCartesianRhumbArc( h0, h1, array, - offset + offset, ) { const start = ellipsoid.cartesianToCartographic(p0, carto1); const end = ellipsoid.cartesianToCartographic(p1, carto2); const numPoints = PolylinePipeline.numberOfPointsRhumbLine( start, end, - granularity + granularity, ); start.height = 0.0; end.height = 0.0; @@ -169,7 +169,7 @@ function generateCartesianRhumbArc( for (let i = 1; i < numPoints; i++) { const carto = ellipsoidRhumb.interpolateUsingSurfaceDistance( i * surfaceDistanceBetweenPoints, - carto2 + carto2, ); carto.height = heights[i]; cart = ellipsoid.cartographicToCartesian(carto, cartesian); @@ -211,39 +211,39 @@ PolylinePipeline.wrapLongitude = function (positions, modelMatrix) { modelMatrix = defaultValue(modelMatrix, Matrix4.IDENTITY); const inverseModelMatrix = Matrix4.inverseTransformation( modelMatrix, - wrapLongitudeInversMatrix + wrapLongitudeInversMatrix, ); const origin = Matrix4.multiplyByPoint( inverseModelMatrix, Cartesian3.ZERO, - wrapLongitudeOrigin + wrapLongitudeOrigin, ); const xzNormal = Cartesian3.normalize( Matrix4.multiplyByPointAsVector( inverseModelMatrix, Cartesian3.UNIT_Y, - wrapLongitudeXZNormal + wrapLongitudeXZNormal, ), - wrapLongitudeXZNormal + wrapLongitudeXZNormal, ); const xzPlane = Plane.fromPointNormal( origin, xzNormal, - wrapLongitudeXZPlane + wrapLongitudeXZPlane, ); const yzNormal = Cartesian3.normalize( Matrix4.multiplyByPointAsVector( inverseModelMatrix, Cartesian3.UNIT_X, - wrapLongitudeYZNormal + wrapLongitudeYZNormal, ), - wrapLongitudeYZNormal + wrapLongitudeYZNormal, ); const yzPlane = Plane.fromPointNormal( origin, yzNormal, - wrapLongitudeYZPlane + wrapLongitudeYZPlane, ); let count = 1; @@ -264,27 +264,27 @@ PolylinePipeline.wrapLongitude = function (positions, modelMatrix) { prev, cur, xzPlane, - wrapLongitudeIntersection + wrapLongitudeIntersection, ); if (defined(intersection)) { // move point on the xz-plane slightly away from the plane const offset = Cartesian3.multiplyByScalar( xzNormal, 5.0e-9, - wrapLongitudeOffset + wrapLongitudeOffset, ); if (Plane.getPointDistance(xzPlane, prev) < 0.0) { Cartesian3.negate(offset, offset); } cartesians.push( - Cartesian3.add(intersection, offset, new Cartesian3()) + Cartesian3.add(intersection, offset, new Cartesian3()), ); segments.push(count + 1); Cartesian3.negate(offset, offset); cartesians.push( - Cartesian3.add(intersection, offset, new Cartesian3()) + Cartesian3.add(intersection, offset, new Cartesian3()), ); count = 1; } @@ -359,7 +359,7 @@ PolylinePipeline.generateArc = function (options) { if (!defined(minDistance)) { const granularity = defaultValue( options.granularity, - CesiumMath.RADIANS_PER_DEGREE + CesiumMath.RADIANS_PER_DEGREE, ); minDistance = CesiumMath.chordLength(granularity, ellipsoid.maximumRadius); } @@ -371,7 +371,7 @@ PolylinePipeline.generateArc = function (options) { numPoints += PolylinePipeline.numberOfPoints( positions[i], positions[i + 1], - minDistance + minDistance, ); } @@ -394,7 +394,7 @@ PolylinePipeline.generateArc = function (options) { h0, h1, newPositions, - offset + offset, ); } @@ -464,7 +464,7 @@ PolylinePipeline.generateRhumbArc = function (options) { const granularity = defaultValue( options.granularity, - CesiumMath.RADIANS_PER_DEGREE + CesiumMath.RADIANS_PER_DEGREE, ); let numPoints = 0; @@ -472,13 +472,13 @@ PolylinePipeline.generateRhumbArc = function (options) { let c0 = ellipsoid.cartesianToCartographic( positions[0], - scratchCartographic0 + scratchCartographic0, ); let c1; for (i = 0; i < length - 1; i++) { c1 = ellipsoid.cartesianToCartographic( positions[i + 1], - scratchCartographic1 + scratchCartographic1, ); numPoints += PolylinePipeline.numberOfPointsRhumbLine(c0, c1, granularity); c0 = Cartographic.clone(c1, scratchCartographic0); @@ -503,7 +503,7 @@ PolylinePipeline.generateRhumbArc = function (options) { h0, h1, newPositions, - offset + offset, ); } diff --git a/packages/engine/Source/Core/PolylineVolumeGeometry.js b/packages/engine/Source/Core/PolylineVolumeGeometry.js index 2281749def56..4567b67069b7 100644 --- a/packages/engine/Source/Core/PolylineVolumeGeometry.js +++ b/packages/engine/Source/Core/PolylineVolumeGeometry.js @@ -26,7 +26,7 @@ function computeAttributes( combinedPositions, shape, boundingRectangle, - vertexFormat + vertexFormat, ) { const attributes = new GeometryAttributes(); if (vertexFormat.position) { @@ -150,7 +150,7 @@ function computeAttributes( } catch (e) { oneTimeWarning( "polyline-volume-tangent-bitangent", - "Unable to compute tangents and bitangents for polyline volume geometry" + "Unable to compute tangents and bitangents for polyline volume geometry", ); //TODO https://github.com/CesiumGS/cesium/issues/3609 } @@ -223,15 +223,15 @@ function PolylineVolumeGeometry(options) { this._positions = positions; this._shape = shape; this._ellipsoid = Ellipsoid.clone( - defaultValue(options.ellipsoid, Ellipsoid.default) + defaultValue(options.ellipsoid, Ellipsoid.default), ); this._cornerType = defaultValue(options.cornerType, CornerType.ROUNDED); this._vertexFormat = VertexFormat.clone( - defaultValue(options.vertexFormat, VertexFormat.DEFAULT) + defaultValue(options.vertexFormat, VertexFormat.DEFAULT), ); this._granularity = defaultValue( options.granularity, - CesiumMath.RADIANS_PER_DEGREE + CesiumMath.RADIANS_PER_DEGREE, ); this._workerName = "createPolylineVolumeGeometry"; @@ -347,7 +347,7 @@ PolylineVolumeGeometry.unpack = function (array, startingIndex, result) { const vertexFormat = VertexFormat.unpack( array, startingIndex, - scratchVertexFormat + scratchVertexFormat, ); startingIndex += VertexFormat.packedLength; @@ -384,7 +384,7 @@ PolylineVolumeGeometry.createGeometry = function (polylineVolumeGeometry) { const positions = polylineVolumeGeometry._positions; const cleanPositions = arrayRemoveDuplicates( positions, - Cartesian3.equalsEpsilon + Cartesian3.equalsEpsilon, ); let shape2D = polylineVolumeGeometry._shape; shape2D = PolylineVolumeGeometryLibrary.removeDuplicatesFromShape(shape2D); @@ -405,13 +405,13 @@ PolylineVolumeGeometry.createGeometry = function (polylineVolumeGeometry) { shape2D, boundingRectangle, polylineVolumeGeometry, - true + true, ); return computeAttributes( computedPositions, shape2D, boundingRectangle, - polylineVolumeGeometry._vertexFormat + polylineVolumeGeometry._vertexFormat, ); }; export default PolylineVolumeGeometry; diff --git a/packages/engine/Source/Core/PolylineVolumeGeometryLibrary.js b/packages/engine/Source/Core/PolylineVolumeGeometryLibrary.js index 11bad45bf527..6937caab062b 100644 --- a/packages/engine/Source/Core/PolylineVolumeGeometryLibrary.js +++ b/packages/engine/Source/Core/PolylineVolumeGeometryLibrary.js @@ -78,11 +78,11 @@ function computeRotationAngle(start, end, position, ellipsoid) { const tangentPlane = new EllipsoidTangentPlane(position, ellipsoid); const next = tangentPlane.projectPointOntoPlane( Cartesian3.add(position, start, nextScratch), - nextScratch + nextScratch, ); const prev = tangentPlane.projectPointOntoPlane( Cartesian3.add(position, end, prevScratch), - prevScratch + prevScratch, ); const angle = Cartesian2.angleBetween(next, prev); @@ -105,7 +105,7 @@ function addPosition( ellipsoid, height, xScalar, - repeat + repeat, ) { let west = westScratch; let finalPosition = finalPosScratch; @@ -120,7 +120,7 @@ function addPosition( transform = Matrix4.multiplyTransformation( transform, Matrix4.fromRotationTranslation(rotationZ, heightCartesian, translation), - transform + transform, ); const scale = scaleMatrix; scale[0] = xScalar; @@ -131,12 +131,12 @@ function addPosition( finalPosition = Matrix3.multiplyByVector( scale, finalPosition, - finalPosition + finalPosition, ); finalPosition = Matrix4.multiplyByPoint( transform, finalPosition, - finalPosition + finalPosition, ); finalPositions.push(finalPosition.x, finalPosition.y, finalPosition.z); } @@ -153,7 +153,7 @@ function addPositions( finalPositions, ellipsoid, heights, - xScalar + xScalar, ) { for (let i = 0; i < centers.length; i += 3) { const center = Cartesian3.fromArray(centers, i, centerScratch); @@ -165,7 +165,7 @@ function addPositions( ellipsoid, heights[i / 3], xScalar, - 1 + 1, ); } return finalPositions; @@ -233,11 +233,11 @@ function computeRoundCorner( finalPositions, shape, height, - duplicatePoints + duplicatePoints, ) { const angle = Cartesian3.angleBetween( Cartesian3.subtract(startPoint, pivot, scratch1), - Cartesian3.subtract(endPoint, pivot, scratch2) + Cartesian3.subtract(endPoint, pivot, scratch2), ); const granularity = cornerType === CornerType.BEVELED @@ -250,14 +250,14 @@ function computeRoundCorner( Quaternion.fromAxisAngle( Cartesian3.negate(pivot, scratch1), angle / (granularity + 1), - quaterion + quaterion, ), - rotMatrix + rotMatrix, ); } else { m = Matrix3.fromQuaternion( Quaternion.fromAxisAngle(pivot, angle / (granularity + 1), quaterion), - rotMatrix + rotMatrix, ); } @@ -282,7 +282,7 @@ function computeRoundCorner( ellipsoid, height, 1, - repeat + repeat, ); } } else { @@ -300,7 +300,7 @@ function computeRoundCorner( ellipsoid, height, 1, - 1 + 1, ); endPoint = Cartesian3.clone(endPoint, startPointScratch); @@ -318,7 +318,7 @@ function computeRoundCorner( ellipsoid, height, 1, - 1 + 1, ); } @@ -326,7 +326,7 @@ function computeRoundCorner( } PolylineVolumeGeometryLibrary.removeDuplicatesFromShape = function ( - shapePositions + shapePositions, ) { const length = shapePositions.length; const cleanedPositions = []; @@ -346,16 +346,16 @@ PolylineVolumeGeometryLibrary.angleIsGreaterThanPi = function ( forward, backward, position, - ellipsoid + ellipsoid, ) { const tangentPlane = new EllipsoidTangentPlane(position, ellipsoid); const next = tangentPlane.projectPointOntoPlane( Cartesian3.add(position, forward, nextScratch), - nextScratch + nextScratch, ); const prev = tangentPlane.projectPointOntoPlane( Cartesian3.add(position, backward, prevScratch), - prevScratch + prevScratch, ); return prev.x * next.y - prev.y * next.x >= 0.0; @@ -369,7 +369,7 @@ PolylineVolumeGeometryLibrary.computePositions = function ( shape2D, boundingRectangle, geometry, - duplicatePoints + duplicatePoints, ) { const ellipsoid = geometry._ellipsoid; const heights = scaleToSurface(positions, ellipsoid); @@ -415,7 +415,7 @@ PolylineVolumeGeometryLibrary.computePositions = function ( ellipsoid, h0 + heightOffset, 1, - 1 + 1, ); } previousPosition = Cartesian3.clone(position, previousPosition); @@ -428,7 +428,7 @@ PolylineVolumeGeometryLibrary.computePositions = function ( nextPosition = positions[i + 1]; if (position.equals(nextPosition)) { oneTimeWarning( - "Positions are too close and are considered equivalent with rounding error." + "Positions are too close and are considered equivalent with rounding error.", ); continue; } @@ -441,7 +441,7 @@ PolylineVolumeGeometryLibrary.computePositions = function ( const forwardProjection = Cartesian3.multiplyByScalar( surfaceNormal, Cartesian3.dot(forward, surfaceNormal), - scratchForwardProjection + scratchForwardProjection, ); Cartesian3.subtract(forward, forwardProjection, forwardProjection); Cartesian3.normalize(forwardProjection, forwardProjection); @@ -449,7 +449,7 @@ PolylineVolumeGeometryLibrary.computePositions = function ( const backwardProjection = Cartesian3.multiplyByScalar( surfaceNormal, Cartesian3.dot(backward, surfaceNormal), - scratchBackwardProjection + scratchBackwardProjection, ); Cartesian3.subtract(backward, backwardProjection, backwardProjection); Cartesian3.normalize(backwardProjection, backwardProjection); @@ -457,19 +457,19 @@ PolylineVolumeGeometryLibrary.computePositions = function ( const doCorner = !CesiumMath.equalsEpsilon( Math.abs(Cartesian3.dot(forwardProjection, backwardProjection)), 1.0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); if (doCorner) { cornerDirection = Cartesian3.cross( cornerDirection, surfaceNormal, - cornerDirection + cornerDirection, ); cornerDirection = Cartesian3.cross( surfaceNormal, cornerDirection, - cornerDirection + cornerDirection, ); cornerDirection = Cartesian3.normalize(cornerDirection, cornerDirection); const scalar = @@ -477,14 +477,14 @@ PolylineVolumeGeometryLibrary.computePositions = function ( Math.max( 0.25, Cartesian3.magnitude( - Cartesian3.cross(cornerDirection, backward, scratch1) - ) + Cartesian3.cross(cornerDirection, backward, scratch1), + ), ); const leftIsOutside = PolylineVolumeGeometryLibrary.angleIsGreaterThanPi( forward, backward, position, - ellipsoid + ellipsoid, ); if (leftIsOutside) { pivot = Cartesian3.add( @@ -492,14 +492,14 @@ PolylineVolumeGeometryLibrary.computePositions = function ( Cartesian3.multiplyByScalar( cornerDirection, scalar * width, - cornerDirection + cornerDirection, ), - pivot + pivot, ); start = Cartesian3.add( pivot, Cartesian3.multiplyByScalar(left, width, start), - start + start, ); scratch2Array[0] = Cartesian3.clone(previousPosition, scratch2Array[0]); scratch2Array[1] = Cartesian3.clone(start, scratch2Array[1]); @@ -507,7 +507,7 @@ PolylineVolumeGeometryLibrary.computePositions = function ( scratch2Array, h0 + heightOffset, h1 + heightOffset, - granularity + granularity, ); subdividedPositions = PolylinePipeline.generateArc({ positions: scratch2Array, @@ -521,14 +521,14 @@ PolylineVolumeGeometryLibrary.computePositions = function ( finalPositions, ellipsoid, subdividedHeights, - 1 + 1, ); left = Cartesian3.cross(surfaceNormal, forward, left); left = Cartesian3.normalize(left, left); end = Cartesian3.add( pivot, Cartesian3.multiplyByScalar(left, width, end), - end + end, ); if ( cornerType === CornerType.ROUNDED || @@ -544,7 +544,7 @@ PolylineVolumeGeometryLibrary.computePositions = function ( finalPositions, shapeForSides, h1 + heightOffset, - duplicatePoints + duplicatePoints, ); } else { cornerDirection = Cartesian3.negate(cornerDirection, cornerDirection); @@ -556,7 +556,7 @@ PolylineVolumeGeometryLibrary.computePositions = function ( ellipsoid, h1 + heightOffset, scalar, - repeat + repeat, ); } previousPosition = Cartesian3.clone(end, previousPosition); @@ -566,14 +566,14 @@ PolylineVolumeGeometryLibrary.computePositions = function ( Cartesian3.multiplyByScalar( cornerDirection, scalar * width, - cornerDirection + cornerDirection, ), - pivot + pivot, ); start = Cartesian3.add( pivot, Cartesian3.multiplyByScalar(left, -width, start), - start + start, ); scratch2Array[0] = Cartesian3.clone(previousPosition, scratch2Array[0]); scratch2Array[1] = Cartesian3.clone(start, scratch2Array[1]); @@ -581,7 +581,7 @@ PolylineVolumeGeometryLibrary.computePositions = function ( scratch2Array, h0 + heightOffset, h1 + heightOffset, - granularity + granularity, ); subdividedPositions = PolylinePipeline.generateArc({ positions: scratch2Array, @@ -595,14 +595,14 @@ PolylineVolumeGeometryLibrary.computePositions = function ( finalPositions, ellipsoid, subdividedHeights, - 1 + 1, ); left = Cartesian3.cross(surfaceNormal, forward, left); left = Cartesian3.normalize(left, left); end = Cartesian3.add( pivot, Cartesian3.multiplyByScalar(left, -width, end), - end + end, ); if ( cornerType === CornerType.ROUNDED || @@ -618,7 +618,7 @@ PolylineVolumeGeometryLibrary.computePositions = function ( finalPositions, shapeForSides, h1 + heightOffset, - duplicatePoints + duplicatePoints, ); } else { finalPositions = addPosition( @@ -629,7 +629,7 @@ PolylineVolumeGeometryLibrary.computePositions = function ( ellipsoid, h1 + heightOffset, scalar, - repeat + repeat, ); } previousPosition = Cartesian3.clone(end, previousPosition); @@ -644,7 +644,7 @@ PolylineVolumeGeometryLibrary.computePositions = function ( ellipsoid, h0 + heightOffset, 1, - 1 + 1, ); previousPosition = position; } @@ -659,7 +659,7 @@ PolylineVolumeGeometryLibrary.computePositions = function ( scratch2Array, h0 + heightOffset, h1 + heightOffset, - granularity + granularity, ); subdividedPositions = PolylinePipeline.generateArc({ positions: scratch2Array, @@ -673,7 +673,7 @@ PolylineVolumeGeometryLibrary.computePositions = function ( finalPositions, ellipsoid, subdividedHeights, - 1 + 1, ); if (duplicatePoints) { ends = addPosition( @@ -684,7 +684,7 @@ PolylineVolumeGeometryLibrary.computePositions = function ( ellipsoid, h1 + heightOffset, 1, - 1 + 1, ); } diff --git a/packages/engine/Source/Core/PolylineVolumeOutlineGeometry.js b/packages/engine/Source/Core/PolylineVolumeOutlineGeometry.js index 9d2b3ab1878e..6ac4232b505e 100644 --- a/packages/engine/Source/Core/PolylineVolumeOutlineGeometry.js +++ b/packages/engine/Source/Core/PolylineVolumeOutlineGeometry.js @@ -33,7 +33,7 @@ function computeAttributes(positions, shape) { const shapeCount = positionLength / shapeLength; const indices = IndexDatatype.createTypedArray( vertexCount, - 2 * shapeLength * (shapeCount + 1) + 2 * shapeLength * (shapeCount + 1), ); let i, j; let index = 0; @@ -124,12 +124,12 @@ function PolylineVolumeOutlineGeometry(options) { this._positions = positions; this._shape = shape; this._ellipsoid = Ellipsoid.clone( - defaultValue(options.ellipsoid, Ellipsoid.default) + defaultValue(options.ellipsoid, Ellipsoid.default), ); this._cornerType = defaultValue(options.cornerType, CornerType.ROUNDED); this._granularity = defaultValue( options.granularity, - CesiumMath.RADIANS_PER_DEGREE + CesiumMath.RADIANS_PER_DEGREE, ); this._workerName = "createPolylineVolumeOutlineGeometry"; @@ -266,12 +266,12 @@ const brScratch = new BoundingRectangle(); * @returns {Geometry|undefined} The computed vertices and indices. */ PolylineVolumeOutlineGeometry.createGeometry = function ( - polylineVolumeOutlineGeometry + polylineVolumeOutlineGeometry, ) { const positions = polylineVolumeOutlineGeometry._positions; const cleanPositions = arrayRemoveDuplicates( positions, - Cartesian3.equalsEpsilon + Cartesian3.equalsEpsilon, ); let shape2D = polylineVolumeOutlineGeometry._shape; shape2D = PolylineVolumeGeometryLibrary.removeDuplicatesFromShape(shape2D); @@ -292,7 +292,7 @@ PolylineVolumeOutlineGeometry.createGeometry = function ( shape2D, boundingRectangle, polylineVolumeOutlineGeometry, - false + false, ); return computeAttributes(computedPositions, shape2D); }; diff --git a/packages/engine/Source/Core/QuadraticRealPolynomial.js b/packages/engine/Source/Core/QuadraticRealPolynomial.js index 17a0fe1031f8..799ce4637a1d 100644 --- a/packages/engine/Source/Core/QuadraticRealPolynomial.js +++ b/packages/engine/Source/Core/QuadraticRealPolynomial.js @@ -136,7 +136,7 @@ QuadraticRealPolynomial.computeRealRoots = function (a, b, c) { addWithCancellationCheck( b, CesiumMath.sign(b) * Math.sqrt(radicand), - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); if (b > 0.0) { return [q / a, c / q]; diff --git a/packages/engine/Source/Core/QuantizedMeshTerrainData.js b/packages/engine/Source/Core/QuantizedMeshTerrainData.js index f3c8d45d7cad..5f2e17d49051 100644 --- a/packages/engine/Source/Core/QuantizedMeshTerrainData.js +++ b/packages/engine/Source/Core/QuantizedMeshTerrainData.js @@ -153,15 +153,15 @@ function QuantizedMeshTerrainData(options) { const vertexCount = this._quantizedVertices.length / 3; const uValues = (this._uValues = this._quantizedVertices.subarray( 0, - vertexCount + vertexCount, )); const vValues = (this._vValues = this._quantizedVertices.subarray( vertexCount, - 2 * vertexCount + 2 * vertexCount, )); this._heightValues = this._quantizedVertices.subarray( 2 * vertexCount, - 3 * vertexCount + 3 * vertexCount, ); // We don't assume that we can count on the edge vertices being sorted by u or v. @@ -176,22 +176,22 @@ function QuantizedMeshTerrainData(options) { this._westIndices = sortIndicesIfNecessary( options.westIndices, sortByV, - vertexCount + vertexCount, ); this._southIndices = sortIndicesIfNecessary( options.southIndices, sortByU, - vertexCount + vertexCount, ); this._eastIndices = sortIndicesIfNecessary( options.eastIndices, sortByV, - vertexCount + vertexCount, ); this._northIndices = sortIndicesIfNecessary( options.northIndices, sortByU, - vertexCount + vertexCount, ); this._westSkirtHeight = options.westSkirtHeight; @@ -267,7 +267,7 @@ const createMeshTaskName = "createVerticesFromQuantizedTerrainMesh"; const createMeshTaskProcessorNoThrottle = new TaskProcessor(createMeshTaskName); const createMeshTaskProcessorThrottle = new TaskProcessor( createMeshTaskName, - TerrainData.maximumAsynchronousTasks + TerrainData.maximumAsynchronousTasks, ); /** @@ -304,7 +304,7 @@ QuantizedMeshTerrainData.prototype.createMesh = function (options) { const exaggeration = defaultValue(options.exaggeration, 1.0); const exaggerationRelativeHeight = defaultValue( options.exaggerationRelativeHeight, - 0.0 + 0.0, ); const throttle = defaultValue(options.throttle, true); @@ -353,7 +353,7 @@ QuantizedMeshTerrainData.prototype.createMesh = function (options) { that._northIndices.length; const indicesTypedArray = IndexDatatype.createTypedArray( vertexCount, - result.indices + result.indices, ); const vertices = new Float32Array(result.vertices); @@ -364,7 +364,7 @@ QuantizedMeshTerrainData.prototype.createMesh = function (options) { const obb = that._orientedBoundingBox; const occludeePointInScaledSpace = defaultValue( Cartesian3.clone(result.occludeePointInScaledSpace), - that._horizonOcclusionPoint + that._horizonOcclusionPoint, ); const stride = result.vertexStride; const terrainEncoding = TerrainEncoding.clone(result.encoding); @@ -387,7 +387,7 @@ QuantizedMeshTerrainData.prototype.createMesh = function (options) { result.westIndicesSouthToNorth, result.southIndicesEastToWest, result.eastIndicesNorthToSouth, - result.northIndicesWestToEast + result.northIndicesWestToEast, ); // Free memory received from server after mesh is created. @@ -410,7 +410,7 @@ QuantizedMeshTerrainData.prototype.createMesh = function (options) { const upsampleTaskProcessor = new TaskProcessor( "upsampleQuantizedTerrainMesh", - TerrainData.maximumAsynchronousTasks + TerrainData.maximumAsynchronousTasks, ); /** @@ -435,7 +435,7 @@ QuantizedMeshTerrainData.prototype.upsample = function ( thisLevel, descendantX, descendantY, - descendantLevel + descendantLevel, ) { //>>includeStart('debug', pragmas.debug); if (!defined(tilingScheme)) { @@ -462,7 +462,7 @@ QuantizedMeshTerrainData.prototype.upsample = function ( const levelDifference = descendantLevel - thisLevel; if (levelDifference > 1) { throw new DeveloperError( - "Upsampling through more than one level at a time is not currently supported." + "Upsampling through more than one level at a time is not currently supported.", ); } //>>includeEnd('debug'); @@ -479,7 +479,7 @@ QuantizedMeshTerrainData.prototype.upsample = function ( const childRectangle = tilingScheme.tileXYToRectangle( descendantX, descendantY, - descendantLevel + descendantLevel, ); const upsamplePromise = upsampleTaskProcessor.scheduleTask({ @@ -523,7 +523,7 @@ QuantizedMeshTerrainData.prototype.upsample = function ( const quantizedVertices = new Uint16Array(result.vertices); const indicesTypedArray = IndexDatatype.createTypedArray( quantizedVertices.length / 3, - result.indices + result.indices, ); let encodedNormals; if (defined(result.encodedNormals)) { @@ -538,7 +538,7 @@ QuantizedMeshTerrainData.prototype.upsample = function ( maximumHeight: result.maximumHeight, boundingSphere: BoundingSphere.clone(result.boundingSphere), orientedBoundingBox: OrientedBoundingBox.clone( - result.orientedBoundingBox + result.orientedBoundingBox, ), horizonOcclusionPoint: Cartesian3.clone(result.horizonOcclusionPoint), westIndices: result.westIndices, @@ -571,18 +571,18 @@ const barycentricCoordinateScratch = new Cartesian3(); QuantizedMeshTerrainData.prototype.interpolateHeight = function ( rectangle, longitude, - latitude + latitude, ) { let u = CesiumMath.clamp( (longitude - rectangle.west) / rectangle.width, 0.0, - 1.0 + 1.0, ); u *= maxShort; let v = CesiumMath.clamp( (latitude - rectangle.south) / rectangle.height, 0.0, - 1.0 + 1.0, ); v *= maxShort; @@ -619,17 +619,17 @@ function interpolateMeshHeight(terrainData, u, v) { const uv0 = encoding.decodeTextureCoordinates( vertices, i0, - texCoordScratch0 + texCoordScratch0, ); const uv1 = encoding.decodeTextureCoordinates( vertices, i1, - texCoordScratch1 + texCoordScratch1, ); const uv2 = encoding.decodeTextureCoordinates( vertices, i2, - texCoordScratch2 + texCoordScratch2, ); if (pointInBoundingBox(u, v, uv0.x, uv0.y, uv1.x, uv1.y, uv2.x, uv2.y)) { @@ -642,7 +642,7 @@ function interpolateMeshHeight(terrainData, u, v) { uv1.y, uv2.x, uv2.y, - barycentricCoordinateScratch + barycentricCoordinateScratch, ); if ( barycentric.x >= -1e-15 && @@ -690,7 +690,7 @@ function interpolateHeight(terrainData, u, v) { v1, u2, v2, - barycentricCoordinateScratch + barycentricCoordinateScratch, ); if ( barycentric.x >= -1e-15 && @@ -704,7 +704,7 @@ function interpolateHeight(terrainData, u, v) { return CesiumMath.lerp( terrainData._minimumHeight, terrainData._maximumHeight, - quantizedHeight / maxShort + quantizedHeight / maxShort, ); } } @@ -730,7 +730,7 @@ QuantizedMeshTerrainData.prototype.isChildAvailable = function ( thisX, thisY, childX, - childY + childY, ) { //>>includeStart('debug', pragmas.debug); if (!defined(thisX)) { diff --git a/packages/engine/Source/Core/QuarticRealPolynomial.js b/packages/engine/Source/Core/QuarticRealPolynomial.js index c71888ad4fae..487caa48e5e8 100644 --- a/packages/engine/Source/Core/QuarticRealPolynomial.js +++ b/packages/engine/Source/Core/QuarticRealPolynomial.js @@ -88,7 +88,7 @@ function original(a3, a2, a1, a0) { 1.0, 2.0 * p, p * p - 4.0 * r, - -q * q + -q * q, ); if (cubicRoots.length > 0) { diff --git a/packages/engine/Source/Core/Quaternion.js b/packages/engine/Source/Core/Quaternion.js index 333e353b7816..b91289d9662d 100644 --- a/packages/engine/Source/Core/Quaternion.js +++ b/packages/engine/Source/Core/Quaternion.js @@ -136,7 +136,7 @@ Quaternion.fromRotationMatrix = function (matrix, result) { matrix[Matrix3.getElementIndex(i, i)] - matrix[Matrix3.getElementIndex(j, j)] - matrix[Matrix3.getElementIndex(k, k)] + - 1.0 + 1.0, ); const quat = fromRotationMatrixQuat; @@ -192,22 +192,22 @@ Quaternion.fromHeadingPitchRoll = function (headingPitchRoll, result) { scratchRollQuaternion = Quaternion.fromAxisAngle( Cartesian3.UNIT_X, headingPitchRoll.roll, - scratchHPRQuaternion + scratchHPRQuaternion, ); scratchPitchQuaternion = Quaternion.fromAxisAngle( Cartesian3.UNIT_Y, -headingPitchRoll.pitch, - result + result, ); result = Quaternion.multiply( scratchPitchQuaternion, scratchRollQuaternion, - scratchPitchQuaternion + scratchPitchQuaternion, ); scratchHeadingQuaternion = Quaternion.fromAxisAngle( Cartesian3.UNIT_Z, -headingPitchRoll.heading, - scratchHPRQuaternion + scratchHPRQuaternion, ); return Quaternion.multiply(scratchHeadingQuaternion, result, result); }; @@ -292,16 +292,16 @@ Quaternion.convertPackedArrayForInterpolation = function ( packedArray, startingIndex, lastIndex, - result + result, ) { Quaternion.unpack( packedArray, lastIndex * 4, - sampledQuaternionQuaternion0Conjugate + sampledQuaternionQuaternion0Conjugate, ); Quaternion.conjugate( sampledQuaternionQuaternion0Conjugate, - sampledQuaternionQuaternion0Conjugate + sampledQuaternionQuaternion0Conjugate, ); for (let i = 0, len = lastIndex - startingIndex + 1; i < len; i++) { @@ -309,25 +309,25 @@ Quaternion.convertPackedArrayForInterpolation = function ( Quaternion.unpack( packedArray, (startingIndex + i) * 4, - sampledQuaternionTempQuaternion + sampledQuaternionTempQuaternion, ); Quaternion.multiply( sampledQuaternionTempQuaternion, sampledQuaternionQuaternion0Conjugate, - sampledQuaternionTempQuaternion + sampledQuaternionTempQuaternion, ); if (sampledQuaternionTempQuaternion.w < 0) { Quaternion.negate( sampledQuaternionTempQuaternion, - sampledQuaternionTempQuaternion + sampledQuaternionTempQuaternion, ); } Quaternion.computeAxis( sampledQuaternionTempQuaternion, - sampledQuaternionAxis + sampledQuaternionAxis, ); const angle = Quaternion.computeAngle(sampledQuaternionTempQuaternion); if (!defined(result)) { @@ -354,7 +354,7 @@ Quaternion.unpackInterpolationResult = function ( sourceArray, firstIndex, lastIndex, - result + result, ) { if (!defined(result)) { result = new Quaternion(); @@ -370,14 +370,14 @@ Quaternion.unpackInterpolationResult = function ( Quaternion.fromAxisAngle( sampledQuaternionRotation, magnitude, - sampledQuaternionTempQuaternion + sampledQuaternionTempQuaternion, ); } return Quaternion.multiply( sampledQuaternionTempQuaternion, sampledQuaternionQuaternion0, - result + result, ); }; @@ -398,7 +398,7 @@ Quaternion.clone = function (quaternion, result) { quaternion.x, quaternion.y, quaternion.z, - quaternion.w + quaternion.w, ); } @@ -776,12 +776,12 @@ Quaternion.slerp = function (start, end, t, result) { slerpScaledP = Quaternion.multiplyByScalar( start, Math.sin((1 - t) * theta), - slerpScaledP + slerpScaledP, ); slerpScaledR = Quaternion.multiplyByScalar( r, Math.sin(t * theta), - slerpScaledR + slerpScaledR, ); result = Quaternion.add(slerpScaledP, slerpScaledR, result); return Quaternion.multiplyByScalar(result, 1.0 / Math.sin(theta), result); @@ -1006,7 +1006,7 @@ Quaternion.fastSlerp = function (start, end, t, result) { const temp = Quaternion.multiplyByScalar( start, cD, - fastSlerpScratchQuaternion + fastSlerpScratchQuaternion, ); Quaternion.multiplyByScalar(end, cT, result); return Quaternion.add(temp, result, result); diff --git a/packages/engine/Source/Core/QuaternionSpline.js b/packages/engine/Source/Core/QuaternionSpline.js index a469c068c92f..bf7bd05e5bd6 100644 --- a/packages/engine/Source/Core/QuaternionSpline.js +++ b/packages/engine/Source/Core/QuaternionSpline.js @@ -15,7 +15,7 @@ function createEvaluateFunction(spline) { } const i = (spline._lastTimeIndex = spline.findTimeInterval( time, - spline._lastTimeIndex + spline._lastTimeIndex, )); const u = (time - times[i]) / (times[i + 1] - times[i]); @@ -61,7 +61,7 @@ function QuaternionSpline(options) { } if (points.length < 2) { throw new DeveloperError( - "points.length must be greater than or equal to 2." + "points.length must be greater than or equal to 2.", ); } if (times.length !== points.length) { diff --git a/packages/engine/Source/Core/Rectangle.js b/packages/engine/Source/Core/Rectangle.js index f8e4f025539f..915a5289f909 100644 --- a/packages/engine/Source/Core/Rectangle.js +++ b/packages/engine/Source/Core/Rectangle.js @@ -383,18 +383,18 @@ Rectangle.fromBoundingSphere = function (boundingSphere, ellipsoid, result) { const fromENU = Transforms.eastNorthUpToFixedFrame( center, ellipsoid, - fromBoundingSphereMatrixScratch + fromBoundingSphereMatrixScratch, ); const east = Matrix4.multiplyByPointAsVector( fromENU, Cartesian3.UNIT_X, - fromBoundingSphereEastScratch + fromBoundingSphereEastScratch, ); Cartesian3.normalize(east, east); const north = Matrix4.multiplyByPointAsVector( fromENU, Cartesian3.UNIT_Y, - fromBoundingSphereNorthScratch + fromBoundingSphereNorthScratch, ); Cartesian3.normalize(north, north); @@ -444,7 +444,7 @@ Rectangle.clone = function (rectangle, result) { rectangle.west, rectangle.south, rectangle.east, - rectangle.north + rectangle.north, ); } @@ -547,7 +547,7 @@ Rectangle.prototype.equalsEpsilon = function (other, epsilon) { Rectangle.validate = function (rectangle) { deprecationWarning( "Rectangle.validate", - "Rectangle.validate is a no-op and has been deprecated. It will be removed in Cesium 1.124." + "Rectangle.validate is a no-op and has been deprecated. It will be removed in Cesium 1.124.", ); return Rectangle._validate(rectangle); }; @@ -571,7 +571,7 @@ Rectangle._validate = function (rectangle) { Check.typeOf.number.greaterThanOrEquals( "north", north, - -CesiumMath.PI_OVER_TWO + -CesiumMath.PI_OVER_TWO, ); Check.typeOf.number.lessThanOrEquals("north", north, CesiumMath.PI_OVER_TWO); @@ -579,7 +579,7 @@ Rectangle._validate = function (rectangle) { Check.typeOf.number.greaterThanOrEquals( "south", south, - -CesiumMath.PI_OVER_TWO + -CesiumMath.PI_OVER_TWO, ); Check.typeOf.number.lessThanOrEquals("south", south, CesiumMath.PI_OVER_TWO); @@ -746,10 +746,10 @@ Rectangle.intersection = function (rectangle, otherRectangle, result) { } const west = CesiumMath.negativePiToPi( - Math.max(rectangleWest, otherRectangleWest) + Math.max(rectangleWest, otherRectangleWest), ); const east = CesiumMath.negativePiToPi( - Math.min(rectangleEast, otherRectangleEast) + Math.min(rectangleEast, otherRectangleEast), ); if ( @@ -851,10 +851,10 @@ Rectangle.union = function (rectangle, otherRectangle, result) { } const west = CesiumMath.negativePiToPi( - Math.min(rectangleWest, otherRectangleWest) + Math.min(rectangleWest, otherRectangleWest), ); const east = CesiumMath.negativePiToPi( - Math.max(rectangleEast, otherRectangleEast) + Math.max(rectangleEast, otherRectangleEast), ); result.west = west; @@ -1021,7 +1021,7 @@ Rectangle.subsection = function ( southLerp, eastLerp, northLerp, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.object("rectangle", rectangle); @@ -1086,7 +1086,7 @@ Rectangle.MAX_VALUE = Object.freeze( -Math.PI, -CesiumMath.PI_OVER_TWO, Math.PI, - CesiumMath.PI_OVER_TWO - ) + CesiumMath.PI_OVER_TWO, + ), ); export default Rectangle; diff --git a/packages/engine/Source/Core/RectangleCollisionChecker.js b/packages/engine/Source/Core/RectangleCollisionChecker.js index bb8cdb516840..2c7d5720e7eb 100644 --- a/packages/engine/Source/Core/RectangleCollisionChecker.js +++ b/packages/engine/Source/Core/RectangleCollisionChecker.js @@ -42,7 +42,7 @@ RectangleCollisionChecker.prototype.insert = function (id, rectangle) { const withId = RectangleWithId.fromRectangleAndId( id, rectangle, - new RectangleWithId() + new RectangleWithId(), ); this._tree.insert(withId); }; @@ -68,7 +68,7 @@ RectangleCollisionChecker.prototype.remove = function (id, rectangle) { const withId = RectangleWithId.fromRectangleAndId( id, rectangle, - removalScratch + removalScratch, ); this._tree.remove(withId, idCompare); }; @@ -88,7 +88,7 @@ RectangleCollisionChecker.prototype.collides = function (rectangle) { const withId = RectangleWithId.fromRectangleAndId( "", rectangle, - collisionScratch + collisionScratch, ); return this._tree.collides(withId); }; diff --git a/packages/engine/Source/Core/RectangleGeometry.js b/packages/engine/Source/Core/RectangleGeometry.js index f8aff645f986..53bfe23d43d1 100644 --- a/packages/engine/Source/Core/RectangleGeometry.js +++ b/packages/engine/Source/Core/RectangleGeometry.js @@ -73,7 +73,7 @@ function calculateAttributes( positions, vertexFormat, ellipsoid, - tangentRotationMatrix + tangentRotationMatrix, ) { const length = positions.length; @@ -102,7 +102,7 @@ function calculateAttributes( if (vertexFormat.bitangent) { Cartesian3.normalize( Cartesian3.cross(normal, tangent, bitangent), - bitangent + bitangent, ); } } @@ -175,7 +175,7 @@ function calculateAttributesWall(positions, vertexFormat, ellipsoid) { if (vertexFormat.tangent) { tangent = Cartesian3.normalize( Cartesian3.cross(bitangent, normal, tangent), - tangent + tangent, ); } } @@ -268,7 +268,7 @@ function constructRectangle(rectangleGeometry, computedOptions) { row, col, position, - st + st, ); positions[posIndex++] = position.x; @@ -294,7 +294,7 @@ function constructRectangle(rectangleGeometry, computedOptions) { 0, 0, position, - st + st, ); positions[posIndex++] = position.x; @@ -319,7 +319,7 @@ function constructRectangle(rectangleGeometry, computedOptions) { height - 1, 0, position, - st + st, ); positions[posIndex++] = position.x; @@ -352,7 +352,7 @@ function constructRectangle(rectangleGeometry, computedOptions) { positions, vertexFormat, ellipsoid, - computedOptions.tangentRotationMatrix + computedOptions.tangentRotationMatrix, ); let indicesSize = 6 * (width - 1) * (rowHeight - 1); @@ -433,7 +433,7 @@ function addWallPositions( posIndex, i, topPositions, - bottomPositions + bottomPositions, ) { wallPositions[posIndex++] = topPositions[i]; wallPositions[posIndex++] = topPositions[i + 1]; @@ -470,7 +470,7 @@ function constructExtrudedRectangle(rectangleGeometry, computedOptions) { if (shadowVolume) { const newVertexFormat = VertexFormat.clone( vertexFormat, - scratchVertexFormat + scratchVertexFormat, ); newVertexFormat.normal = true; rectangleGeometry._vertexFormat = newVertexFormat; @@ -486,7 +486,7 @@ function constructExtrudedRectangle(rectangleGeometry, computedOptions) { topBottomGeo.attributes.position.values, maxHeight, ellipsoid, - false + false, ); topPositions = new Float64Array(topPositions); let length = topPositions.length; @@ -496,7 +496,7 @@ function constructExtrudedRectangle(rectangleGeometry, computedOptions) { const bottomPositions = PolygonPipeline.scaleToGeodeticHeight( topBottomGeo.attributes.position.values, minHeight, - ellipsoid + ellipsoid, ); positions.set(bottomPositions, length); topBottomGeo.attributes.position.values = positions; @@ -586,7 +586,7 @@ function constructExtrudedRectangle(rectangleGeometry, computedOptions) { const posLength = length / 3; const newIndices = IndexDatatype.createTypedArray( newLength / 3, - indicesLength * 2 + indicesLength * 2, ); newIndices.set(indices); for (i = 0; i < indicesLength; i += 3) { @@ -651,7 +651,7 @@ function constructExtrudedRectangle(rectangleGeometry, computedOptions) { posIndex, threeI, topPositions, - bottomPositions + bottomPositions, ); posIndex += 6; if (vertexFormat.st) { @@ -659,7 +659,7 @@ function constructExtrudedRectangle(rectangleGeometry, computedOptions) { wallTextures, stIndex, i * 2, - topSt + topSt, ); stIndex += 4; } @@ -683,7 +683,7 @@ function constructExtrudedRectangle(rectangleGeometry, computedOptions) { posIndex, threeI, topPositions, - bottomPositions + bottomPositions, ); posIndex += 6; if (vertexFormat.st) { @@ -691,7 +691,7 @@ function constructExtrudedRectangle(rectangleGeometry, computedOptions) { wallTextures, stIndex, i * 2, - topSt + topSt, ); stIndex += 4; } @@ -717,7 +717,7 @@ function constructExtrudedRectangle(rectangleGeometry, computedOptions) { posIndex, threeI, topPositions, - bottomPositions + bottomPositions, ); posIndex += 6; if (vertexFormat.st) { @@ -725,7 +725,7 @@ function constructExtrudedRectangle(rectangleGeometry, computedOptions) { wallTextures, stIndex, southIndex * 2, - topSt + topSt, ); stIndex += 4; } @@ -749,7 +749,7 @@ function constructExtrudedRectangle(rectangleGeometry, computedOptions) { posIndex, threeI, topPositions, - bottomPositions + bottomPositions, ); posIndex += 6; if (vertexFormat.st) { @@ -757,7 +757,7 @@ function constructExtrudedRectangle(rectangleGeometry, computedOptions) { wallTextures, stIndex, i * 2, - topSt + topSt, ); stIndex += 4; } @@ -781,7 +781,7 @@ function constructExtrudedRectangle(rectangleGeometry, computedOptions) { posIndex, threeI, topPositions, - bottomPositions + bottomPositions, ); posIndex += 6; if (vertexFormat.st) { @@ -789,7 +789,7 @@ function constructExtrudedRectangle(rectangleGeometry, computedOptions) { wallTextures, stIndex, i * 2, - topSt + topSt, ); stIndex += 4; } @@ -815,7 +815,7 @@ function constructExtrudedRectangle(rectangleGeometry, computedOptions) { posIndex, threeI, topPositions, - bottomPositions + bottomPositions, ); posIndex += 6; if (vertexFormat.st) { @@ -823,7 +823,7 @@ function constructExtrudedRectangle(rectangleGeometry, computedOptions) { wallTextures, stIndex, northIndex * 2, - topSt + topSt, ); stIndex += 4; } @@ -866,7 +866,7 @@ function constructExtrudedRectangle(rectangleGeometry, computedOptions) { const wallIndices = IndexDatatype.createTypedArray( wallCount, - perimeterPositions * 6 + perimeterPositions * 6, ); let upperLeft; @@ -926,7 +926,7 @@ function computeRectangle(rectangle, granularity, rotation, ellipsoid, result) { rotation, 0, rectangleScratch, - nwScratch + nwScratch, ); const height = computedOptions.height; @@ -939,7 +939,7 @@ function computeRectangle(rectangle, granularity, rotation, ellipsoid, result) { false, 0, 0, - positions[0] + positions[0], ); RectangleGeometryLibrary.computePosition( computedOptions, @@ -947,7 +947,7 @@ function computeRectangle(rectangle, granularity, rotation, ellipsoid, result) { false, 0, width - 1, - positions[1] + positions[1], ); RectangleGeometryLibrary.computePosition( computedOptions, @@ -955,7 +955,7 @@ function computeRectangle(rectangle, granularity, rotation, ellipsoid, result) { false, height - 1, 0, - positions[2] + positions[2], ); RectangleGeometryLibrary.computePosition( computedOptions, @@ -963,7 +963,7 @@ function computeRectangle(rectangle, granularity, rotation, ellipsoid, result) { false, height - 1, width - 1, - positions[3] + positions[3], ); return Rectangle.fromCartesianArray(positions, ellipsoid, result); @@ -1023,7 +1023,7 @@ function RectangleGeometry(options) { Rectangle._validate(rectangle); if (rectangle.north < rectangle.south) { throw new DeveloperError( - "options.rectangle.north must be greater than or equal to options.rectangle.south" + "options.rectangle.north must be greater than or equal to options.rectangle.south", ); } //>>includeEnd('debug'); @@ -1034,16 +1034,16 @@ function RectangleGeometry(options) { this._rectangle = Rectangle.clone(rectangle); this._granularity = defaultValue( options.granularity, - CesiumMath.RADIANS_PER_DEGREE + CesiumMath.RADIANS_PER_DEGREE, ); this._ellipsoid = Ellipsoid.clone( - defaultValue(options.ellipsoid, Ellipsoid.default) + defaultValue(options.ellipsoid, Ellipsoid.default), ); this._surfaceHeight = Math.max(height, extrudedHeight); this._rotation = defaultValue(options.rotation, 0.0); this._stRotation = defaultValue(options.stRotation, 0.0); this._vertexFormat = VertexFormat.clone( - defaultValue(options.vertexFormat, VertexFormat.DEFAULT) + defaultValue(options.vertexFormat, VertexFormat.DEFAULT), ); this._extrudedHeight = Math.min(height, extrudedHeight); this._shadowVolume = defaultValue(options.shadowVolume, false); @@ -1140,7 +1140,7 @@ RectangleGeometry.unpack = function (array, startingIndex, result) { const vertexFormat = VertexFormat.unpack( array, startingIndex, - scratchVertexFormat + scratchVertexFormat, ); startingIndex += VertexFormat.packedLength; @@ -1202,14 +1202,14 @@ RectangleGeometry.computeRectangle = function (options, result) { Rectangle._validate(rectangle); if (rectangle.north < rectangle.south) { throw new DeveloperError( - "options.rectangle.north must be greater than or equal to options.rectangle.south" + "options.rectangle.north must be greater than or equal to options.rectangle.south", ); } //>>includeEnd('debug'); const granularity = defaultValue( options.granularity, - CesiumMath.RADIANS_PER_DEGREE + CesiumMath.RADIANS_PER_DEGREE, ); const ellipsoid = defaultValue(options.ellipsoid, Ellipsoid.default); const rotation = defaultValue(options.rotation, 0.0); @@ -1233,12 +1233,12 @@ RectangleGeometry.createGeometry = function (rectangleGeometry) { CesiumMath.equalsEpsilon( rectangleGeometry._rectangle.north, rectangleGeometry._rectangle.south, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ) || CesiumMath.equalsEpsilon( rectangleGeometry._rectangle.east, rectangleGeometry._rectangle.west, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ) ) { return undefined; @@ -1257,7 +1257,7 @@ RectangleGeometry.createGeometry = function (rectangleGeometry) { stRotation, rectangleScratch, nwScratch, - stNwScratch + stNwScratch, ); const tangentRotationMatrix = tangentRotationMatrixScratch; @@ -1276,7 +1276,7 @@ RectangleGeometry.createGeometry = function (rectangleGeometry) { surfaceHeight, extrudedHeight, 0, - CesiumMath.EPSILON2 + CesiumMath.EPSILON2, ); computedOptions.lonScalar = 1.0 / rectangleGeometry._rectangle.width; @@ -1292,13 +1292,13 @@ RectangleGeometry.createGeometry = function (rectangleGeometry) { rectangle, ellipsoid, surfaceHeight, - topBoundingSphere + topBoundingSphere, ); const bottomBS = BoundingSphere.fromRectangle3D( rectangle, ellipsoid, extrudedHeight, - bottomBoundingSphere + bottomBoundingSphere, ); boundingSphere = BoundingSphere.union(topBS, bottomBS); } else { @@ -1307,7 +1307,7 @@ RectangleGeometry.createGeometry = function (rectangleGeometry) { geometry.attributes.position.values, surfaceHeight, ellipsoid, - false + false, ); if (defined(rectangleGeometry._offsetAttribute)) { @@ -1327,7 +1327,7 @@ RectangleGeometry.createGeometry = function (rectangleGeometry) { boundingSphere = BoundingSphere.fromRectangle3D( rectangle, ellipsoid, - surfaceHeight + surfaceHeight, ); } @@ -1350,7 +1350,7 @@ RectangleGeometry.createGeometry = function (rectangleGeometry) { RectangleGeometry.createShadowVolume = function ( rectangleGeometry, minHeightFunc, - maxHeightFunc + maxHeightFunc, ) { const granularity = rectangleGeometry._granularity; const ellipsoid = rectangleGeometry._ellipsoid; @@ -1383,7 +1383,7 @@ function textureCoordinateRotationPoints(rectangleGeometry) { const rectangle = Rectangle.clone( rectangleGeometry._rectangle, - unrotatedTextureRectangleScratch + unrotatedTextureRectangleScratch, ); const granularity = rectangleGeometry._granularity; const ellipsoid = rectangleGeometry._ellipsoid; @@ -1396,7 +1396,7 @@ function textureCoordinateRotationPoints(rectangleGeometry) { granularity, rotation, ellipsoid, - unrotatedTextureRectangleScratch + unrotatedTextureRectangleScratch, ); // Assume a computed "east-north" texture coordinate system based on spherical or planar tricks, bounded by `boundingRectangle`. @@ -1420,11 +1420,11 @@ function textureCoordinateRotationPoints(rectangleGeometry) { const boundingRectangle = rectangleGeometry.rectangle; const toDesiredInComputed = Matrix2.fromRotation( rectangleGeometry._stRotation, - rotation2DScratch + rotation2DScratch, ); const boundingRectangleCenter = Rectangle.center( boundingRectangle, - rectangleCenterScratch + rectangleCenterScratch, ); for (let i = 0; i < 3; ++i) { @@ -1462,7 +1462,7 @@ Object.defineProperties(RectangleGeometry.prototype, { this._rectangle, this._granularity, this._rotation, - this._ellipsoid + this._ellipsoid, ); } return this._rotatedRectangle; @@ -1478,9 +1478,8 @@ Object.defineProperties(RectangleGeometry.prototype, { textureCoordinateRotationPoints: { get: function () { if (!defined(this._textureCoordinateRotationPoints)) { - this._textureCoordinateRotationPoints = textureCoordinateRotationPoints( - this - ); + this._textureCoordinateRotationPoints = + textureCoordinateRotationPoints(this); } return this._textureCoordinateRotationPoints; }, diff --git a/packages/engine/Source/Core/RectangleGeometryLibrary.js b/packages/engine/Source/Core/RectangleGeometryLibrary.js index 4f4d4fd13e05..d374d5f8ce95 100644 --- a/packages/engine/Source/Core/RectangleGeometryLibrary.js +++ b/packages/engine/Source/Core/RectangleGeometryLibrary.js @@ -27,7 +27,7 @@ RectangleGeometryLibrary.computePosition = function ( row, col, position, - st + st, ) { const radiiSquared = ellipsoid.radiiSquared; const nwCorner = computedOptions.nwCorner; @@ -91,7 +91,7 @@ function getRotationOptions( granularityY, center, width, - height + height, ) { const cosRotation = Math.cos(rotation); const granYCos = granularityY * cosRotation; @@ -109,7 +109,7 @@ function getRotationOptions( nwCartesian = Matrix2.multiplyByVector( rotationMatrix, nwCartesian, - nwCartesian + nwCartesian, ); nwCartesian = Cartesian3.add(nwCartesian, centerCartesian, nwCartesian); nwCorner = proj.unproject(nwCartesian, nwCorner); @@ -156,7 +156,7 @@ RectangleGeometryLibrary.computeOptions = function ( stRotation, boundingRectangleScratch, nwCornerResult, - stNwCornerResult + stNwCornerResult, ) { let east = rectangle.east; let west = rectangle.west; @@ -203,7 +203,7 @@ RectangleGeometryLibrary.computeOptions = function ( const boundingRectangle = Rectangle.clone( rectangle, - boundingRectangleScratch + boundingRectangleScratch, ); const computedOptions = { @@ -227,7 +227,7 @@ RectangleGeometryLibrary.computeOptions = function ( granularityY, center, width, - height + height, ); north = rotationOptions.north; south = rotationOptions.south; @@ -242,7 +242,7 @@ RectangleGeometryLibrary.computeOptions = function ( south > CesiumMath.PI_OVER_TWO ) { throw new DeveloperError( - "Rotated rectangle is invalid. It crosses over either the north or south pole." + "Rotated rectangle is invalid. It crosses over either the north or south pole.", ); } //>>includeEnd('debug') @@ -269,7 +269,7 @@ RectangleGeometryLibrary.computeOptions = function ( granularityY, center, width, - height + height, ); computedOptions.stGranYCos = stRotationOptions.granYCos; diff --git a/packages/engine/Source/Core/RectangleOutlineGeometry.js b/packages/engine/Source/Core/RectangleOutlineGeometry.js index b58bc6a994b3..d831c29ffc2f 100644 --- a/packages/engine/Source/Core/RectangleOutlineGeometry.js +++ b/packages/engine/Source/Core/RectangleOutlineGeometry.js @@ -60,7 +60,7 @@ function constructRectangle(geometry, computedOptions) { false, row, 0, - position + position, ); positions[posIndex++] = position.x; positions[posIndex++] = position.y; @@ -73,7 +73,7 @@ function constructRectangle(geometry, computedOptions) { false, row, col, - position + position, ); positions[posIndex++] = position.x; positions[posIndex++] = position.y; @@ -89,7 +89,7 @@ function constructRectangle(geometry, computedOptions) { false, row, col, - position + position, ); positions[posIndex++] = position.x; positions[posIndex++] = position.y; @@ -106,7 +106,7 @@ function constructRectangle(geometry, computedOptions) { false, row, col, - position + position, ); positions[posIndex++] = position.x; positions[posIndex++] = position.y; @@ -122,7 +122,7 @@ function constructRectangle(geometry, computedOptions) { false, row, col, - position + position, ); positions[posIndex++] = position.x; positions[posIndex++] = position.y; @@ -132,7 +132,7 @@ function constructRectangle(geometry, computedOptions) { const indicesSize = (positions.length / 3) * 2; const indices = IndexDatatype.createTypedArray( positions.length / 3, - indicesSize + indicesSize, ); let index = 0; @@ -171,7 +171,7 @@ function constructExtrudedRectangle(rectangleGeometry, computedOptions) { geo.attributes.position.values, maxHeight, ellipsoid, - false + false, ); let length = topPositions.length; const positions = new Float64Array(length * 2); @@ -179,7 +179,7 @@ function constructExtrudedRectangle(rectangleGeometry, computedOptions) { const bottomPositions = PolygonPipeline.scaleToGeodeticHeight( geo.attributes.position.values, minHeight, - ellipsoid + ellipsoid, ); positions.set(bottomPositions, length); geo.attributes.position.values = positions; @@ -197,7 +197,7 @@ function constructExtrudedRectangle(rectangleGeometry, computedOptions) { const indicesSize = (positions.length / 3 + corners) * 2; const indices = IndexDatatype.createTypedArray( positions.length / 3, - indicesSize + indicesSize, ); length = positions.length / 6; let index = 0; @@ -275,7 +275,7 @@ function RectangleOutlineGeometry(options) { const rectangle = options.rectangle; const granularity = defaultValue( options.granularity, - CesiumMath.RADIANS_PER_DEGREE + CesiumMath.RADIANS_PER_DEGREE, ); const ellipsoid = defaultValue(options.ellipsoid, Ellipsoid.default); const rotation = defaultValue(options.rotation, 0.0); @@ -287,7 +287,7 @@ function RectangleOutlineGeometry(options) { Rectangle._validate(rectangle); if (rectangle.north < rectangle.south) { throw new DeveloperError( - "options.rectangle.north must be greater than options.rectangle.south" + "options.rectangle.north must be greater than options.rectangle.south", ); } //>>includeEnd('debug'); @@ -430,7 +430,7 @@ RectangleOutlineGeometry.createGeometry = function (rectangleGeometry) { rectangleGeometry._rotation, 0, rectangleScratch, - nwScratch + nwScratch, ); let geometry; @@ -440,12 +440,12 @@ RectangleOutlineGeometry.createGeometry = function (rectangleGeometry) { CesiumMath.equalsEpsilon( rectangle.north, rectangle.south, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ) || CesiumMath.equalsEpsilon( rectangle.east, rectangle.west, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ) ) { return undefined; @@ -457,7 +457,7 @@ RectangleOutlineGeometry.createGeometry = function (rectangleGeometry) { surfaceHeight, extrudedHeight, 0, - CesiumMath.EPSILON2 + CesiumMath.EPSILON2, ); let offsetValue; if (extrude) { @@ -485,13 +485,13 @@ RectangleOutlineGeometry.createGeometry = function (rectangleGeometry) { rectangle, ellipsoid, surfaceHeight, - topBoundingSphere + topBoundingSphere, ); const bottomBS = BoundingSphere.fromRectangle3D( rectangle, ellipsoid, extrudedHeight, - bottomBoundingSphere + bottomBoundingSphere, ); boundingSphere = BoundingSphere.union(topBS, bottomBS); } else { @@ -500,7 +500,7 @@ RectangleOutlineGeometry.createGeometry = function (rectangleGeometry) { geometry.attributes.position.values, surfaceHeight, ellipsoid, - false + false, ); if (defined(rectangleGeometry._offsetAttribute)) { @@ -520,7 +520,7 @@ RectangleOutlineGeometry.createGeometry = function (rectangleGeometry) { boundingSphere = BoundingSphere.fromRectangle3D( rectangle, ellipsoid, - surfaceHeight + surfaceHeight, ); } diff --git a/packages/engine/Source/Core/RequestScheduler.js b/packages/engine/Source/Core/RequestScheduler.js index 2caded8f67b9..e07970bbd619 100644 --- a/packages/engine/Source/Core/RequestScheduler.js +++ b/packages/engine/Source/Core/RequestScheduler.js @@ -168,7 +168,7 @@ RequestScheduler.serverHasOpenSlots = function (serverKey, desiredRequests) { const maxRequests = defaultValue( RequestScheduler.requestsByServer[serverKey], - RequestScheduler.maximumRequestsPerServer + RequestScheduler.maximumRequestsPerServer, ); const hasOpenSlotsServer = numberOfActiveRequestsByServer[serverKey] + desiredRequests <= maxRequests; @@ -311,7 +311,7 @@ RequestScheduler.update = function () { // Un-throttled requests are automatically added to activeRequests, so activeRequests.length may exceed maximumRequests const openSlots = Math.max( RequestScheduler.maximumRequests - activeRequests.length, - 0 + 0, ); let filledSlots = 0; while (filledSlots < openSlots && requestHeap.length > 0) { @@ -446,28 +446,28 @@ function updateStatistics() { ) { if (statistics.numberOfAttemptedRequests > 0) { console.log( - `Number of attempted requests: ${statistics.numberOfAttemptedRequests}` + `Number of attempted requests: ${statistics.numberOfAttemptedRequests}`, ); statistics.numberOfAttemptedRequests = 0; } if (statistics.numberOfCancelledRequests > 0) { console.log( - `Number of cancelled requests: ${statistics.numberOfCancelledRequests}` + `Number of cancelled requests: ${statistics.numberOfCancelledRequests}`, ); statistics.numberOfCancelledRequests = 0; } if (statistics.numberOfCancelledActiveRequests > 0) { console.log( - `Number of cancelled active requests: ${statistics.numberOfCancelledActiveRequests}` + `Number of cancelled active requests: ${statistics.numberOfCancelledActiveRequests}`, ); statistics.numberOfCancelledActiveRequests = 0; } if (statistics.numberOfFailedRequests > 0) { console.log( - `Number of failed requests: ${statistics.numberOfFailedRequests}` + `Number of failed requests: ${statistics.numberOfFailedRequests}`, ); statistics.numberOfFailedRequests = 0; } diff --git a/packages/engine/Source/Core/Resource.js b/packages/engine/Source/Core/Resource.js index cec46808118d..6c5c6566450f 100644 --- a/packages/engine/Source/Core/Resource.js +++ b/packages/engine/Source/Core/Resource.js @@ -603,13 +603,13 @@ Resource.prototype.setQueryParameters = function (params, useAsDefault) { this._queryParameters = combineQueryParameters( this._queryParameters, params, - false + false, ); } else { this._queryParameters = combineQueryParameters( params, this._queryParameters, - false + false, ); } }; @@ -624,7 +624,7 @@ Resource.prototype.appendQueryParameters = function (params) { this._queryParameters = combineQueryParameters( params, this._queryParameters, - true + true, ); }; @@ -671,13 +671,13 @@ Resource.prototype.getDerivedResource = function (options) { if (defined(options.queryParameters)) { resource._queryParameters = combine( options.queryParameters, - resource.queryParameters + resource.queryParameters, ); } if (defined(options.templateValues)) { resource._templateValues = combine( options.templateValues, - resource.templateValues + resource.templateValues, ); } if (defined(options.headers)) { @@ -903,7 +903,7 @@ Resource.prototype.fetchImage = function (options) { const flipY = defaultValue(options.flipY, false); const skipColorSpaceConversion = defaultValue( options.skipColorSpaceConversion, - false + false, ); checkAndResetRequest(this.request); @@ -1029,7 +1029,7 @@ function fetchImage(options) { deferred, flipY, skipColorSpaceConversion, - preferImageBitmap + preferImageBitmap, ); return deferred.promise; @@ -1380,7 +1380,7 @@ Resource.prototype._makeRequest = function (options) { data, headers, deferred, - overrideMimeType + overrideMimeType, ); if (defined(xhr) && defined(xhr.abort)) { request.cancelFunction = function () { @@ -1483,7 +1483,7 @@ function decodeDataUri(dataUriRegexResult, responseType) { parser = new DOMParser(); return parser.parseFromString( decodeDataUriText(isBase64, data), - mimeType + mimeType, ); case "json": return JSON.parse(decodeDataUriText(isBase64, data)); @@ -1915,7 +1915,7 @@ Resource._Implementations = {}; Resource._Implementations.loadImageElement = function ( url, crossOrigin, - deferred + deferred, ) { const image = new Image(); @@ -1962,7 +1962,7 @@ Resource._Implementations.createImage = function ( deferred, flipY, skipColorSpaceConversion, - preferImageBitmap + preferImageBitmap, ) { const url = request.url; // Passing an Image to createImageBitmap will force it to run on the main thread @@ -1990,7 +1990,7 @@ Resource._Implementations.createImage = function ( xhrDeferred, undefined, undefined, - undefined + undefined, ); if (defined(xhr) && defined(xhr.abort)) { @@ -2003,8 +2003,8 @@ Resource._Implementations.createImage = function ( if (!defined(blob)) { deferred.reject( new RuntimeError( - `Successfully retrieved ${url} but it contained no content.` - ) + `Successfully retrieved ${url} but it contained no content.`, + ), ); return; } @@ -2035,7 +2035,7 @@ Resource.createImageBitmapFromBlob = function (blob, options) { Check.typeOf.bool("options.premultiplyAlpha", options.premultiplyAlpha); Check.typeOf.bool( "options.skipColorSpaceConversion", - options.skipColorSpaceConversion + options.skipColorSpaceConversion, ); return createImageBitmap(blob, { @@ -2052,7 +2052,7 @@ function loadWithHttpRequest( data, headers, deferred, - overrideMimeType + overrideMimeType, ) { // Note: only the 'json' and 'text' responseTypes transforms the loaded buffer fetch(url, { @@ -2066,7 +2066,7 @@ function loadWithHttpRequest( responseHeaders[key] = value; }); deferred.reject( - new RequestErrorEvent(response.status, response, responseHeaders) + new RequestErrorEvent(response.status, response, responseHeaders), ); return; } @@ -2096,7 +2096,7 @@ Resource._Implementations.loadWithXhr = function ( data, headers, deferred, - overrideMimeType + overrideMimeType, ) { const dataUriRegexResult = dataUriRegex.exec(url); if (dataUriRegexResult !== null) { @@ -2112,7 +2112,7 @@ Resource._Implementations.loadWithXhr = function ( data, headers, deferred, - overrideMimeType + overrideMimeType, ); return; } @@ -2158,8 +2158,8 @@ Resource._Implementations.loadWithXhr = function ( new RequestErrorEvent( xhr.status, xhr.response, - xhr.getAllResponseHeaders() - ) + xhr.getAllResponseHeaders(), + ), ); return; } @@ -2212,7 +2212,7 @@ Resource._Implementations.loadWithXhr = function ( deferred.resolve(xhr.responseText); } else { deferred.reject( - new RuntimeError("Invalid XMLHttpRequest response type.") + new RuntimeError("Invalid XMLHttpRequest response type."), ); } }; @@ -2229,7 +2229,7 @@ Resource._Implementations.loadWithXhr = function ( Resource._Implementations.loadAndExecuteScript = function ( url, functionName, - deferred + deferred, ) { return loadAndExecuteScript(url, functionName).catch(function (e) { deferred.reject(e); @@ -2261,7 +2261,7 @@ Resource.DEFAULT = Object.freeze( typeof document === "undefined" ? "" : document.location.href.split("?")[0], - }) + }), ); /** diff --git a/packages/engine/Source/Core/S2Cell.js b/packages/engine/Source/Core/S2Cell.js index 67a05266fce4..5b3a0dc31fd9 100644 --- a/packages/engine/Source/Core/S2Cell.js +++ b/packages/engine/Source/Core/S2Cell.js @@ -276,7 +276,7 @@ S2Cell.getTokenFromId = function (cellId) { const hexString = cellId.toString(16).replace(/0*$/, ""); const zeroString = Array(17 - trailingZeroHexChars - hexString.length).join( - "0" + "0", ); return zeroString + hexString; }; @@ -389,7 +389,7 @@ S2Cell.prototype.getCenter = function (ellipsoid) { center = Cartesian3.normalize(center, center); const cartographic = new Cartographic.fromCartesian( center, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); // Interpret as geodetic coordinates on the ellipsoid. return Cartographic.toCartesian(cartographic, ellipsoid, new Cartesian3()); @@ -418,7 +418,7 @@ S2Cell.prototype.getVertex = function (index, ellipsoid) { vertex = Cartesian3.normalize(vertex, vertex); const cartographic = new Cartographic.fromCartesian( vertex, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); // Interpret as geodetic coordinates on the ellipsoid. return Cartographic.toCartesian(cartographic, ellipsoid, new Cartesian3()); @@ -452,7 +452,7 @@ S2Cell.fromFacePositionLevel = function (face, position, level) { (face < 4 ? "0" : "") + (face < 2 ? "0" : "") + face.toString(2); const positionBitString = position.toString(2); const positionPrefixPadding = Array( - 2 * level - positionBitString.length + 1 + 2 * level - positionBitString.length + 1, ).join("0"); const positionSuffixPadding = Array(S2_POSITION_BITS - 2 * level).join("0"); @@ -461,7 +461,7 @@ S2Cell.fromFacePositionLevel = function (face, position, level) { `0b${faceBitString}${positionPrefixPadding}${positionBitString}1${ // Adding the sentinel bit that always follows the position bits. positionSuffixPadding - }` + }`, ); return new S2Cell(cellId); }; @@ -530,7 +530,7 @@ function convertCellIdToFaceIJ(cellId) { const extractMask = (1 << (2 * numberOfBits)) - 1; bits += Number( - (cellId >> BigInt(k * 2 * S2_LOOKUP_BITS + 1)) & BigInt(extractMask) // eslint-disable-line + (cellId >> BigInt(k * 2 * S2_LOOKUP_BITS + 1)) & BigInt(extractMask), // eslint-disable-line ) << 2; bits = S2_LOOKUP_IJ[bits]; @@ -645,7 +645,7 @@ function generateLookupCell( j, originalOrientation, position, - orientation + orientation, ) { if (level === S2_LOOKUP_BITS) { const ij = (i << S2_LOOKUP_BITS) + j; @@ -665,7 +665,7 @@ function generateLookupCell( j + (r[0] & 1), originalOrientation, position, - orientation ^ S2_POSITION_TO_ORIENTATION_MASK[0] + orientation ^ S2_POSITION_TO_ORIENTATION_MASK[0], ); generateLookupCell( level, @@ -673,7 +673,7 @@ function generateLookupCell( j + (r[1] & 1), originalOrientation, position + 1, - orientation ^ S2_POSITION_TO_ORIENTATION_MASK[1] + orientation ^ S2_POSITION_TO_ORIENTATION_MASK[1], ); generateLookupCell( level, @@ -681,7 +681,7 @@ function generateLookupCell( j + (r[2] & 1), originalOrientation, position + 2, - orientation ^ S2_POSITION_TO_ORIENTATION_MASK[2] + orientation ^ S2_POSITION_TO_ORIENTATION_MASK[2], ); generateLookupCell( level, @@ -689,7 +689,7 @@ function generateLookupCell( j + (r[3] & 1), originalOrientation, position + 3, - orientation ^ S2_POSITION_TO_ORIENTATION_MASK[3] + orientation ^ S2_POSITION_TO_ORIENTATION_MASK[3], ); } } @@ -707,7 +707,7 @@ function generateLookupTable() { 0, S2_SWAP_MASK | S2_INVERT_MASK, 0, - S2_SWAP_MASK | S2_INVERT_MASK + S2_SWAP_MASK | S2_INVERT_MASK, ); } @@ -730,74 +730,10 @@ function lsbForLevel(level) { // Lookup table for getting trailing zero bits. // https://graphics.stanford.edu/~seander/bithacks.html const Mod67BitPosition = [ - 64, - 0, - 1, - 39, - 2, - 15, - 40, - 23, - 3, - 12, - 16, - 59, - 41, - 19, - 24, - 54, - 4, - 64, - 13, - 10, - 17, - 62, - 60, - 28, - 42, - 30, - 20, - 51, - 25, - 44, - 55, - 47, - 5, - 32, - 65, - 38, - 14, - 22, - 11, - 58, - 18, - 53, - 63, - 9, - 61, - 27, - 29, - 50, - 43, - 46, - 31, - 37, - 21, - 57, - 52, - 8, - 26, - 49, - 45, - 36, - 56, - 7, - 48, - 35, - 6, - 34, - 33, - 0, + 64, 0, 1, 39, 2, 15, 40, 23, 3, 12, 16, 59, 41, 19, 24, 54, 4, 64, 13, 10, 17, + 62, 60, 28, 42, 30, 20, 51, 25, 44, 55, 47, 5, 32, 65, 38, 14, 22, 11, 58, 18, + 53, 63, 9, 61, 27, 29, 50, 43, 46, 31, 37, 21, 57, 52, 8, 26, 49, 45, 36, 56, + 7, 48, 35, 6, 34, 33, 0, ]; /** diff --git a/packages/engine/Source/Core/ScreenSpaceEventHandler.js b/packages/engine/Source/Core/ScreenSpaceEventHandler.js index 0c2fb86c6079..23d7a837ff8e 100644 --- a/packages/engine/Source/Core/ScreenSpaceEventHandler.js +++ b/packages/engine/Source/Core/ScreenSpaceEventHandler.js @@ -83,68 +83,68 @@ function registerListeners(screenSpaceEventHandler) { screenSpaceEventHandler, "pointerdown", element, - handlePointerDown + handlePointerDown, ); registerListener( screenSpaceEventHandler, "pointerup", element, - handlePointerUp + handlePointerUp, ); registerListener( screenSpaceEventHandler, "pointermove", element, - handlePointerMove + handlePointerMove, ); registerListener( screenSpaceEventHandler, "pointercancel", element, - handlePointerUp + handlePointerUp, ); } else { registerListener( screenSpaceEventHandler, "mousedown", element, - handleMouseDown + handleMouseDown, ); registerListener( screenSpaceEventHandler, "mouseup", alternateElement, - handleMouseUp + handleMouseUp, ); registerListener( screenSpaceEventHandler, "mousemove", alternateElement, - handleMouseMove + handleMouseMove, ); registerListener( screenSpaceEventHandler, "touchstart", element, - handleTouchStart + handleTouchStart, ); registerListener( screenSpaceEventHandler, "touchend", alternateElement, - handleTouchEnd + handleTouchEnd, ); registerListener( screenSpaceEventHandler, "touchmove", alternateElement, - handleTouchMove + handleTouchMove, ); registerListener( screenSpaceEventHandler, "touchcancel", alternateElement, - handleTouchEnd + handleTouchEnd, ); } @@ -152,7 +152,7 @@ function registerListeners(screenSpaceEventHandler) { screenSpaceEventHandler, "dblclick", element, - handleDblClick + handleDblClick, ); // detect available wheel event @@ -223,7 +223,7 @@ function handleMouseDown(screenSpaceEventHandler, event) { const position = getPosition( screenSpaceEventHandler, event, - screenSpaceEventHandler._primaryPosition + screenSpaceEventHandler._primaryPosition, ); Cartesian2.clone(position, screenSpaceEventHandler._primaryStartPosition); Cartesian2.clone(position, screenSpaceEventHandler._primaryPreviousPosition); @@ -232,7 +232,7 @@ function handleMouseDown(screenSpaceEventHandler, event) { const action = screenSpaceEventHandler.getInputAction( screenSpaceEventType, - modifier + modifier, ); if (defined(action)) { @@ -255,24 +255,24 @@ function cancelMouseEvent( screenSpaceEventHandler, screenSpaceEventType, clickScreenSpaceEventType, - event + event, ) { const modifier = getModifier(event); const action = screenSpaceEventHandler.getInputAction( screenSpaceEventType, - modifier + modifier, ); const clickAction = screenSpaceEventHandler.getInputAction( clickScreenSpaceEventType, - modifier + modifier, ); if (defined(action) || defined(clickAction)) { const position = getPosition( screenSpaceEventHandler, event, - screenSpaceEventHandler._primaryPosition + screenSpaceEventHandler._primaryPosition, ); if (defined(action)) { @@ -287,7 +287,7 @@ function cancelMouseEvent( checkPixelTolerance( startPosition, position, - screenSpaceEventHandler._clickPixelTolerance + screenSpaceEventHandler._clickPixelTolerance, ) ) { Cartesian2.clone(position, mouseClickEvent.position); @@ -318,7 +318,7 @@ function handleMouseUp(screenSpaceEventHandler, event) { screenSpaceEventHandler, ScreenSpaceEventType.LEFT_UP, ScreenSpaceEventType.LEFT_CLICK, - event + event, ); screenSpaceEventHandler._buttonDown[MouseButton.LEFT] = false; } @@ -327,7 +327,7 @@ function handleMouseUp(screenSpaceEventHandler, event) { screenSpaceEventHandler, ScreenSpaceEventType.MIDDLE_UP, ScreenSpaceEventType.MIDDLE_CLICK, - event + event, ); screenSpaceEventHandler._buttonDown[MouseButton.MIDDLE] = false; } @@ -336,7 +336,7 @@ function handleMouseUp(screenSpaceEventHandler, event) { screenSpaceEventHandler, ScreenSpaceEventType.RIGHT_UP, ScreenSpaceEventType.RIGHT_CLICK, - event + event, ); screenSpaceEventHandler._buttonDown[MouseButton.RIGHT] = false; } @@ -357,13 +357,13 @@ function handleMouseMove(screenSpaceEventHandler, event) { const position = getPosition( screenSpaceEventHandler, event, - screenSpaceEventHandler._primaryPosition + screenSpaceEventHandler._primaryPosition, ); const previousPosition = screenSpaceEventHandler._primaryPreviousPosition; const action = screenSpaceEventHandler.getInputAction( ScreenSpaceEventType.MOUSE_MOVE, - modifier + modifier, ); if (defined(action)) { @@ -402,7 +402,7 @@ function handleDblClick(screenSpaceEventHandler, event) { const action = screenSpaceEventHandler.getInputAction( screenSpaceEventType, - modifier + modifier, ); if (defined(action)) { @@ -445,7 +445,7 @@ function handleWheel(screenSpaceEventHandler, event) { const modifier = getModifier(event); const action = screenSpaceEventHandler.getInputAction( ScreenSpaceEventType.WHEEL, - modifier + modifier, ); if (defined(action)) { @@ -471,7 +471,7 @@ function handleTouchStart(screenSpaceEventHandler, event) { identifier = touch.identifier; positions.set( identifier, - getPosition(screenSpaceEventHandler, touch, new Cartesian2()) + getPosition(screenSpaceEventHandler, touch, new Cartesian2()), ); } @@ -484,7 +484,7 @@ function handleTouchStart(screenSpaceEventHandler, event) { identifier = touch.identifier; previousPositions.set( identifier, - Cartesian2.clone(positions.get(identifier)) + Cartesian2.clone(positions.get(identifier)), ); } } @@ -556,13 +556,13 @@ function fireTouchEvents(screenSpaceEventHandler, event) { action = screenSpaceEventHandler.getInputAction( ScreenSpaceEventType.LEFT_UP, - modifier + modifier, ); if (defined(action)) { Cartesian2.clone( screenSpaceEventHandler._primaryPosition, - touchEndEvent.position + touchEndEvent.position, ); action(touchEndEvent); @@ -572,7 +572,7 @@ function fireTouchEvents(screenSpaceEventHandler, event) { // releasing single touch, check for CLICK clickAction = screenSpaceEventHandler.getInputAction( ScreenSpaceEventType.LEFT_CLICK, - modifier + modifier, ); if (defined(clickAction)) { @@ -583,12 +583,12 @@ function fireTouchEvents(screenSpaceEventHandler, event) { checkPixelTolerance( startPosition, endPosition, - screenSpaceEventHandler._clickPixelTolerance + screenSpaceEventHandler._clickPixelTolerance, ) ) { Cartesian2.clone( screenSpaceEventHandler._primaryPosition, - touchClickEvent.position + touchClickEvent.position, ); clickAction(touchClickEvent); @@ -607,7 +607,7 @@ function fireTouchEvents(screenSpaceEventHandler, event) { action = screenSpaceEventHandler.getInputAction( ScreenSpaceEventType.PINCH_END, - modifier + modifier, ); if (defined(action)) { @@ -622,14 +622,14 @@ function fireTouchEvents(screenSpaceEventHandler, event) { Cartesian2.clone(position, screenSpaceEventHandler._primaryStartPosition); Cartesian2.clone( position, - screenSpaceEventHandler._primaryPreviousPosition + screenSpaceEventHandler._primaryPreviousPosition, ); screenSpaceEventHandler._buttonDown[MouseButton.LEFT] = true; action = screenSpaceEventHandler.getInputAction( ScreenSpaceEventType.LEFT_DOWN, - modifier + modifier, ); if (defined(action)) { @@ -645,7 +645,7 @@ function fireTouchEvents(screenSpaceEventHandler, event) { clickAction = screenSpaceEventHandler.getInputAction( ScreenSpaceEventType.RIGHT_CLICK, - modifier + modifier, ); if (defined(clickAction)) { @@ -656,12 +656,12 @@ function fireTouchEvents(screenSpaceEventHandler, event) { checkPixelTolerance( startPosition, endPosition, - screenSpaceEventHandler._holdPixelTolerance + screenSpaceEventHandler._holdPixelTolerance, ) ) { Cartesian2.clone( screenSpaceEventHandler._primaryPosition, - touchHoldEvent.position + touchHoldEvent.position, ); clickAction(touchHoldEvent); @@ -679,7 +679,7 @@ function fireTouchEvents(screenSpaceEventHandler, event) { action = screenSpaceEventHandler.getInputAction( ScreenSpaceEventType.PINCH_START, - modifier + modifier, ); if (defined(action)) { @@ -724,7 +724,7 @@ function handleTouchMove(screenSpaceEventHandler, event) { identifier = touch.identifier; Cartesian2.clone( positions.get(identifier), - previousPositions.get(identifier) + previousPositions.get(identifier), ); } } @@ -763,7 +763,7 @@ function fireTouchMoveEvents(screenSpaceEventHandler, event) { action = screenSpaceEventHandler.getInputAction( ScreenSpaceEventType.MOUSE_MOVE, - modifier + modifier, ); if (defined(action)) { @@ -781,7 +781,7 @@ function fireTouchMoveEvents(screenSpaceEventHandler, event) { action = screenSpaceEventHandler.getInputAction( ScreenSpaceEventType.PINCH_MOVE, - modifier + modifier, ); if (defined(action)) { const position1 = positions.values[0]; @@ -805,23 +805,23 @@ function fireTouchMoveEvents(screenSpaceEventHandler, event) { Cartesian2.fromElements( 0.0, prevDist, - touchPinchMovementEvent.distance.startPosition + touchPinchMovementEvent.distance.startPosition, ); Cartesian2.fromElements( 0.0, dist, - touchPinchMovementEvent.distance.endPosition + touchPinchMovementEvent.distance.endPosition, ); Cartesian2.fromElements( prevAngle, prevCY, - touchPinchMovementEvent.angleAndHeight.startPosition + touchPinchMovementEvent.angleAndHeight.startPosition, ); Cartesian2.fromElements( angle, cY, - touchPinchMovementEvent.angleAndHeight.endPosition + touchPinchMovementEvent.angleAndHeight.endPosition, ); action(touchPinchMovementEvent); @@ -838,7 +838,7 @@ function handlePointerDown(screenSpaceEventHandler, event) { const identifier = event.pointerId; positions.set( identifier, - getPosition(screenSpaceEventHandler, event, new Cartesian2()) + getPosition(screenSpaceEventHandler, event, new Cartesian2()), ); fireTouchEvents(screenSpaceEventHandler, event); @@ -846,7 +846,7 @@ function handlePointerDown(screenSpaceEventHandler, event) { const previousPositions = screenSpaceEventHandler._previousPositions; previousPositions.set( identifier, - Cartesian2.clone(positions.get(identifier)) + Cartesian2.clone(positions.get(identifier)), ); } else { handleMouseDown(screenSpaceEventHandler, event); @@ -885,7 +885,7 @@ function handlePointerMove(screenSpaceEventHandler, event) { const previousPositions = screenSpaceEventHandler._previousPositions; Cartesian2.clone( positions.get(identifier), - previousPositions.get(identifier) + previousPositions.get(identifier), ); } else { handleMouseMove(screenSpaceEventHandler, event); @@ -988,7 +988,8 @@ function ScreenSpaceEventHandler(element) { }; this._isPinching = false; this._isTouchHolding = false; - this._lastSeenTouchEvent = -ScreenSpaceEventHandler.mouseEmulationIgnoreMilliseconds; + this._lastSeenTouchEvent = + -ScreenSpaceEventHandler.mouseEmulationIgnoreMilliseconds; this._primaryStartPosition = new Cartesian2(); this._primaryPosition = new Cartesian2(); @@ -1025,7 +1026,7 @@ function ScreenSpaceEventHandler(element) { ScreenSpaceEventHandler.prototype.setInputAction = function ( action, type, - modifier + modifier, ) { //>>includeStart('debug', pragmas.debug); if (!defined(action)) { @@ -1075,7 +1076,7 @@ ScreenSpaceEventHandler.prototype.getInputAction = function (type, modifier) { */ ScreenSpaceEventHandler.prototype.removeInputAction = function ( type, - modifier + modifier, ) { //>>includeStart('debug', pragmas.debug); if (!defined(type)) { diff --git a/packages/engine/Source/Core/Simon1994PlanetaryPositions.js b/packages/engine/Source/Core/Simon1994PlanetaryPositions.js index 8aa55b72c2bc..b8f6a19bb3da 100644 --- a/packages/engine/Source/Core/Simon1994PlanetaryPositions.js +++ b/packages/engine/Source/Core/Simon1994PlanetaryPositions.js @@ -68,7 +68,7 @@ function elementsToCartesian( longitudeOfPerigee, longitudeOfNode, meanLongitude, - result + result, ) { if (inclination < 0.0) { inclination = -inclination; @@ -78,7 +78,7 @@ function elementsToCartesian( //>>includeStart('debug', pragmas.debug); if (inclination < 0 || inclination > CesiumMath.PI) { throw new DeveloperError( - "The inclination is out of range. Inclination must be greater than or equal to zero and less than or equal to Pi radians." + "The inclination is out of range. Inclination must be greater than or equal to zero and less than or equal to Pi radians.", ); } //>>includeEnd('debug') @@ -88,7 +88,7 @@ function elementsToCartesian( const rightAscensionOfAscendingNode = longitudeOfNode; const trueAnomaly = meanAnomalyToTrueAnomaly( meanLongitude - longitudeOfPerigee, - eccentricity + eccentricity, ); const type = chooseOrbit(eccentricity, 0.0); @@ -99,7 +99,7 @@ function elementsToCartesian( Math.acos(-1.0 / eccentricity) ) { throw new DeveloperError( - "The true anomaly of the hyperbolic orbit lies outside of the bounds of the hyperbola." + "The true anomaly of the hyperbolic orbit lies outside of the bounds of the hyperbola.", ); } //>>includeEnd('debug') @@ -108,7 +108,7 @@ function elementsToCartesian( argumentOfPeriapsis, inclination, rightAscensionOfAscendingNode, - perifocalToEquatorial + perifocalToEquatorial, ); const semilatus = radiusOfPeriapsis * (1.0 + eccentricity); const costheta = Math.cos(trueAnomaly); @@ -161,7 +161,7 @@ function meanAnomalyToTrueAnomaly(meanAnomaly, eccentricity) { const eccentricAnomaly = meanAnomalyToEccentricAnomaly( meanAnomaly, - eccentricity + eccentricity, ); return eccentricAnomalyToTrueAnomaly(eccentricAnomaly, eccentricity); } @@ -257,7 +257,7 @@ function perifocalToCartesianMatrix( argumentOfPeriapsis, inclination, rightAscension, - result + result, ) { //>>includeStart('debug', pragmas.debug); if (inclination < 0 || inclination > CesiumMath.PI) { @@ -285,7 +285,7 @@ function perifocalToCartesianMatrix( sinap * sini, cosap * sini, - cosi + cosi, ); } else { result[0] = cosraan * cosap - sinraan * sinap * cosi; @@ -427,7 +427,7 @@ function computeSimonEarthMoonBarycenter(date, result) { longitudeOfPerigee, longitudeOfNode, meanLongitude, - result + result, ); } @@ -598,7 +598,7 @@ function computeSimonMoon(date, result) { longitudeOfPerigee, longitudeOfNode, meanLongitude, - result + result, ); } @@ -624,7 +624,7 @@ const axesTransformation = new Matrix3( -0.39777715593191376, -2.23970096136568e-16, 0.39777715593191376, - 0.9174820620691819 + 0.9174820620691819, ); let translation = new Cartesian3(); @@ -637,7 +637,7 @@ let translation = new Cartesian3(); */ Simon1994PlanetaryPositions.computeSunPositionInEarthInertialFrame = function ( julianDate, - result + result, ) { if (!defined(julianDate)) { julianDate = JulianDate.now(); @@ -669,7 +669,7 @@ Simon1994PlanetaryPositions.computeSunPositionInEarthInertialFrame = function ( */ Simon1994PlanetaryPositions.computeMoonPositionInEarthInertialFrame = function ( julianDate, - result + result, ) { if (!defined(julianDate)) { julianDate = JulianDate.now(); diff --git a/packages/engine/Source/Core/SimplePolylineGeometry.js b/packages/engine/Source/Core/SimplePolylineGeometry.js index a5035cbd63de..355b4ef8f7ea 100644 --- a/packages/engine/Source/Core/SimplePolylineGeometry.js +++ b/packages/engine/Source/Core/SimplePolylineGeometry.js @@ -112,7 +112,7 @@ function SimplePolylineGeometry(options) { this._arcType = defaultValue(options.arcType, ArcType.GEODESIC); this._granularity = defaultValue( options.granularity, - CesiumMath.RADIANS_PER_DEGREE + CesiumMath.RADIANS_PER_DEGREE, ); this._ellipsoid = defaultValue(options.ellipsoid, Ellipsoid.default); this._workerName = "createSimplePolylineGeometry"; @@ -263,7 +263,7 @@ SimplePolylineGeometry.createGeometry = function (simplePolylineGeometry) { const minDistance = CesiumMath.chordLength( granularity, - ellipsoid.maximumRadius + ellipsoid.maximumRadius, ); const perSegmentColors = defined(colors) && !colorsPerVertex; @@ -283,7 +283,7 @@ SimplePolylineGeometry.createGeometry = function (simplePolylineGeometry) { if (arcType === ArcType.GEODESIC) { subdivisionSize = CesiumMath.chordLength( granularity, - ellipsoid.maximumRadius + ellipsoid.maximumRadius, ); numberOfPointsFunction = PolylinePipeline.numberOfPoints; generateArcFunction = PolylinePipeline.generateArc; @@ -310,7 +310,7 @@ SimplePolylineGeometry.createGeometry = function (simplePolylineGeometry) { numberOfPointsFunction( positions[i], positions[i + 1], - subdivisionSize + subdivisionSize, ) + 1; } @@ -348,7 +348,7 @@ SimplePolylineGeometry.createGeometry = function (simplePolylineGeometry) { generateArcOptions.positions = positions; generateArcOptions.height = heights; positionValues = new Float64Array( - generateArcFunction(generateArcOptions) + generateArcFunction(generateArcOptions), ); if (defined(colors)) { @@ -366,7 +366,7 @@ SimplePolylineGeometry.createGeometry = function (simplePolylineGeometry) { c1, minDistance, colorValues, - offset + offset, ); } @@ -438,7 +438,7 @@ SimplePolylineGeometry.createGeometry = function (simplePolylineGeometry) { const numberOfIndices = (numberOfPositions - 1) * 2; const indices = IndexDatatype.createTypedArray( numberOfPositions, - numberOfIndices + numberOfIndices, ); let index = 0; diff --git a/packages/engine/Source/Core/SphereGeometry.js b/packages/engine/Source/Core/SphereGeometry.js index 14246063e2f2..c01eff6abc76 100644 --- a/packages/engine/Source/Core/SphereGeometry.js +++ b/packages/engine/Source/Core/SphereGeometry.js @@ -87,11 +87,11 @@ SphereGeometry.unpack = function (array, startingIndex, result) { const ellipsoidGeometry = EllipsoidGeometry.unpack( array, startingIndex, - scratchEllipsoidGeometry + scratchEllipsoidGeometry, ); scratchOptions.vertexFormat = VertexFormat.clone( ellipsoidGeometry._vertexFormat, - scratchOptions.vertexFormat + scratchOptions.vertexFormat, ); scratchOptions.stackPartitions = ellipsoidGeometry._stackPartitions; scratchOptions.slicePartitions = ellipsoidGeometry._slicePartitions; diff --git a/packages/engine/Source/Core/SphereOutlineGeometry.js b/packages/engine/Source/Core/SphereOutlineGeometry.js index f8a182129a04..62e4374acef7 100644 --- a/packages/engine/Source/Core/SphereOutlineGeometry.js +++ b/packages/engine/Source/Core/SphereOutlineGeometry.js @@ -65,7 +65,7 @@ SphereOutlineGeometry.pack = function (value, array, startingIndex) { return EllipsoidOutlineGeometry.pack( value._ellipsoidGeometry, array, - startingIndex + startingIndex, ); }; @@ -90,7 +90,7 @@ SphereOutlineGeometry.unpack = function (array, startingIndex, result) { const ellipsoidGeometry = EllipsoidOutlineGeometry.unpack( array, startingIndex, - scratchEllipsoidGeometry + scratchEllipsoidGeometry, ); scratchOptions.stackPartitions = ellipsoidGeometry._stackPartitions; scratchOptions.slicePartitions = ellipsoidGeometry._slicePartitions; @@ -114,7 +114,7 @@ SphereOutlineGeometry.unpack = function (array, startingIndex, result) { */ SphereOutlineGeometry.createGeometry = function (sphereGeometry) { return EllipsoidOutlineGeometry.createGeometry( - sphereGeometry._ellipsoidGeometry + sphereGeometry._ellipsoidGeometry, ); }; export default SphereOutlineGeometry; diff --git a/packages/engine/Source/Core/Spline.js b/packages/engine/Source/Core/Spline.js index 5dba9c6d77e6..b268ac0076e8 100644 --- a/packages/engine/Source/Core/Spline.js +++ b/packages/engine/Source/Core/Spline.js @@ -60,7 +60,7 @@ Spline.getPointType = function (point) { //>>includeStart('debug', pragmas.debug); throw new DeveloperError( - "point must be a Cartesian3, Quaternion, or number." + "point must be a Cartesian3, Quaternion, or number.", ); //>>includeEnd('debug'); }; diff --git a/packages/engine/Source/Core/SteppedSpline.js b/packages/engine/Source/Core/SteppedSpline.js index 4f3242a2f0db..cbcfcc72c4e5 100644 --- a/packages/engine/Source/Core/SteppedSpline.js +++ b/packages/engine/Source/Core/SteppedSpline.js @@ -50,7 +50,7 @@ function SteppedSpline(options) { } if (points.length < 2) { throw new DeveloperError( - "points.length must be greater than or equal to 2." + "points.length must be greater than or equal to 2.", ); } if (times.length !== points.length) { diff --git a/packages/engine/Source/Core/Stereographic.js b/packages/engine/Source/Core/Stereographic.js index 5592dcafa83e..a130630f6083 100644 --- a/packages/engine/Source/Core/Stereographic.js +++ b/packages/engine/Source/Core/Stereographic.js @@ -112,7 +112,7 @@ Stereographic.prototype.getLatitude = function (ellipsoid) { scratchCartographic.height = 0.0; const cartesian = this.ellipsoid.cartographicToCartesian( scratchCartographic, - scratchCartesian + scratchCartesian, ); ellipsoid.cartesianToCartographic(cartesian, scratchCartographic); return scratchCartographic.latitude; @@ -145,19 +145,19 @@ Stereographic.fromCartesian = function (cartesian, result) { const ray = scratchProjectPointOntoPlaneRay; ray.origin = tangentPlane.ellipsoid.scaleToGeocentricSurface( cartesian, - ray.origin + ray.origin, ); ray.direction = Cartesian3.subtract( ray.origin, origin, - scratchProjectPointOntoPlaneRayDirection + scratchProjectPointOntoPlaneRayDirection, ); Cartesian3.normalize(ray.direction, ray.direction); const intersectionPoint = IntersectionTests.rayPlane( ray, tangentPlane.plane, - scratchProjectPointOntoPlaneCartesian3 + scratchProjectPointOntoPlaneCartesian3, ); const v = Cartesian3.subtract(intersectionPoint, origin, intersectionPoint); const x = Cartesian3.dot(tangentPlane.xAxis, v); @@ -210,7 +210,7 @@ Stereographic.clone = function (stereographic, result) { if (!defined(result)) { return new Stereographic( stereographic.position, - stereographic.tangentPlane + stereographic.tangentPlane, ); } @@ -234,14 +234,14 @@ Stereographic.SOUTH_POLE = Object.freeze(new Cartesian3(0.0, 0.0, -0.5)); Stereographic.NORTH_POLE_TANGENT_PLANE = Object.freeze( new EllipsoidTangentPlane( Stereographic.NORTH_POLE, - Stereographic.HALF_UNIT_SPHERE - ) + Stereographic.HALF_UNIT_SPHERE, + ), ); Stereographic.SOUTH_POLE_TANGENT_PLANE = Object.freeze( new EllipsoidTangentPlane( Stereographic.SOUTH_POLE, - Stereographic.HALF_UNIT_SPHERE - ) + Stereographic.HALF_UNIT_SPHERE, + ), ); export default Stereographic; diff --git a/packages/engine/Source/Core/TaskProcessor.js b/packages/engine/Source/Core/TaskProcessor.js index 9eca8d863e1b..760a6921b03a 100644 --- a/packages/engine/Source/Core/TaskProcessor.js +++ b/packages/engine/Source/Core/TaskProcessor.js @@ -15,7 +15,7 @@ function canTransferArrayBuffer() { const worker = createWorker("transferTypedArrayTest"); worker.postMessage = defaultValue( worker.webkitPostMessage, - worker.postMessage + worker.postMessage, ); const value = 99; @@ -28,7 +28,7 @@ function canTransferArrayBuffer() { { array: array, }, - [array.buffer] + [array.buffer], ); } catch (e) { TaskProcessor._canTransferArrayBuffer = false; @@ -93,7 +93,7 @@ function createWorker(url) { crossOriginUrl = url; } else if (!isUri) { const moduleAbsoluteUrl = buildModuleUrl( - `${TaskProcessor._workerModulePrefix}/${moduleID}.js` + `${TaskProcessor._workerModulePrefix}/${moduleID}.js`, ); if (isCrossOriginUrl(moduleAbsoluteUrl)) { @@ -124,13 +124,13 @@ function createWorker(url) { if (!isUri) { workerPath = buildModuleUrl( - `${TaskProcessor._workerModulePrefix + moduleID}.js` + `${TaskProcessor._workerModulePrefix + moduleID}.js`, ); } if (!FeatureDetection.supportsEsmWebWorkers()) { throw new RuntimeError( - "This browser is not supported. Please update your browser to continue." + "This browser is not supported. Please update your browser to continue.", ); } @@ -150,7 +150,7 @@ async function getWebAssemblyLoaderConfig(processor, wasmOptions) { if (!FeatureDetection.supportsWebAssembly()) { if (!defined(wasmOptions.fallbackModulePath)) { throw new RuntimeError( - `This browser does not support Web Assembly, and no backup module was provided for ${processor._workerPath}` + `This browser does not support Web Assembly, and no backup module was provided for ${processor._workerPath}`, ); } @@ -186,7 +186,7 @@ function TaskProcessor(workerPath, maximumActiveTasks) { this._workerPath = workerPath; this._maximumActiveTasks = defaultValue( maximumActiveTasks, - Number.POSITIVE_INFINITY + Number.POSITIVE_INFINITY, ); this._activeTasks = 0; this._nextID = 0; @@ -237,7 +237,7 @@ async function runTask(processor, parameters, transferableObjects) { const promise = new Promise((resolve, reject) => { processor._worker.addEventListener( "message", - createOnmessageHandler(processor._worker, id, resolve, reject) + createOnmessageHandler(processor._worker, id, resolve, reject), ); }); @@ -248,7 +248,7 @@ async function runTask(processor, parameters, transferableObjects) { parameters: parameters, canTransferArrayBuffer: canTransfer, }, - transferableObjects + transferableObjects, ); return promise; @@ -295,7 +295,7 @@ async function scheduleTask(processor, parameters, transferableObjects) { */ TaskProcessor.prototype.scheduleTask = function ( parameters, - transferableObjects + transferableObjects, ) { if (!defined(this._worker)) { this._worker = createWorker(this._workerPath); @@ -322,7 +322,7 @@ TaskProcessor.prototype.scheduleTask = function ( * @exception {RuntimeError} This browser does not support Web Assembly, and no backup module was provided */ TaskProcessor.prototype.initWebAssemblyModule = async function ( - webAssemblyOptions + webAssemblyOptions, ) { if (defined(this._webAssemblyPromise)) { return this._webAssemblyPromise; @@ -332,7 +332,7 @@ TaskProcessor.prototype.initWebAssemblyModule = async function ( const worker = (this._worker = createWorker(this._workerPath)); const wasmConfig = await getWebAssemblyLoaderConfig( this, - webAssemblyOptions + webAssemblyOptions, ); const canTransfer = await Promise.resolve(canTransferArrayBuffer()); let transferableObjects; @@ -356,7 +356,7 @@ TaskProcessor.prototype.initWebAssemblyModule = async function ( canTransferArrayBuffer: canTransfer, parameters: { webAssemblyConfig: wasmConfig }, }, - transferableObjects + transferableObjects, ); return promise; diff --git a/packages/engine/Source/Core/TerrainEncoding.js b/packages/engine/Source/Core/TerrainEncoding.js index d688341f25b9..f24e08b018e6 100644 --- a/packages/engine/Source/Core/TerrainEncoding.js +++ b/packages/engine/Source/Core/TerrainEncoding.js @@ -47,7 +47,7 @@ function TerrainEncoding( hasWebMercatorT, hasGeodeticSurfaceNormals, exaggeration, - exaggerationRelativeHeight + exaggerationRelativeHeight, ) { let quantization = TerrainQuantization.NONE; let toENU; @@ -65,7 +65,7 @@ function TerrainEncoding( const dimensions = Cartesian3.subtract( maximum, minimum, - cartesian3DimScratch + cartesian3DimScratch, ); const hDim = maximumHeight - minimumHeight; const maxDim = Math.max(Cartesian3.maximumComponent(dimensions), hDim); @@ -82,7 +82,7 @@ function TerrainEncoding( Matrix4.multiply( Matrix4.fromTranslation(translation, matrix4Scratch), toENU, - toENU + toENU, ); const scale = cartesian3Scratch; @@ -165,7 +165,7 @@ function TerrainEncoding( */ this.hasGeodeticSurfaceNormals = defaultValue( hasGeodeticSurfaceNormals, - false + false, ); /** @@ -179,7 +179,7 @@ function TerrainEncoding( */ this.exaggerationRelativeHeight = defaultValue( exaggerationRelativeHeight, - 0.0 + 0.0, ); /** @@ -203,7 +203,7 @@ TerrainEncoding.prototype.encode = function ( height, normalToPack, webMercatorT, - geodeticSurfaceNormal + geodeticSurfaceNormal, ) { const u = uv.x; const v = uv.y; @@ -212,7 +212,7 @@ TerrainEncoding.prototype.encode = function ( position = Matrix4.multiplyByPoint( this.toScaledENU, position, - cartesian3Scratch + cartesian3Scratch, ); position.x = CesiumMath.clamp(position.x, 0.0, 1.0); @@ -223,19 +223,16 @@ TerrainEncoding.prototype.encode = function ( const h = CesiumMath.clamp((height - this.minimumHeight) / hDim, 0.0, 1.0); Cartesian2.fromElements(position.x, position.y, cartesian2Scratch); - const compressed0 = AttributeCompression.compressTextureCoordinates( - cartesian2Scratch - ); + const compressed0 = + AttributeCompression.compressTextureCoordinates(cartesian2Scratch); Cartesian2.fromElements(position.z, h, cartesian2Scratch); - const compressed1 = AttributeCompression.compressTextureCoordinates( - cartesian2Scratch - ); + const compressed1 = + AttributeCompression.compressTextureCoordinates(cartesian2Scratch); Cartesian2.fromElements(u, v, cartesian2Scratch); - const compressed2 = AttributeCompression.compressTextureCoordinates( - cartesian2Scratch - ); + const compressed2 = + AttributeCompression.compressTextureCoordinates(cartesian2Scratch); vertexBuffer[bufferIndex++] = compressed0; vertexBuffer[bufferIndex++] = compressed1; @@ -243,9 +240,8 @@ TerrainEncoding.prototype.encode = function ( if (this.hasWebMercatorT) { Cartesian2.fromElements(webMercatorT, 0.0, cartesian2Scratch); - const compressed3 = AttributeCompression.compressTextureCoordinates( - cartesian2Scratch - ); + const compressed3 = + AttributeCompression.compressTextureCoordinates(cartesian2Scratch); vertexBuffer[bufferIndex++] = compressed3; } } else { @@ -264,9 +260,8 @@ TerrainEncoding.prototype.encode = function ( } if (this.hasVertexNormals) { - vertexBuffer[bufferIndex++] = AttributeCompression.octPackFloat( - normalToPack - ); + vertexBuffer[bufferIndex++] = + AttributeCompression.octPackFloat(normalToPack); } if (this.hasGeodeticSurfaceNormals) { @@ -284,7 +279,7 @@ const scratchGeodeticSurfaceNormal = new Cartesian3(); TerrainEncoding.prototype.addGeodeticSurfaceNormals = function ( oldBuffer, newBuffer, - ellipsoid + ellipsoid, ) { if (this.hasGeodeticSurfaceNormals) { return; @@ -305,7 +300,7 @@ TerrainEncoding.prototype.addGeodeticSurfaceNormals = function ( const position = this.decodePosition(newBuffer, index, scratchPosition); const geodeticSurfaceNormal = ellipsoid.geodeticSurfaceNormal( position, - scratchGeodeticSurfaceNormal + scratchGeodeticSurfaceNormal, ); const bufferIndex = index * newStride + this._offsetGeodeticSurfaceNormal; @@ -317,7 +312,7 @@ TerrainEncoding.prototype.addGeodeticSurfaceNormals = function ( TerrainEncoding.prototype.removeGeodeticSurfaceNormals = function ( oldBuffer, - newBuffer + newBuffer, ) { if (!this.hasGeodeticSurfaceNormals) { return; @@ -348,14 +343,14 @@ TerrainEncoding.prototype.decodePosition = function (buffer, index, result) { if (this.quantization === TerrainQuantization.BITS12) { const xy = AttributeCompression.decompressTextureCoordinates( buffer[index], - cartesian2Scratch + cartesian2Scratch, ); result.x = xy.x; result.y = xy.y; const zh = AttributeCompression.decompressTextureCoordinates( buffer[index + 1], - cartesian2Scratch + cartesian2Scratch, ); result.z = zh.x; @@ -371,7 +366,7 @@ TerrainEncoding.prototype.decodePosition = function (buffer, index, result) { TerrainEncoding.prototype.getExaggeratedPosition = function ( buffer, index, - result + result, ) { result = this.decodePosition(buffer, index, result); @@ -382,14 +377,14 @@ TerrainEncoding.prototype.getExaggeratedPosition = function ( const geodeticSurfaceNormal = this.decodeGeodeticSurfaceNormal( buffer, index, - scratchGeodeticSurfaceNormal + scratchGeodeticSurfaceNormal, ); const rawHeight = this.decodeHeight(buffer, index); const heightDifference = VerticalExaggeration.getHeight( rawHeight, exaggeration, - exaggerationRelativeHeight + exaggerationRelativeHeight, ) - rawHeight; // some math is unrolled for better performance @@ -404,7 +399,7 @@ TerrainEncoding.prototype.getExaggeratedPosition = function ( TerrainEncoding.prototype.decodeTextureCoordinates = function ( buffer, index, - result + result, ) { if (!defined(result)) { result = new Cartesian2(); @@ -415,7 +410,7 @@ TerrainEncoding.prototype.decodeTextureCoordinates = function ( if (this.quantization === TerrainQuantization.BITS12) { return AttributeCompression.decompressTextureCoordinates( buffer[index + 2], - result + result, ); } @@ -428,7 +423,7 @@ TerrainEncoding.prototype.decodeHeight = function (buffer, index) { if (this.quantization === TerrainQuantization.BITS12) { const zh = AttributeCompression.decompressTextureCoordinates( buffer[index + 1], - cartesian2Scratch + cartesian2Scratch, ); return ( zh.y * (this.maximumHeight - this.minimumHeight) + this.minimumHeight @@ -444,7 +439,7 @@ TerrainEncoding.prototype.decodeWebMercatorT = function (buffer, index) { if (this.quantization === TerrainQuantization.BITS12) { return AttributeCompression.decompressTextureCoordinates( buffer[index + 3], - cartesian2Scratch + cartesian2Scratch, ).x; } @@ -454,7 +449,7 @@ TerrainEncoding.prototype.decodeWebMercatorT = function (buffer, index) { TerrainEncoding.prototype.getOctEncodedNormal = function ( buffer, index, - result + result, ) { index = index * this.stride + this._offsetVertexNormal; @@ -468,7 +463,7 @@ TerrainEncoding.prototype.getOctEncodedNormal = function ( TerrainEncoding.prototype.decodeGeodeticSurfaceNormal = function ( buffer, index, - result + result, ) { index = index * this.stride + this._offsetGeodeticSurfaceNormal; @@ -541,7 +536,7 @@ TerrainEncoding.prototype.getAttributes = function (buffer) { componentsTexCoordAndNormals += this.hasVertexNormals ? 1 : 0; addAttribute( attributesIndicesNone.textureCoordAndEncodedNormals, - componentsTexCoordAndNormals + componentsTexCoordAndNormals, ); if (this.hasGeodeticSurfaceNormals) { @@ -557,7 +552,7 @@ TerrainEncoding.prototype.getAttributes = function (buffer) { this.hasWebMercatorT && this.hasVertexNormals; addAttribute( attributesIndicesBits12.compressed0, - usingAttribute0Component4 ? 4 : 3 + usingAttribute0Component4 ? 4 : 3, ); if (usingAttribute1Component1) { diff --git a/packages/engine/Source/Core/TerrainMesh.js b/packages/engine/Source/Core/TerrainMesh.js index 60a939b5404b..462947d42822 100644 --- a/packages/engine/Source/Core/TerrainMesh.js +++ b/packages/engine/Source/Core/TerrainMesh.js @@ -47,7 +47,7 @@ function TerrainMesh( westIndicesSouthToNorth, southIndicesEastToWest, eastIndicesNorthToSouth, - northIndicesWestToEast + northIndicesWestToEast, ) { /** * The center of the tile. Vertex positions are specified relative to this center. diff --git a/packages/engine/Source/Core/TerrainProvider.js b/packages/engine/Source/Core/TerrainProvider.js index 6478b2eeb3a4..3098fee8b9a6 100644 --- a/packages/engine/Source/Core/TerrainProvider.js +++ b/packages/engine/Source/Core/TerrainProvider.js @@ -105,7 +105,7 @@ TerrainProvider.getRegularGridIndices = function (width, height) { //>>includeStart('debug', pragmas.debug); if (width * height >= CesiumMath.FOUR_GIGABYTES) { throw new DeveloperError( - "The total number of vertices (width * height) must be less than 4,294,967,296." + "The total number of vertices (width * height) must be less than 4,294,967,296.", ); } //>>includeEnd('debug'); @@ -119,11 +119,11 @@ TerrainProvider.getRegularGridIndices = function (width, height) { if (!defined(indices)) { if (width * height < CesiumMath.SIXTY_FOUR_KILOBYTES) { indices = byWidth[height] = new Uint16Array( - (width - 1) * (height - 1) * 6 + (width - 1) * (height - 1) * 6, ); } else { indices = byWidth[height] = new Uint32Array( - (width - 1) * (height - 1) * 6 + (width - 1) * (height - 1) * 6, ); } addRegularGridIndices(width, height, indices, 0); @@ -141,7 +141,7 @@ TerrainProvider.getRegularGridIndicesAndEdgeIndices = function (width, height) { //>>includeStart('debug', pragmas.debug); if (width * height >= CesiumMath.FOUR_GIGABYTES) { throw new DeveloperError( - "The total number of vertices (width * height) must be less than 4,294,967,296." + "The total number of vertices (width * height) must be less than 4,294,967,296.", ); } //>>includeEnd('debug'); @@ -180,12 +180,12 @@ const regularGridAndSkirtAndEdgeIndicesCache = []; */ TerrainProvider.getRegularGridAndSkirtIndicesAndEdgeIndices = function ( width, - height + height, ) { //>>includeStart('debug', pragmas.debug); if (width * height >= CesiumMath.FOUR_GIGABYTES) { throw new DeveloperError( - "The total number of vertices (width * height) must be less than 4,294,967,296." + "The total number of vertices (width * height) must be less than 4,294,967,296.", ); } //>>includeEnd('debug'); @@ -219,7 +219,7 @@ TerrainProvider.getRegularGridAndSkirtIndicesAndEdgeIndices = function ( northIndicesWestToEast, gridVertexCount, indices, - gridIndexCount + gridIndexCount, ); indicesAndEdges = byWidth[height] = { @@ -245,28 +245,28 @@ TerrainProvider.addSkirtIndices = function ( northIndicesWestToEast, vertexCount, indices, - offset + offset, ) { let vertexIndex = vertexCount; offset = addSkirtIndices( westIndicesSouthToNorth, vertexIndex, indices, - offset + offset, ); vertexIndex += westIndicesSouthToNorth.length; offset = addSkirtIndices( southIndicesEastToWest, vertexIndex, indices, - offset + offset, ); vertexIndex += southIndicesEastToWest.length; offset = addSkirtIndices( eastIndicesNorthToSouth, vertexIndex, indices, - offset + offset, ); vertexIndex += eastIndicesNorthToSouth.length; addSkirtIndices(northIndicesWestToEast, vertexIndex, indices, offset); @@ -362,7 +362,7 @@ TerrainProvider.heightmapTerrainQuality = 0.25; TerrainProvider.getEstimatedLevelZeroGeometricErrorForAHeightmap = function ( ellipsoid, tileImageWidth, - numberOfTilesAtLevelZero + numberOfTilesAtLevelZero, ) { return ( (ellipsoid.maximumRadius * diff --git a/packages/engine/Source/Core/TileAvailability.js b/packages/engine/Source/Core/TileAvailability.js index 0a6a74a3eed7..09c58979775c 100644 --- a/packages/engine/Source/Core/TileAvailability.js +++ b/packages/engine/Source/Core/TileAvailability.js @@ -48,7 +48,7 @@ TileAvailability.prototype.addAvailableTileRange = function ( startX, startY, endX, - endY + endY, ) { const tilingScheme = this._tilingScheme; @@ -76,7 +76,7 @@ TileAvailability.prototype.addAvailableTileRange = function ( west, south, east, - north + north, ); for (let i = 0; i < rootNodes.length; ++i) { @@ -130,7 +130,7 @@ const eastScratch = new Rectangle(); * @return {number} The best available level for the entire rectangle. */ TileAvailability.prototype.computeBestAvailableLevelOverRectangle = function ( - rectangle + rectangle, ) { const rectangles = rectanglesScratch; rectangles.length = 0; @@ -143,8 +143,8 @@ TileAvailability.prototype.computeBestAvailableLevelOverRectangle = function ( rectangle.south, rectangle.east, rectangle.north, - westScratch - ) + westScratch, + ), ); rectangles.push( Rectangle.fromRadians( @@ -152,8 +152,8 @@ TileAvailability.prototype.computeBestAvailableLevelOverRectangle = function ( rectangle.south, Math.PI, rectangle.north, - eastScratch - ) + eastScratch, + ), ); } else { rectangles.push(rectangle); @@ -167,7 +167,7 @@ TileAvailability.prototype.computeBestAvailableLevelOverRectangle = function ( updateCoverageWithNode( remainingToCoverByLevel, this._rootNodes[i], - rectangles + rectangles, ); } @@ -202,7 +202,7 @@ TileAvailability.prototype.isTileAvailable = function (level, x, y) { x, y, level, - rectangleScratch + rectangleScratch, ); Rectangle.center(rectangle, cartographicScratch); return this.computeMaximumLevelAtPosition(cartographicScratch) >= level; @@ -265,7 +265,7 @@ Object.defineProperties(QuadtreeNode.prototype, { this, this.level + 1, this.x * 2, - this.y * 2 + this.y * 2, ); } return this._nw; @@ -280,7 +280,7 @@ Object.defineProperties(QuadtreeNode.prototype, { this, this.level + 1, this.x * 2 + 1, - this.y * 2 + this.y * 2, ); } return this._ne; @@ -295,7 +295,7 @@ Object.defineProperties(QuadtreeNode.prototype, { this, this.level + 1, this.x * 2, - this.y * 2 + 1 + this.y * 2 + 1, ); } return this._sw; @@ -310,7 +310,7 @@ Object.defineProperties(QuadtreeNode.prototype, { this, this.level + 1, this.x * 2 + 1, - this.y * 2 + 1 + this.y * 2 + 1, ); } return this._se; @@ -359,7 +359,7 @@ function putRectangleInQuadtree(maxDepth, node, rectangle) { let index = binarySearch( node.rectangles, rectangle.level, - rectangleLevelComparator + rectangleLevelComparator, ); if (index < 0) { index = ~index; @@ -408,25 +408,25 @@ function findMaxLevelFromNode(stopNode, node, position) { if (nw) { maxLevel = Math.max( maxLevel, - findMaxLevelFromNode(node, node._nw, position) + findMaxLevelFromNode(node, node._nw, position), ); } if (ne) { maxLevel = Math.max( maxLevel, - findMaxLevelFromNode(node, node._ne, position) + findMaxLevelFromNode(node, node._ne, position), ); } if (sw) { maxLevel = Math.max( maxLevel, - findMaxLevelFromNode(node, node._sw, position) + findMaxLevelFromNode(node, node._sw, position), ); } if (se) { maxLevel = Math.max( maxLevel, - findMaxLevelFromNode(node, node._se, position) + findMaxLevelFromNode(node, node._se, position), ); } break; @@ -468,7 +468,7 @@ function findMaxLevelFromNode(stopNode, node, position) { function updateCoverageWithNode( remainingToCoverByLevel, node, - rectanglesToCover + rectanglesToCover, ) { if (!node) { return; @@ -496,7 +496,7 @@ function updateCoverageWithNode( remainingToCoverByLevel[rectangle.level] = subtractRectangle( remainingToCoverByLevel[rectangle.level], - rectangle + rectangle, ); } @@ -522,8 +522,8 @@ function subtractRectangle(rectangleList, rectangleToSubtract) { rectangle.west, rectangle.south, rectangleToSubtract.west, - rectangle.north - ) + rectangle.north, + ), ); } if (rectangle.east > rectangleToSubtract.east) { @@ -532,8 +532,8 @@ function subtractRectangle(rectangleList, rectangleToSubtract) { rectangleToSubtract.east, rectangle.south, rectangle.east, - rectangle.north - ) + rectangle.north, + ), ); } if (rectangle.south < rectangleToSubtract.south) { @@ -542,8 +542,8 @@ function subtractRectangle(rectangleList, rectangleToSubtract) { Math.max(rectangleToSubtract.west, rectangle.west), rectangle.south, Math.min(rectangleToSubtract.east, rectangle.east), - rectangleToSubtract.south - ) + rectangleToSubtract.south, + ), ); } if (rectangle.north > rectangleToSubtract.north) { @@ -552,8 +552,8 @@ function subtractRectangle(rectangleList, rectangleToSubtract) { Math.max(rectangleToSubtract.west, rectangle.west), rectangleToSubtract.north, Math.min(rectangleToSubtract.east, rectangle.east), - rectangle.north - ) + rectangle.north, + ), ); } } diff --git a/packages/engine/Source/Core/TileProviderError.js b/packages/engine/Source/Core/TileProviderError.js index 97fd0320dcb3..f549689e47c9 100644 --- a/packages/engine/Source/Core/TileProviderError.js +++ b/packages/engine/Source/Core/TileProviderError.js @@ -26,7 +26,7 @@ function TileProviderError( y, level, timesRetried, - error + error, ) { /** * The {@link ImageryProvider} or {@link TerrainProvider} that experienced the error. @@ -114,7 +114,7 @@ TileProviderError.reportError = function ( x, y, level, - errorDetails + errorDetails, ) { let error = previousError; if (!defined(previousError)) { @@ -125,7 +125,7 @@ TileProviderError.reportError = function ( y, level, 0, - errorDetails + errorDetails, ); } else { error.provider = provider; @@ -143,8 +143,8 @@ TileProviderError.reportError = function ( } else if (defined(provider)) { console.log( `An error occurred in "${provider.constructor.name}": ${formatError( - message - )}` + message, + )}`, ); } diff --git a/packages/engine/Source/Core/TilingScheme.js b/packages/engine/Source/Core/TilingScheme.js index 7429de380a95..f9bc9fb07f04 100644 --- a/packages/engine/Source/Core/TilingScheme.js +++ b/packages/engine/Source/Core/TilingScheme.js @@ -16,7 +16,7 @@ import DeveloperError from "./DeveloperError.js"; function TilingScheme(options) { //>>includeStart('debug', pragmas.debug); throw new DeveloperError( - "This type should not be instantiated directly. Instead, use WebMercatorTilingScheme or GeographicTilingScheme." + "This type should not be instantiated directly. Instead, use WebMercatorTilingScheme or GeographicTilingScheme.", ); //>>includeEnd('debug'); } diff --git a/packages/engine/Source/Core/TimeInterval.js b/packages/engine/Source/Core/TimeInterval.js index 6350ce23ca35..03b0a19f0840 100644 --- a/packages/engine/Source/Core/TimeInterval.js +++ b/packages/engine/Source/Core/TimeInterval.js @@ -145,7 +145,7 @@ TimeInterval.fromIso8601 = function (options, result) { const dates = options.iso8601.split("/"); if (dates.length !== 2) { throw new DeveloperError( - "options.iso8601 is an invalid ISO 8601 interval." + "options.iso8601 is an invalid ISO 8601 interval.", ); } const start = JulianDate.fromIso8601(dates[0]); @@ -185,7 +185,7 @@ TimeInterval.toIso8601 = function (timeInterval, precision) { return `${JulianDate.toIso8601( timeInterval.start, - precision + precision, )}/${JulianDate.toIso8601(timeInterval.stop, precision)}`; }; @@ -345,7 +345,7 @@ TimeInterval.contains = function (timeInterval, julianDate) { const startComparedToDate = JulianDate.compare( timeInterval.start, - julianDate + julianDate, ); if (startComparedToDate === 0) { return timeInterval.isStartIncluded; @@ -416,7 +416,7 @@ TimeInterval.EMPTY = Object.freeze( stop: new JulianDate(), isStartIncluded: false, isStopIncluded: false, - }) + }), ); /** diff --git a/packages/engine/Source/Core/TimeIntervalCollection.js b/packages/engine/Source/Core/TimeIntervalCollection.js index 0d17ace07214..36af68c8dec9 100644 --- a/packages/engine/Source/Core/TimeIntervalCollection.js +++ b/packages/engine/Source/Core/TimeIntervalCollection.js @@ -197,7 +197,7 @@ TimeIntervalCollection.prototype.findIntervalContainingDate = function (date) { * @returns {object} The data for the interval containing the specified date, or undefined if no such interval exists. */ TimeIntervalCollection.prototype.findDataForIntervalContainingDate = function ( - date + date, ) { const index = this.indexOf(date); return index >= 0 ? this._intervals[index].data : undefined; @@ -237,7 +237,7 @@ TimeIntervalCollection.prototype.indexOf = function (date) { let index = binarySearch( intervals, indexOfScratch, - compareIntervalStartTimes + compareIntervalStartTimes, ); if (index >= 0) { if (intervals[index].isStartIncluded) { @@ -309,7 +309,7 @@ TimeIntervalCollection.prototype.findInterval = function (options) { */ TimeIntervalCollection.prototype.addInterval = function ( interval, - dataComparer + dataComparer, ) { //>>includeStart('debug', pragmas.debug); if (!defined(interval)) { @@ -409,7 +409,7 @@ TimeIntervalCollection.prototype.addInterval = function ( // split the existing interval into two intervals. comparison = JulianDate.compare( intervals[index - 1].stop, - interval.stop + interval.stop, ); if ( comparison > 0 || @@ -426,7 +426,7 @@ TimeIntervalCollection.prototype.addInterval = function ( isStartIncluded: !interval.isStopIncluded, isStopIncluded: intervals[index - 1].isStopIncluded, data: intervals[index - 1].data, - }) + }), ); } intervals[index - 1] = new TimeInterval({ @@ -463,7 +463,7 @@ TimeIntervalCollection.prototype.addInterval = function ( isStartIncluded: interval.isStartIncluded, isStopIncluded: JulianDate.greaterThan( intervals[index].stop, - interval.stop + interval.stop, ) ? intervals[index].isStopIncluded : interval.isStopIncluded, @@ -553,7 +553,7 @@ TimeIntervalCollection.prototype.removeInterval = function (interval) { isStartIncluded: !interval.isStopIncluded, isStopIncluded: intervals[index - 1].isStopIncluded, data: intervals[index - 1].data, - }) + }), ); } intervals[index - 1] = new TimeInterval({ @@ -584,7 +584,7 @@ TimeIntervalCollection.prototype.removeInterval = function (interval) { isStartIncluded: true, isStopIncluded: true, data: intervals[index].data, - }) + }), ); ++index; } @@ -671,7 +671,7 @@ TimeIntervalCollection.prototype.removeInterval = function (interval) { TimeIntervalCollection.prototype.intersect = function ( other, dataComparer, - mergeCallback + mergeCallback, ) { //>>includeStart('debug', pragmas.debug); if (!defined(other)) { @@ -704,7 +704,7 @@ TimeIntervalCollection.prototype.intersect = function ( leftInterval, rightInterval, new TimeInterval(), - mergeCallback + mergeCallback, ); if (!intersection.isEmpty) { // Since we start with an empty collection for 'result', and there are no overlapping intervals in 'this' (as a rule), @@ -893,7 +893,8 @@ function addToDate(julianDate, duration, result) { } const scratchJulianDate = new JulianDate(); -const durationRegex = /P(?:([\d.,]+)Y)?(?:([\d.,]+)M)?(?:([\d.,]+)W)?(?:([\d.,]+)D)?(?:T(?:([\d.,]+)H)?(?:([\d.,]+)M)?(?:([\d.,]+)S)?)?/; +const durationRegex = + /P(?:([\d.,]+)Y)?(?:([\d.,]+)M)?(?:([\d.,]+)W)?(?:([\d.,]+)D)?(?:T(?:([\d.,]+)H)?(?:([\d.,]+)M)?(?:([\d.,]+)S)?)?/; /** * Parses ISO8601 duration string @@ -961,7 +962,7 @@ function parseDuration(iso8601, result) { } JulianDate.toGregorianDate( JulianDate.fromIso8601(iso8601, scratchJulianDate), - result + result, ); } @@ -1031,7 +1032,7 @@ TimeIntervalCollection.fromIso8601 = function (options, result) { trailingInterval: options.trailingInterval, dataCallback: options.dataCallback, }, - result + result, ); }; @@ -1069,7 +1070,7 @@ TimeIntervalCollection.fromIso8601DateArray = function (options, result) { trailingInterval: options.trailingInterval, dataCallback: options.dataCallback, }, - result + result, ); }; @@ -1130,7 +1131,7 @@ TimeIntervalCollection.fromIso8601DurationArray = function (options, result) { trailingInterval: options.trailingInterval, dataCallback: options.dataCallback, }, - result + result, ); }; export default TimeIntervalCollection; diff --git a/packages/engine/Source/Core/Tipsify.js b/packages/engine/Source/Core/Tipsify.js index d40e9ac5cfa7..43c0a831dbf6 100644 --- a/packages/engine/Source/Core/Tipsify.js +++ b/packages/engine/Source/Core/Tipsify.js @@ -152,7 +152,7 @@ Tipsify.tipsify = function (options) { vertices, s, deadEnd, - maximumIndexPlusOne + maximumIndexPlusOne, ) { let n = -1; let p; @@ -305,7 +305,7 @@ Tipsify.tipsify = function (options) { vertices, s, deadEnd, - maximumIndexPlusOne + maximumIndexPlusOne, ); } diff --git a/packages/engine/Source/Core/Transforms.js b/packages/engine/Source/Core/Transforms.js index faae113b1d2d..9aad9ff17ef1 100644 --- a/packages/engine/Source/Core/Transforms.js +++ b/packages/engine/Source/Core/Transforms.js @@ -103,7 +103,7 @@ Transforms.localFrameToFixedFrameGenerator = function (firstAxis, secondAxis) { !vectorProductLocalFrame[firstAxis].hasOwnProperty(secondAxis) ) { throw new DeveloperError( - "firstAxis and secondAxis must be east, north, up, west, south or down." + "firstAxis and secondAxis must be east, north, up, west, south or down.", ); } const thirdAxis = vectorProductLocalFrame[firstAxis][secondAxis]; @@ -141,17 +141,17 @@ Transforms.localFrameToFixedFrameGenerator = function (firstAxis, secondAxis) { Cartesian3.unpack( degeneratePositionLocalFrame[firstAxis], 0, - scratchFirstCartesian + scratchFirstCartesian, ); Cartesian3.unpack( degeneratePositionLocalFrame[secondAxis], 0, - scratchSecondCartesian + scratchSecondCartesian, ); Cartesian3.unpack( degeneratePositionLocalFrame[thirdAxis], 0, - scratchThirdCartesian + scratchThirdCartesian, ); } else if ( CesiumMath.equalsEpsilon(origin.x, 0.0, CesiumMath.EPSILON14) && @@ -163,39 +163,39 @@ Transforms.localFrameToFixedFrameGenerator = function (firstAxis, secondAxis) { Cartesian3.unpack( degeneratePositionLocalFrame[firstAxis], 0, - scratchFirstCartesian + scratchFirstCartesian, ); if (firstAxis !== "east" && firstAxis !== "west") { Cartesian3.multiplyByScalar( scratchFirstCartesian, sign, - scratchFirstCartesian + scratchFirstCartesian, ); } Cartesian3.unpack( degeneratePositionLocalFrame[secondAxis], 0, - scratchSecondCartesian + scratchSecondCartesian, ); if (secondAxis !== "east" && secondAxis !== "west") { Cartesian3.multiplyByScalar( scratchSecondCartesian, sign, - scratchSecondCartesian + scratchSecondCartesian, ); } Cartesian3.unpack( degeneratePositionLocalFrame[thirdAxis], 0, - scratchThirdCartesian + scratchThirdCartesian, ); if (thirdAxis !== "east" && thirdAxis !== "west") { Cartesian3.multiplyByScalar( scratchThirdCartesian, sign, - scratchThirdCartesian + scratchThirdCartesian, ); } } else { @@ -213,17 +213,17 @@ Transforms.localFrameToFixedFrameGenerator = function (firstAxis, secondAxis) { Cartesian3.multiplyByScalar( scratchCalculateCartesian.up, -1, - scratchCalculateCartesian.down + scratchCalculateCartesian.down, ); Cartesian3.multiplyByScalar( scratchCalculateCartesian.east, -1, - scratchCalculateCartesian.west + scratchCalculateCartesian.west, ); Cartesian3.multiplyByScalar( scratchCalculateCartesian.north, -1, - scratchCalculateCartesian.south + scratchCalculateCartesian.south, ); scratchFirstCartesian = scratchCalculateCartesian[firstAxis]; @@ -276,7 +276,7 @@ Transforms.localFrameToFixedFrameGenerator = function (firstAxis, secondAxis) { */ Transforms.eastNorthUpToFixedFrame = Transforms.localFrameToFixedFrameGenerator( "east", - "north" + "north", ); /** @@ -300,10 +300,8 @@ Transforms.eastNorthUpToFixedFrame = Transforms.localFrameToFixedFrameGenerator( * const center = Cesium.Cartesian3.fromDegrees(0.0, 0.0); * const transform = Cesium.Transforms.northEastDownToFixedFrame(center); */ -Transforms.northEastDownToFixedFrame = Transforms.localFrameToFixedFrameGenerator( - "north", - "east" -); +Transforms.northEastDownToFixedFrame = + Transforms.localFrameToFixedFrameGenerator("north", "east"); /** * Computes a 4x4 transformation matrix from a reference frame with an north-up-east axes @@ -328,7 +326,7 @@ Transforms.northEastDownToFixedFrame = Transforms.localFrameToFixedFrameGenerato */ Transforms.northUpEastToFixedFrame = Transforms.localFrameToFixedFrameGenerator( "north", - "up" + "up", ); /** @@ -354,7 +352,7 @@ Transforms.northUpEastToFixedFrame = Transforms.localFrameToFixedFrameGenerator( */ Transforms.northWestUpToFixedFrame = Transforms.localFrameToFixedFrameGenerator( "north", - "west" + "west", ); const scratchHPRQuaternion = new Quaternion(); @@ -389,7 +387,7 @@ Transforms.headingPitchRollToFixedFrame = function ( headingPitchRoll, ellipsoid, fixedFrameTransform, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.object("HeadingPitchRoll", headingPitchRoll); @@ -397,17 +395,17 @@ Transforms.headingPitchRollToFixedFrame = function ( fixedFrameTransform = defaultValue( fixedFrameTransform, - Transforms.eastNorthUpToFixedFrame + Transforms.eastNorthUpToFixedFrame, ); const hprQuaternion = Quaternion.fromHeadingPitchRoll( headingPitchRoll, - scratchHPRQuaternion + scratchHPRQuaternion, ); const hprMatrix = Matrix4.fromTranslationQuaternionRotationScale( Cartesian3.ZERO, hprQuaternion, scratchScale, - scratchHPRMatrix4 + scratchHPRMatrix4, ); result = fixedFrameTransform(origin, ellipsoid, result); return Matrix4.multiply(result, hprMatrix, result); @@ -444,7 +442,7 @@ Transforms.headingPitchRollQuaternion = function ( headingPitchRoll, ellipsoid, fixedFrameTransform, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.object("HeadingPitchRoll", headingPitchRoll); @@ -455,7 +453,7 @@ Transforms.headingPitchRollQuaternion = function ( headingPitchRoll, ellipsoid, fixedFrameTransform, - scratchENUMatrix4 + scratchENUMatrix4, ); const rotation = Matrix4.getMatrix3(transform, scratchHPRMatrix3); return Quaternion.fromRotationMatrix(rotation, result); @@ -483,7 +481,7 @@ Transforms.fixedFrameToHeadingPitchRoll = function ( transform, ellipsoid, fixedFrameTransform, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.defined("transform", transform); @@ -492,7 +490,7 @@ Transforms.fixedFrameToHeadingPitchRoll = function ( ellipsoid = defaultValue(ellipsoid, Ellipsoid.default); fixedFrameTransform = defaultValue( fixedFrameTransform, - Transforms.eastNorthUpToFixedFrame + Transforms.eastNorthUpToFixedFrame, ); if (!defined(result)) { result = new HeadingPitchRoll(); @@ -507,23 +505,23 @@ Transforms.fixedFrameToHeadingPitchRoll = function ( } let toFixedFrame = Matrix4.inverseTransformation( fixedFrameTransform(center, ellipsoid, ffScratch), - ffScratch + ffScratch, ); let transformCopy = Matrix4.setScale(transform, noScale, hprTransformScratch); transformCopy = Matrix4.setTranslation( transformCopy, Cartesian3.ZERO, - transformCopy + transformCopy, ); toFixedFrame = Matrix4.multiply(toFixedFrame, transformCopy, toFixedFrame); let quaternionRotation = Quaternion.fromRotationMatrix( Matrix4.getMatrix3(toFixedFrame, hprRotationScratch), - hprQuaternionScratch + hprQuaternionScratch, ); quaternionRotation = Quaternion.normalize( quaternionRotation, - quaternionRotation + quaternionRotation, ); return HeadingPitchRoll.fromQuaternion(quaternionRotation, result); @@ -600,7 +598,7 @@ Transforms.computeTemeToPseudoFixedMatrix = function (date, result) { dateInUtc = JulianDate.addSeconds( date, -JulianDate.computeTaiMinusUtc(date), - dateInUtc + dateInUtc, ); const utcDayNumber = dateInUtc.dayNumber; const utcSecondsIntoDay = dateInUtc.secondsOfDay; @@ -635,7 +633,7 @@ Transforms.computeTemeToPseudoFixedMatrix = function (date, result) { 0.0, 0.0, 0.0, - 1.0 + 1.0, ); } result[0] = cosGha; @@ -707,7 +705,7 @@ Transforms.preloadIcrfFixed = function (timeInterval) { startDayTT, startSecondTT, stopDayTT, - stopSecondTT + stopSecondTT, ); }; @@ -868,7 +866,7 @@ const eopScratch = new EarthOrientationParametersSample( 0.0, 0.0, 0.0, - 0.0 + 0.0, ); const rotation1Scratch = new Matrix3(); const rotation2Scratch = new Matrix3(); @@ -926,7 +924,7 @@ Transforms.computeFixedToIcrfMatrix = function (date, result) { const xys = Transforms.iau2006XysData.computeXysRadians( dayTT, secondTT, - xysScratch + xysScratch, ); if (!defined(xys)) { return undefined; @@ -1025,13 +1023,13 @@ Transforms.pointToWindowCoordinates = function ( modelViewProjectionMatrix, viewportTransformation, point, - result + result, ) { result = Transforms.pointToGLWindowCoordinates( modelViewProjectionMatrix, viewportTransformation, point, - result + result, ); result.y = 2.0 * viewportTransformation[5] - result.y; return result; @@ -1044,7 +1042,7 @@ Transforms.pointToGLWindowCoordinates = function ( modelViewProjectionMatrix, viewportTransformation, point, - result + result, ) { //>>includeStart('debug', pragmas.debug); if (!defined(modelViewProjectionMatrix)) { @@ -1069,7 +1067,7 @@ Transforms.pointToGLWindowCoordinates = function ( Matrix4.multiplyByVector( modelViewProjectionMatrix, Cartesian4.fromElements(point.x, point.y, point.z, 1, tmp), - tmp + tmp, ); Cartesian4.multiplyByScalar(tmp, 1.0 / tmp.w, tmp); Matrix4.multiplyByVector(viewportTransformation, tmp, tmp); @@ -1093,7 +1091,7 @@ Transforms.rotationMatrixFromPositionVelocity = function ( position, velocity, ellipsoid, - result + result, ) { //>>includeStart('debug', pragmas.debug); if (!defined(position)) { @@ -1107,7 +1105,7 @@ Transforms.rotationMatrixFromPositionVelocity = function ( const normal = defaultValue( ellipsoid, - Ellipsoid.default + Ellipsoid.default, ).geodeticSurfaceNormal(position, normalScratch); let right = Cartesian3.cross(velocity, normal, rightScratch); @@ -1154,7 +1152,7 @@ const swizzleMatrix = new Matrix4( 0.0, 0.0, 0.0, - 1.0 + 1.0, ); const scratchCartographic = new Cartographic(); @@ -1187,24 +1185,24 @@ Transforms.basisTo2D = function (projection, matrix, result) { if (Cartesian3.equals(rtcCenter, Cartesian3.ZERO)) { projectedPosition = Cartesian3.clone( Cartesian3.ZERO, - scratchCartesian3Projection + scratchCartesian3Projection, ); } else { // Get the 2D Center const cartographic = ellipsoid.cartesianToCartographic( rtcCenter, - scratchCartographic + scratchCartographic, ); projectedPosition = projection.project( cartographic, - scratchCartesian3Projection + scratchCartesian3Projection, ); Cartesian3.fromElements( projectedPosition.z, projectedPosition.x, projectedPosition.y, - projectedPosition + projectedPosition, ); } @@ -1212,7 +1210,7 @@ Transforms.basisTo2D = function (projection, matrix, result) { const fromENU = Transforms.eastNorthUpToFixedFrame( rtcCenter, ellipsoid, - scratchFromENU + scratchFromENU, ); const toENU = Matrix4.inverseTransformation(fromENU, scratchToENU); const rotation = Matrix4.getMatrix3(matrix, scratchRotation); @@ -1244,28 +1242,28 @@ Transforms.ellipsoidTo2DModelMatrix = function (projection, center, result) { const fromENU = Transforms.eastNorthUpToFixedFrame( center, ellipsoid, - scratchFromENU + scratchFromENU, ); const toENU = Matrix4.inverseTransformation(fromENU, scratchToENU); const cartographic = ellipsoid.cartesianToCartographic( center, - scratchCartographic + scratchCartographic, ); const projectedPosition = projection.project( cartographic, - scratchCartesian3Projection + scratchCartesian3Projection, ); Cartesian3.fromElements( projectedPosition.z, projectedPosition.x, projectedPosition.y, - projectedPosition + projectedPosition, ); const translation = Matrix4.fromTranslation( projectedPosition, - scratchFromENU + scratchFromENU, ); Matrix4.multiply(swizzleMatrix, toENU, result); Matrix4.multiply(translation, result, result); diff --git a/packages/engine/Source/Core/TranslationRotationScale.js b/packages/engine/Source/Core/TranslationRotationScale.js index f3ce144596a3..16047008bc6f 100644 --- a/packages/engine/Source/Core/TranslationRotationScale.js +++ b/packages/engine/Source/Core/TranslationRotationScale.js @@ -23,7 +23,7 @@ function TranslationRotationScale(translation, rotation, scale) { * @default Cartesian3.ZERO */ this.translation = Cartesian3.clone( - defaultValue(translation, defaultTranslation) + defaultValue(translation, defaultTranslation), ); /** diff --git a/packages/engine/Source/Core/TridiagonalSystemSolver.js b/packages/engine/Source/Core/TridiagonalSystemSolver.js index b53dabc7e3e4..9291b96c0e8b 100644 --- a/packages/engine/Source/Core/TridiagonalSystemSolver.js +++ b/packages/engine/Source/Core/TridiagonalSystemSolver.js @@ -61,7 +61,7 @@ TridiagonalSystemSolver.solve = function (lower, diagonal, upper, right) { throw new DeveloperError("lower and upper must have the same lengths."); } else if (lower.length !== diagonal.length - 1) { throw new DeveloperError( - "lower and upper must be one less than the length of diagonal." + "lower and upper must be one less than the length of diagonal.", ); } //>>includeEnd('debug'); @@ -86,7 +86,7 @@ TridiagonalSystemSolver.solve = function (lower, diagonal, upper, right) { d[i] = Cartesian3.subtract( right[i], Cartesian3.multiplyByScalar(d[i - 1], lower[i - 1], d[i]), - d[i] + d[i], ); d[i] = Cartesian3.multiplyByScalar(d[i], scalar, d[i]); } @@ -95,7 +95,7 @@ TridiagonalSystemSolver.solve = function (lower, diagonal, upper, right) { d[i] = Cartesian3.subtract( right[i], Cartesian3.multiplyByScalar(d[i - 1], lower[i - 1], d[i]), - d[i] + d[i], ); d[i] = Cartesian3.multiplyByScalar(d[i], scalar, d[i]); @@ -104,7 +104,7 @@ TridiagonalSystemSolver.solve = function (lower, diagonal, upper, right) { x[i] = Cartesian3.subtract( d[i], Cartesian3.multiplyByScalar(x[i + 1], c[i], x[i]), - x[i] + x[i], ); } diff --git a/packages/engine/Source/Core/VRTheWorldTerrainProvider.js b/packages/engine/Source/Core/VRTheWorldTerrainProvider.js index 8c38ee906e8c..c25b7312202a 100644 --- a/packages/engine/Source/Core/VRTheWorldTerrainProvider.js +++ b/packages/engine/Source/Core/VRTheWorldTerrainProvider.js @@ -49,7 +49,8 @@ TerrainProviderBuilder.prototype.build = function (provider) { provider._tilingScheme = this.tilingScheme; provider._heightmapWidth = this.heightmapWidth; provider._heightmapHeight = this.heightmapHeight; - provider._levelZeroMaximumGeometricError = this.levelZeroMaximumGeometricError; + provider._levelZeroMaximumGeometricError = + this.levelZeroMaximumGeometricError; provider._rectangles = this.rectangles; }; @@ -66,20 +67,21 @@ function metadataSuccess(terrainProviderBuilder, xml) { const tileFormat = xml.getElementsByTagName("TileFormat")[0]; terrainProviderBuilder.heightmapWidth = parseInt( tileFormat.getAttribute("width"), - 10 + 10, ); terrainProviderBuilder.heightmapHeight = parseInt( tileFormat.getAttribute("height"), - 10 - ); - terrainProviderBuilder.levelZeroMaximumGeometricError = TerrainProvider.getEstimatedLevelZeroGeometricErrorForAHeightmap( - terrainProviderBuilder.ellipsoid, - Math.min( - terrainProviderBuilder.heightmapWidth, - terrainProviderBuilder.heightmapHeight - ), - terrainProviderBuilder.tilingScheme.getNumberOfXTilesAtLevel(0) + 10, ); + terrainProviderBuilder.levelZeroMaximumGeometricError = + TerrainProvider.getEstimatedLevelZeroGeometricErrorForAHeightmap( + terrainProviderBuilder.ellipsoid, + Math.min( + terrainProviderBuilder.heightmapWidth, + terrainProviderBuilder.heightmapHeight, + ), + terrainProviderBuilder.tilingScheme.getNumberOfXTilesAtLevel(0), + ); const dataRectangles = xml.getElementsByTagName("DataExtent"); @@ -87,21 +89,21 @@ function metadataSuccess(terrainProviderBuilder, xml) { const dataRectangle = dataRectangles[i]; const west = CesiumMath.toRadians( - parseFloat(dataRectangle.getAttribute("minx")) + parseFloat(dataRectangle.getAttribute("minx")), ); const south = CesiumMath.toRadians( - parseFloat(dataRectangle.getAttribute("miny")) + parseFloat(dataRectangle.getAttribute("miny")), ); const east = CesiumMath.toRadians( - parseFloat(dataRectangle.getAttribute("maxx")) + parseFloat(dataRectangle.getAttribute("maxx")), ); const north = CesiumMath.toRadians( - parseFloat(dataRectangle.getAttribute("maxy")) + parseFloat(dataRectangle.getAttribute("maxy")), ); const maxLevel = parseInt(dataRectangle.getAttribute("maxlevel"), 10); terrainProviderBuilder.rectangles.push( - new DataRectangle(new Rectangle(west, south, east, north), maxLevel) + new DataRectangle(new Rectangle(west, south, east, north), maxLevel), ); } } @@ -117,7 +119,7 @@ function metadataFailure(resource, error, provider) { undefined, provider, defined(provider) ? provider._errorEvent : undefined, - message + message, ); throw new RuntimeError(message); @@ -310,7 +312,7 @@ VRTheWorldTerrainProvider.prototype.requestTileGeometry = function ( x, y, level, - request + request, ) { const yTiles = this._tilingScheme.getNumberOfYTilesAtLevel(level); const resource = this._resource.getDerivedResource({ @@ -346,7 +348,7 @@ VRTheWorldTerrainProvider.prototype.requestTileGeometry = function ( * @returns {number} The maximum geometric error. */ VRTheWorldTerrainProvider.prototype.getLevelMaximumGeometricError = function ( - level + level, ) { return this._levelZeroMaximumGeometricError / (1 << level); }; @@ -371,7 +373,7 @@ function getChildMask(provider, x, y, level) { const intersection = Rectangle.intersection( testRectangle, parentRectangle, - rectangleScratch + rectangleScratch, ); if (defined(intersection)) { // Parent tile is inside this rectangle, so at least one child is, too. @@ -386,7 +388,7 @@ function getChildMask(provider, x, y, level) { testRectangle, x * 2 + 1, y * 2, - level + 1 + level + 1, ) ) { childMask |= 8; // northeast @@ -397,7 +399,7 @@ function getChildMask(provider, x, y, level) { testRectangle, x * 2, y * 2 + 1, - level + 1 + level + 1, ) ) { childMask |= 1; // southwest @@ -408,7 +410,7 @@ function getChildMask(provider, x, y, level) { testRectangle, x * 2 + 1, y * 2 + 1, - level + 1 + level + 1, ) ) { childMask |= 2; // southeast @@ -422,7 +424,7 @@ function getChildMask(provider, x, y, level) { function isTileInRectangle(tilingScheme, rectangle, x, y, level) { const tileRectangle = tilingScheme.tileXYToRectangle(x, y, level); return defined( - Rectangle.intersection(tileRectangle, rectangle, rectangleScratch) + Rectangle.intersection(tileRectangle, rectangle, rectangleScratch), ); } @@ -437,7 +439,7 @@ function isTileInRectangle(tilingScheme, rectangle, x, y, level) { VRTheWorldTerrainProvider.prototype.getTileDataAvailable = function ( x, y, - level + level, ) { return undefined; }; @@ -453,7 +455,7 @@ VRTheWorldTerrainProvider.prototype.getTileDataAvailable = function ( VRTheWorldTerrainProvider.prototype.loadTileDataAvailability = function ( x, y, - level + level, ) { return undefined; }; diff --git a/packages/engine/Source/Core/VertexFormat.js b/packages/engine/Source/Core/VertexFormat.js index 9754d06ba676..b1fd436fb0d3 100644 --- a/packages/engine/Source/Core/VertexFormat.js +++ b/packages/engine/Source/Core/VertexFormat.js @@ -109,7 +109,7 @@ function VertexFormat(options) { VertexFormat.POSITION_ONLY = Object.freeze( new VertexFormat({ position: true, - }) + }), ); /** @@ -126,7 +126,7 @@ VertexFormat.POSITION_AND_NORMAL = Object.freeze( new VertexFormat({ position: true, normal: true, - }) + }), ); /** @@ -146,7 +146,7 @@ VertexFormat.POSITION_NORMAL_AND_ST = Object.freeze( position: true, normal: true, st: true, - }) + }), ); /** @@ -163,7 +163,7 @@ VertexFormat.POSITION_AND_ST = Object.freeze( new VertexFormat({ position: true, st: true, - }) + }), ); /** @@ -179,7 +179,7 @@ VertexFormat.POSITION_AND_COLOR = Object.freeze( new VertexFormat({ position: true, color: true, - }) + }), ); /** @@ -201,7 +201,7 @@ VertexFormat.ALL = Object.freeze( st: true, tangent: true, bitangent: true, - }) + }), ); /** diff --git a/packages/engine/Source/Core/VerticalExaggeration.js b/packages/engine/Source/Core/VerticalExaggeration.js index 5a9744691e29..1ffcf9d37b1a 100644 --- a/packages/engine/Source/Core/VerticalExaggeration.js +++ b/packages/engine/Source/Core/VerticalExaggeration.js @@ -43,11 +43,11 @@ VerticalExaggeration.getPosition = function ( ellipsoid, verticalExaggeration, verticalExaggerationRelativeHeight, - result + result, ) { const cartographic = ellipsoid.cartesianToCartographic( position, - scratchCartographic + scratchCartographic, ); // If the position is too near the center of the ellipsoid, exaggeration is undefined. if (!defined(cartographic)) { @@ -56,14 +56,14 @@ VerticalExaggeration.getPosition = function ( const newHeight = VerticalExaggeration.getHeight( cartographic.height, verticalExaggeration, - verticalExaggerationRelativeHeight + verticalExaggerationRelativeHeight, ); return Cartesian3.fromRadians( cartographic.longitude, cartographic.latitude, newHeight, ellipsoid, - result + result, ); }; diff --git a/packages/engine/Source/Core/VideoSynchronizer.js b/packages/engine/Source/Core/VideoSynchronizer.js index 5599dcae75cf..eb2e165cef17 100644 --- a/packages/engine/Source/Core/VideoSynchronizer.js +++ b/packages/engine/Source/Core/VideoSynchronizer.js @@ -79,7 +79,7 @@ Object.defineProperties(VideoSynchronizer.prototype, { if (defined(value)) { this._clockSubscription = value.onTick.addEventListener( VideoSynchronizer.prototype._onTick, - this + this, ); } diff --git a/packages/engine/Source/Core/WallGeometry.js b/packages/engine/Source/Core/WallGeometry.js index 0c9fc2d43013..2f713ed2c3cc 100644 --- a/packages/engine/Source/Core/WallGeometry.js +++ b/packages/engine/Source/Core/WallGeometry.js @@ -77,7 +77,7 @@ function WallGeometry(options) { maximumHeights.length !== wallPositions.length ) { throw new DeveloperError( - "options.positions and options.maximumHeights must have the same length." + "options.positions and options.maximumHeights must have the same length.", ); } if ( @@ -85,7 +85,7 @@ function WallGeometry(options) { minimumHeights.length !== wallPositions.length ) { throw new DeveloperError( - "options.positions and options.minimumHeights must have the same length." + "options.positions and options.minimumHeights must have the same length.", ); } //>>includeEnd('debug'); @@ -93,7 +93,7 @@ function WallGeometry(options) { const vertexFormat = defaultValue(options.vertexFormat, VertexFormat.DEFAULT); const granularity = defaultValue( options.granularity, - CesiumMath.RADIANS_PER_DEGREE + CesiumMath.RADIANS_PER_DEGREE, ); const ellipsoid = defaultValue(options.ellipsoid, Ellipsoid.default); @@ -246,7 +246,7 @@ WallGeometry.unpack = function (array, startingIndex, result) { const vertexFormat = VertexFormat.unpack( array, startingIndex, - scratchVertexFormat + scratchVertexFormat, ); startingIndex += VertexFormat.packedLength; @@ -366,7 +366,7 @@ WallGeometry.createGeometry = function (wallGeometry) { maximumHeights, minimumHeights, granularity, - true + true, ); if (!defined(pos)) { return; @@ -410,12 +410,12 @@ WallGeometry.createGeometry = function (wallGeometry) { const topPosition = Cartesian3.fromArray( topPositions, i3, - scratchCartesian3Position1 + scratchCartesian3Position1, ); const bottomPosition = Cartesian3.fromArray( bottomPositions, i3, - scratchCartesian3Position2 + scratchCartesian3Position2, ); if (vertexFormat.position) { // insert the lower point @@ -440,21 +440,21 @@ WallGeometry.createGeometry = function (wallGeometry) { if (vertexFormat.normal || vertexFormat.tangent || vertexFormat.bitangent) { let nextTop = Cartesian3.clone( Cartesian3.ZERO, - scratchCartesian3Position5 + scratchCartesian3Position5, ); const groundPosition = Cartesian3.subtract( topPosition, ellipsoid.geodeticSurfaceNormal( topPosition, - scratchCartesian3Position2 + scratchCartesian3Position2, ), - scratchCartesian3Position2 + scratchCartesian3Position2, ); if (i + 1 < length) { nextTop = Cartesian3.fromArray( topPositions, i3 + 3, - scratchCartesian3Position5 + scratchCartesian3Position5, ); } @@ -462,16 +462,16 @@ WallGeometry.createGeometry = function (wallGeometry) { const scalednextPosition = Cartesian3.subtract( nextTop, topPosition, - scratchCartesian3Position4 + scratchCartesian3Position4, ); const scaledGroundPosition = Cartesian3.subtract( groundPosition, topPosition, - scratchCartesian3Position1 + scratchCartesian3Position1, ); normal = Cartesian3.normalize( Cartesian3.cross(scaledGroundPosition, scalednextPosition, normal), - normal + normal, ); recomputeNormal = false; } @@ -485,13 +485,13 @@ WallGeometry.createGeometry = function (wallGeometry) { if (vertexFormat.tangent) { tangent = Cartesian3.normalize( Cartesian3.subtract(nextTop, topPosition, tangent), - tangent + tangent, ); } if (vertexFormat.bitangent) { bitangent = Cartesian3.normalize( Cartesian3.cross(normal, tangent, bitangent), - bitangent + bitangent, ); } } @@ -595,12 +595,12 @@ WallGeometry.createGeometry = function (wallGeometry) { const pl = Cartesian3.fromArray( positions, LL * 3, - scratchCartesian3Position1 + scratchCartesian3Position1, ); const pr = Cartesian3.fromArray( positions, LR * 3, - scratchCartesian3Position2 + scratchCartesian3Position2, ); if (Cartesian3.equalsEpsilon(pl, pr, CesiumMath.EPSILON10)) { continue; diff --git a/packages/engine/Source/Core/WallGeometryLibrary.js b/packages/engine/Source/Core/WallGeometryLibrary.js index 679cf4114e4b..cc64fe836d74 100644 --- a/packages/engine/Source/Core/WallGeometryLibrary.js +++ b/packages/engine/Source/Core/WallGeometryLibrary.js @@ -117,13 +117,13 @@ WallGeometryLibrary.computePositions = function ( maximumHeights, minimumHeights, granularity, - duplicateCorners + duplicateCorners, ) { const o = removeDuplicates( ellipsoid, wallPositions, maximumHeights, - minimumHeights + minimumHeights, ); if (!defined(o)) { @@ -141,7 +141,7 @@ WallGeometryLibrary.computePositions = function ( const minDistance = CesiumMath.chordLength( granularity, - ellipsoid.maximumRadius + ellipsoid.maximumRadius, ); const generateArcOptions = generateArcOptionsScratch; @@ -157,7 +157,7 @@ WallGeometryLibrary.computePositions = function ( PolylinePipeline.numberOfPoints( wallPositions[i], wallPositions[i + 1], - minDistance + minDistance, ) + 1; } @@ -185,7 +185,7 @@ WallGeometryLibrary.computePositions = function ( bottomPositions.set( PolylinePipeline.generateArc(generateArcOptions), - offset + offset, ); offset += pos.length; @@ -194,12 +194,12 @@ WallGeometryLibrary.computePositions = function ( generateArcOptions.positions = wallPositions; generateArcOptions.height = maximumHeights; topPositions = new Float64Array( - PolylinePipeline.generateArc(generateArcOptions) + PolylinePipeline.generateArc(generateArcOptions), ); generateArcOptions.height = minimumHeights; bottomPositions = new Float64Array( - PolylinePipeline.generateArc(generateArcOptions) + PolylinePipeline.generateArc(generateArcOptions), ); } diff --git a/packages/engine/Source/Core/WallOutlineGeometry.js b/packages/engine/Source/Core/WallOutlineGeometry.js index c934ff18e182..e3a3ff850c1a 100644 --- a/packages/engine/Source/Core/WallOutlineGeometry.js +++ b/packages/engine/Source/Core/WallOutlineGeometry.js @@ -68,7 +68,7 @@ function WallOutlineGeometry(options) { maximumHeights.length !== wallPositions.length ) { throw new DeveloperError( - "options.positions and options.maximumHeights must have the same length." + "options.positions and options.maximumHeights must have the same length.", ); } if ( @@ -76,14 +76,14 @@ function WallOutlineGeometry(options) { minimumHeights.length !== wallPositions.length ) { throw new DeveloperError( - "options.positions and options.minimumHeights must have the same length." + "options.positions and options.minimumHeights must have the same length.", ); } //>>includeEnd('debug'); const granularity = defaultValue( options.granularity, - CesiumMath.RADIANS_PER_DEGREE + CesiumMath.RADIANS_PER_DEGREE, ); const ellipsoid = defaultValue(options.ellipsoid, Ellipsoid.default); @@ -338,7 +338,7 @@ WallOutlineGeometry.createGeometry = function (wallGeometry) { maximumHeights, minimumHeights, granularity, - false + false, ); if (!defined(pos)) { return; @@ -362,12 +362,12 @@ WallOutlineGeometry.createGeometry = function (wallGeometry) { const topPosition = Cartesian3.fromArray( topPositions, i3, - scratchCartesian3Position1 + scratchCartesian3Position1, ); const bottomPosition = Cartesian3.fromArray( bottomPositions, i3, - scratchCartesian3Position2 + scratchCartesian3Position2, ); // insert the lower point @@ -400,12 +400,12 @@ WallOutlineGeometry.createGeometry = function (wallGeometry) { const pl = Cartesian3.fromArray( positions, LL * 3, - scratchCartesian3Position1 + scratchCartesian3Position1, ); const pr = Cartesian3.fromArray( positions, LR * 3, - scratchCartesian3Position2 + scratchCartesian3Position2, ); if (Cartesian3.equalsEpsilon(pl, pr, CesiumMath.EPSILON10)) { continue; diff --git a/packages/engine/Source/Core/WebMercatorProjection.js b/packages/engine/Source/Core/WebMercatorProjection.js index 79a7cb309ec2..335eaa54697a 100644 --- a/packages/engine/Source/Core/WebMercatorProjection.js +++ b/packages/engine/Source/Core/WebMercatorProjection.js @@ -48,7 +48,7 @@ Object.defineProperties(WebMercatorProjection.prototype, { * @returns {number} The geodetic latitude in radians. */ WebMercatorProjection.mercatorAngleToGeodeticLatitude = function ( - mercatorAngle + mercatorAngle, ) { return CesiumMath.PI_OVER_TWO - 2.0 * Math.atan(Math.exp(-mercatorAngle)); }; @@ -85,9 +85,8 @@ WebMercatorProjection.geodeticLatitudeToMercatorAngle = function (latitude) { * * @type {number} */ -WebMercatorProjection.MaximumLatitude = WebMercatorProjection.mercatorAngleToGeodeticLatitude( - Math.PI -); +WebMercatorProjection.MaximumLatitude = + WebMercatorProjection.mercatorAngleToGeodeticLatitude(Math.PI); /** * Converts geodetic ellipsoid coordinates, in radians, to the equivalent Web Mercator @@ -104,7 +103,7 @@ WebMercatorProjection.prototype.project = function (cartographic, result) { const x = cartographic.longitude * semimajorAxis; const y = WebMercatorProjection.geodeticLatitudeToMercatorAngle( - cartographic.latitude + cartographic.latitude, ) * semimajorAxis; const z = cartographic.height; @@ -138,7 +137,7 @@ WebMercatorProjection.prototype.unproject = function (cartesian, result) { const oneOverEarthSemimajorAxis = this._oneOverSemimajorAxis; const longitude = cartesian.x * oneOverEarthSemimajorAxis; const latitude = WebMercatorProjection.mercatorAngleToGeodeticLatitude( - cartesian.y * oneOverEarthSemimajorAxis + cartesian.y * oneOverEarthSemimajorAxis, ); const height = cartesian.z; diff --git a/packages/engine/Source/Core/WebMercatorTilingScheme.js b/packages/engine/Source/Core/WebMercatorTilingScheme.js index 79229fda0dec..f7a81610d418 100644 --- a/packages/engine/Source/Core/WebMercatorTilingScheme.js +++ b/packages/engine/Source/Core/WebMercatorTilingScheme.js @@ -34,11 +34,11 @@ function WebMercatorTilingScheme(options) { this._ellipsoid = defaultValue(options.ellipsoid, Ellipsoid.default); this._numberOfLevelZeroTilesX = defaultValue( options.numberOfLevelZeroTilesX, - 1 + 1, ); this._numberOfLevelZeroTilesY = defaultValue( options.numberOfLevelZeroTilesY, - 1 + 1, ); this._projection = new WebMercatorProjection(this._ellipsoid); @@ -53,25 +53,25 @@ function WebMercatorTilingScheme(options) { const semimajorAxisTimesPi = this._ellipsoid.maximumRadius * Math.PI; this._rectangleSouthwestInMeters = new Cartesian2( -semimajorAxisTimesPi, - -semimajorAxisTimesPi + -semimajorAxisTimesPi, ); this._rectangleNortheastInMeters = new Cartesian2( semimajorAxisTimesPi, - semimajorAxisTimesPi + semimajorAxisTimesPi, ); } const southwest = this._projection.unproject( - this._rectangleSouthwestInMeters + this._rectangleSouthwestInMeters, ); const northeast = this._projection.unproject( - this._rectangleNortheastInMeters + this._rectangleNortheastInMeters, ); this._rectangle = new Rectangle( southwest.longitude, southwest.latitude, northeast.longitude, - northeast.latitude + northeast.latitude, ); } @@ -142,7 +142,7 @@ WebMercatorTilingScheme.prototype.getNumberOfYTilesAtLevel = function (level) { */ WebMercatorTilingScheme.prototype.rectangleToNativeRectangle = function ( rectangle, - result + result, ) { const projection = this._projection; const southwest = projection.project(Rectangle.southwest(rectangle)); @@ -175,7 +175,7 @@ WebMercatorTilingScheme.prototype.tileXYToNativeRectangle = function ( x, y, level, - result + result, ) { const xTiles = this.getNumberOfXTilesAtLevel(level); const yTiles = this.getNumberOfYTilesAtLevel(level); @@ -218,16 +218,16 @@ WebMercatorTilingScheme.prototype.tileXYToRectangle = function ( x, y, level, - result + result, ) { const nativeRectangle = this.tileXYToNativeRectangle(x, y, level, result); const projection = this._projection; const southwest = projection.unproject( - new Cartesian2(nativeRectangle.west, nativeRectangle.south) + new Cartesian2(nativeRectangle.west, nativeRectangle.south), ); const northeast = projection.unproject( - new Cartesian2(nativeRectangle.east, nativeRectangle.north) + new Cartesian2(nativeRectangle.east, nativeRectangle.north), ); nativeRectangle.west = southwest.longitude; @@ -251,7 +251,7 @@ WebMercatorTilingScheme.prototype.tileXYToRectangle = function ( WebMercatorTilingScheme.prototype.positionToTileXY = function ( position, level, - result + result, ) { const rectangle = this._rectangle; if (!Rectangle.contains(rectangle, position)) { diff --git a/packages/engine/Source/Core/WireframeIndexGenerator.js b/packages/engine/Source/Core/WireframeIndexGenerator.js index 6100dad6effd..2ad790353286 100644 --- a/packages/engine/Source/Core/WireframeIndexGenerator.js +++ b/packages/engine/Source/Core/WireframeIndexGenerator.js @@ -14,7 +14,7 @@ const WireframeIndexGenerator = {}; function createWireframeFromTriangles(vertexCount) { const wireframeIndices = IndexDatatype.createTypedArray( vertexCount, - vertexCount * 2 + vertexCount * 2, ); const length = vertexCount; let index = 0; @@ -34,7 +34,7 @@ function createWireframeFromTriangleIndices(vertexCount, originalIndices) { const originalIndicesCount = originalIndices.length; const wireframeIndices = IndexDatatype.createTypedArray( vertexCount, - originalIndicesCount * 2 + originalIndicesCount * 2, ); let index = 0; for (let i = 0; i < originalIndicesCount; i += 3) { @@ -58,7 +58,7 @@ function createWireframeFromTriangleStrip(vertexCount) { const wireframeIndicesCount = 2 + numberOfTriangles * 4; const wireframeIndices = IndexDatatype.createTypedArray( vertexCount, - wireframeIndicesCount + wireframeIndicesCount, ); let index = 0; @@ -83,7 +83,7 @@ function createWireframeFromTriangleStripIndices(vertexCount, originalIndices) { const wireframeIndicesCount = 2 + numberOfTriangles * 4; const wireframeIndices = IndexDatatype.createTypedArray( vertexCount, - wireframeIndicesCount + wireframeIndicesCount, ); let index = 0; @@ -111,7 +111,7 @@ function createWireframeFromTriangleFan(vertexCount) { const wireframeIndicesCount = 2 + numberOfTriangles * 4; const wireframeIndices = IndexDatatype.createTypedArray( vertexCount, - wireframeIndicesCount + wireframeIndicesCount, ); let index = 0; @@ -136,7 +136,7 @@ function createWireframeFromTriangleFanIndices(vertexCount, originalIndices) { const wireframeIndicesCount = 2 + numberOfTriangles * 4; const wireframeIndices = IndexDatatype.createTypedArray( vertexCount, - wireframeIndicesCount + wireframeIndicesCount, ); let index = 0; @@ -174,7 +174,7 @@ function createWireframeFromTriangleFanIndices(vertexCount, originalIndices) { WireframeIndexGenerator.createWireframeIndices = function ( primitiveType, vertexCount, - originalIndices + originalIndices, ) { const hasOriginalIndices = defined(originalIndices); if (primitiveType === PrimitiveType.TRIANGLES) { @@ -209,7 +209,7 @@ WireframeIndexGenerator.createWireframeIndices = function ( */ WireframeIndexGenerator.getWireframeIndicesCount = function ( primitiveType, - originalCount + originalCount, ) { // For TRIANGLES, the wireframe takes every triangle (i.e. three of the original // indices) and turns it into lines. Each triangle has three lines, and each line diff --git a/packages/engine/Source/Core/arrayRemoveDuplicates.js b/packages/engine/Source/Core/arrayRemoveDuplicates.js index c001def63001..e77b3aa871be 100644 --- a/packages/engine/Source/Core/arrayRemoveDuplicates.js +++ b/packages/engine/Source/Core/arrayRemoveDuplicates.js @@ -51,7 +51,7 @@ function arrayRemoveDuplicates( values, equalsEpsilon, wrapAround, - removedIndices + removedIndices, ) { //>>includeStart('debug', pragmas.debug); Check.defined("equalsEpsilon", equalsEpsilon); diff --git a/packages/engine/Source/Core/buildModuleUrl.js b/packages/engine/Source/Core/buildModuleUrl.js index 88a1982fbb7f..c992d6115ab1 100644 --- a/packages/engine/Source/Core/buildModuleUrl.js +++ b/packages/engine/Source/Core/buildModuleUrl.js @@ -53,7 +53,7 @@ function getCesiumBaseUrl() { // RequireJS baseUrlString = getAbsoluteUri( "..", - buildModuleUrl("Core/buildModuleUrl.js") + buildModuleUrl("Core/buildModuleUrl.js"), ); } else { // IIFE @@ -63,7 +63,7 @@ function getCesiumBaseUrl() { //>>includeStart('debug', pragmas.debug); if (!defined(baseUrlString)) { throw new DeveloperError( - "Unable to determine Cesium base URL automatically, try defining a global variable called CESIUM_BASE_URL." + "Unable to determine Cesium base URL automatically, try defining a global variable called CESIUM_BASE_URL.", ); } //>>includeEnd('debug'); diff --git a/packages/engine/Source/Core/decodeGoogleEarthEnterpriseData.js b/packages/engine/Source/Core/decodeGoogleEarthEnterpriseData.js index 46d76894f5b9..d7286835e82c 100644 --- a/packages/engine/Source/Core/decodeGoogleEarthEnterpriseData.js +++ b/packages/engine/Source/Core/decodeGoogleEarthEnterpriseData.js @@ -25,7 +25,7 @@ function decodeGoogleEarthEnterpriseData(key, data) { const keyLength = key.byteLength; if (keyLength === 0 || keyLength % 4 !== 0) { throw new RuntimeError( - "The length of key must be greater than 0 and a multiple of 4." + "The length of key must be greater than 0 and a multiple of 4.", ); } @@ -61,12 +61,12 @@ function decodeGoogleEarthEnterpriseData(key, data) { dataView.setUint32( dp, dataView.getUint32(dp, true) ^ keyView.getUint32(kp, true), - true + true, ); dataView.setUint32( dp + 4, dataView.getUint32(dp + 4, true) ^ keyView.getUint32(kp + 4, true), - true + true, ); dp += 8; kp += 24; diff --git a/packages/engine/Source/Core/decodeVectorPolylinePositions.js b/packages/engine/Source/Core/decodeVectorPolylinePositions.js index 723a34424717..87d794fe72fd 100644 --- a/packages/engine/Source/Core/decodeVectorPolylinePositions.js +++ b/packages/engine/Source/Core/decodeVectorPolylinePositions.js @@ -13,14 +13,14 @@ function decodeVectorPolylinePositions( rectangle, minimumHeight, maximumHeight, - ellipsoid + ellipsoid, ) { const positionsLength = positions.length / 3; const uBuffer = positions.subarray(0, positionsLength); const vBuffer = positions.subarray(positionsLength, 2 * positionsLength); const heightBuffer = positions.subarray( 2 * positionsLength, - 3 * positionsLength + 3 * positionsLength, ); AttributeCompression.zigZagDeltaDecode(uBuffer, vBuffer, heightBuffer); @@ -38,11 +38,11 @@ function decodeVectorPolylinePositions( lon, lat, alt, - scratchBVCartographic + scratchBVCartographic, ); const decodedPosition = ellipsoid.cartographicToCartesian( cartographic, - scratchEncodedPosition + scratchEncodedPosition, ); Cartesian3.pack(decodedPosition, decoded, i * 3); } diff --git a/packages/engine/Source/Core/destroyObject.js b/packages/engine/Source/Core/destroyObject.js index 40093a8ba362..f51c63030298 100644 --- a/packages/engine/Source/Core/destroyObject.js +++ b/packages/engine/Source/Core/destroyObject.js @@ -35,7 +35,7 @@ function returnTrue() { function destroyObject(object, message) { message = defaultValue( message, - "This object was destroyed, i.e., destroy() was called." + "This object was destroyed, i.e., destroy() was called.", ); function throwOnDestroyed() { diff --git a/packages/engine/Source/Core/getJsonFromTypedArray.js b/packages/engine/Source/Core/getJsonFromTypedArray.js index ff5e2f4c51f9..30f4aef87f40 100644 --- a/packages/engine/Source/Core/getJsonFromTypedArray.js +++ b/packages/engine/Source/Core/getJsonFromTypedArray.js @@ -14,7 +14,7 @@ import getStringFromTypedArray from "./getStringFromTypedArray.js"; */ function getJsonFromTypedArray(uint8Array, byteOffset, byteLength) { return JSON.parse( - getStringFromTypedArray(uint8Array, byteOffset, byteLength) + getStringFromTypedArray(uint8Array, byteOffset, byteLength), ); } diff --git a/packages/engine/Source/Core/getMagic.js b/packages/engine/Source/Core/getMagic.js index ffad591f4477..a6eeff08fe21 100644 --- a/packages/engine/Source/Core/getMagic.js +++ b/packages/engine/Source/Core/getMagic.js @@ -9,7 +9,7 @@ function getMagic(uint8Array, byteOffset) { return getStringFromTypedArray( uint8Array, byteOffset, - Math.min(4, uint8Array.length) + Math.min(4, uint8Array.length), ); } export default getMagic; diff --git a/packages/engine/Source/Core/loadImageFromTypedArray.js b/packages/engine/Source/Core/loadImageFromTypedArray.js index 3c0bd64cc9d4..9907028e06e6 100644 --- a/packages/engine/Source/Core/loadImageFromTypedArray.js +++ b/packages/engine/Source/Core/loadImageFromTypedArray.js @@ -13,7 +13,7 @@ function loadImageFromTypedArray(options) { const flipY = defaultValue(options.flipY, false); const skipColorSpaceConversion = defaultValue( options.skipColorSpaceConversion, - false + false, ); //>>includeStart('debug', pragmas.debug); Check.typeOf.object("uint8Array", uint8Array); @@ -33,7 +33,7 @@ function loadImageFromTypedArray(options) { flipY: flipY, premultiplyAlpha: false, skipColorSpaceConversion: skipColorSpaceConversion, - }) + }), ); } diff --git a/packages/engine/Source/Core/loadKTX2.js b/packages/engine/Source/Core/loadKTX2.js index 8359640fc854..a4cee9d0f9bd 100644 --- a/packages/engine/Source/Core/loadKTX2.js +++ b/packages/engine/Source/Core/loadKTX2.js @@ -21,7 +21,7 @@ loadKTX2.setKTX2SupportedFormats = function ( astc, etc, etc1, - bc7 + bc7, ) { supportedTranscoderFormats = { s3tc: s3tc, diff --git a/packages/engine/Source/Core/pointInsideTriangle.js b/packages/engine/Source/Core/pointInsideTriangle.js index c6f2c0b07e32..1ebaa09d7dee 100644 --- a/packages/engine/Source/Core/pointInsideTriangle.js +++ b/packages/engine/Source/Core/pointInsideTriangle.js @@ -29,7 +29,7 @@ function pointInsideTriangle(point, p0, p1, p2) { p0, p1, p2, - scratchBarycentricCoords + scratchBarycentricCoords, ); if (!defined(coords)) { return false; diff --git a/packages/engine/Source/Core/resizeImageToNextPowerOfTwo.js b/packages/engine/Source/Core/resizeImageToNextPowerOfTwo.js index 3c213365e3f4..e96e060f8dd6 100644 --- a/packages/engine/Source/Core/resizeImageToNextPowerOfTwo.js +++ b/packages/engine/Source/Core/resizeImageToNextPowerOfTwo.js @@ -24,7 +24,7 @@ function resizeImageToNextPowerOfTwo(image) { 0, 0, canvas.width, - canvas.height + canvas.height, ); return canvas; } diff --git a/packages/engine/Source/Core/sampleTerrain.js b/packages/engine/Source/Core/sampleTerrain.js index cd2f2dee82f7..747dc4bef8a6 100644 --- a/packages/engine/Source/Core/sampleTerrain.js +++ b/packages/engine/Source/Core/sampleTerrain.js @@ -47,7 +47,7 @@ async function sampleTerrain( terrainProvider, level, positions, - rejectOnTileFail + rejectOnTileFail, ) { if (!defined(rejectOnTileFail)) { rejectOnTileFail = false; @@ -76,7 +76,7 @@ function attemptConsumeNextQueueItem(tileRequests, results, rejectOnTileFail) { const requestPromise = tileRequest.terrainProvider.requestTileGeometry( tileRequest.x, tileRequest.y, - tileRequest.level + tileRequest.level, ); if (!requestPromise) { @@ -136,7 +136,7 @@ function drainTileRequestQueue(tileRequests, results, rejectOnTileFail) { const success = attemptConsumeNextQueueItem( tileRequests, results, - rejectOnTileFail + rejectOnTileFail, ); if (success) { return drainTileRequestQueue(tileRequests, results, rejectOnTileFail); @@ -187,7 +187,7 @@ function doSampling(terrainProvider, level, positions, rejectOnTileFail) { return drainTileRequestQueue( tileRequests, tilePromises, - rejectOnTileFail + rejectOnTileFail, ).then(function () { // now all the required requests have been started // we just wait for them all to finish @@ -212,7 +212,7 @@ function interpolateAndAssignHeight(position, terrainData, rectangle) { const height = terrainData.interpolateHeight( rectangle, position.longitude, - position.latitude + position.latitude, ); if (height === undefined) { // if height comes back as undefined, it may implicitly mean the terrain data @@ -229,7 +229,7 @@ function createInterpolateFunction(tileRequest) { const rectangle = tileRequest.tilingScheme.tileXYToRectangle( tileRequest.x, tileRequest.y, - tileRequest.level + tileRequest.level, ); return function (terrainData) { let isMeshRequired = false; @@ -238,7 +238,7 @@ function createInterpolateFunction(tileRequest) { const isHeightAssigned = interpolateAndAssignHeight( position, terrainData, - rectangle + rectangle, ); // we've found a position which returned undefined - hinting to us // that we probably need to create a mesh for this terrain data. diff --git a/packages/engine/Source/Core/sampleTerrainMostDetailed.js b/packages/engine/Source/Core/sampleTerrainMostDetailed.js index 61c5e092b165..b2eec762b5a9 100644 --- a/packages/engine/Source/Core/sampleTerrainMostDetailed.js +++ b/packages/engine/Source/Core/sampleTerrainMostDetailed.js @@ -37,7 +37,7 @@ const scratchCartesian2 = new Cartesian2(); async function sampleTerrainMostDetailed( terrainProvider, positions, - rejectOnTileFail + rejectOnTileFail, ) { if (!defined(rejectOnTileFail)) { rejectOnTileFail = false; @@ -59,7 +59,7 @@ async function sampleTerrainMostDetailed( //>>includeStart('debug', pragmas.debug); if (!defined(availability)) { throw new DeveloperError( - "sampleTerrainMostDetailed requires a terrain provider that has tile availability." + "sampleTerrainMostDetailed requires a terrain provider that has tile availability.", ); } //>>includeEnd('debug'); @@ -76,12 +76,12 @@ async function sampleTerrainMostDetailed( terrainProvider.tilingScheme.positionToTileXY( position, 1, - scratchCartesian2 + scratchCartesian2, ); const promise = terrainProvider.loadTileDataAvailability( scratchCartesian2.x, scratchCartesian2.y, - 1 + 1, ); if (defined(promise)) { promises.push(promise); @@ -103,10 +103,10 @@ async function sampleTerrainMostDetailed( terrainProvider, index, positionsAtLevel, - rejectOnTileFail + rejectOnTileFail, ); } - }) + }), ); const changedPositions = []; for (let i = 0; i < positions.length; ++i) { @@ -123,7 +123,7 @@ async function sampleTerrainMostDetailed( await sampleTerrainMostDetailed( terrainProvider, changedPositions, - rejectOnTileFail + rejectOnTileFail, ); } diff --git a/packages/engine/Source/Core/scaleToGeodeticSurface.js b/packages/engine/Source/Core/scaleToGeodeticSurface.js index 99b2a8b75b60..040972d14f74 100644 --- a/packages/engine/Source/Core/scaleToGeodeticSurface.js +++ b/packages/engine/Source/Core/scaleToGeodeticSurface.js @@ -27,7 +27,7 @@ function scaleToGeodeticSurface( oneOverRadii, oneOverRadiiSquared, centerToleranceSquared, - result + result, ) { //>>includeStart('debug', pragmas.debug); if (!defined(cartesian)) { @@ -64,7 +64,7 @@ function scaleToGeodeticSurface( const intersection = Cartesian3.multiplyByScalar( cartesian, ratio, - scaleToGeodeticSurfaceIntersection + scaleToGeodeticSurfaceIntersection, ); // If the position is near the center, the iteration will not converge. @@ -136,7 +136,7 @@ function scaleToGeodeticSurface( return new Cartesian3( positionX * xMultiplier, positionY * yMultiplier, - positionZ * zMultiplier + positionZ * zMultiplier, ); } result.x = positionX * xMultiplier; diff --git a/packages/engine/Source/Core/srgbToLinear.js b/packages/engine/Source/Core/srgbToLinear.js index f3bc68f6a40b..454b2ec2ae89 100644 --- a/packages/engine/Source/Core/srgbToLinear.js +++ b/packages/engine/Source/Core/srgbToLinear.js @@ -26,7 +26,7 @@ function srgbToLinear(value) { return Math.pow( // eslint-disable-next-line no-loss-of-precision (value + 0.055) * 0.94786729857819905213270142180095, - 2.4 + 2.4, ); } export default srgbToLinear; diff --git a/packages/engine/Source/Core/writeTextToCanvas.js b/packages/engine/Source/Core/writeTextToCanvas.js index 1db8a0ba0d1f..3a23c602d7e3 100644 --- a/packages/engine/Source/Core/writeTextToCanvas.js +++ b/packages/engine/Source/Core/writeTextToCanvas.js @@ -134,7 +134,7 @@ function writeTextToCanvas(text, options) { const strokeWidth = defaultValue(options.strokeWidth, 1); const backgroundColor = defaultValue( options.backgroundColor, - Color.TRANSPARENT + Color.TRANSPARENT, ); const padding = defaultValue(options.padding, 0); const doublePadding = padding * 2.0; diff --git a/packages/engine/Source/DataSources/BillboardGraphics.js b/packages/engine/Source/DataSources/BillboardGraphics.js index bdd950736c2b..3145f6adb2a9 100644 --- a/packages/engine/Source/DataSources/BillboardGraphics.js +++ b/packages/engine/Source/DataSources/BillboardGraphics.js @@ -303,7 +303,7 @@ Object.defineProperties(BillboardGraphics.prototype, { * @type {Property|undefined} */ pixelOffsetScaleByDistance: createPropertyDescriptor( - "pixelOffsetScaleByDistance" + "pixelOffsetScaleByDistance", ), /** @@ -321,7 +321,7 @@ Object.defineProperties(BillboardGraphics.prototype, { * @type {Property|undefined} */ distanceDisplayCondition: createPropertyDescriptor( - "distanceDisplayCondition" + "distanceDisplayCondition", ), /** @@ -331,7 +331,7 @@ Object.defineProperties(BillboardGraphics.prototype, { * @type {Property|undefined} */ disableDepthTestDistance: createPropertyDescriptor( - "disableDepthTestDistance" + "disableDepthTestDistance", ), /** @@ -397,15 +397,15 @@ BillboardGraphics.prototype.merge = function (source) { this.eyeOffset = defaultValue(this._eyeOffset, source.eyeOffset); this.horizontalOrigin = defaultValue( this._horizontalOrigin, - source.horizontalOrigin + source.horizontalOrigin, ); this.verticalOrigin = defaultValue( this._verticalOrigin, - source.verticalOrigin + source.verticalOrigin, ); this.heightReference = defaultValue( this._heightReference, - source.heightReference + source.heightReference, ); this.color = defaultValue(this._color, source.color); this.rotation = defaultValue(this._rotation, source.rotation); @@ -415,31 +415,31 @@ BillboardGraphics.prototype.merge = function (source) { this.height = defaultValue(this._height, source.height); this.scaleByDistance = defaultValue( this._scaleByDistance, - source.scaleByDistance + source.scaleByDistance, ); this.translucencyByDistance = defaultValue( this._translucencyByDistance, - source.translucencyByDistance + source.translucencyByDistance, ); this.pixelOffsetScaleByDistance = defaultValue( this._pixelOffsetScaleByDistance, - source.pixelOffsetScaleByDistance + source.pixelOffsetScaleByDistance, ); this.imageSubRegion = defaultValue( this._imageSubRegion, - source.imageSubRegion + source.imageSubRegion, ); this.distanceDisplayCondition = defaultValue( this._distanceDisplayCondition, - source.distanceDisplayCondition + source.distanceDisplayCondition, ); this.disableDepthTestDistance = defaultValue( this._disableDepthTestDistance, - source.disableDepthTestDistance + source.disableDepthTestDistance, ); this.splitDirection = defaultValue( this.splitDirection, - source.splitDirection + source.splitDirection, ); }; export default BillboardGraphics; diff --git a/packages/engine/Source/DataSources/BillboardVisualizer.js b/packages/engine/Source/DataSources/BillboardVisualizer.js index a8cff2aa4053..baff7d8466d9 100644 --- a/packages/engine/Source/DataSources/BillboardVisualizer.js +++ b/packages/engine/Source/DataSources/BillboardVisualizer.js @@ -63,7 +63,7 @@ function BillboardVisualizer(entityCluster, entityCollection) { entityCollection.collectionChanged.addEventListener( BillboardVisualizer.prototype._onCollectionChanged, - this + this, ); this._cluster = entityCluster; @@ -104,11 +104,11 @@ BillboardVisualizer.prototype.update = function (time) { position = Property.getValueOrUndefined( entity._position, time, - positionScratch + positionScratch, ); textureValue = Property.getValueOrUndefined( billboardGraphics._image, - time + time, ); show = defined(position) && defined(textureValue); } @@ -140,97 +140,97 @@ BillboardVisualizer.prototype.update = function (time) { billboardGraphics._color, time, defaultColor, - colorScratch + colorScratch, ); billboard.eyeOffset = Property.getValueOrDefault( billboardGraphics._eyeOffset, time, defaultEyeOffset, - eyeOffsetScratch + eyeOffsetScratch, ); billboard.heightReference = Property.getValueOrDefault( billboardGraphics._heightReference, time, - defaultHeightReference + defaultHeightReference, ); billboard.pixelOffset = Property.getValueOrDefault( billboardGraphics._pixelOffset, time, defaultPixelOffset, - pixelOffsetScratch + pixelOffsetScratch, ); billboard.scale = Property.getValueOrDefault( billboardGraphics._scale, time, - defaultScale + defaultScale, ); billboard.rotation = Property.getValueOrDefault( billboardGraphics._rotation, time, - defaultRotation + defaultRotation, ); billboard.alignedAxis = Property.getValueOrDefault( billboardGraphics._alignedAxis, time, - defaultAlignedAxis + defaultAlignedAxis, ); billboard.horizontalOrigin = Property.getValueOrDefault( billboardGraphics._horizontalOrigin, time, - defaultHorizontalOrigin + defaultHorizontalOrigin, ); billboard.verticalOrigin = Property.getValueOrDefault( billboardGraphics._verticalOrigin, time, - defaultVerticalOrigin + defaultVerticalOrigin, ); billboard.width = Property.getValueOrUndefined( billboardGraphics._width, - time + time, ); billboard.height = Property.getValueOrUndefined( billboardGraphics._height, - time + time, ); billboard.scaleByDistance = Property.getValueOrUndefined( billboardGraphics._scaleByDistance, time, - scaleByDistanceScratch + scaleByDistanceScratch, ); billboard.translucencyByDistance = Property.getValueOrUndefined( billboardGraphics._translucencyByDistance, time, - translucencyByDistanceScratch + translucencyByDistanceScratch, ); billboard.pixelOffsetScaleByDistance = Property.getValueOrUndefined( billboardGraphics._pixelOffsetScaleByDistance, time, - pixelOffsetScaleByDistanceScratch + pixelOffsetScaleByDistanceScratch, ); billboard.sizeInMeters = Property.getValueOrDefault( billboardGraphics._sizeInMeters, time, - defaultSizeInMeters + defaultSizeInMeters, ); billboard.distanceDisplayCondition = Property.getValueOrUndefined( billboardGraphics._distanceDisplayCondition, time, - distanceDisplayConditionScratch + distanceDisplayConditionScratch, ); billboard.disableDepthTestDistance = Property.getValueOrUndefined( billboardGraphics._disableDepthTestDistance, - time + time, ); billboard.splitDirection = Property.getValueOrDefault( billboardGraphics._splitDirection, time, - defaultSplitDirection + defaultSplitDirection, ); const subRegion = Property.getValueOrUndefined( billboardGraphics._imageSubRegion, time, - boundingRectangleScratch + boundingRectangleScratch, ); if (defined(subRegion)) { billboard.setImageSubRegion(billboard._imageId, subRegion); @@ -293,7 +293,7 @@ BillboardVisualizer.prototype.isDestroyed = function () { BillboardVisualizer.prototype.destroy = function () { this._entityCollection.collectionChanged.removeEventListener( BillboardVisualizer.prototype._onCollectionChanged, - this + this, ); const entities = this._entityCollection.values; for (let i = 0; i < entities.length; i++) { @@ -306,7 +306,7 @@ BillboardVisualizer.prototype._onCollectionChanged = function ( entityCollection, added, removed, - changed + changed, ) { let i; let entity; diff --git a/packages/engine/Source/DataSources/BoxGeometryUpdater.js b/packages/engine/Source/DataSources/BoxGeometryUpdater.js index cf3f5ff7b92b..f534f841d03f 100644 --- a/packages/engine/Source/DataSources/BoxGeometryUpdater.js +++ b/packages/engine/Source/DataSources/BoxGeometryUpdater.js @@ -89,7 +89,7 @@ BoxGeometryUpdater.prototype.createFillGeometryInstance = function (time) { if (!this._fillEnabled) { throw new DeveloperError( - "This instance does not represent a filled geometry." + "This instance does not represent a filled geometry.", ); } //>>includeEnd('debug'); @@ -101,14 +101,14 @@ BoxGeometryUpdater.prototype.createFillGeometryInstance = function (time) { isAvailable && entity.isShowing && this._showProperty.getValue(time) && - this._fillProperty.getValue(time) - ); - const distanceDisplayCondition = this._distanceDisplayConditionProperty.getValue( - time - ); - const distanceDisplayConditionAttribute = DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( - distanceDisplayCondition + this._fillProperty.getValue(time), ); + const distanceDisplayCondition = + this._distanceDisplayConditionProperty.getValue(time); + const distanceDisplayConditionAttribute = + DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( + distanceDisplayCondition, + ); const attributes = { show: show, @@ -135,8 +135,8 @@ BoxGeometryUpdater.prototype.createFillGeometryInstance = function (time) { this._terrainOffsetProperty, time, defaultOffset, - offsetScratch - ) + offsetScratch, + ), ); } @@ -147,7 +147,7 @@ BoxGeometryUpdater.prototype.createFillGeometryInstance = function (time) { time, entity.box.heightReference, this._options.dimensions.z * 0.5, - this._scene.ellipsoid + this._scene.ellipsoid, ), attributes: attributes, }); @@ -167,7 +167,7 @@ BoxGeometryUpdater.prototype.createOutlineGeometryInstance = function (time) { if (!this._outlineEnabled) { throw new DeveloperError( - "This instance does not represent an outlined geometry." + "This instance does not represent an outlined geometry.", ); } //>>includeEnd('debug'); @@ -178,23 +178,23 @@ BoxGeometryUpdater.prototype.createOutlineGeometryInstance = function (time) { this._outlineColorProperty, time, Color.BLACK, - scratchColor - ); - const distanceDisplayCondition = this._distanceDisplayConditionProperty.getValue( - time + scratchColor, ); + const distanceDisplayCondition = + this._distanceDisplayConditionProperty.getValue(time); const attributes = { show: new ShowGeometryInstanceAttribute( isAvailable && entity.isShowing && this._showProperty.getValue(time) && - this._showOutlineProperty.getValue(time) + this._showOutlineProperty.getValue(time), ), color: ColorGeometryInstanceAttribute.fromColor(outlineColor), - distanceDisplayCondition: DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( - distanceDisplayCondition - ), + distanceDisplayCondition: + DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( + distanceDisplayCondition, + ), offset: undefined, }; if (defined(this._options.offsetAttribute)) { @@ -203,8 +203,8 @@ BoxGeometryUpdater.prototype.createOutlineGeometryInstance = function (time) { this._terrainOffsetProperty, time, defaultOffset, - offsetScratch - ) + offsetScratch, + ), ); } @@ -215,7 +215,7 @@ BoxGeometryUpdater.prototype.createOutlineGeometryInstance = function (time) { time, entity.box.heightReference, this._options.dimensions.z * 0.5, - this._scene.ellipsoid + this._scene.ellipsoid, ), attributes: attributes, }); @@ -246,7 +246,7 @@ BoxGeometryUpdater.prototype._setStaticOptions = function (entity, box) { const heightReference = Property.getValueOrDefault( box.heightReference, Iso8601.MINIMUM_VALUE, - HeightReference.NONE + HeightReference.NONE, ); const options = this._options; @@ -256,7 +256,7 @@ BoxGeometryUpdater.prototype._setStaticOptions = function (entity, box) { : MaterialAppearance.MaterialSupport.TEXTURED.vertexFormat; options.dimensions = box.dimensions.getValue( Iso8601.MINIMUM_VALUE, - options.dimensions + options.dimensions, ); options.offsetAttribute = heightReference !== HeightReference.NONE @@ -264,7 +264,8 @@ BoxGeometryUpdater.prototype._setStaticOptions = function (entity, box) { : undefined; }; -BoxGeometryUpdater.prototype._onEntityPropertyChanged = heightReferenceOnEntityPropertyChanged; +BoxGeometryUpdater.prototype._onEntityPropertyChanged = + heightReferenceOnEntityPropertyChanged; BoxGeometryUpdater.DynamicGeometryUpdater = DynamicBoxGeometryUpdater; @@ -274,19 +275,19 @@ BoxGeometryUpdater.DynamicGeometryUpdater = DynamicBoxGeometryUpdater; function DynamicBoxGeometryUpdater( geometryUpdater, primitives, - groundPrimitives + groundPrimitives, ) { DynamicGeometryUpdater.call( this, geometryUpdater, primitives, - groundPrimitives + groundPrimitives, ); } if (defined(Object.create)) { DynamicBoxGeometryUpdater.prototype = Object.create( - DynamicGeometryUpdater.prototype + DynamicGeometryUpdater.prototype, ); DynamicBoxGeometryUpdater.prototype.constructor = DynamicBoxGeometryUpdater; } @@ -295,7 +296,7 @@ DynamicBoxGeometryUpdater.prototype._isHidden = function (entity, box, time) { const position = Property.getValueOrUndefined( entity.position, time, - positionScratch + positionScratch, ); const dimensions = this._options.dimensions; return ( @@ -309,13 +310,13 @@ DynamicBoxGeometryUpdater.prototype._setOptions = function (entity, box, time) { const heightReference = Property.getValueOrDefault( box.heightReference, time, - HeightReference.NONE + HeightReference.NONE, ); const options = this._options; options.dimensions = Property.getValueOrUndefined( box.dimensions, time, - options.dimensions + options.dimensions, ); options.offsetAttribute = heightReference !== HeightReference.NONE diff --git a/packages/engine/Source/DataSources/BoxGraphics.js b/packages/engine/Source/DataSources/BoxGraphics.js index d922d1022850..05c4893a1b1c 100644 --- a/packages/engine/Source/DataSources/BoxGraphics.js +++ b/packages/engine/Source/DataSources/BoxGraphics.js @@ -153,7 +153,7 @@ Object.defineProperties(BoxGraphics.prototype, { * @type {Property|undefined} */ distanceDisplayCondition: createPropertyDescriptor( - "distanceDisplayCondition" + "distanceDisplayCondition", ), }); @@ -197,7 +197,7 @@ BoxGraphics.prototype.merge = function (source) { this.dimensions = defaultValue(this.dimensions, source.dimensions); this.heightReference = defaultValue( this.heightReference, - source.heightReference + source.heightReference, ); this.fill = defaultValue(this.fill, source.fill); this.material = defaultValue(this.material, source.material); @@ -207,7 +207,7 @@ BoxGraphics.prototype.merge = function (source) { this.shadows = defaultValue(this.shadows, source.shadows); this.distanceDisplayCondition = defaultValue( this.distanceDisplayCondition, - source.distanceDisplayCondition + source.distanceDisplayCondition, ); }; export default BoxGraphics; diff --git a/packages/engine/Source/DataSources/CallbackPositionProperty.js b/packages/engine/Source/DataSources/CallbackPositionProperty.js index 61909f995668..7c56bd377559 100644 --- a/packages/engine/Source/DataSources/CallbackPositionProperty.js +++ b/packages/engine/Source/DataSources/CallbackPositionProperty.js @@ -90,7 +90,7 @@ CallbackPositionProperty.prototype.getValue = function (time, result) { */ CallbackPositionProperty.prototype.setCallback = function ( callback, - isConstant + isConstant, ) { //>>includeStart('debug', pragmas.debug); if (!defined(callback)) { @@ -123,7 +123,7 @@ CallbackPositionProperty.prototype.setCallback = function ( CallbackPositionProperty.prototype.getValueInReferenceFrame = function ( time, referenceFrame, - result + result, ) { //>>includeStart('debug', pragmas.debug); if (!defined(time)) { @@ -141,7 +141,7 @@ CallbackPositionProperty.prototype.getValueInReferenceFrame = function ( value, this._referenceFrame, referenceFrame, - result + result, ); }; diff --git a/packages/engine/Source/DataSources/Cesium3DTilesetGraphics.js b/packages/engine/Source/DataSources/Cesium3DTilesetGraphics.js index 6eb239dd7e6b..7535fc8518ab 100644 --- a/packages/engine/Source/DataSources/Cesium3DTilesetGraphics.js +++ b/packages/engine/Source/DataSources/Cesium3DTilesetGraphics.js @@ -106,7 +106,7 @@ Cesium3DTilesetGraphics.prototype.merge = function (source) { this.uri = defaultValue(this.uri, source.uri); this.maximumScreenSpaceError = defaultValue( this.maximumScreenSpaceError, - source.maximumScreenSpaceError + source.maximumScreenSpaceError, ); }; diff --git a/packages/engine/Source/DataSources/Cesium3DTilesetVisualizer.js b/packages/engine/Source/DataSources/Cesium3DTilesetVisualizer.js index 2578ba67ade5..314edf37e1c1 100644 --- a/packages/engine/Source/DataSources/Cesium3DTilesetVisualizer.js +++ b/packages/engine/Source/DataSources/Cesium3DTilesetVisualizer.js @@ -31,7 +31,7 @@ function Cesium3DTilesetVisualizer(scene, entityCollection) { entityCollection.collectionChanged.addEventListener( Cesium3DTilesetVisualizer.prototype._onCollectionChanged, - this + this, ); this._scene = scene; @@ -75,7 +75,7 @@ Cesium3DTilesetVisualizer.prototype.update = function (time) { if (show) { modelMatrix = entity.computeModelMatrix(time, modelMatrixScratch); resource = Resource.createIfNeeded( - Property.getValueOrUndefined(tilesetGraphics._uri, time) + Property.getValueOrUndefined(tilesetGraphics._uri, time), ); } @@ -111,7 +111,7 @@ Cesium3DTilesetVisualizer.prototype.update = function (time) { tileset.maximumScreenSpaceError = Property.getValueOrDefault( tilesetGraphics.maximumScreenSpaceError, time, - tileset.maximumScreenSpaceError + tileset.maximumScreenSpaceError, ); } @@ -133,7 +133,7 @@ Cesium3DTilesetVisualizer.prototype.isDestroyed = function () { Cesium3DTilesetVisualizer.prototype.destroy = function () { this._entityCollection.collectionChanged.removeEventListener( Cesium3DTilesetVisualizer.prototype._onCollectionChanged, - this + this, ); const entities = this._entitiesToVisualize.values; const tilesetHash = this._tilesetHash; @@ -157,7 +157,7 @@ Cesium3DTilesetVisualizer.prototype.destroy = function () { */ Cesium3DTilesetVisualizer.prototype.getBoundingSphere = function ( entity, - result + result, ) { //>>includeStart('debug', pragmas.debug); if (!defined(entity)) { @@ -194,7 +194,7 @@ Cesium3DTilesetVisualizer.prototype._onCollectionChanged = function ( entityCollection, added, removed, - changed + changed, ) { let i; let entity; diff --git a/packages/engine/Source/DataSources/CheckerboardMaterialProperty.js b/packages/engine/Source/DataSources/CheckerboardMaterialProperty.js index 4dfc5c297e18..0745fbb506c1 100644 --- a/packages/engine/Source/DataSources/CheckerboardMaterialProperty.js +++ b/packages/engine/Source/DataSources/CheckerboardMaterialProperty.js @@ -126,13 +126,13 @@ CheckerboardMaterialProperty.prototype.getValue = function (time, result) { this._evenColor, time, defaultEvenColor, - result.lightColor + result.lightColor, ); result.darkColor = Property.getValueOrClonedDefault( this._oddColor, time, defaultOddColor, - result.darkColor + result.darkColor, ); result.repeat = Property.getValueOrDefault(this._repeat, time, defaultRepeat); return result; diff --git a/packages/engine/Source/DataSources/ColorMaterialProperty.js b/packages/engine/Source/DataSources/ColorMaterialProperty.js index 26928fad14c4..6ed511ca4ace 100644 --- a/packages/engine/Source/DataSources/ColorMaterialProperty.js +++ b/packages/engine/Source/DataSources/ColorMaterialProperty.js @@ -90,7 +90,7 @@ ColorMaterialProperty.prototype.getValue = function (time, result) { this._color, time, Color.WHITE, - result.color + result.color, ); return result; }; diff --git a/packages/engine/Source/DataSources/CompositeEntityCollection.js b/packages/engine/Source/DataSources/CompositeEntityCollection.js index 56dcf75fc3cd..aca6e2e61b82 100644 --- a/packages/engine/Source/DataSources/CompositeEntityCollection.js +++ b/packages/engine/Source/DataSources/CompositeEntityCollection.js @@ -23,12 +23,11 @@ function clean(entity) { function subscribeToEntity(that, eventHash, collectionId, entity) { entityIdScratch[0] = collectionId; entityIdScratch[1] = entity.id; - eventHash[ - JSON.stringify(entityIdScratch) - ] = entity.definitionChanged.addEventListener( - CompositeEntityCollection.prototype._onDefinitionChanged, - that - ); + eventHash[JSON.stringify(entityIdScratch)] = + entity.definitionChanged.addEventListener( + CompositeEntityCollection.prototype._onDefinitionChanged, + that, + ); } function unsubscribeFromEntity(that, eventHash, collectionId, entity) { @@ -65,7 +64,7 @@ function recomposite(that) { collection = collectionsCopy[i]; collection.collectionChanged.removeEventListener( CompositeEntityCollection.prototype._onCollectionChanged, - that + that, ); entities = collection.values; collectionId = collection.id; @@ -79,7 +78,7 @@ function recomposite(that) { collection = collections[i]; collection.collectionChanged.addEventListener( CompositeEntityCollection.prototype._onCollectionChanged, - that + that, ); //Merge all of the existing entities. @@ -200,7 +199,7 @@ Object.defineProperties(CompositeEntityCollection.prototype, { */ CompositeEntityCollection.prototype.addCollection = function ( collection, - index + index, ) { const hasIndex = defined(index); //>>includeStart('debug', pragmas.debug); @@ -212,7 +211,7 @@ CompositeEntityCollection.prototype.addCollection = function ( throw new DeveloperError("index must be greater than or equal to zero."); } else if (index > this._collections.length) { throw new DeveloperError( - "index must be less than or equal to the number of collections." + "index must be less than or equal to the number of collections.", ); } } @@ -371,7 +370,7 @@ CompositeEntityCollection.prototype.lowerCollection = function (collection) { * @exception {DeveloperError} collection is not in this composite. */ CompositeEntityCollection.prototype.raiseCollectionToTop = function ( - collection + collection, ) { const index = getCollectionIndex(this._collections, collection); if (index === this._collections.length - 1) { @@ -391,7 +390,7 @@ CompositeEntityCollection.prototype.raiseCollectionToTop = function ( * @exception {DeveloperError} collection is not in this composite. */ CompositeEntityCollection.prototype.lowerCollectionToBottom = function ( - collection + collection, ) { const index = getCollectionIndex(this._collections, collection); if (index === 0) { @@ -432,7 +431,7 @@ CompositeEntityCollection.prototype.resumeEvents = function () { //>>includeStart('debug', pragmas.debug); if (this._suspendCount === 0) { throw new DeveloperError( - "resumeEvents can not be called before suspendEvents." + "resumeEvents can not be called before suspendEvents.", ); } //>>includeEnd('debug'); @@ -472,7 +471,7 @@ CompositeEntityCollection.prototype.getById = function (id) { CompositeEntityCollection.prototype._onCollectionChanged = function ( collection, added, - removed + removed, ) { const collections = this._collectionsCopy; const collectionsLength = collections.length; @@ -546,7 +545,7 @@ CompositeEntityCollection.prototype._onDefinitionChanged = function ( entity, propertyName, newValue, - oldValue + oldValue, ) { const collections = this._collections; const composite = this._composite; diff --git a/packages/engine/Source/DataSources/CompositeMaterialProperty.js b/packages/engine/Source/DataSources/CompositeMaterialProperty.js index 303d90c90c46..93b16ab7aecf 100644 --- a/packages/engine/Source/DataSources/CompositeMaterialProperty.js +++ b/packages/engine/Source/DataSources/CompositeMaterialProperty.js @@ -16,7 +16,7 @@ function CompositeMaterialProperty() { this._composite = new CompositeProperty(); this._composite.definitionChanged.addEventListener( CompositeMaterialProperty.prototype._raiseDefinitionChanged, - this + this, ); } @@ -74,9 +74,8 @@ CompositeMaterialProperty.prototype.getType = function (time) { } //>>includeEnd('debug'); - const innerProperty = this._composite._intervals.findDataForIntervalContainingDate( - time - ); + const innerProperty = + this._composite._intervals.findDataForIntervalContainingDate(time); if (defined(innerProperty)) { return innerProperty.getType(time); } @@ -97,9 +96,8 @@ CompositeMaterialProperty.prototype.getValue = function (time, result) { time = JulianDate.now(timeScratch); } - const innerProperty = this._composite._intervals.findDataForIntervalContainingDate( - time - ); + const innerProperty = + this._composite._intervals.findDataForIntervalContainingDate(time); if (defined(innerProperty)) { return innerProperty.getValue(time, result); } diff --git a/packages/engine/Source/DataSources/CompositePositionProperty.js b/packages/engine/Source/DataSources/CompositePositionProperty.js index 202b6fe43026..ff50c9e169a5 100644 --- a/packages/engine/Source/DataSources/CompositePositionProperty.js +++ b/packages/engine/Source/DataSources/CompositePositionProperty.js @@ -21,7 +21,7 @@ function CompositePositionProperty(referenceFrame) { this._composite = new CompositeProperty(); this._composite.definitionChanged.addEventListener( CompositePositionProperty.prototype._raiseDefinitionChanged, - this + this, ); } @@ -110,7 +110,7 @@ CompositePositionProperty.prototype.getValue = function (time, result) { CompositePositionProperty.prototype.getValueInReferenceFrame = function ( time, referenceFrame, - result + result, ) { //>>includeStart('debug', pragmas.debug); if (!defined(time)) { @@ -121,9 +121,8 @@ CompositePositionProperty.prototype.getValueInReferenceFrame = function ( } //>>includeEnd('debug'); - const innerProperty = this._composite._intervals.findDataForIntervalContainingDate( - time - ); + const innerProperty = + this._composite._intervals.findDataForIntervalContainingDate(time); if (defined(innerProperty)) { return innerProperty.getValueInReferenceFrame(time, referenceFrame, result); } diff --git a/packages/engine/Source/DataSources/CompositeProperty.js b/packages/engine/Source/DataSources/CompositeProperty.js index 4af69c9f0eed..fa90f54ffa6e 100644 --- a/packages/engine/Source/DataSources/CompositeProperty.js +++ b/packages/engine/Source/DataSources/CompositeProperty.js @@ -58,7 +58,7 @@ function CompositeProperty() { this._intervals = new TimeIntervalCollection(); this._intervals.changedEvent.addEventListener( CompositeProperty.prototype._intervalsChanged, - this + this, ); } @@ -147,7 +147,7 @@ CompositeProperty.prototype._intervalsChanged = function () { this, this._eventHelper, this._definitionChanged, - this._intervals + this._intervals, ); this._definitionChanged.raiseEvent(this); }; diff --git a/packages/engine/Source/DataSources/ConstantPositionProperty.js b/packages/engine/Source/DataSources/ConstantPositionProperty.js index b2a436383bf5..946704d563b3 100644 --- a/packages/engine/Source/DataSources/ConstantPositionProperty.js +++ b/packages/engine/Source/DataSources/ConstantPositionProperty.js @@ -114,7 +114,7 @@ ConstantPositionProperty.prototype.setValue = function (value, referenceFrame) { ConstantPositionProperty.prototype.getValueInReferenceFrame = function ( time, referenceFrame, - result + result, ) { //>>includeStart('debug', pragmas.debug); if (!defined(time)) { @@ -130,7 +130,7 @@ ConstantPositionProperty.prototype.getValueInReferenceFrame = function ( this._value, this._referenceFrame, referenceFrame, - result + result, ); }; diff --git a/packages/engine/Source/DataSources/CorridorGeometryUpdater.js b/packages/engine/Source/DataSources/CorridorGeometryUpdater.js index 74cb9d3aeaf6..b0ae56966580 100644 --- a/packages/engine/Source/DataSources/CorridorGeometryUpdater.js +++ b/packages/engine/Source/DataSources/CorridorGeometryUpdater.js @@ -62,7 +62,7 @@ function CorridorGeometryUpdater(entity, scene) { if (defined(Object.create)) { CorridorGeometryUpdater.prototype = Object.create( - GroundGeometryUpdater.prototype + GroundGeometryUpdater.prototype, ); CorridorGeometryUpdater.prototype.constructor = CorridorGeometryUpdater; } @@ -81,7 +81,7 @@ CorridorGeometryUpdater.prototype.createFillGeometryInstance = function (time) { if (!this._fillEnabled) { throw new DeveloperError( - "This instance does not represent a filled geometry." + "This instance does not represent a filled geometry.", ); } //>>includeEnd('debug'); @@ -94,11 +94,12 @@ CorridorGeometryUpdater.prototype.createFillGeometryInstance = function (time) { isAvailable && entity.isShowing && this._showProperty.getValue(time) && - this._fillProperty.getValue(time) - ), - distanceDisplayCondition: DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( - this._distanceDisplayConditionProperty.getValue(time) + this._fillProperty.getValue(time), ), + distanceDisplayCondition: + DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( + this._distanceDisplayConditionProperty.getValue(time), + ), offset: undefined, color: undefined, }; @@ -123,8 +124,8 @@ CorridorGeometryUpdater.prototype.createFillGeometryInstance = function (time) { this._terrainOffsetProperty, time, defaultOffset, - offsetScratch - ) + offsetScratch, + ), ); } @@ -144,14 +145,14 @@ CorridorGeometryUpdater.prototype.createFillGeometryInstance = function (time) { * @exception {DeveloperError} This instance does not represent an outlined geometry. */ CorridorGeometryUpdater.prototype.createOutlineGeometryInstance = function ( - time + time, ) { //>>includeStart('debug', pragmas.debug); Check.defined("time", time); if (!this._outlineEnabled) { throw new DeveloperError( - "This instance does not represent an outlined geometry." + "This instance does not represent an outlined geometry.", ); } //>>includeEnd('debug'); @@ -162,7 +163,7 @@ CorridorGeometryUpdater.prototype.createOutlineGeometryInstance = function ( this._outlineColorProperty, time, Color.BLACK, - scratchColor + scratchColor, ); const attributes = { @@ -170,12 +171,13 @@ CorridorGeometryUpdater.prototype.createOutlineGeometryInstance = function ( isAvailable && entity.isShowing && this._showProperty.getValue(time) && - this._showOutlineProperty.getValue(time) + this._showOutlineProperty.getValue(time), ), color: ColorGeometryInstanceAttribute.fromColor(outlineColor), - distanceDisplayCondition: DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( - this._distanceDisplayConditionProperty.getValue(time) - ), + distanceDisplayCondition: + DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( + this._distanceDisplayConditionProperty.getValue(time), + ), offset: undefined, }; @@ -185,8 +187,8 @@ CorridorGeometryUpdater.prototype.createOutlineGeometryInstance = function ( this._terrainOffsetProperty, time, defaultOffset, - offsetScratch - ) + offsetScratch, + ), ); } @@ -200,14 +202,14 @@ CorridorGeometryUpdater.prototype.createOutlineGeometryInstance = function ( CorridorGeometryUpdater.prototype._computeCenter = function (time, result) { const positions = Property.getValueOrUndefined( this._entity.corridor.positions, - time + time, ); if (!defined(positions) || positions.length === 0) { return; } return Cartesian3.clone( positions[Math.floor(positions.length / 2.0)], - result + result, ); }; @@ -237,25 +239,25 @@ CorridorGeometryUpdater.prototype._isDynamic = function (entity, corridor) { CorridorGeometryUpdater.prototype._setStaticOptions = function ( entity, - corridor + corridor, ) { let heightValue = Property.getValueOrUndefined( corridor.height, - Iso8601.MINIMUM_VALUE + Iso8601.MINIMUM_VALUE, ); const heightReferenceValue = Property.getValueOrDefault( corridor.heightReference, Iso8601.MINIMUM_VALUE, - HeightReference.NONE + HeightReference.NONE, ); let extrudedHeightValue = Property.getValueOrUndefined( corridor.extrudedHeight, - Iso8601.MINIMUM_VALUE + Iso8601.MINIMUM_VALUE, ); const extrudedHeightReferenceValue = Property.getValueOrDefault( corridor.extrudedHeightReference, Iso8601.MINIMUM_VALUE, - HeightReference.NONE + HeightReference.NONE, ); if (defined(extrudedHeightValue) && !defined(heightValue)) { heightValue = 0; @@ -268,35 +270,36 @@ CorridorGeometryUpdater.prototype._setStaticOptions = function ( : MaterialAppearance.MaterialSupport.TEXTURED.vertexFormat; options.positions = corridor.positions.getValue( Iso8601.MINIMUM_VALUE, - options.positions + options.positions, ); options.width = corridor.width.getValue(Iso8601.MINIMUM_VALUE); options.granularity = Property.getValueOrUndefined( corridor.granularity, - Iso8601.MINIMUM_VALUE + Iso8601.MINIMUM_VALUE, ); options.cornerType = Property.getValueOrUndefined( corridor.cornerType, - Iso8601.MINIMUM_VALUE - ); - options.offsetAttribute = GroundGeometryUpdater.computeGeometryOffsetAttribute( - heightValue, - heightReferenceValue, - extrudedHeightValue, - extrudedHeightReferenceValue + Iso8601.MINIMUM_VALUE, ); + options.offsetAttribute = + GroundGeometryUpdater.computeGeometryOffsetAttribute( + heightValue, + heightReferenceValue, + extrudedHeightValue, + extrudedHeightReferenceValue, + ); options.height = GroundGeometryUpdater.getGeometryHeight( heightValue, - heightReferenceValue + heightReferenceValue, ); extrudedHeightValue = GroundGeometryUpdater.getGeometryExtrudedHeight( extrudedHeightValue, - extrudedHeightReferenceValue + extrudedHeightReferenceValue, ); if (extrudedHeightValue === GroundGeometryUpdater.CLAMP_TO_GROUND) { extrudedHeightValue = ApproximateTerrainHeights.getMinimumMaximumHeights( - CorridorGeometry.computeRectangle(options, scratchRectangle) + CorridorGeometry.computeRectangle(options, scratchRectangle), ).minimumTerrainHeight; } @@ -311,27 +314,28 @@ CorridorGeometryUpdater.DynamicGeometryUpdater = DynamicCorridorGeometryUpdater; function DynamicCorridorGeometryUpdater( geometryUpdater, primitives, - groundPrimitives + groundPrimitives, ) { DynamicGeometryUpdater.call( this, geometryUpdater, primitives, - groundPrimitives + groundPrimitives, ); } if (defined(Object.create)) { DynamicCorridorGeometryUpdater.prototype = Object.create( - DynamicGeometryUpdater.prototype + DynamicGeometryUpdater.prototype, ); - DynamicCorridorGeometryUpdater.prototype.constructor = DynamicCorridorGeometryUpdater; + DynamicCorridorGeometryUpdater.prototype.constructor = + DynamicCorridorGeometryUpdater; } DynamicCorridorGeometryUpdater.prototype._isHidden = function ( entity, corridor, - time + time, ) { const options = this._options; return ( @@ -341,7 +345,7 @@ DynamicCorridorGeometryUpdater.prototype._isHidden = function ( this, entity, corridor, - time + time, ) ); }; @@ -349,23 +353,23 @@ DynamicCorridorGeometryUpdater.prototype._isHidden = function ( DynamicCorridorGeometryUpdater.prototype._setOptions = function ( entity, corridor, - time + time, ) { const options = this._options; let heightValue = Property.getValueOrUndefined(corridor.height, time); const heightReferenceValue = Property.getValueOrDefault( corridor.heightReference, time, - HeightReference.NONE + HeightReference.NONE, ); let extrudedHeightValue = Property.getValueOrUndefined( corridor.extrudedHeight, - time + time, ); const extrudedHeightReferenceValue = Property.getValueOrDefault( corridor.extrudedHeightReference, time, - HeightReference.NONE + HeightReference.NONE, ); if (defined(extrudedHeightValue) && !defined(heightValue)) { heightValue = 0; @@ -375,27 +379,28 @@ DynamicCorridorGeometryUpdater.prototype._setOptions = function ( options.width = Property.getValueOrUndefined(corridor.width, time); options.granularity = Property.getValueOrUndefined( corridor.granularity, - time + time, ); options.cornerType = Property.getValueOrUndefined(corridor.cornerType, time); - options.offsetAttribute = GroundGeometryUpdater.computeGeometryOffsetAttribute( - heightValue, - heightReferenceValue, - extrudedHeightValue, - extrudedHeightReferenceValue - ); + options.offsetAttribute = + GroundGeometryUpdater.computeGeometryOffsetAttribute( + heightValue, + heightReferenceValue, + extrudedHeightValue, + extrudedHeightReferenceValue, + ); options.height = GroundGeometryUpdater.getGeometryHeight( heightValue, - heightReferenceValue + heightReferenceValue, ); extrudedHeightValue = GroundGeometryUpdater.getGeometryExtrudedHeight( extrudedHeightValue, - extrudedHeightReferenceValue + extrudedHeightReferenceValue, ); if (extrudedHeightValue === GroundGeometryUpdater.CLAMP_TO_GROUND) { extrudedHeightValue = ApproximateTerrainHeights.getMinimumMaximumHeights( - CorridorGeometry.computeRectangle(options, scratchRectangle) + CorridorGeometry.computeRectangle(options, scratchRectangle), ).minimumTerrainHeight; } diff --git a/packages/engine/Source/DataSources/CorridorGraphics.js b/packages/engine/Source/DataSources/CorridorGraphics.js index b33c6ee21cd0..eefe7567d8ff 100644 --- a/packages/engine/Source/DataSources/CorridorGraphics.js +++ b/packages/engine/Source/DataSources/CorridorGraphics.js @@ -227,7 +227,7 @@ Object.defineProperties(CorridorGraphics.prototype, { * @type {Property|undefined} */ distanceDisplayCondition: createPropertyDescriptor( - "distanceDisplayCondition" + "distanceDisplayCondition", ), /** @@ -297,15 +297,15 @@ CorridorGraphics.prototype.merge = function (source) { this.height = defaultValue(this.height, source.height); this.heightReference = defaultValue( this.heightReference, - source.heightReference + source.heightReference, ); this.extrudedHeight = defaultValue( this.extrudedHeight, - source.extrudedHeight + source.extrudedHeight, ); this.extrudedHeightReference = defaultValue( this.extrudedHeightReference, - source.extrudedHeightReference + source.extrudedHeightReference, ); this.cornerType = defaultValue(this.cornerType, source.cornerType); this.granularity = defaultValue(this.granularity, source.granularity); @@ -317,11 +317,11 @@ CorridorGraphics.prototype.merge = function (source) { this.shadows = defaultValue(this.shadows, source.shadows); this.distanceDisplayCondition = defaultValue( this.distanceDisplayCondition, - source.distanceDisplayCondition + source.distanceDisplayCondition, ); this.classificationType = defaultValue( this.classificationType, - source.classificationType + source.classificationType, ); this.zIndex = defaultValue(this.zIndex, source.zIndex); }; diff --git a/packages/engine/Source/DataSources/CylinderGeometryUpdater.js b/packages/engine/Source/DataSources/CylinderGeometryUpdater.js index 885ce2d1afb7..086d74834dd5 100644 --- a/packages/engine/Source/DataSources/CylinderGeometryUpdater.js +++ b/packages/engine/Source/DataSources/CylinderGeometryUpdater.js @@ -98,7 +98,7 @@ CylinderGeometryUpdater.prototype.createFillGeometryInstance = function (time) { if (!this._fillEnabled) { throw new DeveloperError( - "This instance does not represent a filled geometry." + "This instance does not represent a filled geometry.", ); } //>>includeEnd('debug'); @@ -110,14 +110,14 @@ CylinderGeometryUpdater.prototype.createFillGeometryInstance = function (time) { isAvailable && entity.isShowing && this._showProperty.getValue(time) && - this._fillProperty.getValue(time) - ); - const distanceDisplayCondition = this._distanceDisplayConditionProperty.getValue( - time - ); - const distanceDisplayConditionAttribute = DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( - distanceDisplayCondition + this._fillProperty.getValue(time), ); + const distanceDisplayCondition = + this._distanceDisplayConditionProperty.getValue(time); + const distanceDisplayConditionAttribute = + DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( + distanceDisplayCondition, + ); const attributes = { show: show, @@ -145,8 +145,8 @@ CylinderGeometryUpdater.prototype.createFillGeometryInstance = function (time) { this._terrainOffsetProperty, time, defaultOffset, - offsetScratch - ) + offsetScratch, + ), ); } @@ -157,7 +157,7 @@ CylinderGeometryUpdater.prototype.createFillGeometryInstance = function (time) { time, entity.cylinder.heightReference, this._options.length * 0.5, - this._scene.ellipsoid + this._scene.ellipsoid, ), attributes: attributes, }); @@ -172,14 +172,14 @@ CylinderGeometryUpdater.prototype.createFillGeometryInstance = function (time) { * @exception {DeveloperError} This instance does not represent an outlined geometry. */ CylinderGeometryUpdater.prototype.createOutlineGeometryInstance = function ( - time + time, ) { //>>includeStart('debug', pragmas.debug); Check.defined("time", time); if (!this._outlineEnabled) { throw new DeveloperError( - "This instance does not represent an outlined geometry." + "This instance does not represent an outlined geometry.", ); } //>>includeEnd('debug'); @@ -190,23 +190,23 @@ CylinderGeometryUpdater.prototype.createOutlineGeometryInstance = function ( this._outlineColorProperty, time, Color.BLACK, - scratchColor - ); - const distanceDisplayCondition = this._distanceDisplayConditionProperty.getValue( - time + scratchColor, ); + const distanceDisplayCondition = + this._distanceDisplayConditionProperty.getValue(time); const attributes = { show: new ShowGeometryInstanceAttribute( isAvailable && entity.isShowing && this._showProperty.getValue(time) && - this._showOutlineProperty.getValue(time) + this._showOutlineProperty.getValue(time), ), color: ColorGeometryInstanceAttribute.fromColor(outlineColor), - distanceDisplayCondition: DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( - distanceDisplayCondition - ), + distanceDisplayCondition: + DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( + distanceDisplayCondition, + ), offset: undefined, }; if (defined(this._options.offsetAttribute)) { @@ -215,8 +215,8 @@ CylinderGeometryUpdater.prototype.createOutlineGeometryInstance = function ( this._terrainOffsetProperty, time, defaultOffset, - offsetScratch - ) + offsetScratch, + ), ); } @@ -227,7 +227,7 @@ CylinderGeometryUpdater.prototype.createOutlineGeometryInstance = function ( time, entity.cylinder.heightReference, this._options.length * 0.5, - this._scene.ellipsoid + this._scene.ellipsoid, ), attributes: attributes, }); @@ -262,12 +262,12 @@ CylinderGeometryUpdater.prototype._isDynamic = function (entity, cylinder) { CylinderGeometryUpdater.prototype._setStaticOptions = function ( entity, - cylinder + cylinder, ) { const heightReference = Property.getValueOrDefault( cylinder.heightReference, Iso8601.MINIMUM_VALUE, - HeightReference.NONE + HeightReference.NONE, ); const options = this._options; options.vertexFormat = @@ -279,11 +279,11 @@ CylinderGeometryUpdater.prototype._setStaticOptions = function ( options.bottomRadius = cylinder.bottomRadius.getValue(Iso8601.MINIMUM_VALUE); options.slices = Property.getValueOrUndefined( cylinder.slices, - Iso8601.MINIMUM_VALUE + Iso8601.MINIMUM_VALUE, ); options.numberOfVerticalLines = Property.getValueOrUndefined( cylinder.numberOfVerticalLines, - Iso8601.MINIMUM_VALUE + Iso8601.MINIMUM_VALUE, ); options.offsetAttribute = heightReference !== HeightReference.NONE @@ -291,7 +291,8 @@ CylinderGeometryUpdater.prototype._setStaticOptions = function ( : undefined; }; -CylinderGeometryUpdater.prototype._onEntityPropertyChanged = heightReferenceOnEntityPropertyChanged; +CylinderGeometryUpdater.prototype._onEntityPropertyChanged = + heightReferenceOnEntityPropertyChanged; CylinderGeometryUpdater.DynamicGeometryUpdater = DynamicCylinderGeometryUpdater; @@ -301,33 +302,34 @@ CylinderGeometryUpdater.DynamicGeometryUpdater = DynamicCylinderGeometryUpdater; function DynamicCylinderGeometryUpdater( geometryUpdater, primitives, - groundPrimitives + groundPrimitives, ) { DynamicGeometryUpdater.call( this, geometryUpdater, primitives, - groundPrimitives + groundPrimitives, ); } if (defined(Object.create)) { DynamicCylinderGeometryUpdater.prototype = Object.create( - DynamicGeometryUpdater.prototype + DynamicGeometryUpdater.prototype, ); - DynamicCylinderGeometryUpdater.prototype.constructor = DynamicCylinderGeometryUpdater; + DynamicCylinderGeometryUpdater.prototype.constructor = + DynamicCylinderGeometryUpdater; } DynamicCylinderGeometryUpdater.prototype._isHidden = function ( entity, cylinder, - time + time, ) { const options = this._options; const position = Property.getValueOrUndefined( entity.position, time, - positionScratch + positionScratch, ); return ( !defined(position) || @@ -338,7 +340,7 @@ DynamicCylinderGeometryUpdater.prototype._isHidden = function ( this, entity, cylinder, - time + time, ) ); }; @@ -346,24 +348,24 @@ DynamicCylinderGeometryUpdater.prototype._isHidden = function ( DynamicCylinderGeometryUpdater.prototype._setOptions = function ( entity, cylinder, - time + time, ) { const heightReference = Property.getValueOrDefault( cylinder.heightReference, time, - HeightReference.NONE + HeightReference.NONE, ); const options = this._options; options.length = Property.getValueOrUndefined(cylinder.length, time); options.topRadius = Property.getValueOrUndefined(cylinder.topRadius, time); options.bottomRadius = Property.getValueOrUndefined( cylinder.bottomRadius, - time + time, ); options.slices = Property.getValueOrUndefined(cylinder.slices, time); options.numberOfVerticalLines = Property.getValueOrUndefined( cylinder.numberOfVerticalLines, - time + time, ); options.offsetAttribute = heightReference !== HeightReference.NONE diff --git a/packages/engine/Source/DataSources/CylinderGraphics.js b/packages/engine/Source/DataSources/CylinderGraphics.js index 9f25286c042f..370e778a4e5d 100644 --- a/packages/engine/Source/DataSources/CylinderGraphics.js +++ b/packages/engine/Source/DataSources/CylinderGraphics.js @@ -194,7 +194,7 @@ Object.defineProperties(CylinderGraphics.prototype, { * @type {Property|undefined} */ distanceDisplayCondition: createPropertyDescriptor( - "distanceDisplayCondition" + "distanceDisplayCondition", ), }); @@ -244,7 +244,7 @@ CylinderGraphics.prototype.merge = function (source) { this.bottomRadius = defaultValue(this.bottomRadius, source.bottomRadius); this.heightReference = defaultValue( this.heightReference, - source.heightReference + source.heightReference, ); this.fill = defaultValue(this.fill, source.fill); this.material = defaultValue(this.material, source.material); @@ -253,13 +253,13 @@ CylinderGraphics.prototype.merge = function (source) { this.outlineWidth = defaultValue(this.outlineWidth, source.outlineWidth); this.numberOfVerticalLines = defaultValue( this.numberOfVerticalLines, - source.numberOfVerticalLines + source.numberOfVerticalLines, ); this.slices = defaultValue(this.slices, source.slices); this.shadows = defaultValue(this.shadows, source.shadows); this.distanceDisplayCondition = defaultValue( this.distanceDisplayCondition, - source.distanceDisplayCondition + source.distanceDisplayCondition, ); }; export default CylinderGraphics; diff --git a/packages/engine/Source/DataSources/CzmlDataSource.js b/packages/engine/Source/DataSources/CzmlDataSource.js index b0921c3c1fa1..ef48b6c9016f 100644 --- a/packages/engine/Source/DataSources/CzmlDataSource.js +++ b/packages/engine/Source/DataSources/CzmlDataSource.js @@ -118,14 +118,14 @@ function createSpecializedProperty(type, entityCollection, packetData) { if (defined(packetData.velocityReference)) { const referenceProperty = createReferenceProperty( entityCollection, - packetData.velocityReference + packetData.velocityReference, ); switch (type) { case Cartesian3: case UnitCartesian3: return new VelocityVectorProperty( referenceProperty, - type === UnitCartesian3 + type === UnitCartesian3, ); case Quaternion: return new VelocityOrientationProperty(referenceProperty); @@ -281,7 +281,7 @@ function convertCartographicRadiansToCartesian(cartographicRadians) { scratchCartographic.height = cartographicRadians[2]; Ellipsoid.default.cartographicToCartesian( scratchCartographic, - scratchCartesian + scratchCartesian, ); return [scratchCartesian.x, scratchCartesian.y, scratchCartesian.z]; } @@ -295,7 +295,7 @@ function convertCartographicRadiansToCartesian(cartographicRadians) { scratchCartographic.height = cartographicRadians[i + 3]; Ellipsoid.default.cartographicToCartesian( scratchCartographic, - scratchCartesian + scratchCartesian, ); result[i + 1] = scratchCartesian.x; @@ -309,13 +309,13 @@ function convertCartographicDegreesToCartesian(cartographicDegrees) { const length = cartographicDegrees.length; if (length === 3) { scratchCartographic.longitude = CesiumMath.toRadians( - cartographicDegrees[0] + cartographicDegrees[0], ); scratchCartographic.latitude = CesiumMath.toRadians(cartographicDegrees[1]); scratchCartographic.height = cartographicDegrees[2]; Ellipsoid.default.cartographicToCartesian( scratchCartographic, - scratchCartesian + scratchCartesian, ); return [scratchCartesian.x, scratchCartesian.y, scratchCartesian.z]; } @@ -325,15 +325,15 @@ function convertCartographicDegreesToCartesian(cartographicDegrees) { result[i] = cartographicDegrees[i]; scratchCartographic.longitude = CesiumMath.toRadians( - cartographicDegrees[i + 1] + cartographicDegrees[i + 1], ); scratchCartographic.latitude = CesiumMath.toRadians( - cartographicDegrees[i + 2] + cartographicDegrees[i + 2], ); scratchCartographic.height = cartographicDegrees[i + 3]; Ellipsoid.default.cartographicToCartesian( scratchCartographic, - scratchCartesian + scratchCartesian, ); result[i + 1] = scratchCartesian.x; @@ -380,7 +380,7 @@ function unwrapCartesianInterval(czmlInterval) { } throw new RuntimeError( - `${JSON.stringify(czmlInterval)} is not a valid CZML interval.` + `${JSON.stringify(czmlInterval)} is not a valid CZML interval.`, ); } @@ -558,7 +558,7 @@ function unwrapInterval(type, czmlInterval, sourceUri) { return unwrapUriInterval(czmlInterval, sourceUri); case JulianDate: return JulianDate.fromIso8601( - defaultValue(czmlInterval.date, czmlInterval) + defaultValue(czmlInterval.date, czmlInterval), ); case LabelStyle: return LabelStyle[defaultValue(czmlInterval.labelStyle, czmlInterval)]; @@ -571,7 +571,7 @@ function unwrapInterval(type, czmlInterval, sourceUri) { case Object: return defaultValue( defaultValue(czmlInterval.object, czmlInterval.value), - czmlInterval + czmlInterval, ); case Quaternion: return unwrapQuaternionInterval(czmlInterval); @@ -585,7 +585,7 @@ function unwrapInterval(type, czmlInterval, sourceUri) { return ShadowMode[ defaultValue( defaultValue(czmlInterval.shadowMode, czmlInterval.shadows), - czmlInterval + czmlInterval, ) ]; case String: @@ -686,7 +686,7 @@ function processProperty( packetData, constrainedInterval, sourceUri, - entityCollection + entityCollection, ) { let combinedInterval = intervalFromString(packetData.interval); if (defined(constrainedInterval)) { @@ -694,7 +694,7 @@ function processProperty( combinedInterval = TimeInterval.intersect( combinedInterval, constrainedInterval, - scratchTimeInterval + scratchTimeInterval, ); } else { combinedInterval = constrainedInterval; @@ -753,13 +753,13 @@ function processProperty( if (!isSampled && !hasInterval) { if (isValue) { object[propertyName] = new ConstantProperty( - needsUnpacking ? type.unpack(unwrappedInterval, 0) : unwrappedInterval + needsUnpacking ? type.unpack(unwrappedInterval, 0) : unwrappedInterval, ); } else { object[propertyName] = createSpecializedProperty( type, entityCollection, - packetData + packetData, ); } return; @@ -800,7 +800,7 @@ function processProperty( combinedInterval.data = createSpecializedProperty( type, entityCollection, - packetData + packetData, ); } @@ -870,7 +870,7 @@ function removePropertyData(property, interval) { const intersection = TimeInterval.intersect( intervals.get(i), interval, - scratchTimeInterval + scratchTimeInterval, ); if (!intersection.isEmpty) { // remove data from the contained properties @@ -890,7 +890,7 @@ function processPacketData( packetData, interval, sourceUri, - entityCollection + entityCollection, ) { if (!defined(packetData)) { return; @@ -905,7 +905,7 @@ function processPacketData( packetData[i], interval, sourceUri, - entityCollection + entityCollection, ); } } else { @@ -916,7 +916,7 @@ function processPacketData( packetData, interval, sourceUri, - entityCollection + entityCollection, ); } } @@ -927,7 +927,7 @@ function processPositionProperty( packetData, constrainedInterval, sourceUri, - entityCollection + entityCollection, ) { let combinedInterval = intervalFromString(packetData.interval); if (defined(constrainedInterval)) { @@ -935,7 +935,7 @@ function processPositionProperty( combinedInterval = TimeInterval.intersect( combinedInterval, constrainedInterval, - scratchTimeInterval + scratchTimeInterval, ); } else { combinedInterval = constrainedInterval; @@ -980,12 +980,12 @@ function processPositionProperty( if (isValue) { object[propertyName] = new ConstantPositionProperty( Cartesian3.unpack(unwrappedInterval), - referenceFrame + referenceFrame, ); } else { object[propertyName] = createReferenceProperty( entityCollection, - packetData.reference + packetData.reference, ); } return; @@ -1008,7 +1008,7 @@ function processPositionProperty( ) { object[propertyName] = property = new SampledPositionProperty( referenceFrame, - numberOfDerivatives + numberOfDerivatives, ); } property.addSamplesPackedArray(unwrappedInterval, epoch); @@ -1029,7 +1029,7 @@ function processPositionProperty( } else { combinedInterval.data = createReferenceProperty( entityCollection, - packetData.reference + packetData.reference, ); } @@ -1056,21 +1056,20 @@ function processPositionProperty( if (isValue) { combinedInterval.data = new ConstantPositionProperty( combinedInterval.data, - referenceFrame + referenceFrame, ); } property.intervals.addInterval(combinedInterval); } else { // Otherwise, create a CompositePositionProperty but preserve the existing data. - object[propertyName] = property = convertPositionPropertyToComposite( - property - ); + object[propertyName] = property = + convertPositionPropertyToComposite(property); // Change the new data to a ConstantPositionProperty and add it. if (isValue) { combinedInterval.data = new ConstantPositionProperty( combinedInterval.data, - referenceFrame + referenceFrame, ); } property.intervals.addInterval(combinedInterval); @@ -1082,13 +1081,12 @@ function processPositionProperty( // isSampled && hasInterval if (!defined(property)) { object[propertyName] = property = new CompositePositionProperty( - referenceFrame + referenceFrame, ); } else if (!(property instanceof CompositePositionProperty)) { // Create a CompositeProperty but preserve the existing data. - object[propertyName] = property = convertPositionPropertyToComposite( - property - ); + object[propertyName] = property = + convertPositionPropertyToComposite(property); } // Check if the interval already exists in the composite. @@ -1103,7 +1101,7 @@ function processPositionProperty( interval = combinedInterval.clone(); interval.data = new SampledPositionProperty( referenceFrame, - numberOfDerivatives + numberOfDerivatives, ); intervals.addInterval(interval); } @@ -1124,7 +1122,7 @@ function removePositionPropertyData(property, interval) { const intersection = TimeInterval.intersect( intervals.get(i), interval, - scratchTimeInterval + scratchTimeInterval, ); if (!intersection.isEmpty) { // remove data from the contained properties @@ -1143,7 +1141,7 @@ function processPositionPacketData( packetData, interval, sourceUri, - entityCollection + entityCollection, ) { if (!defined(packetData)) { return; @@ -1157,7 +1155,7 @@ function processPositionPacketData( packetData[i], interval, sourceUri, - entityCollection + entityCollection, ); } } else { @@ -1167,7 +1165,7 @@ function processPositionPacketData( packetData, interval, sourceUri, - entityCollection + entityCollection, ); } } @@ -1176,7 +1174,7 @@ function processShapePacketData( object, propertyName, packetData, - entityCollection + entityCollection, ) { if (defined(packetData.references)) { processReferencesArrayPacketData( @@ -1186,7 +1184,7 @@ function processShapePacketData( packetData.interval, entityCollection, PropertyArray, - CompositeProperty + CompositeProperty, ); } else { if (defined(packetData.cartesian2)) { @@ -1204,7 +1202,7 @@ function processShapePacketData( packetData, undefined, undefined, - entityCollection + entityCollection, ); } } @@ -1216,7 +1214,7 @@ function processMaterialProperty( packetData, constrainedInterval, sourceUri, - entityCollection + entityCollection, ) { let combinedInterval = intervalFromString(packetData.interval); if (defined(constrainedInterval)) { @@ -1224,7 +1222,7 @@ function processMaterialProperty( combinedInterval = TimeInterval.intersect( combinedInterval, constrainedInterval, - scratchTimeInterval + scratchTimeInterval, ); } else { combinedInterval = constrainedInterval; @@ -1272,7 +1270,7 @@ function processMaterialProperty( materialData.color, undefined, undefined, - entityCollection + entityCollection, ); } else if (defined(packetData.grid)) { if (!(existingMaterial instanceof GridMaterialProperty)) { @@ -1286,7 +1284,7 @@ function processMaterialProperty( materialData.color, undefined, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -1295,7 +1293,7 @@ function processMaterialProperty( materialData.cellAlpha, undefined, sourceUri, - entityCollection + entityCollection, ); processPacketData( Cartesian2, @@ -1304,7 +1302,7 @@ function processMaterialProperty( materialData.lineCount, undefined, sourceUri, - entityCollection + entityCollection, ); processPacketData( Cartesian2, @@ -1313,7 +1311,7 @@ function processMaterialProperty( materialData.lineThickness, undefined, sourceUri, - entityCollection + entityCollection, ); processPacketData( Cartesian2, @@ -1322,7 +1320,7 @@ function processMaterialProperty( materialData.lineOffset, undefined, sourceUri, - entityCollection + entityCollection, ); } else if (defined(packetData.image)) { if (!(existingMaterial instanceof ImageMaterialProperty)) { @@ -1336,7 +1334,7 @@ function processMaterialProperty( materialData.image, undefined, sourceUri, - entityCollection + entityCollection, ); processPacketData( Cartesian2, @@ -1345,7 +1343,7 @@ function processMaterialProperty( materialData.repeat, undefined, sourceUri, - entityCollection + entityCollection, ); processPacketData( Color, @@ -1354,7 +1352,7 @@ function processMaterialProperty( materialData.color, undefined, sourceUri, - entityCollection + entityCollection, ); processPacketData( Boolean, @@ -1363,7 +1361,7 @@ function processMaterialProperty( materialData.transparent, undefined, sourceUri, - entityCollection + entityCollection, ); } else if (defined(packetData.stripe)) { if (!(existingMaterial instanceof StripeMaterialProperty)) { @@ -1377,7 +1375,7 @@ function processMaterialProperty( materialData.orientation, undefined, sourceUri, - entityCollection + entityCollection, ); processPacketData( Color, @@ -1386,7 +1384,7 @@ function processMaterialProperty( materialData.evenColor, undefined, sourceUri, - entityCollection + entityCollection, ); processPacketData( Color, @@ -1395,7 +1393,7 @@ function processMaterialProperty( materialData.oddColor, undefined, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -1404,7 +1402,7 @@ function processMaterialProperty( materialData.offset, undefined, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -1413,7 +1411,7 @@ function processMaterialProperty( materialData.repeat, undefined, sourceUri, - entityCollection + entityCollection, ); } else if (defined(packetData.polylineOutline)) { if (!(existingMaterial instanceof PolylineOutlineMaterialProperty)) { @@ -1427,7 +1425,7 @@ function processMaterialProperty( materialData.color, undefined, sourceUri, - entityCollection + entityCollection, ); processPacketData( Color, @@ -1436,7 +1434,7 @@ function processMaterialProperty( materialData.outlineColor, undefined, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -1445,7 +1443,7 @@ function processMaterialProperty( materialData.outlineWidth, undefined, sourceUri, - entityCollection + entityCollection, ); } else if (defined(packetData.polylineGlow)) { if (!(existingMaterial instanceof PolylineGlowMaterialProperty)) { @@ -1459,7 +1457,7 @@ function processMaterialProperty( materialData.color, undefined, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -1468,7 +1466,7 @@ function processMaterialProperty( materialData.glowPower, undefined, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -1477,7 +1475,7 @@ function processMaterialProperty( materialData.taperPower, undefined, sourceUri, - entityCollection + entityCollection, ); } else if (defined(packetData.polylineArrow)) { if (!(existingMaterial instanceof PolylineArrowMaterialProperty)) { @@ -1491,7 +1489,7 @@ function processMaterialProperty( materialData.color, undefined, undefined, - entityCollection + entityCollection, ); } else if (defined(packetData.polylineDash)) { if (!(existingMaterial instanceof PolylineDashMaterialProperty)) { @@ -1505,7 +1503,7 @@ function processMaterialProperty( materialData.color, undefined, undefined, - entityCollection + entityCollection, ); processPacketData( Color, @@ -1514,7 +1512,7 @@ function processMaterialProperty( materialData.gapColor, undefined, undefined, - entityCollection + entityCollection, ); processPacketData( Number, @@ -1523,7 +1521,7 @@ function processMaterialProperty( materialData.dashLength, undefined, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -1532,7 +1530,7 @@ function processMaterialProperty( materialData.dashPattern, undefined, sourceUri, - entityCollection + entityCollection, ); } else if (defined(packetData.checkerboard)) { if (!(existingMaterial instanceof CheckerboardMaterialProperty)) { @@ -1546,7 +1544,7 @@ function processMaterialProperty( materialData.evenColor, undefined, sourceUri, - entityCollection + entityCollection, ); processPacketData( Color, @@ -1555,7 +1553,7 @@ function processMaterialProperty( materialData.oddColor, undefined, sourceUri, - entityCollection + entityCollection, ); processPacketData( Cartesian2, @@ -1564,7 +1562,7 @@ function processMaterialProperty( materialData.repeat, undefined, sourceUri, - entityCollection + entityCollection, ); } @@ -1581,7 +1579,7 @@ function processMaterialPacketData( packetData, interval, sourceUri, - entityCollection + entityCollection, ) { if (!defined(packetData)) { return; @@ -1595,7 +1593,7 @@ function processMaterialPacketData( packetData[i], interval, sourceUri, - entityCollection + entityCollection, ); } } else { @@ -1605,7 +1603,7 @@ function processMaterialPacketData( packetData, interval, sourceUri, - entityCollection + entityCollection, ); } } @@ -1627,7 +1625,7 @@ function processDescription(entity, packet, entityCollection, sourceUri) { descriptionData, undefined, sourceUri, - entityCollection + entityCollection, ); } } @@ -1641,7 +1639,7 @@ function processPosition(entity, packet, entityCollection, sourceUri) { positionData, undefined, sourceUri, - entityCollection + entityCollection, ); } } @@ -1656,7 +1654,7 @@ function processViewFrom(entity, packet, entityCollection, sourceUri) { viewFromData, undefined, sourceUri, - entityCollection + entityCollection, ); } } @@ -1671,7 +1669,7 @@ function processOrientation(entity, packet, entityCollection, sourceUri) { orientationData, undefined, sourceUri, - entityCollection + entityCollection, ); } } @@ -1703,7 +1701,7 @@ function processProperties(entity, packet, entityCollection, sourceUri) { propertyData[i], undefined, sourceUri, - entityCollection + entityCollection, ); } } else { @@ -1714,7 +1712,7 @@ function processProperties(entity, packet, entityCollection, sourceUri) { propertyData, undefined, sourceUri, - entityCollection + entityCollection, ); } } @@ -1729,7 +1727,7 @@ function processReferencesArrayPacketData( interval, entityCollection, PropertyArrayType, - CompositePropertyArrayType + CompositePropertyArrayType, ) { const properties = references.map(function (reference) { return createReferenceProperty(entityCollection, reference); @@ -1760,7 +1758,7 @@ function processArrayPacketData( object, propertyName, packetData, - entityCollection + entityCollection, ) { const references = packetData.references; if (defined(references)) { @@ -1771,7 +1769,7 @@ function processArrayPacketData( packetData.interval, entityCollection, PropertyArray, - CompositeProperty + CompositeProperty, ); } else { processPacketData( @@ -1781,7 +1779,7 @@ function processArrayPacketData( packetData, undefined, undefined, - entityCollection + entityCollection, ); } } @@ -1797,7 +1795,7 @@ function processArray(object, propertyName, packetData, entityCollection) { object, propertyName, packetData[i], - entityCollection + entityCollection, ); } } else { @@ -1809,7 +1807,7 @@ function processPositionArrayPacketData( object, propertyName, packetData, - entityCollection + entityCollection, ) { const references = packetData.references; if (defined(references)) { @@ -1820,7 +1818,7 @@ function processPositionArrayPacketData( packetData.interval, entityCollection, PositionPropertyArray, - CompositePositionProperty + CompositePositionProperty, ); } else { if (defined(packetData.cartesian)) { @@ -1828,12 +1826,12 @@ function processPositionArrayPacketData( } else if (defined(packetData.cartographicRadians)) { packetData.array = Cartesian3.fromRadiansArrayHeights( packetData.cartographicRadians, - Ellipsoid.default + Ellipsoid.default, ); } else if (defined(packetData.cartographicDegrees)) { packetData.array = Cartesian3.fromDegreesArrayHeights( packetData.cartographicDegrees, - Ellipsoid.default + Ellipsoid.default, ); } @@ -1845,7 +1843,7 @@ function processPositionArrayPacketData( packetData, undefined, undefined, - entityCollection + entityCollection, ); } } @@ -1855,7 +1853,7 @@ function processPositionArray( object, propertyName, packetData, - entityCollection + entityCollection, ) { if (!defined(packetData)) { return; @@ -1867,7 +1865,7 @@ function processPositionArray( object, propertyName, packetData[i], - entityCollection + entityCollection, ); } } else { @@ -1875,7 +1873,7 @@ function processPositionArray( object, propertyName, packetData, - entityCollection + entityCollection, ); } } @@ -1896,7 +1894,7 @@ function processPositionArrayOfArraysPacketData( object, propertyName, packetData, - entityCollection + entityCollection, ) { const references = packetData.references; if (defined(references)) { @@ -1909,7 +1907,7 @@ function processPositionArrayOfArraysPacketData( packetData.interval, entityCollection, PositionPropertyArray, - CompositePositionProperty + CompositePositionProperty, ); return tempObj.positions; }); @@ -1919,11 +1917,11 @@ function processPositionArrayOfArraysPacketData( packetData.array = packetData.cartesian.map(unpackCartesianArray); } else if (defined(packetData.cartographicRadians)) { packetData.array = packetData.cartographicRadians.map( - unpackCartographicRadiansArray + unpackCartographicRadiansArray, ); } else if (defined(packetData.cartographicDegrees)) { packetData.array = packetData.cartographicDegrees.map( - unpackCartographicDegreesArray + unpackCartographicDegreesArray, ); } @@ -1935,7 +1933,7 @@ function processPositionArrayOfArraysPacketData( packetData, undefined, undefined, - entityCollection + entityCollection, ); } } @@ -1945,7 +1943,7 @@ function processPositionArrayOfArrays( object, propertyName, packetData, - entityCollection + entityCollection, ) { if (!defined(packetData)) { return; @@ -1957,7 +1955,7 @@ function processPositionArrayOfArrays( object, propertyName, packetData[i], - entityCollection + entityCollection, ); } } else { @@ -1965,7 +1963,7 @@ function processPositionArrayOfArrays( object, propertyName, packetData, - entityCollection + entityCollection, ); } } @@ -1981,7 +1979,7 @@ function processShape(object, propertyName, packetData, entityCollection) { object, propertyName, packetData[i], - entityCollection + entityCollection, ); } } else { @@ -2015,7 +2013,7 @@ function processAlignedAxis( packetData, interval, sourceUri, - entityCollection + entityCollection, ) { if (!defined(packetData)) { return; @@ -2028,7 +2026,7 @@ function processAlignedAxis( packetData, interval, sourceUri, - entityCollection + entityCollection, ); } @@ -2051,7 +2049,7 @@ function processBillboard(entity, packet, entityCollection, sourceUri) { billboardData.show, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Image, @@ -2060,7 +2058,7 @@ function processBillboard(entity, packet, entityCollection, sourceUri) { billboardData.image, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -2069,7 +2067,7 @@ function processBillboard(entity, packet, entityCollection, sourceUri) { billboardData.scale, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Cartesian2, @@ -2078,7 +2076,7 @@ function processBillboard(entity, packet, entityCollection, sourceUri) { billboardData.pixelOffset, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Cartesian3, @@ -2087,7 +2085,7 @@ function processBillboard(entity, packet, entityCollection, sourceUri) { billboardData.eyeOffset, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( HorizontalOrigin, @@ -2096,7 +2094,7 @@ function processBillboard(entity, packet, entityCollection, sourceUri) { billboardData.horizontalOrigin, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( VerticalOrigin, @@ -2105,7 +2103,7 @@ function processBillboard(entity, packet, entityCollection, sourceUri) { billboardData.verticalOrigin, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( HeightReference, @@ -2114,7 +2112,7 @@ function processBillboard(entity, packet, entityCollection, sourceUri) { billboardData.heightReference, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Color, @@ -2123,7 +2121,7 @@ function processBillboard(entity, packet, entityCollection, sourceUri) { billboardData.color, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Rotation, @@ -2132,14 +2130,14 @@ function processBillboard(entity, packet, entityCollection, sourceUri) { billboardData.rotation, interval, sourceUri, - entityCollection + entityCollection, ); processAlignedAxis( billboard, billboardData.alignedAxis, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Boolean, @@ -2148,7 +2146,7 @@ function processBillboard(entity, packet, entityCollection, sourceUri) { billboardData.sizeInMeters, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -2157,7 +2155,7 @@ function processBillboard(entity, packet, entityCollection, sourceUri) { billboardData.width, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -2166,7 +2164,7 @@ function processBillboard(entity, packet, entityCollection, sourceUri) { billboardData.height, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( NearFarScalar, @@ -2175,7 +2173,7 @@ function processBillboard(entity, packet, entityCollection, sourceUri) { billboardData.scaleByDistance, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( NearFarScalar, @@ -2184,7 +2182,7 @@ function processBillboard(entity, packet, entityCollection, sourceUri) { billboardData.translucencyByDistance, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( NearFarScalar, @@ -2193,7 +2191,7 @@ function processBillboard(entity, packet, entityCollection, sourceUri) { billboardData.pixelOffsetScaleByDistance, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( BoundingRectangle, @@ -2202,7 +2200,7 @@ function processBillboard(entity, packet, entityCollection, sourceUri) { billboardData.imageSubRegion, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( DistanceDisplayCondition, @@ -2211,7 +2209,7 @@ function processBillboard(entity, packet, entityCollection, sourceUri) { billboardData.distanceDisplayCondition, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -2220,7 +2218,7 @@ function processBillboard(entity, packet, entityCollection, sourceUri) { billboardData.disableDepthTestDistance, interval, sourceUri, - entityCollection + entityCollection, ); } @@ -2243,7 +2241,7 @@ function processBox(entity, packet, entityCollection, sourceUri) { boxData.show, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Cartesian3, @@ -2252,7 +2250,7 @@ function processBox(entity, packet, entityCollection, sourceUri) { boxData.dimensions, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( HeightReference, @@ -2261,7 +2259,7 @@ function processBox(entity, packet, entityCollection, sourceUri) { boxData.heightReference, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Boolean, @@ -2270,7 +2268,7 @@ function processBox(entity, packet, entityCollection, sourceUri) { boxData.fill, interval, sourceUri, - entityCollection + entityCollection, ); processMaterialPacketData( box, @@ -2278,7 +2276,7 @@ function processBox(entity, packet, entityCollection, sourceUri) { boxData.material, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Boolean, @@ -2287,7 +2285,7 @@ function processBox(entity, packet, entityCollection, sourceUri) { boxData.outline, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Color, @@ -2296,7 +2294,7 @@ function processBox(entity, packet, entityCollection, sourceUri) { boxData.outlineColor, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -2305,7 +2303,7 @@ function processBox(entity, packet, entityCollection, sourceUri) { boxData.outlineWidth, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( ShadowMode, @@ -2314,7 +2312,7 @@ function processBox(entity, packet, entityCollection, sourceUri) { boxData.shadows, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( DistanceDisplayCondition, @@ -2323,7 +2321,7 @@ function processBox(entity, packet, entityCollection, sourceUri) { boxData.distanceDisplayCondition, interval, sourceUri, - entityCollection + entityCollection, ); } @@ -2346,13 +2344,13 @@ function processCorridor(entity, packet, entityCollection, sourceUri) { corridorData.show, interval, sourceUri, - entityCollection + entityCollection, ); processPositionArray( corridor, "positions", corridorData.positions, - entityCollection + entityCollection, ); processPacketData( Number, @@ -2361,7 +2359,7 @@ function processCorridor(entity, packet, entityCollection, sourceUri) { corridorData.width, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -2370,7 +2368,7 @@ function processCorridor(entity, packet, entityCollection, sourceUri) { corridorData.height, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( HeightReference, @@ -2379,7 +2377,7 @@ function processCorridor(entity, packet, entityCollection, sourceUri) { corridorData.heightReference, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -2388,7 +2386,7 @@ function processCorridor(entity, packet, entityCollection, sourceUri) { corridorData.extrudedHeight, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( HeightReference, @@ -2397,7 +2395,7 @@ function processCorridor(entity, packet, entityCollection, sourceUri) { corridorData.extrudedHeightReference, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( CornerType, @@ -2406,7 +2404,7 @@ function processCorridor(entity, packet, entityCollection, sourceUri) { corridorData.cornerType, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -2415,7 +2413,7 @@ function processCorridor(entity, packet, entityCollection, sourceUri) { corridorData.granularity, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Boolean, @@ -2424,7 +2422,7 @@ function processCorridor(entity, packet, entityCollection, sourceUri) { corridorData.fill, interval, sourceUri, - entityCollection + entityCollection, ); processMaterialPacketData( corridor, @@ -2432,7 +2430,7 @@ function processCorridor(entity, packet, entityCollection, sourceUri) { corridorData.material, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Boolean, @@ -2441,7 +2439,7 @@ function processCorridor(entity, packet, entityCollection, sourceUri) { corridorData.outline, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Color, @@ -2450,7 +2448,7 @@ function processCorridor(entity, packet, entityCollection, sourceUri) { corridorData.outlineColor, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -2459,7 +2457,7 @@ function processCorridor(entity, packet, entityCollection, sourceUri) { corridorData.outlineWidth, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( ShadowMode, @@ -2468,7 +2466,7 @@ function processCorridor(entity, packet, entityCollection, sourceUri) { corridorData.shadows, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( DistanceDisplayCondition, @@ -2477,7 +2475,7 @@ function processCorridor(entity, packet, entityCollection, sourceUri) { corridorData.distanceDisplayCondition, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( ClassificationType, @@ -2486,7 +2484,7 @@ function processCorridor(entity, packet, entityCollection, sourceUri) { corridorData.classificationType, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -2495,7 +2493,7 @@ function processCorridor(entity, packet, entityCollection, sourceUri) { corridorData.zIndex, interval, sourceUri, - entityCollection + entityCollection, ); } @@ -2518,7 +2516,7 @@ function processCylinder(entity, packet, entityCollection, sourceUri) { cylinderData.show, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -2527,7 +2525,7 @@ function processCylinder(entity, packet, entityCollection, sourceUri) { cylinderData.length, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -2536,7 +2534,7 @@ function processCylinder(entity, packet, entityCollection, sourceUri) { cylinderData.topRadius, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -2545,7 +2543,7 @@ function processCylinder(entity, packet, entityCollection, sourceUri) { cylinderData.bottomRadius, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( HeightReference, @@ -2554,7 +2552,7 @@ function processCylinder(entity, packet, entityCollection, sourceUri) { cylinderData.heightReference, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Boolean, @@ -2563,7 +2561,7 @@ function processCylinder(entity, packet, entityCollection, sourceUri) { cylinderData.fill, interval, sourceUri, - entityCollection + entityCollection, ); processMaterialPacketData( cylinder, @@ -2571,7 +2569,7 @@ function processCylinder(entity, packet, entityCollection, sourceUri) { cylinderData.material, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Boolean, @@ -2580,7 +2578,7 @@ function processCylinder(entity, packet, entityCollection, sourceUri) { cylinderData.outline, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Color, @@ -2589,7 +2587,7 @@ function processCylinder(entity, packet, entityCollection, sourceUri) { cylinderData.outlineColor, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -2598,7 +2596,7 @@ function processCylinder(entity, packet, entityCollection, sourceUri) { cylinderData.outlineWidth, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -2607,7 +2605,7 @@ function processCylinder(entity, packet, entityCollection, sourceUri) { cylinderData.numberOfVerticalLines, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -2616,7 +2614,7 @@ function processCylinder(entity, packet, entityCollection, sourceUri) { cylinderData.slices, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( ShadowMode, @@ -2625,7 +2623,7 @@ function processCylinder(entity, packet, entityCollection, sourceUri) { cylinderData.shadows, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( DistanceDisplayCondition, @@ -2634,7 +2632,7 @@ function processCylinder(entity, packet, entityCollection, sourceUri) { cylinderData.distanceDisplayCondition, interval, sourceUri, - entityCollection + entityCollection, ); } @@ -2654,7 +2652,7 @@ function processDocument(packet, dataSource) { if (!defined(dataSource._version)) { throw new RuntimeError( - "CZML version information invalid. It is expected to be a property on the document object in the . version format." + "CZML version information invalid. It is expected to be a property on the document object in the . version format.", ); } @@ -2679,7 +2677,7 @@ function processDocument(packet, dataSource) { clock.interval = defaultValue(clockPacket.interval, clock.interval); clock.currentTime = defaultValue( clockPacket.currentTime, - clock.currentTime + clock.currentTime, ); clock.range = defaultValue(clockPacket.range, clock.range); clock.step = defaultValue(clockPacket.step, clock.step); @@ -2707,7 +2705,7 @@ function processEllipse(entity, packet, entityCollection, sourceUri) { ellipseData.show, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -2716,7 +2714,7 @@ function processEllipse(entity, packet, entityCollection, sourceUri) { ellipseData.semiMajorAxis, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -2725,7 +2723,7 @@ function processEllipse(entity, packet, entityCollection, sourceUri) { ellipseData.semiMinorAxis, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -2734,7 +2732,7 @@ function processEllipse(entity, packet, entityCollection, sourceUri) { ellipseData.height, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( HeightReference, @@ -2743,7 +2741,7 @@ function processEllipse(entity, packet, entityCollection, sourceUri) { ellipseData.heightReference, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -2752,7 +2750,7 @@ function processEllipse(entity, packet, entityCollection, sourceUri) { ellipseData.extrudedHeight, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( HeightReference, @@ -2761,7 +2759,7 @@ function processEllipse(entity, packet, entityCollection, sourceUri) { ellipseData.extrudedHeightReference, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Rotation, @@ -2770,7 +2768,7 @@ function processEllipse(entity, packet, entityCollection, sourceUri) { ellipseData.rotation, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Rotation, @@ -2779,7 +2777,7 @@ function processEllipse(entity, packet, entityCollection, sourceUri) { ellipseData.stRotation, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -2788,7 +2786,7 @@ function processEllipse(entity, packet, entityCollection, sourceUri) { ellipseData.granularity, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Boolean, @@ -2797,7 +2795,7 @@ function processEllipse(entity, packet, entityCollection, sourceUri) { ellipseData.fill, interval, sourceUri, - entityCollection + entityCollection, ); processMaterialPacketData( ellipse, @@ -2805,7 +2803,7 @@ function processEllipse(entity, packet, entityCollection, sourceUri) { ellipseData.material, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Boolean, @@ -2814,7 +2812,7 @@ function processEllipse(entity, packet, entityCollection, sourceUri) { ellipseData.outline, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Color, @@ -2823,7 +2821,7 @@ function processEllipse(entity, packet, entityCollection, sourceUri) { ellipseData.outlineColor, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -2832,7 +2830,7 @@ function processEllipse(entity, packet, entityCollection, sourceUri) { ellipseData.outlineWidth, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -2841,7 +2839,7 @@ function processEllipse(entity, packet, entityCollection, sourceUri) { ellipseData.numberOfVerticalLines, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( ShadowMode, @@ -2850,7 +2848,7 @@ function processEllipse(entity, packet, entityCollection, sourceUri) { ellipseData.shadows, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( DistanceDisplayCondition, @@ -2859,7 +2857,7 @@ function processEllipse(entity, packet, entityCollection, sourceUri) { ellipseData.distanceDisplayCondition, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( ClassificationType, @@ -2868,7 +2866,7 @@ function processEllipse(entity, packet, entityCollection, sourceUri) { ellipseData.classificationType, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -2877,7 +2875,7 @@ function processEllipse(entity, packet, entityCollection, sourceUri) { ellipseData.zIndex, interval, sourceUri, - entityCollection + entityCollection, ); } @@ -2900,7 +2898,7 @@ function processEllipsoid(entity, packet, entityCollection, sourceUri) { ellipsoidData.show, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Cartesian3, @@ -2909,7 +2907,7 @@ function processEllipsoid(entity, packet, entityCollection, sourceUri) { ellipsoidData.radii, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Cartesian3, @@ -2918,7 +2916,7 @@ function processEllipsoid(entity, packet, entityCollection, sourceUri) { ellipsoidData.innerRadii, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -2927,7 +2925,7 @@ function processEllipsoid(entity, packet, entityCollection, sourceUri) { ellipsoidData.minimumClock, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -2936,7 +2934,7 @@ function processEllipsoid(entity, packet, entityCollection, sourceUri) { ellipsoidData.maximumClock, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -2945,7 +2943,7 @@ function processEllipsoid(entity, packet, entityCollection, sourceUri) { ellipsoidData.minimumCone, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -2954,7 +2952,7 @@ function processEllipsoid(entity, packet, entityCollection, sourceUri) { ellipsoidData.maximumCone, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( HeightReference, @@ -2963,7 +2961,7 @@ function processEllipsoid(entity, packet, entityCollection, sourceUri) { ellipsoidData.heightReference, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Boolean, @@ -2972,7 +2970,7 @@ function processEllipsoid(entity, packet, entityCollection, sourceUri) { ellipsoidData.fill, interval, sourceUri, - entityCollection + entityCollection, ); processMaterialPacketData( ellipsoid, @@ -2980,7 +2978,7 @@ function processEllipsoid(entity, packet, entityCollection, sourceUri) { ellipsoidData.material, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Boolean, @@ -2989,7 +2987,7 @@ function processEllipsoid(entity, packet, entityCollection, sourceUri) { ellipsoidData.outline, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Color, @@ -2998,7 +2996,7 @@ function processEllipsoid(entity, packet, entityCollection, sourceUri) { ellipsoidData.outlineColor, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -3007,7 +3005,7 @@ function processEllipsoid(entity, packet, entityCollection, sourceUri) { ellipsoidData.outlineWidth, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -3016,7 +3014,7 @@ function processEllipsoid(entity, packet, entityCollection, sourceUri) { ellipsoidData.stackPartitions, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -3025,7 +3023,7 @@ function processEllipsoid(entity, packet, entityCollection, sourceUri) { ellipsoidData.slicePartitions, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -3034,7 +3032,7 @@ function processEllipsoid(entity, packet, entityCollection, sourceUri) { ellipsoidData.subdivisions, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( ShadowMode, @@ -3043,7 +3041,7 @@ function processEllipsoid(entity, packet, entityCollection, sourceUri) { ellipsoidData.shadows, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( DistanceDisplayCondition, @@ -3052,7 +3050,7 @@ function processEllipsoid(entity, packet, entityCollection, sourceUri) { ellipsoidData.distanceDisplayCondition, interval, sourceUri, - entityCollection + entityCollection, ); } @@ -3075,7 +3073,7 @@ function processLabel(entity, packet, entityCollection, sourceUri) { labelData.show, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( String, @@ -3084,7 +3082,7 @@ function processLabel(entity, packet, entityCollection, sourceUri) { labelData.text, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( String, @@ -3093,7 +3091,7 @@ function processLabel(entity, packet, entityCollection, sourceUri) { labelData.font, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( LabelStyle, @@ -3102,7 +3100,7 @@ function processLabel(entity, packet, entityCollection, sourceUri) { labelData.style, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -3111,7 +3109,7 @@ function processLabel(entity, packet, entityCollection, sourceUri) { labelData.scale, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Boolean, @@ -3120,7 +3118,7 @@ function processLabel(entity, packet, entityCollection, sourceUri) { labelData.showBackground, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Color, @@ -3129,7 +3127,7 @@ function processLabel(entity, packet, entityCollection, sourceUri) { labelData.backgroundColor, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Cartesian2, @@ -3138,7 +3136,7 @@ function processLabel(entity, packet, entityCollection, sourceUri) { labelData.backgroundPadding, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Cartesian2, @@ -3147,7 +3145,7 @@ function processLabel(entity, packet, entityCollection, sourceUri) { labelData.pixelOffset, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Cartesian3, @@ -3156,7 +3154,7 @@ function processLabel(entity, packet, entityCollection, sourceUri) { labelData.eyeOffset, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( HorizontalOrigin, @@ -3165,7 +3163,7 @@ function processLabel(entity, packet, entityCollection, sourceUri) { labelData.horizontalOrigin, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( VerticalOrigin, @@ -3174,7 +3172,7 @@ function processLabel(entity, packet, entityCollection, sourceUri) { labelData.verticalOrigin, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( HeightReference, @@ -3183,7 +3181,7 @@ function processLabel(entity, packet, entityCollection, sourceUri) { labelData.heightReference, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Color, @@ -3192,7 +3190,7 @@ function processLabel(entity, packet, entityCollection, sourceUri) { labelData.fillColor, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Color, @@ -3201,7 +3199,7 @@ function processLabel(entity, packet, entityCollection, sourceUri) { labelData.outlineColor, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -3210,7 +3208,7 @@ function processLabel(entity, packet, entityCollection, sourceUri) { labelData.outlineWidth, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( NearFarScalar, @@ -3219,7 +3217,7 @@ function processLabel(entity, packet, entityCollection, sourceUri) { labelData.translucencyByDistance, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( NearFarScalar, @@ -3228,7 +3226,7 @@ function processLabel(entity, packet, entityCollection, sourceUri) { labelData.pixelOffsetScaleByDistance, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( NearFarScalar, @@ -3237,7 +3235,7 @@ function processLabel(entity, packet, entityCollection, sourceUri) { labelData.scaleByDistance, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( DistanceDisplayCondition, @@ -3246,7 +3244,7 @@ function processLabel(entity, packet, entityCollection, sourceUri) { labelData.distanceDisplayCondition, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -3255,7 +3253,7 @@ function processLabel(entity, packet, entityCollection, sourceUri) { labelData.disableDepthTestDistance, interval, sourceUri, - entityCollection + entityCollection, ); } @@ -3278,7 +3276,7 @@ function processModel(entity, packet, entityCollection, sourceUri) { modelData.show, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Uri, @@ -3287,7 +3285,7 @@ function processModel(entity, packet, entityCollection, sourceUri) { modelData.gltf, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -3296,7 +3294,7 @@ function processModel(entity, packet, entityCollection, sourceUri) { modelData.scale, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -3305,7 +3303,7 @@ function processModel(entity, packet, entityCollection, sourceUri) { modelData.minimumPixelSize, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -3314,7 +3312,7 @@ function processModel(entity, packet, entityCollection, sourceUri) { modelData.maximumScale, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Boolean, @@ -3323,7 +3321,7 @@ function processModel(entity, packet, entityCollection, sourceUri) { modelData.incrementallyLoadTextures, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Boolean, @@ -3332,7 +3330,7 @@ function processModel(entity, packet, entityCollection, sourceUri) { modelData.runAnimations, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Boolean, @@ -3341,7 +3339,7 @@ function processModel(entity, packet, entityCollection, sourceUri) { modelData.clampAnimations, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( ShadowMode, @@ -3350,7 +3348,7 @@ function processModel(entity, packet, entityCollection, sourceUri) { modelData.shadows, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( HeightReference, @@ -3359,7 +3357,7 @@ function processModel(entity, packet, entityCollection, sourceUri) { modelData.heightReference, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Color, @@ -3368,7 +3366,7 @@ function processModel(entity, packet, entityCollection, sourceUri) { modelData.silhouetteColor, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -3377,7 +3375,7 @@ function processModel(entity, packet, entityCollection, sourceUri) { modelData.silhouetteSize, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Color, @@ -3386,7 +3384,7 @@ function processModel(entity, packet, entityCollection, sourceUri) { modelData.color, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( ColorBlendMode, @@ -3395,7 +3393,7 @@ function processModel(entity, packet, entityCollection, sourceUri) { modelData.colorBlendMode, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -3404,7 +3402,7 @@ function processModel(entity, packet, entityCollection, sourceUri) { modelData.colorBlendAmount, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( DistanceDisplayCondition, @@ -3413,7 +3411,7 @@ function processModel(entity, packet, entityCollection, sourceUri) { modelData.distanceDisplayCondition, interval, sourceUri, - entityCollection + entityCollection, ); let i, len; @@ -3426,7 +3424,7 @@ function processModel(entity, packet, entityCollection, sourceUri) { nodeTransformationsData[i], interval, sourceUri, - entityCollection + entityCollection, ); } } else { @@ -3435,7 +3433,7 @@ function processModel(entity, packet, entityCollection, sourceUri) { nodeTransformationsData, interval, sourceUri, - entityCollection + entityCollection, ); } } @@ -3449,7 +3447,7 @@ function processModel(entity, packet, entityCollection, sourceUri) { articulationsData[i], interval, sourceUri, - entityCollection + entityCollection, ); } } else { @@ -3458,7 +3456,7 @@ function processModel(entity, packet, entityCollection, sourceUri) { articulationsData, interval, sourceUri, - entityCollection + entityCollection, ); } } @@ -3469,7 +3467,7 @@ function processNodeTransformations( nodeTransformationsData, constrainedInterval, sourceUri, - entityCollection + entityCollection, ) { let combinedInterval = intervalFromString(nodeTransformationsData.interval); if (defined(constrainedInterval)) { @@ -3477,7 +3475,7 @@ function processNodeTransformations( combinedInterval = TimeInterval.intersect( combinedInterval, constrainedInterval, - scratchTimeInterval + scratchTimeInterval, ); } else { combinedInterval = constrainedInterval; @@ -3507,9 +3505,8 @@ function processNodeTransformations( let nodeTransformation = nodeTransformations[nodeName]; if (!defined(nodeTransformation)) { - nodeTransformations[ - nodeName - ] = nodeTransformation = new NodeTransformationProperty(); + nodeTransformations[nodeName] = nodeTransformation = + new NodeTransformationProperty(); } processPacketData( @@ -3519,7 +3516,7 @@ function processNodeTransformations( nodeTransformationData.translation, combinedInterval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Quaternion, @@ -3528,7 +3525,7 @@ function processNodeTransformations( nodeTransformationData.rotation, combinedInterval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Cartesian3, @@ -3537,7 +3534,7 @@ function processNodeTransformations( nodeTransformationData.scale, combinedInterval, sourceUri, - entityCollection + entityCollection, ); } } @@ -3547,7 +3544,7 @@ function processArticulations( articulationsData, constrainedInterval, sourceUri, - entityCollection + entityCollection, ) { let combinedInterval = intervalFromString(articulationsData.interval); if (defined(constrainedInterval)) { @@ -3555,7 +3552,7 @@ function processArticulations( combinedInterval = TimeInterval.intersect( combinedInterval, constrainedInterval, - scratchTimeInterval + scratchTimeInterval, ); } else { combinedInterval = constrainedInterval; @@ -3590,7 +3587,7 @@ function processArticulations( articulationStageData, combinedInterval, sourceUri, - entityCollection + entityCollection, ); } } @@ -3614,7 +3611,7 @@ function processPath(entity, packet, entityCollection, sourceUri) { pathData.show, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -3623,7 +3620,7 @@ function processPath(entity, packet, entityCollection, sourceUri) { pathData.leadTime, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -3632,7 +3629,7 @@ function processPath(entity, packet, entityCollection, sourceUri) { pathData.trailTime, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -3641,7 +3638,7 @@ function processPath(entity, packet, entityCollection, sourceUri) { pathData.width, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -3650,7 +3647,7 @@ function processPath(entity, packet, entityCollection, sourceUri) { pathData.resolution, interval, sourceUri, - entityCollection + entityCollection, ); processMaterialPacketData( path, @@ -3658,7 +3655,7 @@ function processPath(entity, packet, entityCollection, sourceUri) { pathData.material, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( DistanceDisplayCondition, @@ -3667,7 +3664,7 @@ function processPath(entity, packet, entityCollection, sourceUri) { pathData.distanceDisplayCondition, interval, sourceUri, - entityCollection + entityCollection, ); } @@ -3690,7 +3687,7 @@ function processPoint(entity, packet, entityCollection, sourceUri) { pointData.show, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -3699,7 +3696,7 @@ function processPoint(entity, packet, entityCollection, sourceUri) { pointData.pixelSize, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( HeightReference, @@ -3708,7 +3705,7 @@ function processPoint(entity, packet, entityCollection, sourceUri) { pointData.heightReference, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Color, @@ -3717,7 +3714,7 @@ function processPoint(entity, packet, entityCollection, sourceUri) { pointData.color, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Color, @@ -3726,7 +3723,7 @@ function processPoint(entity, packet, entityCollection, sourceUri) { pointData.outlineColor, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -3735,7 +3732,7 @@ function processPoint(entity, packet, entityCollection, sourceUri) { pointData.outlineWidth, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( NearFarScalar, @@ -3744,7 +3741,7 @@ function processPoint(entity, packet, entityCollection, sourceUri) { pointData.scaleByDistance, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( NearFarScalar, @@ -3753,7 +3750,7 @@ function processPoint(entity, packet, entityCollection, sourceUri) { pointData.translucencyByDistance, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( DistanceDisplayCondition, @@ -3762,7 +3759,7 @@ function processPoint(entity, packet, entityCollection, sourceUri) { pointData.distanceDisplayCondition, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -3771,7 +3768,7 @@ function processPoint(entity, packet, entityCollection, sourceUri) { pointData.disableDepthTestDistance, interval, sourceUri, - entityCollection + entityCollection, ); } @@ -3851,7 +3848,7 @@ function processPolygon(entity, packet, entityCollection, sourceUri) { polygonData.show, interval, sourceUri, - entityCollection + entityCollection, ); // adapt 'position' property producing Cartesian[] @@ -3861,13 +3858,13 @@ function processPolygon(entity, packet, entityCollection, sourceUri) { polygon, "_positions", polygonData.positions, - entityCollection + entityCollection, ); processPositionArrayOfArrays( polygon, "_holes", polygonData.holes, - entityCollection + entityCollection, ); if (defined(polygon._positions) || defined(polygon._holes)) { polygon.hierarchy = new PolygonHierarchyProperty(polygon); @@ -3880,7 +3877,7 @@ function processPolygon(entity, packet, entityCollection, sourceUri) { polygonData.height, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( HeightReference, @@ -3889,7 +3886,7 @@ function processPolygon(entity, packet, entityCollection, sourceUri) { polygonData.heightReference, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -3898,7 +3895,7 @@ function processPolygon(entity, packet, entityCollection, sourceUri) { polygonData.extrudedHeight, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( HeightReference, @@ -3907,7 +3904,7 @@ function processPolygon(entity, packet, entityCollection, sourceUri) { polygonData.extrudedHeightReference, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Rotation, @@ -3916,7 +3913,7 @@ function processPolygon(entity, packet, entityCollection, sourceUri) { polygonData.stRotation, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -3925,7 +3922,7 @@ function processPolygon(entity, packet, entityCollection, sourceUri) { polygonData.granularity, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Boolean, @@ -3934,7 +3931,7 @@ function processPolygon(entity, packet, entityCollection, sourceUri) { polygonData.fill, interval, sourceUri, - entityCollection + entityCollection, ); processMaterialPacketData( polygon, @@ -3942,7 +3939,7 @@ function processPolygon(entity, packet, entityCollection, sourceUri) { polygonData.material, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Boolean, @@ -3951,7 +3948,7 @@ function processPolygon(entity, packet, entityCollection, sourceUri) { polygonData.outline, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Color, @@ -3960,7 +3957,7 @@ function processPolygon(entity, packet, entityCollection, sourceUri) { polygonData.outlineColor, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -3969,7 +3966,7 @@ function processPolygon(entity, packet, entityCollection, sourceUri) { polygonData.outlineWidth, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Boolean, @@ -3978,7 +3975,7 @@ function processPolygon(entity, packet, entityCollection, sourceUri) { polygonData.perPositionHeight, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Boolean, @@ -3987,7 +3984,7 @@ function processPolygon(entity, packet, entityCollection, sourceUri) { polygonData.closeTop, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Boolean, @@ -3996,7 +3993,7 @@ function processPolygon(entity, packet, entityCollection, sourceUri) { polygonData.closeBottom, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( ArcType, @@ -4005,7 +4002,7 @@ function processPolygon(entity, packet, entityCollection, sourceUri) { polygonData.arcType, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( ShadowMode, @@ -4014,7 +4011,7 @@ function processPolygon(entity, packet, entityCollection, sourceUri) { polygonData.shadows, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( DistanceDisplayCondition, @@ -4023,7 +4020,7 @@ function processPolygon(entity, packet, entityCollection, sourceUri) { polygonData.distanceDisplayCondition, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( ClassificationType, @@ -4032,7 +4029,7 @@ function processPolygon(entity, packet, entityCollection, sourceUri) { polygonData.classificationType, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -4041,7 +4038,7 @@ function processPolygon(entity, packet, entityCollection, sourceUri) { polygonData.zIndex, interval, sourceUri, - entityCollection + entityCollection, ); } @@ -4068,13 +4065,13 @@ function processPolyline(entity, packet, entityCollection, sourceUri) { polylineData.show, interval, sourceUri, - entityCollection + entityCollection, ); processPositionArray( polyline, "positions", polylineData.positions, - entityCollection + entityCollection, ); processPacketData( Number, @@ -4083,7 +4080,7 @@ function processPolyline(entity, packet, entityCollection, sourceUri) { polylineData.width, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -4092,7 +4089,7 @@ function processPolyline(entity, packet, entityCollection, sourceUri) { polylineData.granularity, interval, sourceUri, - entityCollection + entityCollection, ); processMaterialPacketData( polyline, @@ -4100,7 +4097,7 @@ function processPolyline(entity, packet, entityCollection, sourceUri) { polylineData.material, interval, sourceUri, - entityCollection + entityCollection, ); processMaterialPacketData( polyline, @@ -4108,7 +4105,7 @@ function processPolyline(entity, packet, entityCollection, sourceUri) { polylineData.depthFailMaterial, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( ArcType, @@ -4117,7 +4114,7 @@ function processPolyline(entity, packet, entityCollection, sourceUri) { polylineData.arcType, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Boolean, @@ -4126,7 +4123,7 @@ function processPolyline(entity, packet, entityCollection, sourceUri) { polylineData.clampToGround, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( ShadowMode, @@ -4135,7 +4132,7 @@ function processPolyline(entity, packet, entityCollection, sourceUri) { polylineData.shadows, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( DistanceDisplayCondition, @@ -4144,7 +4141,7 @@ function processPolyline(entity, packet, entityCollection, sourceUri) { polylineData.distanceDisplayCondition, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( ClassificationType, @@ -4153,7 +4150,7 @@ function processPolyline(entity, packet, entityCollection, sourceUri) { polylineData.classificationType, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -4162,7 +4159,7 @@ function processPolyline(entity, packet, entityCollection, sourceUri) { polylineData.zIndex, interval, sourceUri, - entityCollection + entityCollection, ); // for backwards compatibility, adapt CZML followSurface to arcType. @@ -4175,11 +4172,11 @@ function processPolyline(entity, packet, entityCollection, sourceUri) { polylineData.followSurface, interval, sourceUri, - entityCollection + entityCollection, ); polyline.arcType = createAdapterProperty( tempObj.followSurface, - adaptFollowSurfaceToArcType + adaptFollowSurfaceToArcType, ); } } @@ -4200,13 +4197,13 @@ function processPolylineVolume(entity, packet, entityCollection, sourceUri) { polylineVolume, "positions", polylineVolumeData.positions, - entityCollection + entityCollection, ); processShape( polylineVolume, "shape", polylineVolumeData.shape, - entityCollection + entityCollection, ); processPacketData( Boolean, @@ -4215,7 +4212,7 @@ function processPolylineVolume(entity, packet, entityCollection, sourceUri) { polylineVolumeData.show, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( CornerType, @@ -4224,7 +4221,7 @@ function processPolylineVolume(entity, packet, entityCollection, sourceUri) { polylineVolumeData.cornerType, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Boolean, @@ -4233,7 +4230,7 @@ function processPolylineVolume(entity, packet, entityCollection, sourceUri) { polylineVolumeData.fill, interval, sourceUri, - entityCollection + entityCollection, ); processMaterialPacketData( polylineVolume, @@ -4241,7 +4238,7 @@ function processPolylineVolume(entity, packet, entityCollection, sourceUri) { polylineVolumeData.material, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Boolean, @@ -4250,7 +4247,7 @@ function processPolylineVolume(entity, packet, entityCollection, sourceUri) { polylineVolumeData.outline, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Color, @@ -4259,7 +4256,7 @@ function processPolylineVolume(entity, packet, entityCollection, sourceUri) { polylineVolumeData.outlineColor, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -4268,7 +4265,7 @@ function processPolylineVolume(entity, packet, entityCollection, sourceUri) { polylineVolumeData.outlineWidth, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -4277,7 +4274,7 @@ function processPolylineVolume(entity, packet, entityCollection, sourceUri) { polylineVolumeData.granularity, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( ShadowMode, @@ -4286,7 +4283,7 @@ function processPolylineVolume(entity, packet, entityCollection, sourceUri) { polylineVolumeData.shadows, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( DistanceDisplayCondition, @@ -4295,7 +4292,7 @@ function processPolylineVolume(entity, packet, entityCollection, sourceUri) { polylineVolumeData.distanceDisplayCondition, interval, sourceUri, - entityCollection + entityCollection, ); } @@ -4318,7 +4315,7 @@ function processRectangle(entity, packet, entityCollection, sourceUri) { rectangleData.show, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Rectangle, @@ -4327,7 +4324,7 @@ function processRectangle(entity, packet, entityCollection, sourceUri) { rectangleData.coordinates, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -4336,7 +4333,7 @@ function processRectangle(entity, packet, entityCollection, sourceUri) { rectangleData.height, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( HeightReference, @@ -4345,7 +4342,7 @@ function processRectangle(entity, packet, entityCollection, sourceUri) { rectangleData.heightReference, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -4354,7 +4351,7 @@ function processRectangle(entity, packet, entityCollection, sourceUri) { rectangleData.extrudedHeight, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( HeightReference, @@ -4363,7 +4360,7 @@ function processRectangle(entity, packet, entityCollection, sourceUri) { rectangleData.extrudedHeightReference, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Rotation, @@ -4372,7 +4369,7 @@ function processRectangle(entity, packet, entityCollection, sourceUri) { rectangleData.rotation, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Rotation, @@ -4381,7 +4378,7 @@ function processRectangle(entity, packet, entityCollection, sourceUri) { rectangleData.stRotation, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -4390,7 +4387,7 @@ function processRectangle(entity, packet, entityCollection, sourceUri) { rectangleData.granularity, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Boolean, @@ -4399,7 +4396,7 @@ function processRectangle(entity, packet, entityCollection, sourceUri) { rectangleData.fill, interval, sourceUri, - entityCollection + entityCollection, ); processMaterialPacketData( rectangle, @@ -4407,7 +4404,7 @@ function processRectangle(entity, packet, entityCollection, sourceUri) { rectangleData.material, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Boolean, @@ -4416,7 +4413,7 @@ function processRectangle(entity, packet, entityCollection, sourceUri) { rectangleData.outline, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Color, @@ -4425,7 +4422,7 @@ function processRectangle(entity, packet, entityCollection, sourceUri) { rectangleData.outlineColor, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -4434,7 +4431,7 @@ function processRectangle(entity, packet, entityCollection, sourceUri) { rectangleData.outlineWidth, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( ShadowMode, @@ -4443,7 +4440,7 @@ function processRectangle(entity, packet, entityCollection, sourceUri) { rectangleData.shadows, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( DistanceDisplayCondition, @@ -4452,7 +4449,7 @@ function processRectangle(entity, packet, entityCollection, sourceUri) { rectangleData.distanceDisplayCondition, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( ClassificationType, @@ -4461,7 +4458,7 @@ function processRectangle(entity, packet, entityCollection, sourceUri) { rectangleData.classificationType, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -4470,7 +4467,7 @@ function processRectangle(entity, packet, entityCollection, sourceUri) { rectangleData.zIndex, interval, sourceUri, - entityCollection + entityCollection, ); } @@ -4493,7 +4490,7 @@ function processTileset(entity, packet, entityCollection, sourceUri) { tilesetData.show, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Uri, @@ -4502,7 +4499,7 @@ function processTileset(entity, packet, entityCollection, sourceUri) { tilesetData.uri, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -4511,7 +4508,7 @@ function processTileset(entity, packet, entityCollection, sourceUri) { tilesetData.maximumScreenSpaceError, interval, sourceUri, - entityCollection + entityCollection, ); } @@ -4534,20 +4531,20 @@ function processWall(entity, packet, entityCollection, sourceUri) { wallData.show, interval, sourceUri, - entityCollection + entityCollection, ); processPositionArray(wall, "positions", wallData.positions, entityCollection); processArray( wall, "minimumHeights", wallData.minimumHeights, - entityCollection + entityCollection, ); processArray( wall, "maximumHeights", wallData.maximumHeights, - entityCollection + entityCollection, ); processPacketData( Number, @@ -4556,7 +4553,7 @@ function processWall(entity, packet, entityCollection, sourceUri) { wallData.granularity, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Boolean, @@ -4565,7 +4562,7 @@ function processWall(entity, packet, entityCollection, sourceUri) { wallData.fill, interval, sourceUri, - entityCollection + entityCollection, ); processMaterialPacketData( wall, @@ -4573,7 +4570,7 @@ function processWall(entity, packet, entityCollection, sourceUri) { wallData.material, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Boolean, @@ -4582,7 +4579,7 @@ function processWall(entity, packet, entityCollection, sourceUri) { wallData.outline, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Color, @@ -4591,7 +4588,7 @@ function processWall(entity, packet, entityCollection, sourceUri) { wallData.outlineColor, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( Number, @@ -4600,7 +4597,7 @@ function processWall(entity, packet, entityCollection, sourceUri) { wallData.outlineWidth, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( ShadowMode, @@ -4609,7 +4606,7 @@ function processWall(entity, packet, entityCollection, sourceUri) { wallData.shadows, interval, sourceUri, - entityCollection + entityCollection, ); processPacketData( DistanceDisplayCondition, @@ -4618,7 +4615,7 @@ function processWall(entity, packet, entityCollection, sourceUri) { wallData.distanceDisplayCondition, interval, sourceUri, - entityCollection + entityCollection, ); } @@ -4627,7 +4624,7 @@ function processCzmlPacket( entityCollection, updaterFunctions, sourceUri, - dataSource + dataSource, ) { let objectId = packet.id; if (!defined(objectId)) { @@ -4638,7 +4635,7 @@ function processCzmlPacket( if (!defined(dataSource._version) && objectId !== "document") { throw new RuntimeError( - "The first CZML packet is required to be the document object." + "The first CZML packet is required to be the document object.", ); } @@ -4712,13 +4709,13 @@ function updateClock(dataSource) { if (defined(clockPacket.range)) { clock.clockRange = defaultValue( ClockRange[clockPacket.range], - ClockRange.LOOP_STOP + ClockRange.LOOP_STOP, ); } if (defined(clockPacket.step)) { clock.clockStep = defaultValue( ClockStep[clockPacket.step], - ClockStep.SYSTEM_CLOCK_MULTIPLIER + ClockStep.SYSTEM_CLOCK_MULTIPLIER, ); } if (defined(clockPacket.multiplier)) { @@ -4800,7 +4797,7 @@ function loadCzml(dataSource, czml, sourceUri, clear) { entityCollection, sourceUri, undefined, - dataSource + dataSource, ); let raiseChangedEvent = updateClock(dataSource); @@ -5144,7 +5141,7 @@ CzmlDataSource._processCzml = function ( entityCollection, sourceUri, updaterFunctions, - dataSource + dataSource, ) { updaterFunctions = defaultValue(updaterFunctions, CzmlDataSource.updaters); @@ -5155,7 +5152,7 @@ CzmlDataSource._processCzml = function ( entityCollection, updaterFunctions, sourceUri, - dataSource + dataSource, ); } } else { @@ -5164,7 +5161,7 @@ CzmlDataSource._processCzml = function ( entityCollection, updaterFunctions, sourceUri, - dataSource + dataSource, ); } }; diff --git a/packages/engine/Source/DataSources/DataSourceCollection.js b/packages/engine/Source/DataSources/DataSourceCollection.js index 17e33f50b45a..c01bc6d7d7af 100644 --- a/packages/engine/Source/DataSources/DataSourceCollection.js +++ b/packages/engine/Source/DataSources/DataSourceCollection.js @@ -278,7 +278,7 @@ DataSourceCollection.prototype.raiseToTop = function (dataSource) { this.dataSourceMoved.raiseEvent( dataSource, this._dataSources.length - 1, - index + index, ); }; diff --git a/packages/engine/Source/DataSources/DataSourceDisplay.js b/packages/engine/Source/DataSources/DataSourceDisplay.js index be83ae2685ea..c3f552a66405 100644 --- a/packages/engine/Source/DataSources/DataSourceDisplay.js +++ b/packages/engine/Source/DataSources/DataSourceDisplay.js @@ -38,7 +38,7 @@ function DataSourceDisplay(options) { Check.typeOf.object("options.scene", options.scene); Check.typeOf.object( "options.dataSourceCollection", - options.dataSourceCollection + options.dataSourceCollection, ); //>>includeEnd('debug'); @@ -52,17 +52,17 @@ function DataSourceDisplay(options) { this._eventHelper.add( dataSourceCollection.dataSourceAdded, this._onDataSourceAdded, - this + this, ); this._eventHelper.add( dataSourceCollection.dataSourceRemoved, this._onDataSourceRemoved, - this + this, ); this._eventHelper.add( dataSourceCollection.dataSourceMoved, this._onDataSourceMoved, - this + this, ); this._eventHelper.add(scene.postRender, this._postRender, this); @@ -70,7 +70,7 @@ function DataSourceDisplay(options) { this._scene = scene; this._visualizersCallback = defaultValue( options.visualizersCallback, - DataSourceDisplay.defaultVisualizersCallback + DataSourceDisplay.defaultVisualizersCallback, ); let primitivesAdded = false; @@ -106,12 +106,12 @@ function DataSourceDisplay(options) { that._removeDefaultDataSourceListener = undefined; that._removeDataSourceCollectionListener = undefined; }; - removeDefaultDataSourceListener = defaultDataSource.entities.collectionChanged.addEventListener( - addPrimitives - ); - removeDataSourceCollectionListener = dataSourceCollection.dataSourceAdded.addEventListener( - addPrimitives - ); + removeDefaultDataSourceListener = + defaultDataSource.entities.collectionChanged.addEventListener( + addPrimitives, + ); + removeDataSourceCollectionListener = + dataSourceCollection.dataSourceAdded.addEventListener(addPrimitives); } this._removeDefaultDataSourceListener = removeDefaultDataSourceListener; @@ -153,7 +153,7 @@ DataSourceDisplay.unregisterVisualizer = function (visualizer) { DataSourceDisplay.defaultVisualizersCallback = function ( scene, entityCluster, - dataSource + dataSource, ) { const entities = dataSource.entities; return [ @@ -162,7 +162,7 @@ DataSourceDisplay.defaultVisualizersCallback = function ( scene, entities, dataSource._primitives, - dataSource._groundPrimitives + dataSource._groundPrimitives, ), new LabelVisualizer(entityCluster, entities), new ModelVisualizer(scene, entities), @@ -173,10 +173,10 @@ DataSourceDisplay.defaultVisualizersCallback = function ( scene, entities, dataSource._primitives, - dataSource._groundPrimitives + dataSource._groundPrimitives, ), ...ExtraVisualizers.map( - (VisualizerClass) => new VisualizerClass(scene, entities) + (VisualizerClass) => new VisualizerClass(scene, entities), ), ]; }; @@ -266,7 +266,7 @@ DataSourceDisplay.prototype.destroy = function () { for (let i = 0, length = dataSourceCollection.length; i < length; ++i) { this._onDataSourceRemoved( this._dataSourceCollection, - dataSourceCollection.get(i) + dataSourceCollection.get(i), ); } this._onDataSourceRemoved(undefined, this._defaultDataSource); @@ -378,7 +378,7 @@ const getBoundingSphereBoundingSphereScratch = new BoundingSphere(); DataSourceDisplay.prototype.getBoundingSphere = function ( entity, allowPartial, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.defined("entity", entity); @@ -428,7 +428,7 @@ DataSourceDisplay.prototype.getBoundingSphere = function ( } else if (state === BoundingSphereState.DONE) { boundingSpheres[count] = BoundingSphere.clone( tmp, - boundingSpheres[count] + boundingSpheres[count], ); count++; } @@ -446,7 +446,7 @@ DataSourceDisplay.prototype.getBoundingSphere = function ( DataSourceDisplay.prototype._onDataSourceAdded = function ( dataSourceCollection, - dataSource + dataSource, ) { const scene = this._scene; @@ -455,7 +455,7 @@ DataSourceDisplay.prototype._onDataSourceAdded = function ( const primitives = displayPrimitives.add(new PrimitiveCollection()); const groundPrimitives = displayGroundPrimitives.add( - new OrderedGroundPrimitiveCollection() + new OrderedGroundPrimitiveCollection(), ); dataSource._primitives = primitives; @@ -469,13 +469,13 @@ DataSourceDisplay.prototype._onDataSourceAdded = function ( dataSource._visualizers = this._visualizersCallback( scene, entityCluster, - dataSource + dataSource, ); }; DataSourceDisplay.prototype._onDataSourceRemoved = function ( dataSourceCollection, - dataSource + dataSource, ) { const displayPrimitives = this._primitives; const displayGroundPrimitives = this._groundPrimitives; @@ -501,7 +501,7 @@ DataSourceDisplay.prototype._onDataSourceRemoved = function ( DataSourceDisplay.prototype._onDataSourceMoved = function ( dataSource, newIndex, - oldIndex + oldIndex, ) { const displayPrimitives = this._primitives; const displayGroundPrimitives = this._groundPrimitives; diff --git a/packages/engine/Source/DataSources/DynamicGeometryBatch.js b/packages/engine/Source/DataSources/DynamicGeometryBatch.js index 38c36bcd3d85..4540cf5a58a5 100644 --- a/packages/engine/Source/DataSources/DynamicGeometryBatch.js +++ b/packages/engine/Source/DataSources/DynamicGeometryBatch.js @@ -16,8 +16,8 @@ DynamicGeometryBatch.prototype.add = function (time, updater) { updater.id, updater.createDynamicUpdater( this._primitives, - this._orderedGroundPrimitives - ) + this._orderedGroundPrimitives, + ), ); }; diff --git a/packages/engine/Source/DataSources/DynamicGeometryUpdater.js b/packages/engine/Source/DataSources/DynamicGeometryUpdater.js index 23963ef14faf..e8f8af767684 100644 --- a/packages/engine/Source/DataSources/DynamicGeometryUpdater.js +++ b/packages/engine/Source/DataSources/DynamicGeometryUpdater.js @@ -29,7 +29,7 @@ import Property from "./Property.js"; function DynamicGeometryUpdater( geometryUpdater, primitives, - orderedGroundPrimitives + orderedGroundPrimitives, ) { //>>includeStart('debug', pragmas.debug); Check.defined("geometryUpdater", geometryUpdater); @@ -109,7 +109,7 @@ DynamicGeometryUpdater.prototype.update = function (time) { const material = MaterialProperty.getValue( time, fillMaterialProperty, - this._material + this._material, ); this._material = material; appearance = new MaterialAppearance({ @@ -123,24 +123,21 @@ DynamicGeometryUpdater.prototype.update = function (time) { options.vertexFormat = PerInstanceColorAppearance.VERTEX_FORMAT; this._primitive = orderedGroundPrimitives.add( new GroundPrimitive({ - geometryInstances: this._geometryUpdater.createFillGeometryInstance( - time - ), + geometryInstances: + this._geometryUpdater.createFillGeometryInstance(time), appearance: appearance, asynchronous: false, shadows: shadows, - classificationType: this._geometryUpdater.classificationTypeProperty.getValue( - time - ), + classificationType: + this._geometryUpdater.classificationTypeProperty.getValue(time), }), - Property.getValueOrUndefined(this._geometryUpdater.zIndex, time) + Property.getValueOrUndefined(this._geometryUpdater.zIndex, time), ); } else { options.vertexFormat = appearance.vertexFormat; - const fillInstance = this._geometryUpdater.createFillGeometryInstance( - time - ); + const fillInstance = + this._geometryUpdater.createFillGeometryInstance(time); if (isColorAppearance) { appearance.translucent = fillInstance.attributes.color.value[3] !== 255; @@ -152,7 +149,7 @@ DynamicGeometryUpdater.prototype.update = function (time) { appearance: appearance, asynchronous: false, shadows: shadows, - }) + }), ); } } @@ -162,13 +159,12 @@ DynamicGeometryUpdater.prototype.update = function (time) { defined(geometry.outline) && geometry.outline.getValue(time) ) { - const outlineInstance = this._geometryUpdater.createOutlineGeometryInstance( - time - ); + const outlineInstance = + this._geometryUpdater.createOutlineGeometryInstance(time); const outlineWidth = Property.getValueOrDefault( geometry.outlineWidth, time, - 1.0 + 1.0, ); this._outlinePrimitive = primitives.add( @@ -183,7 +179,7 @@ DynamicGeometryUpdater.prototype.update = function (time) { }), asynchronous: false, shadows: shadows, - }) + }), ); } }; diff --git a/packages/engine/Source/DataSources/EllipseGeometryUpdater.js b/packages/engine/Source/DataSources/EllipseGeometryUpdater.js index 15e65434f462..b266277298c6 100644 --- a/packages/engine/Source/DataSources/EllipseGeometryUpdater.js +++ b/packages/engine/Source/DataSources/EllipseGeometryUpdater.js @@ -65,7 +65,7 @@ function EllipseGeometryUpdater(entity, scene) { if (defined(Object.create)) { EllipseGeometryUpdater.prototype = Object.create( - GroundGeometryUpdater.prototype + GroundGeometryUpdater.prototype, ); EllipseGeometryUpdater.prototype.constructor = EllipseGeometryUpdater; } @@ -84,7 +84,7 @@ EllipseGeometryUpdater.prototype.createFillGeometryInstance = function (time) { if (!this._fillEnabled) { throw new DeveloperError( - "This instance does not represent a filled geometry." + "This instance does not represent a filled geometry.", ); } //>>includeEnd('debug'); @@ -97,11 +97,12 @@ EllipseGeometryUpdater.prototype.createFillGeometryInstance = function (time) { isAvailable && entity.isShowing && this._showProperty.getValue(time) && - this._fillProperty.getValue(time) - ), - distanceDisplayCondition: DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( - this._distanceDisplayConditionProperty.getValue(time) + this._fillProperty.getValue(time), ), + distanceDisplayCondition: + DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( + this._distanceDisplayConditionProperty.getValue(time), + ), offset: undefined, color: undefined, }; @@ -126,8 +127,8 @@ EllipseGeometryUpdater.prototype.createFillGeometryInstance = function (time) { this._terrainOffsetProperty, time, defaultOffset, - offsetScratch - ) + offsetScratch, + ), ); } @@ -147,14 +148,14 @@ EllipseGeometryUpdater.prototype.createFillGeometryInstance = function (time) { * @exception {DeveloperError} This instance does not represent an outlined geometry. */ EllipseGeometryUpdater.prototype.createOutlineGeometryInstance = function ( - time + time, ) { //>>includeStart('debug', pragmas.debug); Check.defined("time", time); if (!this._outlineEnabled) { throw new DeveloperError( - "This instance does not represent an outlined geometry." + "This instance does not represent an outlined geometry.", ); } //>>includeEnd('debug'); @@ -165,23 +166,23 @@ EllipseGeometryUpdater.prototype.createOutlineGeometryInstance = function ( this._outlineColorProperty, time, Color.BLACK, - scratchColor - ); - const distanceDisplayCondition = this._distanceDisplayConditionProperty.getValue( - time + scratchColor, ); + const distanceDisplayCondition = + this._distanceDisplayConditionProperty.getValue(time); const attributes = { show: new ShowGeometryInstanceAttribute( isAvailable && entity.isShowing && this._showProperty.getValue(time) && - this._showOutlineProperty.getValue(time) + this._showOutlineProperty.getValue(time), ), color: ColorGeometryInstanceAttribute.fromColor(outlineColor), - distanceDisplayCondition: DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( - distanceDisplayCondition - ), + distanceDisplayCondition: + DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( + distanceDisplayCondition, + ), offset: undefined, }; @@ -191,8 +192,8 @@ EllipseGeometryUpdater.prototype.createOutlineGeometryInstance = function ( this._terrainOffsetProperty, time, defaultOffset, - offsetScratch - ) + offsetScratch, + ), ); } @@ -239,25 +240,25 @@ EllipseGeometryUpdater.prototype._isDynamic = function (entity, ellipse) { EllipseGeometryUpdater.prototype._setStaticOptions = function ( entity, - ellipse + ellipse, ) { let heightValue = Property.getValueOrUndefined( ellipse.height, - Iso8601.MINIMUM_VALUE + Iso8601.MINIMUM_VALUE, ); const heightReferenceValue = Property.getValueOrDefault( ellipse.heightReference, Iso8601.MINIMUM_VALUE, - HeightReference.NONE + HeightReference.NONE, ); let extrudedHeightValue = Property.getValueOrUndefined( ellipse.extrudedHeight, - Iso8601.MINIMUM_VALUE + Iso8601.MINIMUM_VALUE, ); const extrudedHeightReferenceValue = Property.getValueOrDefault( ellipse.extrudedHeightReference, Iso8601.MINIMUM_VALUE, - HeightReference.NONE + HeightReference.NONE, ); if (defined(extrudedHeightValue) && !defined(heightValue)) { heightValue = 0; @@ -270,50 +271,51 @@ EllipseGeometryUpdater.prototype._setStaticOptions = function ( : MaterialAppearance.MaterialSupport.TEXTURED.vertexFormat; options.center = entity.position.getValue( Iso8601.MINIMUM_VALUE, - options.center + options.center, ); options.semiMajorAxis = ellipse.semiMajorAxis.getValue( Iso8601.MINIMUM_VALUE, - options.semiMajorAxis + options.semiMajorAxis, ); options.semiMinorAxis = ellipse.semiMinorAxis.getValue( Iso8601.MINIMUM_VALUE, - options.semiMinorAxis + options.semiMinorAxis, ); options.rotation = Property.getValueOrUndefined( ellipse.rotation, - Iso8601.MINIMUM_VALUE + Iso8601.MINIMUM_VALUE, ); options.granularity = Property.getValueOrUndefined( ellipse.granularity, - Iso8601.MINIMUM_VALUE + Iso8601.MINIMUM_VALUE, ); options.stRotation = Property.getValueOrUndefined( ellipse.stRotation, - Iso8601.MINIMUM_VALUE + Iso8601.MINIMUM_VALUE, ); options.numberOfVerticalLines = Property.getValueOrUndefined( ellipse.numberOfVerticalLines, - Iso8601.MINIMUM_VALUE - ); - options.offsetAttribute = GroundGeometryUpdater.computeGeometryOffsetAttribute( - heightValue, - heightReferenceValue, - extrudedHeightValue, - extrudedHeightReferenceValue + Iso8601.MINIMUM_VALUE, ); + options.offsetAttribute = + GroundGeometryUpdater.computeGeometryOffsetAttribute( + heightValue, + heightReferenceValue, + extrudedHeightValue, + extrudedHeightReferenceValue, + ); options.height = GroundGeometryUpdater.getGeometryHeight( heightValue, - heightReferenceValue + heightReferenceValue, ); extrudedHeightValue = GroundGeometryUpdater.getGeometryExtrudedHeight( extrudedHeightValue, - extrudedHeightReferenceValue + extrudedHeightReferenceValue, ); if (extrudedHeightValue === GroundGeometryUpdater.CLAMP_TO_GROUND) { extrudedHeightValue = ApproximateTerrainHeights.getMinimumMaximumHeights( - EllipseGeometry.computeRectangle(options, scratchRectangle) + EllipseGeometry.computeRectangle(options, scratchRectangle), ).minimumTerrainHeight; } @@ -328,27 +330,28 @@ EllipseGeometryUpdater.DynamicGeometryUpdater = DynamicEllipseGeometryUpdater; function DynamicEllipseGeometryUpdater( geometryUpdater, primitives, - groundPrimitives + groundPrimitives, ) { DynamicGeometryUpdater.call( this, geometryUpdater, primitives, - groundPrimitives + groundPrimitives, ); } if (defined(Object.create)) { DynamicEllipseGeometryUpdater.prototype = Object.create( - DynamicGeometryUpdater.prototype + DynamicGeometryUpdater.prototype, ); - DynamicEllipseGeometryUpdater.prototype.constructor = DynamicEllipseGeometryUpdater; + DynamicEllipseGeometryUpdater.prototype.constructor = + DynamicEllipseGeometryUpdater; } DynamicEllipseGeometryUpdater.prototype._isHidden = function ( entity, ellipse, - time + time, ) { const options = this._options; return ( @@ -362,23 +365,23 @@ DynamicEllipseGeometryUpdater.prototype._isHidden = function ( DynamicEllipseGeometryUpdater.prototype._setOptions = function ( entity, ellipse, - time + time, ) { const options = this._options; let heightValue = Property.getValueOrUndefined(ellipse.height, time); const heightReferenceValue = Property.getValueOrDefault( ellipse.heightReference, time, - HeightReference.NONE + HeightReference.NONE, ); let extrudedHeightValue = Property.getValueOrUndefined( ellipse.extrudedHeight, - time + time, ); const extrudedHeightReferenceValue = Property.getValueOrDefault( ellipse.extrudedHeightReference, time, - HeightReference.NONE + HeightReference.NONE, ); if (defined(extrudedHeightValue) && !defined(heightValue)) { heightValue = 0; @@ -387,41 +390,42 @@ DynamicEllipseGeometryUpdater.prototype._setOptions = function ( options.center = Property.getValueOrUndefined( entity.position, time, - options.center + options.center, ); options.semiMajorAxis = Property.getValueOrUndefined( ellipse.semiMajorAxis, - time + time, ); options.semiMinorAxis = Property.getValueOrUndefined( ellipse.semiMinorAxis, - time + time, ); options.rotation = Property.getValueOrUndefined(ellipse.rotation, time); options.granularity = Property.getValueOrUndefined(ellipse.granularity, time); options.stRotation = Property.getValueOrUndefined(ellipse.stRotation, time); options.numberOfVerticalLines = Property.getValueOrUndefined( ellipse.numberOfVerticalLines, - time - ); - options.offsetAttribute = GroundGeometryUpdater.computeGeometryOffsetAttribute( - heightValue, - heightReferenceValue, - extrudedHeightValue, - extrudedHeightReferenceValue + time, ); + options.offsetAttribute = + GroundGeometryUpdater.computeGeometryOffsetAttribute( + heightValue, + heightReferenceValue, + extrudedHeightValue, + extrudedHeightReferenceValue, + ); options.height = GroundGeometryUpdater.getGeometryHeight( heightValue, - heightReferenceValue + heightReferenceValue, ); extrudedHeightValue = GroundGeometryUpdater.getGeometryExtrudedHeight( extrudedHeightValue, - extrudedHeightReferenceValue + extrudedHeightReferenceValue, ); if (extrudedHeightValue === GroundGeometryUpdater.CLAMP_TO_GROUND) { extrudedHeightValue = ApproximateTerrainHeights.getMinimumMaximumHeights( - EllipseGeometry.computeRectangle(options, scratchRectangle) + EllipseGeometry.computeRectangle(options, scratchRectangle), ).minimumTerrainHeight; } diff --git a/packages/engine/Source/DataSources/EllipseGraphics.js b/packages/engine/Source/DataSources/EllipseGraphics.js index 4c85aee9acf0..3229900640a3 100644 --- a/packages/engine/Source/DataSources/EllipseGraphics.js +++ b/packages/engine/Source/DataSources/EllipseGraphics.js @@ -249,7 +249,7 @@ Object.defineProperties(EllipseGraphics.prototype, { * @type {Property|undefined} */ distanceDisplayCondition: createPropertyDescriptor( - "distanceDisplayCondition" + "distanceDisplayCondition", ), /** @@ -321,15 +321,15 @@ EllipseGraphics.prototype.merge = function (source) { this.height = defaultValue(this.height, source.height); this.heightReference = defaultValue( this.heightReference, - source.heightReference + source.heightReference, ); this.extrudedHeight = defaultValue( this.extrudedHeight, - source.extrudedHeight + source.extrudedHeight, ); this.extrudedHeightReference = defaultValue( this.extrudedHeightReference, - source.extrudedHeightReference + source.extrudedHeightReference, ); this.rotation = defaultValue(this.rotation, source.rotation); this.stRotation = defaultValue(this.stRotation, source.stRotation); @@ -341,16 +341,16 @@ EllipseGraphics.prototype.merge = function (source) { this.outlineWidth = defaultValue(this.outlineWidth, source.outlineWidth); this.numberOfVerticalLines = defaultValue( this.numberOfVerticalLines, - source.numberOfVerticalLines + source.numberOfVerticalLines, ); this.shadows = defaultValue(this.shadows, source.shadows); this.distanceDisplayCondition = defaultValue( this.distanceDisplayCondition, - source.distanceDisplayCondition + source.distanceDisplayCondition, ); this.classificationType = defaultValue( this.classificationType, - source.classificationType + source.classificationType, ); this.zIndex = defaultValue(this.zIndex, source.zIndex); }; diff --git a/packages/engine/Source/DataSources/EllipsoidGeometryUpdater.js b/packages/engine/Source/DataSources/EllipsoidGeometryUpdater.js index cd4f89bec7a9..2703e2f3fb4a 100644 --- a/packages/engine/Source/DataSources/EllipsoidGeometryUpdater.js +++ b/packages/engine/Source/DataSources/EllipsoidGeometryUpdater.js @@ -77,7 +77,7 @@ function EllipsoidGeometryUpdater(entity, scene) { entity, "ellipsoid", entity.ellipsoid, - undefined + undefined, ); } @@ -114,7 +114,7 @@ Object.defineProperties(EllipsoidGeometryUpdater.prototype, { EllipsoidGeometryUpdater.prototype.createFillGeometryInstance = function ( time, skipModelMatrix, - modelMatrixResult + modelMatrixResult, ) { //>>includeStart('debug', pragmas.debug); Check.defined("time", time); @@ -128,14 +128,14 @@ EllipsoidGeometryUpdater.prototype.createFillGeometryInstance = function ( isAvailable && entity.isShowing && this._showProperty.getValue(time) && - this._fillProperty.getValue(time) - ); - const distanceDisplayCondition = this._distanceDisplayConditionProperty.getValue( - time - ); - const distanceDisplayConditionAttribute = DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( - distanceDisplayCondition + this._fillProperty.getValue(time), ); + const distanceDisplayCondition = + this._distanceDisplayConditionProperty.getValue(time); + const distanceDisplayConditionAttribute = + DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( + distanceDisplayCondition, + ); const attributes = { show: show, @@ -164,8 +164,8 @@ EllipsoidGeometryUpdater.prototype.createFillGeometryInstance = function ( this._terrainOffsetProperty, time, defaultOffset, - offsetScratch - ) + offsetScratch, + ), ); } @@ -179,7 +179,7 @@ EllipsoidGeometryUpdater.prototype.createFillGeometryInstance = function ( entity.ellipsoid.heightReference, this._options.radii.z * 0.5, this._scene.ellipsoid, - modelMatrixResult + modelMatrixResult, ), attributes: attributes, }); @@ -198,7 +198,7 @@ EllipsoidGeometryUpdater.prototype.createFillGeometryInstance = function ( EllipsoidGeometryUpdater.prototype.createOutlineGeometryInstance = function ( time, skipModelMatrix, - modelMatrixResult + modelMatrixResult, ) { //>>includeStart('debug', pragmas.debug); Check.defined("time", time); @@ -211,23 +211,23 @@ EllipsoidGeometryUpdater.prototype.createOutlineGeometryInstance = function ( this._outlineColorProperty, time, Color.BLACK, - scratchColor - ); - const distanceDisplayCondition = this._distanceDisplayConditionProperty.getValue( - time + scratchColor, ); + const distanceDisplayCondition = + this._distanceDisplayConditionProperty.getValue(time); const attributes = { show: new ShowGeometryInstanceAttribute( isAvailable && entity.isShowing && this._showProperty.getValue(time) && - this._showOutlineProperty.getValue(time) + this._showOutlineProperty.getValue(time), ), color: ColorGeometryInstanceAttribute.fromColor(outlineColor), - distanceDisplayCondition: DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( - distanceDisplayCondition - ), + distanceDisplayCondition: + DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( + distanceDisplayCondition, + ), offset: undefined, }; if (defined(this._options.offsetAttribute)) { @@ -236,8 +236,8 @@ EllipsoidGeometryUpdater.prototype.createOutlineGeometryInstance = function ( this._terrainOffsetProperty, time, defaultOffset, - offsetScratch - ) + offsetScratch, + ), ); } @@ -251,7 +251,7 @@ EllipsoidGeometryUpdater.prototype.createOutlineGeometryInstance = function ( entity.ellipsoid.heightReference, this._options.radii.z * 0.5, this._scene.ellipsoid, - modelMatrixResult + modelMatrixResult, ), attributes: attributes, }); @@ -288,12 +288,12 @@ EllipsoidGeometryUpdater.prototype._isDynamic = function (entity, ellipsoid) { EllipsoidGeometryUpdater.prototype._setStaticOptions = function ( entity, - ellipsoid + ellipsoid, ) { const heightReference = Property.getValueOrDefault( ellipsoid.heightReference, Iso8601.MINIMUM_VALUE, - HeightReference.NONE + HeightReference.NONE, ); const options = this._options; options.vertexFormat = @@ -302,39 +302,39 @@ EllipsoidGeometryUpdater.prototype._setStaticOptions = function ( : MaterialAppearance.MaterialSupport.TEXTURED.vertexFormat; options.radii = ellipsoid.radii.getValue( Iso8601.MINIMUM_VALUE, - options.radii + options.radii, ); options.innerRadii = Property.getValueOrUndefined( ellipsoid.innerRadii, - options.radii + options.radii, ); options.minimumClock = Property.getValueOrUndefined( ellipsoid.minimumClock, - Iso8601.MINIMUM_VALUE + Iso8601.MINIMUM_VALUE, ); options.maximumClock = Property.getValueOrUndefined( ellipsoid.maximumClock, - Iso8601.MINIMUM_VALUE + Iso8601.MINIMUM_VALUE, ); options.minimumCone = Property.getValueOrUndefined( ellipsoid.minimumCone, - Iso8601.MINIMUM_VALUE + Iso8601.MINIMUM_VALUE, ); options.maximumCone = Property.getValueOrUndefined( ellipsoid.maximumCone, - Iso8601.MINIMUM_VALUE + Iso8601.MINIMUM_VALUE, ); options.stackPartitions = Property.getValueOrUndefined( ellipsoid.stackPartitions, - Iso8601.MINIMUM_VALUE + Iso8601.MINIMUM_VALUE, ); options.slicePartitions = Property.getValueOrUndefined( ellipsoid.slicePartitions, - Iso8601.MINIMUM_VALUE + Iso8601.MINIMUM_VALUE, ); options.subdivisions = Property.getValueOrUndefined( ellipsoid.subdivisions, - Iso8601.MINIMUM_VALUE + Iso8601.MINIMUM_VALUE, ); options.offsetAttribute = heightReference !== HeightReference.NONE @@ -342,9 +342,11 @@ EllipsoidGeometryUpdater.prototype._setStaticOptions = function ( : undefined; }; -EllipsoidGeometryUpdater.prototype._onEntityPropertyChanged = heightReferenceOnEntityPropertyChanged; +EllipsoidGeometryUpdater.prototype._onEntityPropertyChanged = + heightReferenceOnEntityPropertyChanged; -EllipsoidGeometryUpdater.DynamicGeometryUpdater = DynamicEllipsoidGeometryUpdater; +EllipsoidGeometryUpdater.DynamicGeometryUpdater = + DynamicEllipsoidGeometryUpdater; /** * @private @@ -352,13 +354,13 @@ EllipsoidGeometryUpdater.DynamicGeometryUpdater = DynamicEllipsoidGeometryUpdate function DynamicEllipsoidGeometryUpdater( geometryUpdater, primitives, - groundPrimitives + groundPrimitives, ) { DynamicGeometryUpdater.call( this, geometryUpdater, primitives, - groundPrimitives + groundPrimitives, ); this._scene = geometryUpdater._scene; @@ -376,9 +378,10 @@ function DynamicEllipsoidGeometryUpdater( if (defined(Object.create)) { DynamicEllipsoidGeometryUpdater.prototype = Object.create( - DynamicGeometryUpdater.prototype + DynamicGeometryUpdater.prototype, ); - DynamicEllipsoidGeometryUpdater.prototype.constructor = DynamicEllipsoidGeometryUpdater; + DynamicEllipsoidGeometryUpdater.prototype.constructor = + DynamicEllipsoidGeometryUpdater; } DynamicEllipsoidGeometryUpdater.prototype.update = function (time) { @@ -406,7 +409,7 @@ DynamicEllipsoidGeometryUpdater.prototype.update = function (time) { const radii = Property.getValueOrUndefined( ellipsoid.radii, time, - radiiScratch + radiiScratch, ); let modelMatrix = defined(radii) ? entity.computeModelMatrixForHeightReference( @@ -414,7 +417,7 @@ DynamicEllipsoidGeometryUpdater.prototype.update = function (time) { ellipsoid.heightReference, radii.z * 0.5, this._scene.ellipsoid, - this._modelMatrix + this._modelMatrix, ) : undefined; if (!defined(modelMatrix) || !defined(radii)) { @@ -433,57 +436,57 @@ DynamicEllipsoidGeometryUpdater.prototype.update = function (time) { const showOutline = Property.getValueOrDefault( ellipsoid.outline, time, - false + false, ); const outlineColor = Property.getValueOrClonedDefault( ellipsoid.outlineColor, time, Color.BLACK, - scratchColor + scratchColor, ); const material = MaterialProperty.getValue( time, defaultValue(ellipsoid.material, defaultMaterial), - this._material + this._material, ); // Check properties that could trigger a primitive rebuild. const innerRadii = Property.getValueOrUndefined( ellipsoid.innerRadii, time, - innerRadiiScratch + innerRadiiScratch, ); const minimumClock = Property.getValueOrUndefined( ellipsoid.minimumClock, - time + time, ); const maximumClock = Property.getValueOrUndefined( ellipsoid.maximumClock, - time + time, ); const minimumCone = Property.getValueOrUndefined(ellipsoid.minimumCone, time); const maximumCone = Property.getValueOrUndefined(ellipsoid.maximumCone, time); const stackPartitions = Property.getValueOrUndefined( ellipsoid.stackPartitions, - time + time, ); const slicePartitions = Property.getValueOrUndefined( ellipsoid.slicePartitions, - time + time, ); const subdivisions = Property.getValueOrUndefined( ellipsoid.subdivisions, - time + time, ); const outlineWidth = Property.getValueOrDefault( ellipsoid.outlineWidth, time, - 1.0 + 1.0, ); const heightReference = Property.getValueOrDefault( ellipsoid.heightReference, time, - HeightReference.NONE + HeightReference.NONE, ); const offsetAttribute = heightReference !== HeightReference.NONE @@ -500,17 +503,16 @@ DynamicEllipsoidGeometryUpdater.prototype.update = function (time) { const shadows = this._geometryUpdater.shadowsProperty.getValue(time); - const distanceDisplayConditionProperty = this._geometryUpdater - .distanceDisplayConditionProperty; - const distanceDisplayCondition = distanceDisplayConditionProperty.getValue( - time - ); + const distanceDisplayConditionProperty = + this._geometryUpdater.distanceDisplayConditionProperty; + const distanceDisplayCondition = + distanceDisplayConditionProperty.getValue(time); const offset = Property.getValueOrDefault( this._geometryUpdater.terrainOffsetProperty, time, defaultOffset, - offsetScratch + offsetScratch, ); //We only rebuild the primitive if something other than the radii has changed @@ -551,7 +553,7 @@ DynamicEllipsoidGeometryUpdater.prototype.update = function (time) { innerRadii.x / radii.x, innerRadii.y / radii.y, innerRadii.z / radii.z, - options.innerRadii + options.innerRadii, ); } else { options.innerRadii = Cartesian3.clone(innerRadii, options.innerRadii); @@ -574,7 +576,7 @@ DynamicEllipsoidGeometryUpdater.prototype.update = function (time) { const fillInstance = this._geometryUpdater.createFillGeometryInstance( time, in3D, - this._modelMatrix + this._modelMatrix, ); this._primitive = primitives.add( @@ -583,13 +585,13 @@ DynamicEllipsoidGeometryUpdater.prototype.update = function (time) { appearance: appearance, asynchronous: false, shadows: shadows, - }) + }), ); const outlineInstance = this._geometryUpdater.createOutlineGeometryInstance( time, in3D, - this._modelMatrix + this._modelMatrix, ); this._outlinePrimitive = primitives.add( new Primitive({ @@ -598,14 +600,13 @@ DynamicEllipsoidGeometryUpdater.prototype.update = function (time) { flat: true, translucent: outlineInstance.attributes.color.value[3] !== 255, renderState: { - lineWidth: this._geometryUpdater._scene.clampLineWidth( - outlineWidth - ), + lineWidth: + this._geometryUpdater._scene.clampLineWidth(outlineWidth), }, }), asynchronous: false, shadows: shadows, - }) + }), ); this._lastShow = showFill; @@ -630,7 +631,7 @@ DynamicEllipsoidGeometryUpdater.prototype.update = function (time) { if (showFill !== this._lastShow) { attributes.show = ShowGeometryInstanceAttribute.toValue( showFill, - attributes.show + attributes.show, ); this._lastShow = showFill; } @@ -638,16 +639,15 @@ DynamicEllipsoidGeometryUpdater.prototype.update = function (time) { let outlineAttributes = this._outlineAttributes; if (!defined(outlineAttributes)) { - outlineAttributes = outlinePrimitive.getGeometryInstanceAttributes( - entity - ); + outlineAttributes = + outlinePrimitive.getGeometryInstanceAttributes(entity); this._outlineAttributes = outlineAttributes; } if (showOutline !== this._lastOutlineShow) { outlineAttributes.show = ShowGeometryInstanceAttribute.toValue( showOutline, - outlineAttributes.show + outlineAttributes.show, ); this._lastOutlineShow = showOutline; } @@ -655,7 +655,7 @@ DynamicEllipsoidGeometryUpdater.prototype.update = function (time) { if (!Color.equals(outlineColor, this._lastOutlineColor)) { outlineAttributes.color = ColorGeometryInstanceAttribute.toValue( outlineColor, - outlineAttributes.color + outlineAttributes.color, ); Color.clone(outlineColor, this._lastOutlineColor); } @@ -663,31 +663,33 @@ DynamicEllipsoidGeometryUpdater.prototype.update = function (time) { if ( !DistanceDisplayCondition.equals( distanceDisplayCondition, - this._lastDistanceDisplayCondition + this._lastDistanceDisplayCondition, ) ) { - attributes.distanceDisplayCondition = DistanceDisplayConditionGeometryInstanceAttribute.toValue( - distanceDisplayCondition, - attributes.distanceDisplayCondition - ); - outlineAttributes.distanceDisplayCondition = DistanceDisplayConditionGeometryInstanceAttribute.toValue( - distanceDisplayCondition, - outlineAttributes.distanceDisplayCondition - ); + attributes.distanceDisplayCondition = + DistanceDisplayConditionGeometryInstanceAttribute.toValue( + distanceDisplayCondition, + attributes.distanceDisplayCondition, + ); + outlineAttributes.distanceDisplayCondition = + DistanceDisplayConditionGeometryInstanceAttribute.toValue( + distanceDisplayCondition, + outlineAttributes.distanceDisplayCondition, + ); DistanceDisplayCondition.clone( distanceDisplayCondition, - this._lastDistanceDisplayCondition + this._lastDistanceDisplayCondition, ); } if (!Cartesian3.equals(offset, this._lastOffset)) { attributes.offset = OffsetGeometryInstanceAttribute.toValue( offset, - attributes.offset + attributes.offset, ); outlineAttributes.offset = OffsetGeometryInstanceAttribute.toValue( offset, - attributes.offset + attributes.offset, ); Cartesian3.clone(offset, this._lastOffset); } diff --git a/packages/engine/Source/DataSources/EllipsoidGraphics.js b/packages/engine/Source/DataSources/EllipsoidGraphics.js index ea68b330a751..1d3ed23b6a76 100644 --- a/packages/engine/Source/DataSources/EllipsoidGraphics.js +++ b/packages/engine/Source/DataSources/EllipsoidGraphics.js @@ -241,7 +241,7 @@ Object.defineProperties(EllipsoidGraphics.prototype, { * @type {Property|undefined} */ distanceDisplayCondition: createPropertyDescriptor( - "distanceDisplayCondition" + "distanceDisplayCondition", ), }); @@ -298,7 +298,7 @@ EllipsoidGraphics.prototype.merge = function (source) { this.maximumCone = defaultValue(this.maximumCone, source.maximumCone); this.heightReference = defaultValue( this.heightReference, - source.heightReference + source.heightReference, ); this.fill = defaultValue(this.fill, source.fill); this.material = defaultValue(this.material, source.material); @@ -307,17 +307,17 @@ EllipsoidGraphics.prototype.merge = function (source) { this.outlineWidth = defaultValue(this.outlineWidth, source.outlineWidth); this.stackPartitions = defaultValue( this.stackPartitions, - source.stackPartitions + source.stackPartitions, ); this.slicePartitions = defaultValue( this.slicePartitions, - source.slicePartitions + source.slicePartitions, ); this.subdivisions = defaultValue(this.subdivisions, source.subdivisions); this.shadows = defaultValue(this.shadows, source.shadows); this.distanceDisplayCondition = defaultValue( this.distanceDisplayCondition, - source.distanceDisplayCondition + source.distanceDisplayCondition, ); }; export default EllipsoidGraphics; diff --git a/packages/engine/Source/DataSources/Entity.js b/packages/engine/Source/DataSources/Entity.js index 42aad8019714..b2b7433e29ba 100644 --- a/packages/engine/Source/DataSources/Entity.js +++ b/packages/engine/Source/DataSources/Entity.js @@ -51,7 +51,7 @@ function createPositionPropertyDescriptor(name) { return createPropertyDescriptor( name, undefined, - createConstantPositionProperty + createConstantPositionProperty, ); } @@ -220,7 +220,7 @@ function updateShow(entity, children, isShowing) { entity, "isShowing", isShowing, - !isShowing + !isShowing, ); } @@ -461,7 +461,7 @@ Object.defineProperties(Entity.prototype, { */ polylineVolume: createPropertyTypeDescriptor( "polylineVolume", - PolylineVolumeGraphics + PolylineVolumeGraphics, ), /** * Gets or sets the bag of arbitrary properties associated with this entity. @@ -548,7 +548,7 @@ Entity.prototype.addProperty = function (propertyName) { } if (propertyNames.indexOf(propertyName) !== -1) { throw new DeveloperError( - `${propertyName} is already a registered property.` + `${propertyName} is already a registered property.`, ); } if (propertyName in this) { @@ -560,7 +560,7 @@ Entity.prototype.addProperty = function (propertyName) { Object.defineProperty( this, propertyName, - createRawPropertyDescriptor(propertyName, true) + createRawPropertyDescriptor(propertyName, true), ); }; @@ -672,7 +672,7 @@ Entity.prototype.computeModelMatrix = function (time, result) { const position = Property.getValueOrUndefined( this._position, time, - positionScratch + positionScratch, ); if (!defined(position)) { return undefined; @@ -681,7 +681,7 @@ Entity.prototype.computeModelMatrix = function (time, result) { const orientation = Property.getValueOrUndefined( this._orientation, time, - orientationScratch + orientationScratch, ); if (!defined(orientation)) { result = Transforms.eastNorthUpToFixedFrame(position, undefined, result); @@ -689,7 +689,7 @@ Entity.prototype.computeModelMatrix = function (time, result) { result = Matrix4.fromRotationTranslation( Matrix3.fromQuaternion(orientation, matrix3Scratch), position, - result + result, ); } return result; @@ -703,7 +703,7 @@ Entity.prototype.computeModelMatrixForHeightReference = function ( heightReferenceProperty, heightOffset, ellipsoid, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.object("time", time); @@ -711,12 +711,12 @@ Entity.prototype.computeModelMatrixForHeightReference = function ( const heightReference = Property.getValueOrDefault( heightReferenceProperty, time, - HeightReference.NONE + HeightReference.NONE, ); let position = Property.getValueOrUndefined( this._position, time, - positionScratch + positionScratch, ); if ( heightReference === HeightReference.NONE || @@ -737,7 +737,7 @@ Entity.prototype.computeModelMatrixForHeightReference = function ( const orientation = Property.getValueOrUndefined( this._orientation, time, - orientationScratch + orientationScratch, ); if (!defined(orientation)) { result = Transforms.eastNorthUpToFixedFrame(position, undefined, result); @@ -745,7 +745,7 @@ Entity.prototype.computeModelMatrixForHeightReference = function ( result = Matrix4.fromRotationTranslation( Matrix3.fromQuaternion(orientation, matrix3Scratch), position, - result + result, ); } return result; diff --git a/packages/engine/Source/DataSources/EntityCluster.js b/packages/engine/Source/DataSources/EntityCluster.js index 9b3d59c364e0..f4106792f289 100644 --- a/packages/engine/Source/DataSources/EntityCluster.js +++ b/packages/engine/Source/DataSources/EntityCluster.js @@ -115,7 +115,7 @@ function getBoundingBox(item, coord, pixelRange, entityCluster, result) { const labelBBox = Label.getScreenSpaceBoundingBox( label, coord, - labelBoundingBoxScratch + labelBoundingBoxScratch, ); expandBoundingBox(labelBBox, pixelRange); result = BoundingRectangle.union(result, labelBBox, result); @@ -152,7 +152,10 @@ function addCluster(position, numPoints, ids, entityCluster) { cluster.label.show = true; cluster.label.text = numPoints.toLocaleString(); cluster.label.id = ids; - cluster.billboard.position = cluster.label.position = cluster.point.position = position; + cluster.billboard.position = + cluster.label.position = + cluster.point.position = + position; entityCluster._clusterEvent.raiseEvent(ids, cluster); } @@ -170,7 +173,7 @@ function getScreenSpacePositions( points, scene, occluder, - entityCluster + entityCluster, ) { if (!defined(collection)) { return; @@ -248,27 +251,26 @@ function createDeclutterCallback(entityCluster) { if (defined(clusteredLabelCollection)) { clusteredLabelCollection.removeAll(); } else { - clusteredLabelCollection = entityCluster._clusterLabelCollection = new LabelCollection( - { + clusteredLabelCollection = entityCluster._clusterLabelCollection = + new LabelCollection({ scene: scene, - } - ); + }); } if (defined(clusteredBillboardCollection)) { clusteredBillboardCollection.removeAll(); } else { - clusteredBillboardCollection = entityCluster._clusterBillboardCollection = new BillboardCollection( - { + clusteredBillboardCollection = entityCluster._clusterBillboardCollection = + new BillboardCollection({ scene: scene, - } - ); + }); } if (defined(clusteredPointCollection)) { clusteredPointCollection.removeAll(); } else { - clusteredPointCollection = entityCluster._clusterPointCollection = new PointPrimitiveCollection(); + clusteredPointCollection = entityCluster._clusterPointCollection = + new PointPrimitiveCollection(); } const pixelRange = entityCluster._pixelRange; @@ -291,7 +293,7 @@ function createDeclutterCallback(entityCluster) { points, scene, occluder, - entityCluster + entityCluster, ); } if (entityCluster._clusterBillboards) { @@ -300,7 +302,7 @@ function createDeclutterCallback(entityCluster) { points, scene, occluder, - entityCluster + entityCluster, ); } if (entityCluster._clusterPoints) { @@ -309,7 +311,7 @@ function createDeclutterCallback(entityCluster) { points, scene, occluder, - entityCluster + entityCluster, ); } @@ -348,7 +350,7 @@ function createDeclutterCallback(entityCluster) { cluster.position, Cartesian3.ZERO, Cartesian2.ZERO, - scene + scene, ); if (!defined(coord)) { continue; @@ -412,18 +414,18 @@ function createDeclutterCallback(entityCluster) { point.coord, pixelRange, entityCluster, - pointBoundinRectangleScratch + pointBoundinRectangleScratch, ); const totalBBox = BoundingRectangle.clone( bbox, - totalBoundingRectangleScratch + totalBoundingRectangleScratch, ); neighbors = index.range( bbox.x, bbox.y, bbox.x + bbox.width, - bbox.y + bbox.height + bbox.y + bbox.height, ); neighborLength = neighbors.length; @@ -436,20 +438,20 @@ function createDeclutterCallback(entityCluster) { neighborPoint = points[neighborIndex]; if (!neighborPoint.clustered) { const neighborItem = neighborPoint.collection.get( - neighborPoint.index + neighborPoint.index, ); const neighborBBox = getBoundingBox( neighborItem, neighborPoint.coord, pixelRange, entityCluster, - neighborBoundingRectangleScratch + neighborBoundingRectangleScratch, ); Cartesian3.add( neighborItem.position, clusterPosition, - clusterPosition + clusterPosition, ); BoundingRectangle.union(totalBBox, neighborBBox, totalBBox); @@ -463,7 +465,7 @@ function createDeclutterCallback(entityCluster) { const position = Cartesian3.multiplyByScalar( clusterPosition, 1.0 / numPoints, - clusterPosition + clusterPosition, ); addCluster(position, numPoints, ids, entityCluster); newClusters.push({ @@ -614,7 +616,7 @@ function createGetEntity( collectionProperty, CollectionConstructor, unusedIndicesProperty, - entityIndexProperty + entityIndexProperty, ) { return function (entity) { let collection = this[collectionProperty]; @@ -689,7 +691,7 @@ EntityCluster.prototype.getLabel = createGetEntity( "_labelCollection", LabelCollection, "_unusedLabelIndices", - "labelIndex" + "labelIndex", ); /** @@ -735,7 +737,7 @@ EntityCluster.prototype.getBillboard = createGetEntity( "_billboardCollection", BillboardCollection, "_unusedBillboardIndices", - "billboardIndex" + "billboardIndex", ); /** @@ -781,7 +783,7 @@ EntityCluster.prototype.getPoint = createGetEntity( "_pointCollection", PointPrimitiveCollection, "_unusedPointIndices", - "pointIndex" + "pointIndex", ); /** diff --git a/packages/engine/Source/DataSources/EntityCollection.js b/packages/engine/Source/DataSources/EntityCollection.js index 67c125ff9911..48fffe489df3 100644 --- a/packages/engine/Source/DataSources/EntityCollection.js +++ b/packages/engine/Source/DataSources/EntityCollection.js @@ -37,7 +37,7 @@ function fireChangedEvent(collection) { collection, addedArray, removedArray, - changedArray + changedArray, ); } while (collection._refire); collection._firing = false; @@ -91,7 +91,7 @@ EntityCollection.prototype.resumeEvents = function () { //>>includeStart('debug', pragmas.debug); if (this._suspendCount === 0) { throw new DeveloperError( - "resumeEvents can not be called before suspendEvents." + "resumeEvents can not be called before suspendEvents.", ); } //>>includeEnd('debug'); @@ -193,7 +193,7 @@ Object.defineProperties(EntityCollection.prototype, { entity, "isShowing", entity.isShowing, - oldShow + oldShow, ); } } @@ -281,7 +281,7 @@ EntityCollection.prototype.add = function (entity) { const entities = this._entities; if (entities.contains(id)) { throw new DeveloperError( - `An entity with id ${id} already exists in this collection.` + `An entity with id ${id} already exists in this collection.`, ); } @@ -293,7 +293,7 @@ EntityCollection.prototype.add = function (entity) { } entity.definitionChanged.addEventListener( EntityCollection.prototype._onEntityDefinitionChanged, - this + this, ); fireChangedEvent(this); @@ -352,7 +352,7 @@ EntityCollection.prototype.removeById = function (id) { this._entities.remove(id); entity.definitionChanged.removeEventListener( EntityCollection.prototype._onEntityDefinitionChanged, - this + this, ); fireChangedEvent(this); @@ -379,7 +379,7 @@ EntityCollection.prototype.removeAll = function () { if (!defined(addedItem)) { existingItem.definitionChanged.removeEventListener( EntityCollection.prototype._onEntityDefinitionChanged, - this + this, ); removed.set(existingItemId, existingItem); } diff --git a/packages/engine/Source/DataSources/EntityView.js b/packages/engine/Source/DataSources/EntityView.js index 886602029b8e..eb4fd4c5c207 100644 --- a/packages/engine/Source/DataSources/EntityView.js +++ b/packages/engine/Source/DataSources/EntityView.js @@ -31,7 +31,7 @@ function updateTransform( saveCamera, positionProperty, time, - ellipsoid + ellipsoid, ) { const mode = that.scene.mode; let cartesian = positionProperty.getValue(time, that._lastCartesian); @@ -48,7 +48,7 @@ function updateTransform( JulianDate.addSeconds(time, 0.001, deltaTime); let deltaCartesian = positionProperty.getValue( deltaTime, - updateTransformCartesian3Scratch1 + updateTransformCartesian3Scratch1, ); // If no valid position at (time + 0.001), sample at (time - 0.001) and invert the vector @@ -56,7 +56,7 @@ function updateTransform( JulianDate.addSeconds(time, -0.001, deltaTime); deltaCartesian = positionProperty.getValue( deltaTime, - updateTransformCartesian3Scratch1 + updateTransformCartesian3Scratch1, ); invertVelocity = true; } @@ -64,50 +64,50 @@ function updateTransform( if (defined(deltaCartesian)) { let toInertial = Transforms.computeFixedToIcrfMatrix( time, - updateTransformMatrix3Scratch1 + updateTransformMatrix3Scratch1, ); let toInertialDelta = Transforms.computeFixedToIcrfMatrix( deltaTime, - updateTransformMatrix3Scratch2 + updateTransformMatrix3Scratch2, ); let toFixed; if (!defined(toInertial) || !defined(toInertialDelta)) { toFixed = Transforms.computeTemeToPseudoFixedMatrix( time, - updateTransformMatrix3Scratch3 + updateTransformMatrix3Scratch3, ); toInertial = Matrix3.transpose( toFixed, - updateTransformMatrix3Scratch1 + updateTransformMatrix3Scratch1, ); toInertialDelta = Transforms.computeTemeToPseudoFixedMatrix( deltaTime, - updateTransformMatrix3Scratch2 + updateTransformMatrix3Scratch2, ); Matrix3.transpose(toInertialDelta, toInertialDelta); } else { toFixed = Matrix3.transpose( toInertial, - updateTransformMatrix3Scratch3 + updateTransformMatrix3Scratch3, ); } const inertialCartesian = Matrix3.multiplyByVector( toInertial, cartesian, - updateTransformCartesian3Scratch5 + updateTransformCartesian3Scratch5, ); const inertialDeltaCartesian = Matrix3.multiplyByVector( toInertialDelta, deltaCartesian, - updateTransformCartesian3Scratch6 + updateTransformCartesian3Scratch6, ); Cartesian3.subtract( inertialCartesian, inertialDeltaCartesian, - updateTransformCartesian3Scratch4 + updateTransformCartesian3Scratch4, ); const inertialVelocity = Cartesian3.magnitude(updateTransformCartesian3Scratch4) * 1000.0; // meters/sec @@ -132,14 +132,14 @@ function updateTransform( // Z is North zBasis = Cartesian3.clone( Cartesian3.UNIT_Z, - updateTransformCartesian3Scratch3 + updateTransformCartesian3Scratch3, ); // Y is along the cross of z and x (right handed basis / in the direction of motion) yBasis = Cartesian3.cross( zBasis, xBasis, - updateTransformCartesian3Scratch1 + updateTransformCartesian3Scratch1, ); if (Cartesian3.magnitude(yBasis) > CesiumMath.EPSILON7) { Cartesian3.normalize(xBasis, xBasis); @@ -148,7 +148,7 @@ function updateTransform( zBasis = Cartesian3.cross( xBasis, yBasis, - updateTransformCartesian3Scratch3 + updateTransformCartesian3Scratch3, ); Cartesian3.normalize(zBasis, zBasis); @@ -158,7 +158,7 @@ function updateTransform( !Cartesian3.equalsEpsilon( cartesian, deltaCartesian, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ) ) { // Approximation of VVLH (Vehicle Velocity Local Horizontal) with the Z-axis flipped. @@ -172,7 +172,7 @@ function updateTransform( yBasis = Cartesian3.cross( zBasis, inertialDeltaCartesian, - updateTransformCartesian3Scratch3 + updateTransformCartesian3Scratch3, ); if (invertVelocity) { @@ -183,14 +183,14 @@ function updateTransform( !Cartesian3.equalsEpsilon( yBasis, Cartesian3.ZERO, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ) ) { // X is along the cross of y and z (right handed basis / in the direction of motion) xBasis = Cartesian3.cross( yBasis, zBasis, - updateTransformCartesian3Scratch1 + updateTransformCartesian3Scratch1, ); Matrix3.multiplyByVector(toFixed, xBasis, xBasis); @@ -218,11 +218,11 @@ function updateTransform( if (saveCamera) { position = Cartesian3.clone( camera.position, - updateTransformCartesian3Scratch4 + updateTransformCartesian3Scratch4, ); direction = Cartesian3.clone( camera.direction, - updateTransformCartesian3Scratch5 + updateTransformCartesian3Scratch5, ); up = Cartesian3.clone(camera.up, updateTransformCartesian3Scratch6); } @@ -391,7 +391,7 @@ EntityView.prototype.update = function (time, boundingSphere) { 1 / Math.max( 1, - Cartesian3.magnitude(position) / ellipsoid.maximumRadius + Cartesian3.magnitude(position) / ellipsoid.maximumRadius, ); scratchHeadingPitchRange.pitch *= factor; } @@ -420,7 +420,7 @@ EntityView.prototype.update = function (time, boundingSphere) { saveCamera, positionProperty, time, - ellipsoid + ellipsoid, ); }; export default EntityView; diff --git a/packages/engine/Source/DataSources/GeoJsonDataSource.js b/packages/engine/Source/DataSources/GeoJsonDataSource.js index ce8429d476ed..ddc47f936447 100644 --- a/packages/engine/Source/DataSources/GeoJsonDataSource.js +++ b/packages/engine/Source/DataSources/GeoJsonDataSource.js @@ -103,7 +103,7 @@ function createDescriptionCallback(describe, properties, nameProperty) { function defaultDescribeProperty(properties, nameProperty) { return new CallbackProperty( createDescriptionCallback(defaultDescribe, properties, nameProperty), - true + true, ); } @@ -236,7 +236,7 @@ function processFeatureCollection( featureCollection, notUsed, crsFunction, - options + options, ) { const features = featureCollection.features; for (let i = 0, len = features.length; i < len; i++) { @@ -249,7 +249,7 @@ function processGeometryCollection( geoJson, geometryCollection, crsFunction, - options + options, ) { const geometries = geometryCollection.geometries; for (let i = 0, len = geometries.length; i < len; i++) { @@ -288,13 +288,13 @@ function createPoint(dataSource, geoJson, crsFunction, coordinates, options) { canvasOrPromise = dataSource._pinBuilder.fromText( symbol.toUpperCase(), color, - size + size, ); } else { canvasOrPromise = dataSource._pinBuilder.fromMakiIconId( symbol, color, - size + size, ); } } else { @@ -312,7 +312,7 @@ function createPoint(dataSource, geoJson, crsFunction, coordinates, options) { const entity = createObject( geoJson, dataSource._entityCollection, - options.describe + options.describe, ); entity.billboard = billboard; entity.position = new ConstantPositionProperty(crsFunction(coordinates)); @@ -323,7 +323,7 @@ function createPoint(dataSource, geoJson, crsFunction, coordinates, options) { }) .catch(function () { billboard.image = new ConstantProperty( - dataSource._pinBuilder.fromColor(color, size) + dataSource._pinBuilder.fromColor(color, size), ); }); @@ -339,7 +339,7 @@ function processMultiPoint( geoJson, geometry, crsFunction, - options + options, ) { const coordinates = geometry.coordinates; for (let i = 0; i < coordinates.length; i++) { @@ -352,7 +352,7 @@ function createLineString( geoJson, crsFunction, coordinates, - options + options, ) { let material = options.strokeMaterialProperty; let widthProperty = options.strokeWidthProperty; @@ -384,7 +384,7 @@ function createLineString( const entity = createObject( geoJson, dataSource._entityCollection, - options.describe + options.describe, ); const polylineGraphics = new PolylineGraphics(); entity.polyline = polylineGraphics; @@ -393,7 +393,7 @@ function createLineString( polylineGraphics.material = material; polylineGraphics.width = widthProperty; polylineGraphics.positions = new ConstantProperty( - coordinatesArrayToCartesianArray(coordinates, crsFunction) + coordinatesArrayToCartesianArray(coordinates, crsFunction), ); polylineGraphics.arcType = ArcType.RHUMB; } @@ -403,14 +403,14 @@ function processLineString( geoJson, geometry, crsFunction, - options + options, ) { createLineString( dataSource, geoJson, crsFunction, geometry.coordinates, - options + options, ); } @@ -419,7 +419,7 @@ function processMultiLineString( geoJson, geometry, crsFunction, - options + options, ) { const lineStrings = geometry.coordinates; for (let i = 0; i < lineStrings.length; i++) { @@ -490,8 +490,8 @@ function createPolygon(dataSource, geoJson, crsFunction, coordinates, options) { for (let i = 1, len = coordinates.length; i < len; i++) { holes.push( new PolygonHierarchy( - coordinatesArrayToCartesianArray(coordinates[i], crsFunction) - ) + coordinatesArrayToCartesianArray(coordinates[i], crsFunction), + ), ); } @@ -499,8 +499,8 @@ function createPolygon(dataSource, geoJson, crsFunction, coordinates, options) { polygon.hierarchy = new ConstantProperty( new PolygonHierarchy( coordinatesArrayToCartesianArray(positions, crsFunction), - holes - ) + holes, + ), ); if (positions[0].length > 2) { polygon.perPositionHeight = new ConstantProperty(true); @@ -511,7 +511,7 @@ function createPolygon(dataSource, geoJson, crsFunction, coordinates, options) { const entity = createObject( geoJson, dataSource._entityCollection, - options.describe + options.describe, ); entity.polygon = polygon; } @@ -522,7 +522,7 @@ function processPolygon(dataSource, geoJson, geometry, crsFunction, options) { geoJson, crsFunction, geometry.coordinates, - options + options, ); } @@ -531,7 +531,7 @@ function processMultiPolygon( geoJson, geometry, crsFunction, - options + options, ) { const polygons = geometry.coordinates; for (let i = 0; i < polygons.length; i++) { @@ -947,13 +947,13 @@ function preload(that, data, options, clear) { markerSymbol: defaultValue(options.markerSymbol, defaultMarkerSymbol), markerColor: defaultValue(options.markerColor, defaultMarkerColor), strokeWidthProperty: new ConstantProperty( - defaultValue(options.strokeWidth, defaultStrokeWidth) + defaultValue(options.strokeWidth, defaultStrokeWidth), ), strokeMaterialProperty: new ColorMaterialProperty( - defaultValue(options.stroke, defaultStroke) + defaultValue(options.stroke, defaultStroke), ), fillMaterialProperty: new ColorMaterialProperty( - defaultValue(options.fill, defaultFill) + defaultValue(options.fill, defaultFill), ), clampToGround: defaultValue(options.clampToGround, defaultClampToGround), }; @@ -1021,7 +1021,7 @@ function load(that, geoJson, options, sourceUri, clear) { if (!defined(handler)) { throw new RuntimeError( - `Unable to resolve crs link: ${JSON.stringify(properties)}` + `Unable to resolve crs link: ${JSON.stringify(properties)}`, ); } diff --git a/packages/engine/Source/DataSources/GeometryUpdater.js b/packages/engine/Source/DataSources/GeometryUpdater.js index ebfeefac0768..3361f2835380 100644 --- a/packages/engine/Source/DataSources/GeometryUpdater.js +++ b/packages/engine/Source/DataSources/GeometryUpdater.js @@ -22,7 +22,7 @@ const defaultOutline = new ConstantProperty(false); const defaultOutlineColor = new ConstantProperty(Color.BLACK); const defaultShadows = new ConstantProperty(ShadowMode.DISABLED); const defaultDistanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition() + new DistanceDisplayCondition(), ); const defaultClassificationType = new ConstantProperty(ClassificationType.BOTH); @@ -70,9 +70,8 @@ function GeometryUpdater(options) { this._geometryPropertyName = geometryPropertyName; this._id = `${geometryPropertyName}-${entity.id}`; this._observedPropertyNames = options.observedPropertyNames; - this._supportsMaterialsforEntitiesOnTerrain = Entity.supportsMaterialsforEntitiesOnTerrain( - options.scene - ); + this._supportsMaterialsforEntitiesOnTerrain = + Entity.supportsMaterialsforEntitiesOnTerrain(options.scene); } Object.defineProperties(GeometryUpdater.prototype, { @@ -412,7 +411,7 @@ GeometryUpdater.prototype._onEntityPropertyChanged = function ( entity, propertyName, newValue, - oldValue + oldValue, ) { if (this._observedPropertyNames.indexOf(propertyName) === -1) { return; @@ -470,11 +469,11 @@ GeometryUpdater.prototype._onEntityPropertyChanged = function ( this._shadowsProperty = defaultValue(geometry.shadows, defaultShadows); this._distanceDisplayConditionProperty = defaultValue( geometry.distanceDisplayCondition, - defaultDistanceDisplayCondition + defaultDistanceDisplayCondition, ); this._classificationTypeProperty = defaultValue( geometry.classificationType, - defaultClassificationType + defaultClassificationType, ); this._fillEnabled = fillEnabled; @@ -522,7 +521,7 @@ GeometryUpdater.prototype._onEntityPropertyChanged = function ( */ GeometryUpdater.prototype.createDynamicUpdater = function ( primitives, - groundPrimitives + groundPrimitives, ) { //>>includeStart('debug', pragmas.debug); Check.defined("primitives", primitives); @@ -530,7 +529,7 @@ GeometryUpdater.prototype.createDynamicUpdater = function ( if (!this._dynamic) { throw new DeveloperError( - "This instance does not represent dynamic geometry." + "This instance does not represent dynamic geometry.", ); } //>>includeEnd('debug'); @@ -538,7 +537,7 @@ GeometryUpdater.prototype.createDynamicUpdater = function ( return new this.constructor.DynamicGeometryUpdater( this, primitives, - groundPrimitives + groundPrimitives, ); }; export default GeometryUpdater; diff --git a/packages/engine/Source/DataSources/GeometryUpdaterSet.js b/packages/engine/Source/DataSources/GeometryUpdaterSet.js index 6dd0ba4ec90e..cb36253b172b 100644 --- a/packages/engine/Source/DataSources/GeometryUpdaterSet.js +++ b/packages/engine/Source/DataSources/GeometryUpdaterSet.js @@ -52,7 +52,7 @@ function GeometryUpdaterSet(entity, scene) { this._removeEntitySubscription = entity.definitionChanged.addEventListener( GeometryUpdaterSet.prototype._onEntityPropertyChanged, - this + this, ); } @@ -60,7 +60,7 @@ GeometryUpdaterSet.prototype._onEntityPropertyChanged = function ( entity, propertyName, newValue, - oldValue + oldValue, ) { const updaters = this.updaters; for (let i = 0; i < updaters.length; i++) { @@ -68,7 +68,7 @@ GeometryUpdaterSet.prototype._onEntityPropertyChanged = function ( entity, propertyName, newValue, - oldValue + oldValue, ); } }; diff --git a/packages/engine/Source/DataSources/GeometryVisualizer.js b/packages/engine/Source/DataSources/GeometryVisualizer.js index e2d1ed306a0f..0c975a9f698e 100644 --- a/packages/engine/Source/DataSources/GeometryVisualizer.js +++ b/packages/engine/Source/DataSources/GeometryVisualizer.js @@ -35,7 +35,7 @@ function GeometryVisualizer( scene, entityCollection, primitives, - groundPrimitives + groundPrimitives, ) { //>>includeStart('debug', pragmas.debug); Check.defined("scene", scene); @@ -60,10 +60,10 @@ function GeometryVisualizer( this._openColorBatches = new Array(numberOfShadowModes * 2); this._openMaterialBatches = new Array(numberOfShadowModes * 2); - const supportsMaterialsforEntitiesOnTerrain = Entity.supportsMaterialsforEntitiesOnTerrain( - scene - ); - this._supportsMaterialsforEntitiesOnTerrain = supportsMaterialsforEntitiesOnTerrain; + const supportsMaterialsforEntitiesOnTerrain = + Entity.supportsMaterialsforEntitiesOnTerrain(scene); + this._supportsMaterialsforEntitiesOnTerrain = + supportsMaterialsforEntitiesOnTerrain; let i; for (i = 0; i < numberOfShadowModes; ++i) { @@ -71,11 +71,10 @@ function GeometryVisualizer( primitives, scene, i, - false + false, ); - this._outlineBatches[ - numberOfShadowModes + i - ] = new StaticOutlineGeometryBatch(primitives, scene, i, true); + this._outlineBatches[numberOfShadowModes + i] = + new StaticOutlineGeometryBatch(primitives, scene, i, true); this._closedColorBatches[i] = new StaticGeometryColorBatch( primitives, @@ -83,18 +82,17 @@ function GeometryVisualizer( undefined, true, i, - true - ); - this._closedColorBatches[ - numberOfShadowModes + i - ] = new StaticGeometryColorBatch( - primitives, - PerInstanceColorAppearance, - undefined, true, - i, - false ); + this._closedColorBatches[numberOfShadowModes + i] = + new StaticGeometryColorBatch( + primitives, + PerInstanceColorAppearance, + undefined, + true, + i, + false, + ); this._closedMaterialBatches[i] = new StaticGeometryPerMaterialBatch( primitives, @@ -102,18 +100,17 @@ function GeometryVisualizer( undefined, true, i, - true - ); - this._closedMaterialBatches[ - numberOfShadowModes + i - ] = new StaticGeometryPerMaterialBatch( - primitives, - MaterialAppearance, - undefined, true, - i, - false ); + this._closedMaterialBatches[numberOfShadowModes + i] = + new StaticGeometryPerMaterialBatch( + primitives, + MaterialAppearance, + undefined, + true, + i, + false, + ); this._openColorBatches[i] = new StaticGeometryColorBatch( primitives, @@ -121,18 +118,17 @@ function GeometryVisualizer( undefined, false, i, - true - ); - this._openColorBatches[ - numberOfShadowModes + i - ] = new StaticGeometryColorBatch( - primitives, - PerInstanceColorAppearance, - undefined, - false, - i, - false + true, ); + this._openColorBatches[numberOfShadowModes + i] = + new StaticGeometryColorBatch( + primitives, + PerInstanceColorAppearance, + undefined, + false, + i, + false, + ); this._openMaterialBatches[i] = new StaticGeometryPerMaterialBatch( primitives, @@ -140,18 +136,17 @@ function GeometryVisualizer( undefined, false, i, - true - ); - this._openMaterialBatches[ - numberOfShadowModes + i - ] = new StaticGeometryPerMaterialBatch( - primitives, - MaterialAppearance, - undefined, - false, - i, - false + true, ); + this._openMaterialBatches[numberOfShadowModes + i] = + new StaticGeometryPerMaterialBatch( + primitives, + MaterialAppearance, + undefined, + false, + i, + false, + ); } const numberOfClassificationTypes = @@ -164,19 +159,19 @@ function GeometryVisualizer( new StaticGroundGeometryPerMaterialBatch( groundPrimitives, i, - MaterialAppearance - ) + MaterialAppearance, + ), ); groundColorBatches[i] = new StaticGroundGeometryColorBatch( groundPrimitives, - i + i, ); } } else { for (i = 0; i < numberOfClassificationTypes; ++i) { groundColorBatches[i] = new StaticGroundGeometryColorBatch( groundPrimitives, - i + i, ); } } @@ -193,7 +188,7 @@ function GeometryVisualizer( this._openMaterialBatches, this._groundColorBatches, this._groundMaterialBatches, - this._dynamicBatch + this._dynamicBatch, ); this._subscriptions = new AssociativeArray(); @@ -202,12 +197,12 @@ function GeometryVisualizer( this._entityCollection = entityCollection; entityCollection.collectionChanged.addEventListener( GeometryVisualizer.prototype._onCollectionChanged, - this + this, ); this._onCollectionChanged( entityCollection, entityCollection.values, - emptyArray + emptyArray, ); } @@ -299,8 +294,8 @@ GeometryVisualizer.prototype.update = function (time) { id, updaterSet.geometryChanged.addEventListener( GeometryVisualizer._onGeometryChanged, - this - ) + this, + ), ); } @@ -358,7 +353,7 @@ GeometryVisualizer.prototype.getBoundingSphere = function (entity, result) { } else if (state === BoundingSphereState.DONE) { boundingSpheres[count] = BoundingSphere.clone( tmp, - boundingSpheres[count] + boundingSpheres[count], ); count++; } @@ -389,7 +384,7 @@ GeometryVisualizer.prototype.isDestroyed = function () { GeometryVisualizer.prototype.destroy = function () { this._entityCollection.collectionChanged.removeEventListener( GeometryVisualizer.prototype._onCollectionChanged, - this + this, ); this._addedObjects.removeAll(); this._removedObjects.removeAll(); @@ -434,7 +429,7 @@ GeometryVisualizer.prototype._removeUpdater = function (updater) { */ GeometryVisualizer.prototype._insertUpdaterIntoBatch = function ( time, - updater + updater, ) { if (updater.isDynamic) { this._dynamicBatch.add(time, updater); @@ -457,9 +452,8 @@ GeometryVisualizer.prototype._insertUpdaterIntoBatch = function ( if (updater.fillEnabled) { if (updater.onTerrain) { - const classificationType = updater.classificationTypeProperty.getValue( - time - ); + const classificationType = + updater.classificationTypeProperty.getValue(time); if (updater.fillMaterialProperty instanceof ColorMaterialProperty) { this._groundColorBatches[classificationType].add(time, updater); } else { @@ -471,7 +465,7 @@ GeometryVisualizer.prototype._insertUpdaterIntoBatch = function ( if (defined(updater.terrainOffsetProperty)) { this._closedColorBatches[numberOfShadowModes + shadows].add( time, - updater + updater, ); } else { this._closedColorBatches[shadows].add(time, updater); @@ -479,7 +473,7 @@ GeometryVisualizer.prototype._insertUpdaterIntoBatch = function ( } else if (defined(updater.terrainOffsetProperty)) { this._closedMaterialBatches[numberOfShadowModes + shadows].add( time, - updater + updater, ); } else { this._closedMaterialBatches[shadows].add(time, updater); @@ -488,7 +482,7 @@ GeometryVisualizer.prototype._insertUpdaterIntoBatch = function ( if (defined(updater.terrainOffsetProperty)) { this._openColorBatches[numberOfShadowModes + shadows].add( time, - updater + updater, ); } else { this._openColorBatches[shadows].add(time, updater); @@ -496,7 +490,7 @@ GeometryVisualizer.prototype._insertUpdaterIntoBatch = function ( } else if (defined(updater.terrainOffsetProperty)) { this._openMaterialBatches[numberOfShadowModes + shadows].add( time, - updater + updater, ); } else { this._openMaterialBatches[shadows].add(time, updater); @@ -525,7 +519,7 @@ GeometryVisualizer._onGeometryChanged = function (updater) { GeometryVisualizer.prototype._onCollectionChanged = function ( entityCollection, added, - removed + removed, ) { const addedObjects = this._addedObjects; const removedObjects = this._removedObjects; diff --git a/packages/engine/Source/DataSources/GpxDataSource.js b/packages/engine/Source/DataSources/GpxDataSource.js index 887af0f2c47d..8f17fb5e6a68 100644 --- a/packages/engine/Source/DataSources/GpxDataSource.js +++ b/packages/engine/Source/DataSources/GpxDataSource.js @@ -168,13 +168,13 @@ function createDefaultBillboard(image) { BILLBOARD_NEAR_DISTANCE, BILLBOARD_NEAR_RATIO, BILLBOARD_FAR_DISTANCE, - BILLBOARD_FAR_RATIO + BILLBOARD_FAR_RATIO, ); billboard.pixelOffsetScaleByDistance = new NearFarScalar( BILLBOARD_NEAR_DISTANCE, BILLBOARD_NEAR_RATIO, BILLBOARD_FAR_DISTANCE, - BILLBOARD_FAR_RATIO + BILLBOARD_FAR_RATIO, ); billboard.verticalOrigin = new ConstantProperty(VerticalOrigin.BOTTOM); billboard.image = image; @@ -248,7 +248,7 @@ function processDescription(node, entity) { const infoType = descriptiveInfoTypes[infoTypeName]; infoType.value = defaultValue( queryStringValue(node, infoType.tag, namespaces.gpx), - "" + "", ); if (defined(infoType.value) && infoType.value !== "") { text = `${text}

${infoType.text}: ${infoType.value}

`; @@ -299,7 +299,7 @@ function processWpt(dataSource, geometryNode, entityCollection, options) { : dataSource._pinBuilder.fromMakiIconId( "marker", Color.RED, - BILLBOARD_SIZE + BILLBOARD_SIZE, ); entity.billboard = createDefaultBillboard(image); @@ -364,7 +364,7 @@ function processTrk(dataSource, geometryNode, entityCollection, options) { : dataSource._pinBuilder.fromMakiIconId( "marker", Color.RED, - BILLBOARD_SIZE + BILLBOARD_SIZE, ); entity.billboard = createDefaultBillboard(image); entity.position = property; @@ -376,7 +376,7 @@ function processTrk(dataSource, geometryNode, entityCollection, options) { new TimeInterval({ start: times[0], stop: times[times.length - 1], - }) + }), ); } entity.polyline = createDefaultPolyline(options.trackColor); @@ -608,8 +608,8 @@ function loadGpx(dataSource, gpx, options) { clock.multiplier = Math.round( Math.min( Math.max(JulianDate.secondsDifference(stop, start) / 60, 1), - 3.15569e7 - ) + 3.15569e7, + ), ); } let changed = false; @@ -987,8 +987,8 @@ GpxDataSource.prototype.load = function (data, options) { clock.multiplier = Math.round( Math.min( Math.max(JulianDate.secondsDifference(stop, start) / 60, 1), - 3.15569e7 - ) + 3.15569e7, + ), ); } diff --git a/packages/engine/Source/DataSources/GridMaterialProperty.js b/packages/engine/Source/DataSources/GridMaterialProperty.js index 77ea417ec67c..46436b15a928 100644 --- a/packages/engine/Source/DataSources/GridMaterialProperty.js +++ b/packages/engine/Source/DataSources/GridMaterialProperty.js @@ -155,30 +155,30 @@ GridMaterialProperty.prototype.getValue = function (time, result) { this._color, time, defaultColor, - result.color + result.color, ); result.cellAlpha = Property.getValueOrDefault( this._cellAlpha, time, - defaultCellAlpha + defaultCellAlpha, ); result.lineCount = Property.getValueOrClonedDefault( this._lineCount, time, defaultLineCount, - result.lineCount + result.lineCount, ); result.lineThickness = Property.getValueOrClonedDefault( this._lineThickness, time, defaultLineThickness, - result.lineThickness + result.lineThickness, ); result.lineOffset = Property.getValueOrClonedDefault( this._lineOffset, time, defaultLineOffset, - result.lineOffset + result.lineOffset, ); return result; }; diff --git a/packages/engine/Source/DataSources/GroundGeometryUpdater.js b/packages/engine/Source/DataSources/GroundGeometryUpdater.js index 438b483dd0ae..1bbdc14788b2 100644 --- a/packages/engine/Source/DataSources/GroundGeometryUpdater.js +++ b/packages/engine/Source/DataSources/GroundGeometryUpdater.js @@ -87,14 +87,14 @@ GroundGeometryUpdater.prototype._onEntityPropertyChanged = function ( entity, propertyName, newValue, - oldValue + oldValue, ) { GeometryUpdater.prototype._onEntityPropertyChanged.call( this, entity, propertyName, newValue, - oldValue + oldValue, ); if (this._observedPropertyNames.indexOf(propertyName) === -1) { return; @@ -127,13 +127,13 @@ GroundGeometryUpdater.prototype._onEntityPropertyChanged = function ( ) { const centerPosition = new CallbackProperty( this._computeCenter.bind(this), - !this._dynamic + !this._dynamic, ); this._terrainOffsetProperty = new TerrainOffsetProperty( this._scene, centerPosition, heightReferenceProperty, - extrudedHeightReferenceProperty + extrudedHeightReferenceProperty, ); } }; @@ -178,7 +178,7 @@ GroundGeometryUpdater.getGeometryHeight = function (height, heightReference) { */ GroundGeometryUpdater.getGeometryExtrudedHeight = function ( extrudedHeight, - extrudedHeightReference + extrudedHeightReference, ) { //>>includeStart('debug', pragmas.debug); Check.defined("extrudedHeightReference", extrudedHeightReference); @@ -208,7 +208,7 @@ GroundGeometryUpdater.computeGeometryOffsetAttribute = function ( height, heightReference, extrudedHeight, - extrudedHeightReference + extrudedHeightReference, ) { if (!defined(height) || !defined(heightReference)) { heightReference = HeightReference.NONE; diff --git a/packages/engine/Source/DataSources/ImageMaterialProperty.js b/packages/engine/Source/DataSources/ImageMaterialProperty.js index 97f00224bc02..2e4122bc0f8f 100644 --- a/packages/engine/Source/DataSources/ImageMaterialProperty.js +++ b/packages/engine/Source/DataSources/ImageMaterialProperty.js @@ -138,13 +138,13 @@ ImageMaterialProperty.prototype.getValue = function (time, result) { this._repeat, time, defaultRepeat, - result.repeat + result.repeat, ); result.color = Property.getValueOrClonedDefault( this._color, time, defaultColor, - result.color + result.color, ); if (Property.getValueOrDefault(this._transparent, time, defaultTransparent)) { result.color.alpha = Math.min(0.99, result.color.alpha); diff --git a/packages/engine/Source/DataSources/KmlDataSource.js b/packages/engine/Source/DataSources/KmlDataSource.js index d800e7d9a64b..012387fdd356 100644 --- a/packages/engine/Source/DataSources/KmlDataSource.js +++ b/packages/engine/Source/DataSources/KmlDataSource.js @@ -319,7 +319,7 @@ function isZipFile(blob) { const reader = new FileReader(); reader.addEventListener("load", function () { deferred.resolve( - new DataView(reader.result).getUint32(0, false) === 0x504b0304 + new DataView(reader.result).getUint32(0, false) === 0x504b0304, ); }); reader.addEventListener("error", function () { @@ -391,24 +391,24 @@ function removeDuplicateNamespaces(text) { } function loadXmlFromZip(entry, uriResolver) { - return Promise.resolve(entry.getData(new zip.TextWriter())).then(function ( - text - ) { - text = insertNamespaces(text); - text = removeDuplicateNamespaces(text); - uriResolver.kml = parser.parseFromString(text, "application/xml"); - }); + return Promise.resolve(entry.getData(new zip.TextWriter())).then( + function (text) { + text = insertNamespaces(text); + text = removeDuplicateNamespaces(text); + uriResolver.kml = parser.parseFromString(text, "application/xml"); + }, + ); } function loadDataUriFromZip(entry, uriResolver) { const mimeType = defaultValue( MimeTypes.detectFromFilename(entry.filename), - "application/octet-stream" + "application/octet-stream", ); return Promise.resolve(entry.getData(new zip.Data64URIWriter(mimeType))).then( function (dataUri) { uriResolver[entry.filename] = dataUri; - } + }, ); } @@ -720,7 +720,7 @@ function queryColorValue(node, tagName, namespace) { } return parseColorString( value, - queryStringValue(node, "colorMode", namespace) === "random" + queryStringValue(node, "colorMode", namespace) === "random", ); } @@ -743,7 +743,7 @@ function processTimeStamp(featureNode) { new TimeInterval({ start: when, stop: Iso8601.MAXIMUM_VALUE, - }) + }), ); return result; } @@ -776,7 +776,7 @@ function processTimeSpan(featureNode) { new TimeInterval({ start: beginDate, stop: endDate, - }) + }), ); } else if (defined(beginDate)) { result = new TimeIntervalCollection(); @@ -784,7 +784,7 @@ function processTimeSpan(featureNode) { new TimeInterval({ start: beginDate, stop: Iso8601.MAXIMUM_VALUE, - }) + }), ); } else if (defined(endDate)) { result = new TimeIntervalCollection(); @@ -792,7 +792,7 @@ function processTimeSpan(featureNode) { new TimeInterval({ start: Iso8601.MINIMUM_VALUE, stop: endDate, - }) + }), ); } @@ -807,13 +807,13 @@ function createDefaultBillboard() { BILLBOARD_NEAR_DISTANCE, BILLBOARD_NEAR_RATIO, BILLBOARD_FAR_DISTANCE, - BILLBOARD_FAR_RATIO + BILLBOARD_FAR_RATIO, ); billboard.pixelOffsetScaleByDistance = new NearFarScalar( BILLBOARD_NEAR_DISTANCE, BILLBOARD_NEAR_RATIO, BILLBOARD_FAR_DISTANCE, - BILLBOARD_FAR_RATIO + BILLBOARD_FAR_RATIO, ); return billboard; } @@ -840,7 +840,7 @@ function getIconHref( dataSource, sourceResource, uriResolver, - canRefresh + canRefresh, ) { let href = queryStringValue(iconNode, "href", namespaces.kml); if (!defined(href) || href.length === 0) { @@ -866,28 +866,28 @@ function getIconHref( const refreshMode = queryStringValue( iconNode, "refreshMode", - namespaces.kml + namespaces.kml, ); const viewRefreshMode = queryStringValue( iconNode, "viewRefreshMode", - namespaces.kml + namespaces.kml, ); if (refreshMode === "onInterval" || refreshMode === "onExpire") { oneTimeWarning( `kml-refreshMode-${refreshMode}`, - `KML - Unsupported Icon refreshMode: ${refreshMode}` + `KML - Unsupported Icon refreshMode: ${refreshMode}`, ); } else if (viewRefreshMode === "onStop" || viewRefreshMode === "onRegion") { oneTimeWarning( `kml-refreshMode-${viewRefreshMode}`, - `KML - Unsupported Icon viewRefreshMode: ${viewRefreshMode}` + `KML - Unsupported Icon viewRefreshMode: ${viewRefreshMode}`, ); } const viewBoundScale = defaultValue( queryStringValue(iconNode, "viewBoundScale", namespaces.kml), - 1.0 + 1.0, ); const defaultViewFormat = viewRefreshMode === "onStop" @@ -895,7 +895,7 @@ function getIconHref( : ""; const viewFormat = defaultValue( queryStringValue(iconNode, "viewFormat", namespaces.kml), - defaultViewFormat + defaultViewFormat, ); const httpQuery = queryStringValue(iconNode, "httpQuery", namespaces.kml); if (defined(viewFormat)) { @@ -912,7 +912,7 @@ function getIconHref( dataSource.canvas, viewBoundScale, dataSource._lastCameraView.bbox, - ellipsoid + ellipsoid, ); return hrefResource; @@ -926,7 +926,7 @@ function processBillboardIcon( node, targetEntity, sourceResource, - uriResolver + uriResolver, ) { let scale = queryNumericValue(node, "scale", namespaces.kml); const heading = queryNumericValue(node, "heading", namespaces.kml); @@ -938,7 +938,7 @@ function processBillboardIcon( dataSource, sourceResource, uriResolver, - false + false, ); // If icon tags are present but blank, we do not want to show an icon @@ -1019,7 +1019,7 @@ function applyStyle( styleNode, targetEntity, sourceResource, - uriResolver + uriResolver, ) { for (let i = 0, len = styleNode.childNodes.length; i < len; i++) { const node = styleNode.childNodes.item(i); @@ -1029,7 +1029,7 @@ function applyStyle( node, targetEntity, sourceResource, - uriResolver + uriResolver, ); } else if (node.localName === "LabelStyle") { let label = targetEntity.label; @@ -1039,11 +1039,11 @@ function applyStyle( } label.scale = defaultValue( queryNumericValue(node, "scale", namespaces.kml), - label.scale + label.scale, ); label.fillColor = defaultValue( queryColorValue(node, "color", namespaces.kml), - label.fillColor + label.fillColor, ); label.text = targetEntity.name; } else if (node.localName === "LineStyle") { @@ -1057,25 +1057,25 @@ function applyStyle( if (defined(queryColorValue(node, "outerColor", namespaces.gx))) { oneTimeWarning( "kml-gx:outerColor", - "KML - gx:outerColor is not supported in a LineStyle" + "KML - gx:outerColor is not supported in a LineStyle", ); } if (defined(queryNumericValue(node, "outerWidth", namespaces.gx))) { oneTimeWarning( "kml-gx:outerWidth", - "KML - gx:outerWidth is not supported in a LineStyle" + "KML - gx:outerWidth is not supported in a LineStyle", ); } if (defined(queryNumericValue(node, "physicalWidth", namespaces.gx))) { oneTimeWarning( "kml-gx:physicalWidth", - "KML - gx:physicalWidth is not supported in a LineStyle" + "KML - gx:physicalWidth is not supported in a LineStyle", ); } if (defined(queryBooleanValue(node, "labelVisibility", namespaces.gx))) { oneTimeWarning( "kml-gx:labelVisibility", - "KML - gx:labelVisibility is not supported in a LineStyle" + "KML - gx:labelVisibility is not supported in a LineStyle", ); } } else if (node.localName === "PolyStyle") { @@ -1086,24 +1086,24 @@ function applyStyle( } polygon.material = defaultValue( queryColorValue(node, "color", namespaces.kml), - polygon.material + polygon.material, ); polygon.fill = defaultValue( queryBooleanValue(node, "fill", namespaces.kml), - polygon.fill + polygon.fill, ); polygon.outline = defaultValue( queryBooleanValue(node, "outline", namespaces.kml), - polygon.outline + polygon.outline, ); } else if (node.localName === "BalloonStyle") { const bgColor = defaultValue( parseColorString(queryStringValue(node, "bgColor", namespaces.kml)), - Color.WHITE + Color.WHITE, ); const textColor = defaultValue( parseColorString(queryStringValue(node, "textColor", namespaces.kml)), - Color.BLACK + Color.BLACK, ); const text = queryStringValue(node, "text", namespaces.kml); @@ -1119,12 +1119,12 @@ function applyStyle( const listItemType = queryStringValue( node, "listItemType", - namespaces.kml + namespaces.kml, ); if (listItemType === "radioFolder" || listItemType === "checkOffOnly") { oneTimeWarning( `kml-listStyle-${listItemType}`, - `KML - Unsupported ListStyle with listItemType: ${listItemType}` + `KML - Unsupported ListStyle with listItemType: ${listItemType}`, ); } } @@ -1137,7 +1137,7 @@ function computeFinalStyle( placeMark, styleCollection, sourceResource, - uriResolver + uriResolver, ) { const result = new Entity(); let styleEntity; @@ -1161,7 +1161,7 @@ function computeFinalStyle( inlineStyleNode, result, sourceResource, - uriResolver + uriResolver, ); } else { // StyleMap @@ -1186,7 +1186,7 @@ function computeFinalStyle( } else { oneTimeWarning( `kml-styleMap-${key}`, - `KML - Unsupported StyleMap key: ${key}` + `KML - Unsupported StyleMap key: ${key}`, ); } } @@ -1236,7 +1236,7 @@ function processStyles( styleCollection, sourceResource, isExternal, - uriResolver + uriResolver, ) { let i; let id; @@ -1264,7 +1264,7 @@ function processStyles( node, styleEntity, sourceResource, - uriResolver + uriResolver, ); } } @@ -1311,14 +1311,14 @@ function processStyles( node, styleEntity, sourceResource, - uriResolver + uriResolver, ); } } } else { oneTimeWarning( `kml-styleMap-${key}`, - `KML - Unsupported StyleMap key: ${key}` + `KML - Unsupported StyleMap key: ${key}`, ); } } @@ -1344,7 +1344,7 @@ function processStyles( }); promises.push( - processExternalStyles(dataSource, resource, styleCollection) + processExternalStyles(dataSource, resource, styleCollection), ); } } @@ -1386,7 +1386,7 @@ function heightReferenceFromAltitudeMode(altitudeMode, gxAltitudeMode) { if (gxAltitudeMode === "clampToSeaFloor") { oneTimeWarning( "kml-gx:altitudeMode-clampToSeaFloor", - "KML - :clampToSeaFloor is currently not supported, using :clampToGround." + "KML - :clampToSeaFloor is currently not supported, using :clampToGround.", ); return HeightReference.CLAMP_TO_GROUND; } @@ -1394,7 +1394,7 @@ function heightReferenceFromAltitudeMode(altitudeMode, gxAltitudeMode) { if (gxAltitudeMode === "relativeToSeaFloor") { oneTimeWarning( "kml-gx:altitudeMode-relativeToSeaFloor", - "KML - :relativeToSeaFloor is currently not supported, using :relativeToGround." + "KML - :relativeToSeaFloor is currently not supported, using :relativeToGround.", ); return HeightReference.RELATIVE_TO_GROUND; } @@ -1402,12 +1402,12 @@ function heightReferenceFromAltitudeMode(altitudeMode, gxAltitudeMode) { if (defined(altitudeMode)) { oneTimeWarning( "kml-altitudeMode-unknown", - `KML - Unknown :${altitudeMode}, using :CLAMP_TO_GROUND.` + `KML - Unknown :${altitudeMode}, using :CLAMP_TO_GROUND.`, ); } else { oneTimeWarning( "kml-gx:altitudeMode-unknown", - `KML - Unknown :${gxAltitudeMode}, using :CLAMP_TO_GROUND.` + `KML - Unknown :${gxAltitudeMode}, using :CLAMP_TO_GROUND.`, ); } @@ -1418,7 +1418,7 @@ function heightReferenceFromAltitudeMode(altitudeMode, gxAltitudeMode) { function createPositionPropertyFromAltitudeMode( property, altitudeMode, - gxAltitudeMode + gxAltitudeMode, ) { if ( gxAltitudeMode === "relativeToSeaFloor" || @@ -1437,8 +1437,8 @@ function createPositionPropertyFromAltitudeMode( "kml-altitudeMode-unknown", `KML - Unknown altitudeMode: ${defaultValue( altitudeMode, - gxAltitudeMode - )}` + gxAltitudeMode, + )}`, ); } @@ -1450,7 +1450,7 @@ function createPositionPropertyArrayFromAltitudeMode( properties, altitudeMode, gxAltitudeMode, - ellipsoid + ellipsoid, ) { if (!defined(properties)) { return undefined; @@ -1473,8 +1473,8 @@ function createPositionPropertyArrayFromAltitudeMode( "kml-altitudeMode-unknown", `KML - Unknown altitudeMode: ${defaultValue( altitudeMode, - gxAltitudeMode - )}` + gxAltitudeMode, + )}`, ); } @@ -1491,7 +1491,7 @@ function processPositionGraphics( dataSource, entity, styleEntity, - heightReference + heightReference, ) { let label = entity.label; if (!defined(label)) { @@ -1558,22 +1558,22 @@ function processPoint( entityCollection, geometryNode, entity, - styleEntity + styleEntity, ) { const coordinatesString = queryStringValue( geometryNode, "coordinates", - namespaces.kml + namespaces.kml, ); const altitudeMode = queryStringValue( geometryNode, "altitudeMode", - namespaces.kml + namespaces.kml, ); const gxAltitudeMode = queryStringValue( geometryNode, "altitudeMode", - namespaces.gx + namespaces.gx, ); const extrude = queryBooleanValue(geometryNode, "extrude", namespaces.kml); const ellipsoid = dataSource._ellipsoid; @@ -1584,7 +1584,7 @@ function processPoint( dataSource, entity, styleEntity, - heightReferenceFromAltitudeMode(altitudeMode, gxAltitudeMode) + heightReferenceFromAltitudeMode(altitudeMode, gxAltitudeMode), ); if (extrude && isExtrudable(altitudeMode, gxAltitudeMode)) { @@ -1599,28 +1599,28 @@ function processLineStringOrLinearRing( entityCollection, geometryNode, entity, - styleEntity + styleEntity, ) { const coordinatesNode = queryFirstNode( geometryNode, "coordinates", - namespaces.kml + namespaces.kml, ); const altitudeMode = queryStringValue( geometryNode, "altitudeMode", - namespaces.kml + namespaces.kml, ); const gxAltitudeMode = queryStringValue( geometryNode, "altitudeMode", - namespaces.gx + namespaces.gx, ); const extrude = queryBooleanValue(geometryNode, "extrude", namespaces.kml); const tessellate = queryBooleanValue( geometryNode, "tessellate", - namespaces.kml + namespaces.kml, ); const canExtrude = isExtrudable(altitudeMode, gxAltitudeMode); const zIndex = queryNumericValue(geometryNode, "drawOrder", namespaces.gx); @@ -1670,13 +1670,13 @@ function processLineStringOrLinearRing( if (defined(zIndex)) { oneTimeWarning( "kml-gx:drawOrder", - "KML - gx:drawOrder is not supported in LineStrings when clampToGround is false" + "KML - gx:drawOrder is not supported in LineStrings when clampToGround is false", ); } if (dataSource._clampToGround && !tessellate) { oneTimeWarning( "kml-line-tesselate", - "Ignoring clampToGround for KML lines without the tessellate flag." + "Ignoring clampToGround for KML lines without the tessellate flag.", ); } @@ -1686,7 +1686,7 @@ function processLineStringOrLinearRing( coordinates, altitudeMode, gxAltitudeMode, - ellipsoid + ellipsoid, ); if (!tessellate || canExtrude) { polyline.arcType = ArcType.NONE; @@ -1701,22 +1701,22 @@ function processPolygon( entityCollection, geometryNode, entity, - styleEntity + styleEntity, ) { const outerBoundaryIsNode = queryFirstNode( geometryNode, "outerBoundaryIs", - namespaces.kml + namespaces.kml, ); let linearRingNode = queryFirstNode( outerBoundaryIsNode, "LinearRing", - namespaces.kml + namespaces.kml, ); let coordinatesNode = queryFirstNode( linearRingNode, "coordinates", - namespaces.kml + namespaces.kml, ); const ellipsoid = dataSource._ellipsoid; let coordinates = readCoordinates(coordinatesNode, ellipsoid); @@ -1724,12 +1724,12 @@ function processPolygon( const altitudeMode = queryStringValue( geometryNode, "altitudeMode", - namespaces.kml + namespaces.kml, ); const gxAltitudeMode = queryStringValue( geometryNode, "altitudeMode", - namespaces.gx + namespaces.gx, ); const canExtrude = isExtrudable(altitudeMode, gxAltitudeMode); @@ -1758,19 +1758,19 @@ function processPolygon( const innerBoundaryIsNodes = queryChildNodes( geometryNode, "innerBoundaryIs", - namespaces.kml + namespaces.kml, ); for (let j = 0; j < innerBoundaryIsNodes.length; j++) { linearRingNode = queryChildNodes( innerBoundaryIsNodes[j], "LinearRing", - namespaces.kml + namespaces.kml, ); for (let k = 0; k < linearRingNode.length; k++) { coordinatesNode = queryFirstNode( linearRingNode[k], "coordinates", - namespaces.kml + namespaces.kml, ); coordinates = readCoordinates(coordinatesNode, ellipsoid); if (defined(coordinates)) { @@ -1789,17 +1789,17 @@ function processTrack( entityCollection, geometryNode, entity, - styleEntity + styleEntity, ) { const altitudeMode = queryStringValue( geometryNode, "altitudeMode", - namespaces.kml + namespaces.kml, ); const gxAltitudeMode = queryStringValue( geometryNode, "altitudeMode", - namespaces.gx + namespaces.gx, ); const coordNodes = queryChildNodes(geometryNode, "coord", namespaces.gx); const angleNodes = queryChildNodes(geometryNode, "angles", namespaces.gx); @@ -1811,7 +1811,7 @@ function processTrack( if (angleNodes.length > 0) { oneTimeWarning( "kml-gx:angles", - "KML - gx:angles are not supported in gx:Tracks" + "KML - gx:angles are not supported in gx:Tracks", ); } @@ -1830,7 +1830,7 @@ function processTrack( dataSource, entity, styleEntity, - heightReferenceFromAltitudeMode(altitudeMode, gxAltitudeMode) + heightReferenceFromAltitudeMode(altitudeMode, gxAltitudeMode), ); processPathGraphics(entity, styleEntity); @@ -1841,7 +1841,7 @@ function processTrack( new TimeInterval({ start: times[0], stop: times[times.length - 1], - }) + }), ); } @@ -1861,7 +1861,7 @@ function addToMultiTrack( extrude, altitudeMode, gxAltitudeMode, - includeEndPoints + includeEndPoints, ) { const start = times[0]; const stop = times[times.length - 1]; @@ -1878,9 +1878,9 @@ function addToMultiTrack( data: createPositionPropertyFromAltitudeMode( data, altitudeMode, - gxAltitudeMode + gxAltitudeMode, ), - }) + }), ); availability.addInterval( new TimeInterval({ @@ -1888,7 +1888,7 @@ function addToMultiTrack( stop: stop, isStartIncluded: includeEndPoints, isStopIncluded: includeEndPoints, - }) + }), ); dropShowProperty.intervals.addInterval( new TimeInterval({ @@ -1897,7 +1897,7 @@ function addToMultiTrack( isStartIncluded: includeEndPoints, isStopIncluded: includeEndPoints, data: extrude, - }) + }), ); } @@ -1906,7 +1906,7 @@ function processMultiTrack( entityCollection, geometryNode, entity, - styleEntity + styleEntity, ) { // Multitrack options do not work in GE as detailed in the spec, // rather than altitudeMode being at the MultiTrack level, @@ -1915,7 +1915,7 @@ function processMultiTrack( const interpolate = queryBooleanValue( geometryNode, "interpolate", - namespaces.gx + namespaces.gx, ); const trackNodes = queryChildNodes(geometryNode, "Track", namespaces.gx); @@ -1934,12 +1934,12 @@ function processMultiTrack( const altitudeMode = queryStringValue( trackNode, "altitudeMode", - namespaces.kml + namespaces.kml, ); const gxAltitudeMode = queryStringValue( trackNode, "altitudeMode", - namespaces.gx + namespaces.gx, ); const canExtrude = isExtrudable(altitudeMode, gxAltitudeMode); const extrude = queryBooleanValue(trackNode, "extrude", namespaces.kml); @@ -1969,7 +1969,7 @@ function processMultiTrack( false, "absolute", undefined, - false + false, ); } lastStop = times[length - 1]; @@ -1985,7 +1985,7 @@ function processMultiTrack( canExtrude && extrude, altitudeMode, gxAltitudeMode, - true + true, ); needDropLine = needDropLine || (canExtrude && extrude); } @@ -2019,7 +2019,7 @@ function processMultiGeometry( geometryNode, entity, styleEntity, - context + context, ) { const childNodes = geometryNode.childNodes; let hasGeometry = false; @@ -2039,7 +2039,7 @@ function processMultiGeometry( entityCollection, childNode, childEntity, - styleEntity + styleEntity, ) ) { hasGeometry = true; @@ -2055,11 +2055,11 @@ function processUnsupportedGeometry( entityCollection, geometryNode, entity, - styleEntity + styleEntity, ) { oneTimeWarning( "kml-unsupportedGeometry", - `KML - Unsupported geometry: ${geometryNode.localName}` + `KML - Unsupported geometry: ${geometryNode.localName}`, ); return false; } @@ -2077,7 +2077,7 @@ function processExtendedData(node, entity) { if (defined(queryStringAttribute(extendedDataNode, "xmlns:prefix"))) { oneTimeWarning( "kml-extendedData", - "KML - ExtendedData with xmlns:prefix is unsupported" + "KML - ExtendedData with xmlns:prefix is unsupported", ); } @@ -2093,7 +2093,7 @@ function processExtendedData(node, entity) { displayName: queryStringValue( dataNode, "displayName", - namespaces.kml + namespaces.kml, ), value: queryStringValue(dataNode, "value", namespaces.kml), }; @@ -2113,7 +2113,7 @@ function processDescription( entity, styleEntity, uriResolver, - sourceResource + sourceResource, ) { let i; let key; @@ -2125,7 +2125,7 @@ function processDescription( const balloonStyle = defaultValue( entity.balloonStyle, - styleEntity.balloonStyle + styleEntity.balloonStyle, ); let background = Color.WHITE; @@ -2181,7 +2181,7 @@ function processDescription( value = extendedData[key]; text += `${defaultValue( value.displayName, - key + key, )}${defaultValue(value.value, "")}`; } text += ""; @@ -2258,7 +2258,7 @@ function processFeature(dataSource, featureNode, processingData) { const entity = createEntity( featureNode, entityCollection, - processingData.context + processingData.context, ); const kmlData = entity.kml; const styleEntity = computeFinalStyle( @@ -2266,7 +2266,7 @@ function processFeature(dataSource, featureNode, processingData) { featureNode, processingData.styleCollection, sourceResource, - uriResolver + uriResolver, ); const name = queryStringValue(featureNode, "name", namespaces.kml); @@ -2292,7 +2292,7 @@ function processFeature(dataSource, featureNode, processingData) { const visibility = queryBooleanValue( featureNode, "visibility", - namespaces.kml + namespaces.kml, ); entity.show = ancestryIsVisible(parent) && defaultValue(visibility, true); //const open = queryBooleanValue(featureNode, 'open', namespaces.kml); @@ -2316,7 +2316,7 @@ function processFeature(dataSource, featureNode, processingData) { kmlData.phoneNumber = queryStringValue( featureNode, "phoneNumber", - namespaces.kml + namespaces.kml, ); kmlData.snippet = queryStringValue(featureNode, "Snippet", namespaces.kml); @@ -2326,7 +2326,7 @@ function processFeature(dataSource, featureNode, processingData) { entity, styleEntity, uriResolver, - sourceResource + sourceResource, ); const ellipsoid = dataSource._ellipsoid; @@ -2359,7 +2359,7 @@ function processPlacemark( dataSource, placemark, processingData, - deferredLoading + deferredLoading, ) { const r = processFeature(dataSource, placemark, processingData); const entity = r.entity; @@ -2379,7 +2379,7 @@ function processPlacemark( childNode, entity, styleEntity, - entity.id + entity.id, ); hasGeometry = true; } @@ -2417,7 +2417,7 @@ function processTour(dataSource, node, processingData, deferredLoading) { playlistNodeProcessor(tour, entryNode, ellipsoid); } else { console.log( - `Unknown KML Tour playlist entry type ${entryNode.localName}` + `Unknown KML Tour playlist entry type ${entryNode.localName}`, ); } } @@ -2456,28 +2456,28 @@ function processCamera(featureNode, entity, ellipsoid) { if (defined(camera)) { const lon = defaultValue( queryNumericValue(camera, "longitude", namespaces.kml), - 0.0 + 0.0, ); const lat = defaultValue( queryNumericValue(camera, "latitude", namespaces.kml), - 0.0 + 0.0, ); const altitude = defaultValue( queryNumericValue(camera, "altitude", namespaces.kml), - 0.0 + 0.0, ); const heading = defaultValue( queryNumericValue(camera, "heading", namespaces.kml), - 0.0 + 0.0, ); const tilt = defaultValue( queryNumericValue(camera, "tilt", namespaces.kml), - 0.0 + 0.0, ); const roll = defaultValue( queryNumericValue(camera, "roll", namespaces.kml), - 0.0 + 0.0, ); const position = Cartesian3.fromDegrees(lon, lat, altitude, ellipsoid); @@ -2492,21 +2492,21 @@ function processLookAt(featureNode, entity, ellipsoid) { if (defined(lookAt)) { const lon = defaultValue( queryNumericValue(lookAt, "longitude", namespaces.kml), - 0.0 + 0.0, ); const lat = defaultValue( queryNumericValue(lookAt, "latitude", namespaces.kml), - 0.0 + 0.0, ); const altitude = defaultValue( queryNumericValue(lookAt, "altitude", namespaces.kml), - 0.0 + 0.0, ); let heading = queryNumericValue(lookAt, "heading", namespaces.kml); let tilt = queryNumericValue(lookAt, "tilt", namespaces.kml); const range = defaultValue( queryNumericValue(lookAt, "range", namespaces.kml), - 0.0 + 0.0, ); tilt = CesiumMath.toRadians(defaultValue(tilt, 0.0)); @@ -2515,7 +2515,7 @@ function processLookAt(featureNode, entity, ellipsoid) { const hpr = new HeadingPitchRange( heading, tilt - CesiumMath.PI_OVER_TWO, - range + range, ); const viewPoint = Cartesian3.fromDegrees(lon, lat, altitude, ellipsoid); @@ -2527,7 +2527,7 @@ function processScreenOverlay( dataSource, screenOverlayNode, processingData, - deferredLoading + deferredLoading, ) { const screenOverlay = processingData.screenOverlayContainer; if (!defined(screenOverlay)) { @@ -2543,7 +2543,7 @@ function processScreenOverlay( dataSource, sourceResource, uriResolver, - false + false, ); if (!defined(icon)) { @@ -2560,12 +2560,12 @@ function processScreenOverlay( const screenXY = queryFirstNode( screenOverlayNode, "screenXY", - namespaces.kml + namespaces.kml, ); const overlayXY = queryFirstNode( screenOverlayNode, "overlayXY", - namespaces.kml + namespaces.kml, ); const size = queryFirstNode(screenOverlayNode, "size", namespaces.kml); @@ -2642,7 +2642,7 @@ function processScreenOverlay( if (defined(x)) { if (xUnit === "fraction") { xStyle = `${"left: " + "calc("}${Math.floor( - x * 100 + x * 100, )}% - ${xOrigin}px)`; } else if (xUnit === "pixels") { xStyle = `left: ${x - xOrigin}px`; @@ -2656,7 +2656,7 @@ function processScreenOverlay( if (defined(y)) { if (yUnit === "fraction") { yStyle = `${"bottom: " + "calc("}${Math.floor( - y * 100 + y * 100, )}% - ${yOrigin}px)`; } else if (yUnit === "pixels") { yStyle = `bottom: ${y - yOrigin}px`; @@ -2678,7 +2678,7 @@ function processGroundOverlay( dataSource, groundOverlay, processingData, - deferredLoading + deferredLoading, ) { const r = processFeature(dataSource, groundOverlay, processingData); const entity = r.entity; @@ -2689,7 +2689,7 @@ function processGroundOverlay( const ellipsoid = dataSource._ellipsoid; const positions = readCoordinates( queryFirstNode(groundOverlay, "LatLonQuad", namespaces.gx), - ellipsoid + ellipsoid, ); const zIndex = queryNumericValue(groundOverlay, "drawOrder", namespaces.kml); if (defined(positions)) { @@ -2706,7 +2706,7 @@ function processGroundOverlay( const latLonBox = queryFirstNode( groundOverlay, "LatLonBox", - namespaces.kml + namespaces.kml, ); if (defined(latLonBox)) { let west = queryNumericValue(latLonBox, "west", namespaces.kml); @@ -2743,13 +2743,13 @@ function processGroundOverlay( dataSource, processingData.sourceResource, processingData.uriResolver, - true + true, ); if (defined(href)) { if (isLatLonQuad) { oneTimeWarning( "kml-gx:LatLonQuad", - "KML - gx:LatLonQuad Icon does not support texture projection." + "KML - gx:LatLonQuad Icon does not support texture projection.", ); } const x = queryNumericValue(iconNode, "x", namespaces.gx); @@ -2760,7 +2760,7 @@ function processGroundOverlay( if (defined(x) || defined(y) || defined(w) || defined(h)) { oneTimeWarning( "kml-groundOverlay-xywh", - "KML - gx:x, gx:y, gx:w, gx:h aren't supported for GroundOverlays" + "KML - gx:x, gx:y, gx:w, gx:h aren't supported for GroundOverlays", ); } @@ -2768,7 +2768,7 @@ function processGroundOverlay( geometry.material.color = queryColorValue( groundOverlay, "color", - namespaces.kml + namespaces.kml, ); geometry.material.transparent = true; } else { @@ -2778,7 +2778,7 @@ function processGroundOverlay( let altitudeMode = queryStringValue( groundOverlay, "altitudeMode", - namespaces.kml + namespaces.kml, ); if (defined(altitudeMode)) { @@ -2787,13 +2787,13 @@ function processGroundOverlay( geometry.height = queryNumericValue( groundOverlay, "altitude", - namespaces.kml + namespaces.kml, ); geometry.zIndex = undefined; } else if (altitudeMode !== "clampToGround") { oneTimeWarning( "kml-altitudeMode-unknown", - `KML - Unknown altitudeMode: ${altitudeMode}` + `KML - Unknown altitudeMode: ${altitudeMode}`, ); } // else just use the default of 0 until we support 'clampToGround' @@ -2801,28 +2801,28 @@ function processGroundOverlay( altitudeMode = queryStringValue( groundOverlay, "altitudeMode", - namespaces.gx + namespaces.gx, ); if (altitudeMode === "relativeToSeaFloor") { oneTimeWarning( "kml-altitudeMode-relativeToSeaFloor", - "KML - altitudeMode relativeToSeaFloor is currently not supported, treating as absolute." + "KML - altitudeMode relativeToSeaFloor is currently not supported, treating as absolute.", ); geometry.height = queryNumericValue( groundOverlay, "altitude", - namespaces.kml + namespaces.kml, ); geometry.zIndex = undefined; } else if (altitudeMode === "clampToSeaFloor") { oneTimeWarning( "kml-altitudeMode-clampToSeaFloor", - "KML - altitudeMode clampToSeaFloor is currently not supported, treating as clampToGround." + "KML - altitudeMode clampToSeaFloor is currently not supported, treating as clampToGround.", ); } else if (defined(altitudeMode)) { oneTimeWarning( "kml-altitudeMode-unknown", - `KML - Unknown altitudeMode: ${altitudeMode}` + `KML - Unknown altitudeMode: ${altitudeMode}`, ); } } @@ -2832,7 +2832,7 @@ function processUnsupportedFeature( dataSource, node, processingData, - deferredLoading + deferredLoading, ) { dataSource._unsupportedNode.raiseEvent( dataSource, @@ -2841,11 +2841,11 @@ function processUnsupportedFeature( processingData.entityCollection, processingData.styleCollection, processingData.sourceResource, - processingData.uriResolver + processingData.uriResolver, ); oneTimeWarning( `kml-unsupportedFeature-${node.nodeName}`, - `KML - Unsupported feature: ${node.nodeName}` + `KML - Unsupported feature: ${node.nodeName}`, ); } @@ -2879,7 +2879,7 @@ function processNetworkLinkQueryString( canvas, viewBoundScale, bbox, - ellipsoid + ellipsoid, ) { function fixLatitude(value) { if (value < -CesiumMath.PI_OVER_TWO) { @@ -2916,14 +2916,14 @@ function processNetworkLinkQueryString( centerCartesian = camera.pickEllipsoid( scratchCartesian2, ellipsoid, - scratchCartesian3 + scratchCartesian3, ); } if (defined(centerCartesian)) { centerCartographic = ellipsoid.cartesianToCartographic( centerCartesian, - scratchCartographic + scratchCartographic, ); } else { centerCartographic = Rectangle.center(bbox, scratchCartographic); @@ -2940,25 +2940,25 @@ function processNetworkLinkQueryString( fixLongitude(centerCartographic.longitude - newHalfWidth), fixLatitude(centerCartographic.latitude - newHalfHeight), fixLongitude(centerCartographic.longitude + newHalfWidth), - fixLatitude(centerCartographic.latitude + newHalfHeight) + fixLatitude(centerCartographic.latitude + newHalfHeight), ); } queryString = queryString.replace( "[bboxWest]", - CesiumMath.toDegrees(bbox.west).toString() + CesiumMath.toDegrees(bbox.west).toString(), ); queryString = queryString.replace( "[bboxSouth]", - CesiumMath.toDegrees(bbox.south).toString() + CesiumMath.toDegrees(bbox.south).toString(), ); queryString = queryString.replace( "[bboxEast]", - CesiumMath.toDegrees(bbox.east).toString() + CesiumMath.toDegrees(bbox.east).toString(), ); queryString = queryString.replace( "[bboxNorth]", - CesiumMath.toDegrees(bbox.north).toString() + CesiumMath.toDegrees(bbox.north).toString(), ); const lon = CesiumMath.toDegrees(centerCartographic.longitude).toString(); @@ -2967,35 +2967,35 @@ function processNetworkLinkQueryString( queryString = queryString.replace("[lookatLat]", lat); queryString = queryString.replace( "[lookatTilt]", - CesiumMath.toDegrees(camera.pitch).toString() + CesiumMath.toDegrees(camera.pitch).toString(), ); queryString = queryString.replace( "[lookatHeading]", - CesiumMath.toDegrees(camera.heading).toString() + CesiumMath.toDegrees(camera.heading).toString(), ); queryString = queryString.replace( "[lookatRange]", - Cartesian3.distance(camera.positionWC, centerCartesian) + Cartesian3.distance(camera.positionWC, centerCartesian), ); queryString = queryString.replace("[lookatTerrainLon]", lon); queryString = queryString.replace("[lookatTerrainLat]", lat); queryString = queryString.replace( "[lookatTerrainAlt]", - centerCartographic.height.toString() + centerCartographic.height.toString(), ); ellipsoid.cartesianToCartographic(camera.positionWC, scratchCartographic); queryString = queryString.replace( "[cameraLon]", - CesiumMath.toDegrees(scratchCartographic.longitude).toString() + CesiumMath.toDegrees(scratchCartographic.longitude).toString(), ); queryString = queryString.replace( "[cameraLat]", - CesiumMath.toDegrees(scratchCartographic.latitude).toString() + CesiumMath.toDegrees(scratchCartographic.latitude).toString(), ); queryString = queryString.replace( "[cameraAlt]", - CesiumMath.toDegrees(scratchCartographic.height).toString() + CesiumMath.toDegrees(scratchCartographic.height).toString(), ); const frustum = camera.frustum; @@ -3087,18 +3087,18 @@ function processNetworkLink(dataSource, node, processingData, deferredLoading) { viewRefreshMode = queryStringValue( link, "viewRefreshMode", - namespaces.kml + namespaces.kml, ); if (viewRefreshMode === "onRegion") { oneTimeWarning( "kml-refrehMode-onRegion", - "KML - Unsupported viewRefreshMode: onRegion" + "KML - Unsupported viewRefreshMode: onRegion", ); return; } viewBoundScale = defaultValue( queryStringValue(link, "viewBoundScale", namespaces.kml), - 1.0 + 1.0, ); const defaultViewFormat = viewRefreshMode === "onStop" @@ -3106,7 +3106,7 @@ function processNetworkLink(dataSource, node, processingData, deferredLoading) { : ""; const viewFormat = defaultValue( queryStringValue(link, "viewFormat", namespaces.kml), - defaultViewFormat + defaultViewFormat, ); const httpQuery = queryStringValue(link, "httpQuery", namespaces.kml); if (defined(viewFormat)) { @@ -3123,7 +3123,7 @@ function processNetworkLink(dataSource, node, processingData, deferredLoading) { dataSource.canvas, viewBoundScale, dataSource._lastCameraView.bbox, - ellipsoid + ellipsoid, ); } @@ -3154,11 +3154,11 @@ function processNetworkLink(dataSource, node, processingData, deferredLoading) { const refreshMode = queryStringValue( link, "refreshMode", - namespaces.kml + namespaces.kml, ); let refreshInterval = defaultValue( queryNumericValue(link, "refreshInterval", namespaces.kml), - 0 + 0, ); if ( (refreshMode === "onInterval" && refreshInterval > 0) || @@ -3168,7 +3168,7 @@ function processNetworkLink(dataSource, node, processingData, deferredLoading) { const networkLinkControl = queryFirstNode( rootElement, "NetworkLinkControl", - namespaces.kml + namespaces.kml, ); const hasNetworkLinkControl = defined(networkLinkControl); @@ -3192,18 +3192,18 @@ function processNetworkLink(dataSource, node, processingData, deferredLoading) { queryStringValue( networkLinkControl, "cookie", - namespaces.kml + namespaces.kml, ), - "" - ) + "", + ), ); minRefreshPeriod = defaultValue( queryNumericValue( networkLinkControl, "minRefreshPeriod", - namespaces.kml + namespaces.kml, ), - 0 + 0, ); } @@ -3219,7 +3219,7 @@ function processNetworkLink(dataSource, node, processingData, deferredLoading) { expires = queryStringValue( networkLinkControl, "expires", - namespaces.kml + namespaces.kml, ); } if (defined(expires)) { @@ -3234,13 +3234,13 @@ function processNetworkLink(dataSource, node, processingData, deferredLoading) { } catch (e) { oneTimeWarning( "kml-refreshMode-onInterval-onExpire", - "KML - NetworkLinkControl expires is not a valid date" + "KML - NetworkLinkControl expires is not a valid date", ); } } else { oneTimeWarning( "kml-refreshMode-onExpire", - "KML - refreshMode of onExpire requires the NetworkLinkControl to have an expires element" + "KML - refreshMode of onExpire requires the NetworkLinkControl to have an expires element", ); } } else if (defined(dataSource.camera)) { @@ -3248,12 +3248,12 @@ function processNetworkLink(dataSource, node, processingData, deferredLoading) { networkLinkInfo.refreshMode = RefreshMode.STOP; networkLinkInfo.time = defaultValue( queryNumericValue(link, "viewRefreshTime", namespaces.kml), - 0 + 0, ); } else { oneTimeWarning( "kml-refrehMode-onStop-noCamera", - "A NetworkLink with viewRefreshMode=onStop requires the `camera` property to be defined." + "A NetworkLink with viewRefreshMode=onStop requires the `camera` property to be defined.", ); } @@ -3282,7 +3282,7 @@ function processFeatureNode(dataSource, node, processingData, deferredLoading) { dataSource, node, processingData, - deferredLoading + deferredLoading, ); } @@ -3293,7 +3293,7 @@ function loadKml( sourceResource, uriResolver, screenOverlayContainer, - context + context, ) { entityCollection.removeAll(); @@ -3321,8 +3321,8 @@ function loadKml( styleCollection, sourceResource, false, - uriResolver - ) + uriResolver, + ), ).then(function () { let element = kml.documentElement; if (element.localName === "kml") { @@ -3361,7 +3361,7 @@ function loadKmz( entityCollection, blob, sourceResource, - screenOverlayContainer + screenOverlayContainer, ) { const zWorkerUrl = buildModuleUrl("ThirdParty/Workers/z-worker-pako.js"); zip.configure({ @@ -3415,7 +3415,7 @@ function loadKmz( uriResolver.kml, sourceResource, uriResolver, - screenOverlayContainer + screenOverlayContainer, ); }); }); @@ -3463,7 +3463,7 @@ function load(dataSource, entityCollection, data, options) { entityCollection, dataToLoad, sourceUri, - screenOverlayContainer + screenOverlayContainer, ); } return readBlobAsText(dataToLoad).then(function (text) { @@ -3512,7 +3512,7 @@ function load(dataSource, entityCollection, data, options) { sourceUri, uriResolver, screenOverlayContainer, - context + context, ); }); }); @@ -3524,7 +3524,7 @@ function load(dataSource, entityCollection, data, options) { sourceUri, uriResolver, screenOverlayContainer, - context + context, ); }) .catch(function (error) { @@ -3892,8 +3892,8 @@ KmlDataSource.prototype.load = function (data, options) { clock.multiplier = Math.round( Math.min( Math.max(JulianDate.secondsDifference(stop, start) / 60, 1), - 3.15569e7 - ) + 3.15569e7, + ), ); } @@ -3953,7 +3953,7 @@ function getNetworkLinkUpdateCallback( networkLink, newEntityCollection, networkLinks, - processedHref + processedHref, ) { return function (rootElement) { if (!networkLinks.contains(networkLink.id)) { @@ -3965,7 +3965,7 @@ function getNetworkLinkUpdateCallback( const networkLinkControl = queryFirstNode( rootElement, "NetworkLinkControl", - namespaces.kml + namespaces.kml, ); const hasNetworkLinkControl = defined(networkLinkControl); @@ -3976,7 +3976,7 @@ function getNetworkLinkUpdateCallback( ) { oneTimeWarning( "kml-networkLinkControl-update", - "KML - NetworkLinkControl updates aren't supported." + "KML - NetworkLinkControl updates aren't supported.", ); networkLink.updating = false; networkLinks.remove(networkLink.id); @@ -3985,16 +3985,16 @@ function getNetworkLinkUpdateCallback( networkLink.cookie = queryToObject( defaultValue( queryStringValue(networkLinkControl, "cookie", namespaces.kml), - "" - ) + "", + ), ); minRefreshPeriod = defaultValue( queryNumericValue( networkLinkControl, "minRefreshPeriod", - namespaces.kml + namespaces.kml, ), - 0 + 0, ); } @@ -4010,7 +4010,7 @@ function getNetworkLinkUpdateCallback( expires = queryStringValue( networkLinkControl, "expires", - namespaces.kml + namespaces.kml, ); } if (defined(expires)) { @@ -4024,14 +4024,14 @@ function getNetworkLinkUpdateCallback( } catch (e) { oneTimeWarning( "kml-networkLinkControl-expires", - "KML - NetworkLinkControl expires is not a valid date" + "KML - NetworkLinkControl expires is not a valid date", ); remove = true; } } else { oneTimeWarning( "kml-refreshMode-onExpire", - "KML - refreshMode of onExpire requires the NetworkLinkControl to have an expires element" + "KML - refreshMode of onExpire requires the NetworkLinkControl to have an expires element", ); remove = true; } @@ -4102,7 +4102,7 @@ function getNetworkLinkUpdateCallback( networkLink.needsUpdate = false; dataSource._refresh.raiseEvent( dataSource, - processedHref.getUrlComponent(true) + processedHref.getUrlComponent(true), ); }; } @@ -4144,11 +4144,11 @@ KmlDataSource.prototype.update = function (time) { !( camera.positionWC.equalsEpsilon( lastCameraView.position, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ) && camera.directionWC.equalsEpsilon( lastCameraView.direction, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ) && camera.upWC.equalsEpsilon(lastCameraView.up, CesiumMath.EPSILON7) ) @@ -4211,7 +4211,7 @@ KmlDataSource.prototype.update = function (time) { that.canvas, networkLink.viewBoundScale, lastCameraView.bbox, - ellipsoid + ellipsoid, ); load(that, newEntityCollection, href, { @@ -4223,8 +4223,8 @@ KmlDataSource.prototype.update = function (time) { networkLink, newEntityCollection, newNetworkLinks, - href - ) + href, + ), ) .catch(function (error) { const msg = `NetworkLink ${networkLink.href} refresh failed: ${error}`; diff --git a/packages/engine/Source/DataSources/LabelGraphics.js b/packages/engine/Source/DataSources/LabelGraphics.js index 0622b72bb66c..3067bb8934ad 100644 --- a/packages/engine/Source/DataSources/LabelGraphics.js +++ b/packages/engine/Source/DataSources/LabelGraphics.js @@ -290,7 +290,7 @@ Object.defineProperties(LabelGraphics.prototype, { * @type {Property|undefined} */ pixelOffsetScaleByDistance: createPropertyDescriptor( - "pixelOffsetScaleByDistance" + "pixelOffsetScaleByDistance", ), /** @@ -311,7 +311,7 @@ Object.defineProperties(LabelGraphics.prototype, { * @type {Property|undefined} */ distanceDisplayCondition: createPropertyDescriptor( - "distanceDisplayCondition" + "distanceDisplayCondition", ), /** @@ -321,7 +321,7 @@ Object.defineProperties(LabelGraphics.prototype, { * @type {Property|undefined} */ disableDepthTestDistance: createPropertyDescriptor( - "disableDepthTestDistance" + "disableDepthTestDistance", ), }); @@ -379,52 +379,52 @@ LabelGraphics.prototype.merge = function (source) { this.scale = defaultValue(this.scale, source.scale); this.showBackground = defaultValue( this.showBackground, - source.showBackground + source.showBackground, ); this.backgroundColor = defaultValue( this.backgroundColor, - source.backgroundColor + source.backgroundColor, ); this.backgroundPadding = defaultValue( this.backgroundPadding, - source.backgroundPadding + source.backgroundPadding, ); this.pixelOffset = defaultValue(this.pixelOffset, source.pixelOffset); this.eyeOffset = defaultValue(this.eyeOffset, source.eyeOffset); this.horizontalOrigin = defaultValue( this.horizontalOrigin, - source.horizontalOrigin + source.horizontalOrigin, ); this.verticalOrigin = defaultValue( this.verticalOrigin, - source.verticalOrigin + source.verticalOrigin, ); this.heightReference = defaultValue( this.heightReference, - source.heightReference + source.heightReference, ); this.fillColor = defaultValue(this.fillColor, source.fillColor); this.outlineColor = defaultValue(this.outlineColor, source.outlineColor); this.outlineWidth = defaultValue(this.outlineWidth, source.outlineWidth); this.translucencyByDistance = defaultValue( this.translucencyByDistance, - source.translucencyByDistance + source.translucencyByDistance, ); this.pixelOffsetScaleByDistance = defaultValue( this.pixelOffsetScaleByDistance, - source.pixelOffsetScaleByDistance + source.pixelOffsetScaleByDistance, ); this.scaleByDistance = defaultValue( this.scaleByDistance, - source.scaleByDistance + source.scaleByDistance, ); this.distanceDisplayCondition = defaultValue( this.distanceDisplayCondition, - source.distanceDisplayCondition + source.distanceDisplayCondition, ); this.disableDepthTestDistance = defaultValue( this.disableDepthTestDistance, - source.disableDepthTestDistance + source.disableDepthTestDistance, ); }; export default LabelGraphics; diff --git a/packages/engine/Source/DataSources/LabelVisualizer.js b/packages/engine/Source/DataSources/LabelVisualizer.js index e88281164f29..ebd4daa3cf5a 100644 --- a/packages/engine/Source/DataSources/LabelVisualizer.js +++ b/packages/engine/Source/DataSources/LabelVisualizer.js @@ -69,7 +69,7 @@ function LabelVisualizer(entityCluster, entityCollection) { entityCollection.collectionChanged.addEventListener( LabelVisualizer.prototype._onCollectionChanged, - this + this, ); this._cluster = entityCluster; @@ -111,7 +111,7 @@ LabelVisualizer.prototype.update = function (time) { position = Property.getValueOrUndefined( entity._position, time, - positionScratch + positionScratch, ); text = Property.getValueOrUndefined(labelGraphics._text, time); show = defined(position) && defined(text); @@ -131,7 +131,7 @@ LabelVisualizer.prototype.update = function (time) { const heightReference = Property.getValueOrDefault( labelGraphics._heightReference, time, - defaultHeightReference + defaultHeightReference, ); if (!defined(label)) { @@ -154,98 +154,98 @@ LabelVisualizer.prototype.update = function (time) { label.scale = Property.getValueOrDefault( labelGraphics._scale, time, - defaultScale + defaultScale, ); label.font = Property.getValueOrDefault( labelGraphics._font, time, - defaultFont + defaultFont, ); label.style = Property.getValueOrDefault( labelGraphics._style, time, - defaultStyle + defaultStyle, ); label.fillColor = Property.getValueOrDefault( labelGraphics._fillColor, time, defaultFillColor, - fillColorScratch + fillColorScratch, ); label.outlineColor = Property.getValueOrDefault( labelGraphics._outlineColor, time, defaultOutlineColor, - outlineColorScratch + outlineColorScratch, ); label.outlineWidth = Property.getValueOrDefault( labelGraphics._outlineWidth, time, - defaultOutlineWidth + defaultOutlineWidth, ); label.showBackground = Property.getValueOrDefault( labelGraphics._showBackground, time, - defaultShowBackground + defaultShowBackground, ); label.backgroundColor = Property.getValueOrDefault( labelGraphics._backgroundColor, time, defaultBackgroundColor, - backgroundColorScratch + backgroundColorScratch, ); label.backgroundPadding = Property.getValueOrDefault( labelGraphics._backgroundPadding, time, defaultBackgroundPadding, - backgroundPaddingScratch + backgroundPaddingScratch, ); label.pixelOffset = Property.getValueOrDefault( labelGraphics._pixelOffset, time, defaultPixelOffset, - pixelOffsetScratch + pixelOffsetScratch, ); label.eyeOffset = Property.getValueOrDefault( labelGraphics._eyeOffset, time, defaultEyeOffset, - eyeOffsetScratch + eyeOffsetScratch, ); label.heightReference = heightReference; label.horizontalOrigin = Property.getValueOrDefault( labelGraphics._horizontalOrigin, time, - defaultHorizontalOrigin + defaultHorizontalOrigin, ); label.verticalOrigin = Property.getValueOrDefault( labelGraphics._verticalOrigin, time, - defaultVerticalOrigin + defaultVerticalOrigin, ); label.translucencyByDistance = Property.getValueOrUndefined( labelGraphics._translucencyByDistance, time, - translucencyByDistanceScratch + translucencyByDistanceScratch, ); label.pixelOffsetScaleByDistance = Property.getValueOrUndefined( labelGraphics._pixelOffsetScaleByDistance, time, - pixelOffsetScaleByDistanceScratch + pixelOffsetScaleByDistanceScratch, ); label.scaleByDistance = Property.getValueOrUndefined( labelGraphics._scaleByDistance, time, - scaleByDistanceScratch + scaleByDistanceScratch, ); label.distanceDisplayCondition = Property.getValueOrUndefined( labelGraphics._distanceDisplayCondition, time, - distanceDisplayConditionScratch + distanceDisplayConditionScratch, ); label.disableDepthTestDistance = Property.getValueOrUndefined( labelGraphics._disableDepthTestDistance, - time + time, ); if (updateClamping) { @@ -284,7 +284,7 @@ LabelVisualizer.prototype.getBoundingSphere = function (entity, result) { const label = item.label; result.center = Cartesian3.clone( defaultValue(label._clampedPosition, label.position), - result.center + result.center, ); result.radius = 0; return BoundingSphereState.DONE; @@ -305,7 +305,7 @@ LabelVisualizer.prototype.isDestroyed = function () { LabelVisualizer.prototype.destroy = function () { this._entityCollection.collectionChanged.removeEventListener( LabelVisualizer.prototype._onCollectionChanged, - this + this, ); const entities = this._entityCollection.values; for (let i = 0; i < entities.length; i++) { @@ -318,7 +318,7 @@ LabelVisualizer.prototype._onCollectionChanged = function ( entityCollection, added, removed, - changed + changed, ) { let i; let entity; diff --git a/packages/engine/Source/DataSources/ModelGraphics.js b/packages/engine/Source/DataSources/ModelGraphics.js index 1814566bad1c..58f6d142694a 100644 --- a/packages/engine/Source/DataSources/ModelGraphics.js +++ b/packages/engine/Source/DataSources/ModelGraphics.js @@ -162,7 +162,7 @@ Object.defineProperties(ModelGraphics.prototype, { * @default true */ enableVerticalExaggeration: createPropertyDescriptor( - "enableVerticalExaggeration" + "enableVerticalExaggeration", ), /** @@ -192,7 +192,7 @@ Object.defineProperties(ModelGraphics.prototype, { * @type {Property|undefined} */ incrementallyLoadTextures: createPropertyDescriptor( - "incrementallyLoadTextures" + "incrementallyLoadTextures", ), /** @@ -276,7 +276,7 @@ Object.defineProperties(ModelGraphics.prototype, { * @type {Property|undefined} */ imageBasedLightingFactor: createPropertyDescriptor( - "imageBasedLightingFactor" + "imageBasedLightingFactor", ), /** @@ -292,7 +292,7 @@ Object.defineProperties(ModelGraphics.prototype, { * @type {Property|undefined} */ distanceDisplayCondition: createPropertyDescriptor( - "distanceDisplayCondition" + "distanceDisplayCondition", ), /** @@ -305,7 +305,7 @@ Object.defineProperties(ModelGraphics.prototype, { nodeTransformations: createPropertyDescriptor( "nodeTransformations", undefined, - createNodeTransformationPropertyBag + createNodeTransformationPropertyBag, ), /** @@ -317,7 +317,7 @@ Object.defineProperties(ModelGraphics.prototype, { articulations: createPropertyDescriptor( "articulations", undefined, - createArticulationStagePropertyBag + createArticulationStagePropertyBag, ), /** @@ -388,56 +388,56 @@ ModelGraphics.prototype.merge = function (source) { this.scale = defaultValue(this.scale, source.scale); this.enableVerticalExaggeration = defaultValue( this.enableVerticalExaggeration, - source.enableVerticalExaggeration + source.enableVerticalExaggeration, ); this.minimumPixelSize = defaultValue( this.minimumPixelSize, - source.minimumPixelSize + source.minimumPixelSize, ); this.maximumScale = defaultValue(this.maximumScale, source.maximumScale); this.incrementallyLoadTextures = defaultValue( this.incrementallyLoadTextures, - source.incrementallyLoadTextures + source.incrementallyLoadTextures, ); this.runAnimations = defaultValue(this.runAnimations, source.runAnimations); this.clampAnimations = defaultValue( this.clampAnimations, - source.clampAnimations + source.clampAnimations, ); this.shadows = defaultValue(this.shadows, source.shadows); this.heightReference = defaultValue( this.heightReference, - source.heightReference + source.heightReference, ); this.silhouetteColor = defaultValue( this.silhouetteColor, - source.silhouetteColor + source.silhouetteColor, ); this.silhouetteSize = defaultValue( this.silhouetteSize, - source.silhouetteSize + source.silhouetteSize, ); this.color = defaultValue(this.color, source.color); this.colorBlendMode = defaultValue( this.colorBlendMode, - source.colorBlendMode + source.colorBlendMode, ); this.colorBlendAmount = defaultValue( this.colorBlendAmount, - source.colorBlendAmount + source.colorBlendAmount, ); this.imageBasedLightingFactor = defaultValue( this.imageBasedLightingFactor, - source.imageBasedLightingFactor + source.imageBasedLightingFactor, ); this.lightColor = defaultValue(this.lightColor, source.lightColor); this.distanceDisplayCondition = defaultValue( this.distanceDisplayCondition, - source.distanceDisplayCondition + source.distanceDisplayCondition, ); this.clippingPlanes = defaultValue( this.clippingPlanes, - source.clippingPlanes + source.clippingPlanes, ); this.customShader = defaultValue(this.customShader, source.customShader); @@ -449,7 +449,7 @@ ModelGraphics.prototype.merge = function (source) { } else { this.nodeTransformations = new PropertyBag( sourceNodeTransformations, - createNodeTransformationProperty + createNodeTransformationProperty, ); } } diff --git a/packages/engine/Source/DataSources/ModelVisualizer.js b/packages/engine/Source/DataSources/ModelVisualizer.js index ab326dbc44c3..42d1bd177592 100644 --- a/packages/engine/Source/DataSources/ModelVisualizer.js +++ b/packages/engine/Source/DataSources/ModelVisualizer.js @@ -59,7 +59,7 @@ function ModelVisualizer(scene, entityCollection) { entityCollection.collectionChanged.addEventListener( ModelVisualizer.prototype._onCollectionChanged, - this + this, ); this._scene = scene; @@ -75,7 +75,7 @@ async function createModelPrimitive( visualizer, entity, resource, - incrementallyLoadTextures + incrementallyLoadTextures, ) { const primitives = visualizer._primitives; const modelHash = visualizer._modelHash; @@ -150,7 +150,7 @@ ModelVisualizer.prototype.update = function (time) { if (show) { modelMatrix = entity.computeModelMatrix(time, modelMatrixScratch); resource = Resource.createIfNeeded( - Property.getValueOrUndefined(modelGraphics._uri, time) + Property.getValueOrUndefined(modelGraphics._uri, time), ); show = defined(modelMatrix) && defined(resource); } @@ -182,7 +182,7 @@ ModelVisualizer.prototype.update = function (time) { const incrementallyLoadTextures = Property.getValueOrDefault( modelGraphics._incrementallyLoadTextures, time, - defaultIncrementallyLoadTextures + defaultIncrementallyLoadTextures, ); createModelPrimitive(this, entity, resource, incrementallyLoadTextures); @@ -197,83 +197,84 @@ ModelVisualizer.prototype.update = function (time) { model.scale = Property.getValueOrDefault( modelGraphics._scale, time, - defaultScale + defaultScale, ); model.enableVerticalExaggeration = Property.getValueOrDefault( modelGraphics._enableVerticalExaggeration, time, - defaultEnableVerticalExaggeration + defaultEnableVerticalExaggeration, ); model.minimumPixelSize = Property.getValueOrDefault( modelGraphics._minimumPixelSize, time, - defaultMinimumPixelSize + defaultMinimumPixelSize, ); model.maximumScale = Property.getValueOrUndefined( modelGraphics._maximumScale, - time + time, ); model.modelMatrix = Matrix4.clone(modelMatrix, model.modelMatrix); model.shadows = Property.getValueOrDefault( modelGraphics._shadows, time, - defaultShadows + defaultShadows, ); model.heightReference = Property.getValueOrDefault( modelGraphics._heightReference, time, - defaultHeightReference + defaultHeightReference, ); model.distanceDisplayCondition = Property.getValueOrUndefined( modelGraphics._distanceDisplayCondition, - time + time, ); model.silhouetteColor = Property.getValueOrDefault( modelGraphics._silhouetteColor, time, defaultSilhouetteColor, - scratchColor + scratchColor, ); model.silhouetteSize = Property.getValueOrDefault( modelGraphics._silhouetteSize, time, - defaultSilhouetteSize + defaultSilhouetteSize, ); model.color = Property.getValueOrDefault( modelGraphics._color, time, defaultColor, - scratchColor + scratchColor, ); model.colorBlendMode = Property.getValueOrDefault( modelGraphics._colorBlendMode, time, - defaultColorBlendMode + defaultColorBlendMode, ); model.colorBlendAmount = Property.getValueOrDefault( modelGraphics._colorBlendAmount, time, - defaultColorBlendAmount + defaultColorBlendAmount, ); model.clippingPlanes = Property.getValueOrUndefined( modelGraphics._clippingPlanes, - time + time, ); model.clampAnimations = Property.getValueOrDefault( modelGraphics._clampAnimations, time, - defaultClampAnimations - ); - model.imageBasedLighting.imageBasedLightingFactor = Property.getValueOrDefault( - modelGraphics._imageBasedLightingFactor, - time, - defaultImageBasedLightingFactor + defaultClampAnimations, ); + model.imageBasedLighting.imageBasedLightingFactor = + Property.getValueOrDefault( + modelGraphics._imageBasedLightingFactor, + time, + defaultImageBasedLightingFactor, + ); let lightColor = Property.getValueOrUndefined( modelGraphics._lightColor, - time + time, ); // Convert from Color to Cartesian3 @@ -285,7 +286,7 @@ ModelVisualizer.prototype.update = function (time) { model.lightColor = lightColor; model.customShader = Property.getValueOrUndefined( modelGraphics._customShader, - time + time, ); // It's possible for getBoundingSphere to run before @@ -296,7 +297,7 @@ ModelVisualizer.prototype.update = function (time) { const runAnimations = Property.getValueOrDefault( modelGraphics._runAnimations, time, - true + true, ); if (modelData.animationsRunning !== runAnimations) { if (runAnimations) { @@ -313,7 +314,7 @@ ModelVisualizer.prototype.update = function (time) { const nodeTransformations = Property.getValueOrUndefined( modelGraphics._nodeTransformations, time, - modelData.nodeTransformationsScratch + modelData.nodeTransformationsScratch, ); if (defined(nodeTransformations)) { const nodeNames = Object.keys(nodeTransformations); @@ -336,12 +337,12 @@ ModelVisualizer.prototype.update = function (time) { const transformationMatrix = Matrix4.fromTranslationRotationScale( nodeTransformation, - nodeMatrixScratch + nodeMatrixScratch, ); modelNode.matrix = Matrix4.multiply( modelNode.originalMatrix, transformationMatrix, - transformationMatrix + transformationMatrix, ); } } @@ -351,7 +352,7 @@ ModelVisualizer.prototype.update = function (time) { const articulations = Property.getValueOrUndefined( modelGraphics._articulations, time, - modelData.articulationsScratch + modelData.articulationsScratch, ); if (defined(articulations)) { const articulationStageKeys = Object.keys(articulations); @@ -396,7 +397,7 @@ ModelVisualizer.prototype.isDestroyed = function () { ModelVisualizer.prototype.destroy = function () { this._entityCollection.collectionChanged.removeEventListener( ModelVisualizer.prototype._onCollectionChanged, - this + this, ); const entities = this._entitiesToVisualize.values; const modelHash = this._modelHash; @@ -459,7 +460,7 @@ ModelVisualizer.prototype.getBoundingSphere = function (entity, result) { scratchPosition.z = modelMatrix[14]; const cartoPosition = ellipsoid.cartesianToCartographic( scratchPosition, - scratchCartographic + scratchCartographic, ); const height = scene.getHeight(cartoPosition, model.heightReference); @@ -487,7 +488,7 @@ ModelVisualizer.prototype._onCollectionChanged = function ( entityCollection, added, removed, - changed + changed, ) { let i; let entity; diff --git a/packages/engine/Source/DataSources/NodeTransformationProperty.js b/packages/engine/Source/DataSources/NodeTransformationProperty.js index b71017dc363a..8d0f121fb957 100644 --- a/packages/engine/Source/DataSources/NodeTransformationProperty.js +++ b/packages/engine/Source/DataSources/NodeTransformationProperty.js @@ -114,19 +114,19 @@ NodeTransformationProperty.prototype.getValue = function (time, result) { this._translation, time, defaultNodeTransformation.translation, - result.translation + result.translation, ); result.rotation = Property.getValueOrClonedDefault( this._rotation, time, defaultNodeTransformation.rotation, - result.rotation + result.rotation, ); result.scale = Property.getValueOrClonedDefault( this._scale, time, defaultNodeTransformation.scale, - result.scale + result.scale, ); return result; }; diff --git a/packages/engine/Source/DataSources/PathGraphics.js b/packages/engine/Source/DataSources/PathGraphics.js index d914bb4424e6..8cc59662726a 100644 --- a/packages/engine/Source/DataSources/PathGraphics.js +++ b/packages/engine/Source/DataSources/PathGraphics.js @@ -112,7 +112,7 @@ Object.defineProperties(PathGraphics.prototype, { * @type {Property|undefined} */ distanceDisplayCondition: createPropertyDescriptor( - "distanceDisplayCondition" + "distanceDisplayCondition", ), }); @@ -157,7 +157,7 @@ PathGraphics.prototype.merge = function (source) { this.material = defaultValue(this.material, source.material); this.distanceDisplayCondition = defaultValue( this.distanceDisplayCondition, - source.distanceDisplayCondition + source.distanceDisplayCondition, ); }; export default PathGraphics; diff --git a/packages/engine/Source/DataSources/PathVisualizer.js b/packages/engine/Source/DataSources/PathVisualizer.js index 6c99fc36815a..fd5f63d6947f 100644 --- a/packages/engine/Source/DataSources/PathVisualizer.js +++ b/packages/engine/Source/DataSources/PathVisualizer.js @@ -44,7 +44,7 @@ function subSampleSampledProperty( referenceFrame, maximumStep, startingIndex, - result + result, ) { let r = startingIndex; //Always step exactly on start (but only use it if it exists.) @@ -76,7 +76,7 @@ function subSampleSampledProperty( tmp = property.getValueInReferenceFrame( updateTime, referenceFrame, - result[r] + result[r], ); if (defined(tmp)) { result[r++] = tmp; @@ -91,7 +91,7 @@ function subSampleSampledProperty( tmp = property.getValueInReferenceFrame( current, referenceFrame, - result[r] + result[r], ); if (defined(tmp)) { result[r++] = tmp; @@ -116,7 +116,7 @@ function subSampleSampledProperty( current = JulianDate.addSeconds( current, sampleStepSize, - new JulianDate() + new JulianDate(), ); sampleStepsTaken++; continue; @@ -144,7 +144,7 @@ function subSampleCallbackPositionProperty( referenceFrame, maximumStep, startingIndex, - result + result, ) { let tmp; let i = 0; @@ -160,7 +160,7 @@ function subSampleCallbackPositionProperty( tmp = property.getValueInReferenceFrame( updateTime, referenceFrame, - result[index] + result[index], ); if (defined(tmp)) { result[index] = tmp; @@ -170,7 +170,7 @@ function subSampleCallbackPositionProperty( tmp = property.getValueInReferenceFrame( time, referenceFrame, - result[index] + result[index], ); if (defined(tmp)) { result[index] = tmp; @@ -196,7 +196,7 @@ function subSampleGenericProperty( referenceFrame, maximumStep, startingIndex, - result + result, ) { let tmp; let i = 0; @@ -213,7 +213,7 @@ function subSampleGenericProperty( tmp = property.getValueInReferenceFrame( updateTime, referenceFrame, - result[index] + result[index], ); if (defined(tmp)) { result[index] = tmp; @@ -223,7 +223,7 @@ function subSampleGenericProperty( tmp = property.getValueInReferenceFrame( time, referenceFrame, - result[index] + result[index], ); if (defined(tmp)) { result[index] = tmp; @@ -249,7 +249,7 @@ function subSampleIntervalProperty( referenceFrame, maximumStep, startingIndex, - result + result, ) { subSampleIntervalPropertyScratch.start = start; subSampleIntervalPropertyScratch.stop = stop; @@ -262,7 +262,7 @@ function subSampleIntervalProperty( !TimeInterval.intersect( interval, subSampleIntervalPropertyScratch, - scratchTimeInterval + scratchTimeInterval, ).isEmpty ) { let time = interval.start; @@ -273,14 +273,14 @@ function subSampleIntervalProperty( time = JulianDate.addSeconds( interval.start, JulianDate.secondsDifference(interval.stop, interval.start) / 2, - new JulianDate() + new JulianDate(), ); } } const tmp = property.getValueInReferenceFrame( time, referenceFrame, - result[index] + result[index], ); if (defined(tmp)) { result[index] = tmp; @@ -299,12 +299,12 @@ function subSampleConstantProperty( referenceFrame, maximumStep, startingIndex, - result + result, ) { const tmp = property.getValueInReferenceFrame( start, referenceFrame, - result[startingIndex] + result[startingIndex], ); if (defined(tmp)) { result[startingIndex++] = tmp; @@ -320,7 +320,7 @@ function subSampleCompositeProperty( referenceFrame, maximumStep, startingIndex, - result + result, ) { subSampleCompositePropertyScratch.start = start; subSampleCompositePropertyScratch.stop = stop; @@ -333,7 +333,7 @@ function subSampleCompositeProperty( !TimeInterval.intersect( interval, subSampleCompositePropertyScratch, - scratchTimeInterval + scratchTimeInterval, ).isEmpty ) { const intervalStart = interval.start; @@ -357,7 +357,7 @@ function subSampleCompositeProperty( referenceFrame, maximumStep, index, - result + result, ); } } @@ -372,7 +372,7 @@ function reallySubSample( referenceFrame, maximumStep, index, - result + result, ) { //Unwrap any references until we have the actual property. while (property instanceof ReferenceProperty) { @@ -390,7 +390,7 @@ function reallySubSample( referenceFrame, maximumStep, index, - result + result, ); } else if (property instanceof CallbackPositionProperty) { index = subSampleCallbackPositionProperty( @@ -401,7 +401,7 @@ function reallySubSample( referenceFrame, maximumStep, index, - result + result, ); } else if (property instanceof CompositePositionProperty) { index = subSampleCompositeProperty( @@ -412,7 +412,7 @@ function reallySubSample( referenceFrame, maximumStep, index, - result + result, ); } else if (property instanceof TimeIntervalCollectionPositionProperty) { index = subSampleIntervalProperty( @@ -423,7 +423,7 @@ function reallySubSample( referenceFrame, maximumStep, index, - result + result, ); } else if ( property instanceof ConstantPositionProperty || @@ -438,7 +438,7 @@ function reallySubSample( referenceFrame, maximumStep, index, - result + result, ); } else { //Fallback to generic sampling. @@ -450,7 +450,7 @@ function reallySubSample( referenceFrame, maximumStep, index, - result + result, ); } return index; @@ -463,7 +463,7 @@ function subSample( updateTime, referenceFrame, maximumStep, - result + result, ) { if (!defined(result)) { result = []; @@ -477,7 +477,7 @@ function subSample( referenceFrame, maximumStep, 0, - result + result, ); result.length = length; return result; @@ -496,12 +496,12 @@ PolylineUpdater.prototype.update = function (time) { if (this._referenceFrame === ReferenceFrame.INERTIAL) { const toFixed = Transforms.computeIcrfToCentralBodyFixedMatrix( time, - toFixedScratch + toFixedScratch, ); Matrix4.fromRotationTranslation( toFixed, Cartesian3.ZERO, - this._polylineCollection.modelMatrix + this._polylineCollection.modelMatrix, ); } }; @@ -527,7 +527,7 @@ PolylineUpdater.prototype.updateObject = function (time, item) { const leadTime = Property.getValueOrUndefined(pathGraphics._leadTime, time); const trailTime = Property.getValueOrUndefined( pathGraphics._trailTime, - time + time, ); const availability = entity._availability; const hasAvailability = defined(availability); @@ -594,7 +594,7 @@ PolylineUpdater.prototype.updateObject = function (time, item) { const resolution = Property.getValueOrDefault( pathGraphics._resolution, time, - defaultResolution + defaultResolution, ); polyline.show = true; @@ -605,22 +605,22 @@ PolylineUpdater.prototype.updateObject = function (time, item) { time, this._referenceFrame, resolution, - polyline.positions.slice() + polyline.positions.slice(), ); polyline.material = MaterialProperty.getValue( time, pathGraphics._material, - polyline.material + polyline.material, ); polyline.width = Property.getValueOrDefault( pathGraphics._width, time, - defaultWidth + defaultWidth, ); polyline.distanceDisplayCondition = Property.getValueOrUndefined( pathGraphics._distanceDisplayCondition, time, - polyline.distanceDisplayCondition + polyline.distanceDisplayCondition, ); }; @@ -660,7 +660,7 @@ function PathVisualizer(scene, entityCollection) { entityCollection.collectionChanged.addEventListener( PathVisualizer.prototype._onCollectionChanged, - this + this, ); this._scene = scene; @@ -758,7 +758,7 @@ PathVisualizer.prototype.isDestroyed = function () { PathVisualizer.prototype.destroy = function () { this._entityCollection.collectionChanged.removeEventListener( PathVisualizer.prototype._onCollectionChanged, - this + this, ); const updaters = this._updaters; @@ -775,7 +775,7 @@ PathVisualizer.prototype._onCollectionChanged = function ( entityCollection, added, removed, - changed + changed, ) { let i; let entity; diff --git a/packages/engine/Source/DataSources/PlaneGeometryUpdater.js b/packages/engine/Source/DataSources/PlaneGeometryUpdater.js index b700e57663ab..204face996e1 100644 --- a/packages/engine/Source/DataSources/PlaneGeometryUpdater.js +++ b/packages/engine/Source/DataSources/PlaneGeometryUpdater.js @@ -70,7 +70,7 @@ PlaneGeometryUpdater.prototype.createFillGeometryInstance = function (time) { if (!this._fillEnabled) { throw new DeveloperError( - "This instance does not represent a filled geometry." + "This instance does not represent a filled geometry.", ); } //>>includeEnd('debug'); @@ -85,14 +85,14 @@ PlaneGeometryUpdater.prototype.createFillGeometryInstance = function (time) { isAvailable && entity.isShowing && this._showProperty.getValue(time) && - this._fillProperty.getValue(time) - ); - const distanceDisplayCondition = this._distanceDisplayConditionProperty.getValue( - time - ); - const distanceDisplayConditionAttribute = DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( - distanceDisplayCondition + this._fillProperty.getValue(time), ); + const distanceDisplayCondition = + this._distanceDisplayConditionProperty.getValue(time); + const distanceDisplayConditionAttribute = + DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( + distanceDisplayCondition, + ); if (this._materialProperty instanceof ColorMaterialProperty) { let currentColor; if ( @@ -123,12 +123,12 @@ PlaneGeometryUpdater.prototype.createFillGeometryInstance = function (time) { const plane = Property.getValueOrDefault( planeGraphics.plane, time, - options.plane + options.plane, ); const dimensions = Property.getValueOrUndefined( planeGraphics.dimensions, time, - options.dimensions + options.dimensions, ); options.plane = plane; @@ -138,7 +138,7 @@ PlaneGeometryUpdater.prototype.createFillGeometryInstance = function (time) { plane, dimensions, modelMatrix, - modelMatrix + modelMatrix, ); return new GeometryInstance({ @@ -163,7 +163,7 @@ PlaneGeometryUpdater.prototype.createOutlineGeometryInstance = function (time) { if (!this._outlineEnabled) { throw new DeveloperError( - "This instance does not represent an outlined geometry." + "This instance does not represent an outlined geometry.", ); } //>>includeEnd('debug'); @@ -174,11 +174,10 @@ PlaneGeometryUpdater.prototype.createOutlineGeometryInstance = function (time) { this._outlineColorProperty, time, Color.BLACK, - scratchColor - ); - const distanceDisplayCondition = this._distanceDisplayConditionProperty.getValue( - time + scratchColor, ); + const distanceDisplayCondition = + this._distanceDisplayConditionProperty.getValue(time); const planeGraphics = entity.plane; const options = this._options; @@ -186,12 +185,12 @@ PlaneGeometryUpdater.prototype.createOutlineGeometryInstance = function (time) { const plane = Property.getValueOrDefault( planeGraphics.plane, time, - options.plane + options.plane, ); const dimensions = Property.getValueOrUndefined( planeGraphics.dimensions, time, - options.dimensions + options.dimensions, ); options.plane = plane; @@ -201,7 +200,7 @@ PlaneGeometryUpdater.prototype.createOutlineGeometryInstance = function (time) { plane, dimensions, modelMatrix, - modelMatrix + modelMatrix, ); return new GeometryInstance({ @@ -213,12 +212,13 @@ PlaneGeometryUpdater.prototype.createOutlineGeometryInstance = function (time) { isAvailable && entity.isShowing && this._showProperty.getValue(time) && - this._showOutlineProperty.getValue(time) + this._showOutlineProperty.getValue(time), ), color: ColorGeometryInstanceAttribute.fromColor(outlineColor), - distanceDisplayCondition: DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( - distanceDisplayCondition - ), + distanceDisplayCondition: + DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( + distanceDisplayCondition, + ), }, }); }; @@ -257,7 +257,7 @@ PlaneGeometryUpdater.prototype._setStaticOptions = function (entity, plane) { options.plane = plane.plane.getValue(Iso8601.MINIMUM_VALUE, options.plane); options.dimensions = plane.dimensions.getValue( Iso8601.MINIMUM_VALUE, - options.dimensions + options.dimensions, ); }; @@ -269,33 +269,34 @@ PlaneGeometryUpdater.DynamicGeometryUpdater = DynamicPlaneGeometryUpdater; function DynamicPlaneGeometryUpdater( geometryUpdater, primitives, - groundPrimitives + groundPrimitives, ) { DynamicGeometryUpdater.call( this, geometryUpdater, primitives, - groundPrimitives + groundPrimitives, ); } if (defined(Object.create)) { DynamicPlaneGeometryUpdater.prototype = Object.create( - DynamicGeometryUpdater.prototype + DynamicGeometryUpdater.prototype, ); - DynamicPlaneGeometryUpdater.prototype.constructor = DynamicPlaneGeometryUpdater; + DynamicPlaneGeometryUpdater.prototype.constructor = + DynamicPlaneGeometryUpdater; } DynamicPlaneGeometryUpdater.prototype._isHidden = function ( entity, plane, - time + time, ) { const options = this._options; const position = Property.getValueOrUndefined( entity.position, time, - positionScratch + positionScratch, ); return ( !defined(position) || @@ -308,14 +309,14 @@ DynamicPlaneGeometryUpdater.prototype._isHidden = function ( DynamicPlaneGeometryUpdater.prototype._setOptions = function ( entity, plane, - time + time, ) { const options = this._options; options.plane = Property.getValueOrDefault(plane.plane, time, options.plane); options.dimensions = Property.getValueOrUndefined( plane.dimensions, time, - options.dimensions + options.dimensions, ); }; @@ -333,7 +334,7 @@ function createPrimitiveMatrix(plane, dimensions, transform, result) { const translation = Cartesian3.multiplyByScalar( normal, -distance, - scratchTranslation + scratchTranslation, ); let up = Cartesian3.clone(Cartesian3.UNIT_Z, scratchUp); @@ -341,7 +342,7 @@ function createPrimitiveMatrix(plane, dimensions, transform, result) { CesiumMath.equalsEpsilon( Math.abs(Cartesian3.dot(up, normal)), 1.0, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ) ) { up = Cartesian3.clone(Cartesian3.UNIT_Y, up); @@ -361,18 +362,18 @@ function createPrimitiveMatrix(plane, dimensions, transform, result) { dimensions.x, dimensions.y, 1.0, - scratchScale + scratchScale, ); const rotationScaleMatrix = Matrix3.multiplyByScale( rotationMatrix, scale, - scratchRotationScale + scratchRotationScale, ); const localTransform = Matrix4.fromRotationTranslation( rotationScaleMatrix, translation, - scratchLocalTransform + scratchLocalTransform, ); return Matrix4.multiplyTransformation(transform, localTransform, result); } diff --git a/packages/engine/Source/DataSources/PlaneGraphics.js b/packages/engine/Source/DataSources/PlaneGraphics.js index 519ff7d449f1..bf938525c17e 100644 --- a/packages/engine/Source/DataSources/PlaneGraphics.js +++ b/packages/engine/Source/DataSources/PlaneGraphics.js @@ -153,7 +153,7 @@ Object.defineProperties(PlaneGraphics.prototype, { * @type {Property|undefined} */ distanceDisplayCondition: createPropertyDescriptor( - "distanceDisplayCondition" + "distanceDisplayCondition", ), }); @@ -204,7 +204,7 @@ PlaneGraphics.prototype.merge = function (source) { this.shadows = defaultValue(this.shadows, source.shadows); this.distanceDisplayCondition = defaultValue( this.distanceDisplayCondition, - source.distanceDisplayCondition + source.distanceDisplayCondition, ); }; export default PlaneGraphics; diff --git a/packages/engine/Source/DataSources/PointGraphics.js b/packages/engine/Source/DataSources/PointGraphics.js index 87cacbeb827f..1a38dabfac98 100644 --- a/packages/engine/Source/DataSources/PointGraphics.js +++ b/packages/engine/Source/DataSources/PointGraphics.js @@ -145,7 +145,7 @@ Object.defineProperties(PointGraphics.prototype, { * @type {Property|undefined} */ distanceDisplayCondition: createPropertyDescriptor( - "distanceDisplayCondition" + "distanceDisplayCondition", ), /** @@ -155,7 +155,7 @@ Object.defineProperties(PointGraphics.prototype, { * @type {Property|undefined} */ disableDepthTestDistance: createPropertyDescriptor( - "disableDepthTestDistance" + "disableDepthTestDistance", ), /** @@ -208,31 +208,31 @@ PointGraphics.prototype.merge = function (source) { this.pixelSize = defaultValue(this.pixelSize, source.pixelSize); this.heightReference = defaultValue( this.heightReference, - source.heightReference + source.heightReference, ); this.color = defaultValue(this.color, source.color); this.outlineColor = defaultValue(this.outlineColor, source.outlineColor); this.outlineWidth = defaultValue(this.outlineWidth, source.outlineWidth); this.scaleByDistance = defaultValue( this.scaleByDistance, - source.scaleByDistance + source.scaleByDistance, ); this.translucencyByDistance = defaultValue( this._translucencyByDistance, - source.translucencyByDistance + source.translucencyByDistance, ); this.distanceDisplayCondition = defaultValue( this.distanceDisplayCondition, - source.distanceDisplayCondition + source.distanceDisplayCondition, ); this.disableDepthTestDistance = defaultValue( this.disableDepthTestDistance, - source.disableDepthTestDistance + source.disableDepthTestDistance, ); this.splitDirection = defaultValue( this.splitDirection, - source.splitDirection + source.splitDirection, ); }; export default PointGraphics; diff --git a/packages/engine/Source/DataSources/PointVisualizer.js b/packages/engine/Source/DataSources/PointVisualizer.js index 0fe2f9f00c81..26b5404fca8e 100644 --- a/packages/engine/Source/DataSources/PointVisualizer.js +++ b/packages/engine/Source/DataSources/PointVisualizer.js @@ -56,7 +56,7 @@ function PointVisualizer(entityCluster, entityCollection) { entityCollection.collectionChanged.addEventListener( PointVisualizer.prototype._onCollectionChanged, - this + this, ); this._cluster = entityCluster; @@ -90,7 +90,7 @@ PointVisualizer.prototype.update = function (time) { const heightReference = Property.getValueOrDefault( pointGraphics._heightReference, time, - HeightReference.NONE + HeightReference.NONE, ); let show = entity.isShowing && @@ -101,7 +101,7 @@ PointVisualizer.prototype.update = function (time) { position = Property.getValueOrUndefined( entity._position, time, - positionScratch + positionScratch, ); show = defined(position); } @@ -155,49 +155,49 @@ PointVisualizer.prototype.update = function (time) { pointPrimitive.scaleByDistance = Property.getValueOrUndefined( pointGraphics._scaleByDistance, time, - scaleByDistanceScratch + scaleByDistanceScratch, ); pointPrimitive.translucencyByDistance = Property.getValueOrUndefined( pointGraphics._translucencyByDistance, time, - translucencyByDistanceScratch + translucencyByDistanceScratch, ); pointPrimitive.color = Property.getValueOrDefault( pointGraphics._color, time, defaultColor, - colorScratch + colorScratch, ); pointPrimitive.outlineColor = Property.getValueOrDefault( pointGraphics._outlineColor, time, defaultOutlineColor, - outlineColorScratch + outlineColorScratch, ); pointPrimitive.outlineWidth = Property.getValueOrDefault( pointGraphics._outlineWidth, time, - defaultOutlineWidth + defaultOutlineWidth, ); pointPrimitive.pixelSize = Property.getValueOrDefault( pointGraphics._pixelSize, time, - defaultPixelSize + defaultPixelSize, ); pointPrimitive.distanceDisplayCondition = Property.getValueOrUndefined( pointGraphics._distanceDisplayCondition, time, - distanceDisplayConditionScratch + distanceDisplayConditionScratch, ); pointPrimitive.disableDepthTestDistance = Property.getValueOrDefault( pointGraphics._disableDepthTestDistance, time, - defaultDisableDepthTestDistance + defaultDisableDepthTestDistance, ); pointPrimitive.splitDirection = Property.getValueOrDefault( pointGraphics._splitDirection, time, - defaultSplitDirection + defaultSplitDirection, ); } else if (defined(billboard)) { billboard.show = true; @@ -205,27 +205,27 @@ PointVisualizer.prototype.update = function (time) { billboard.scaleByDistance = Property.getValueOrUndefined( pointGraphics._scaleByDistance, time, - scaleByDistanceScratch + scaleByDistanceScratch, ); billboard.translucencyByDistance = Property.getValueOrUndefined( pointGraphics._translucencyByDistance, time, - translucencyByDistanceScratch + translucencyByDistanceScratch, ); billboard.distanceDisplayCondition = Property.getValueOrUndefined( pointGraphics._distanceDisplayCondition, time, - distanceDisplayConditionScratch + distanceDisplayConditionScratch, ); billboard.disableDepthTestDistance = Property.getValueOrDefault( pointGraphics._disableDepthTestDistance, time, - defaultDisableDepthTestDistance + defaultDisableDepthTestDistance, ); billboard.splitDirection = Property.getValueOrDefault( pointGraphics._splitDirection, time, - defaultSplitDirection + defaultSplitDirection, ); billboard.heightReference = heightReference; @@ -233,20 +233,20 @@ PointVisualizer.prototype.update = function (time) { pointGraphics._color, time, defaultColor, - colorScratch + colorScratch, ); const newOutlineColor = Property.getValueOrDefault( pointGraphics._outlineColor, time, defaultOutlineColor, - outlineColorScratch + outlineColorScratch, ); const newOutlineWidth = Math.round( Property.getValueOrDefault( pointGraphics._outlineWidth, time, - defaultOutlineWidth - ) + defaultOutlineWidth, + ), ); let newPixelSize = Math.max( 1, @@ -254,9 +254,9 @@ PointVisualizer.prototype.update = function (time) { Property.getValueOrDefault( pointGraphics._pixelSize, time, - defaultPixelSize - ) - ) + defaultPixelSize, + ), + ), ); if (newOutlineWidth > 0) { @@ -300,8 +300,8 @@ PointVisualizer.prototype.update = function (time) { cssColor, cssOutlineColor, newOutlineWidth, - newPixelSize - ) + newPixelSize, + ), ); } @@ -345,7 +345,7 @@ PointVisualizer.prototype.getBoundingSphere = function (entity, result) { if (defined(item.pointPrimitive)) { result.center = Cartesian3.clone( item.pointPrimitive.position, - result.center + result.center, ); } else { const billboard = item.billboard; @@ -374,7 +374,7 @@ PointVisualizer.prototype.isDestroyed = function () { PointVisualizer.prototype.destroy = function () { this._entityCollection.collectionChanged.removeEventListener( PointVisualizer.prototype._onCollectionChanged, - this + this, ); const entities = this._entityCollection.values; for (let i = 0; i < entities.length; i++) { @@ -387,7 +387,7 @@ PointVisualizer.prototype._onCollectionChanged = function ( entityCollection, added, removed, - changed + changed, ) { let i; let entity; diff --git a/packages/engine/Source/DataSources/PolygonGeometryUpdater.js b/packages/engine/Source/DataSources/PolygonGeometryUpdater.js index 117c662f6577..72899f1672ac 100644 --- a/packages/engine/Source/DataSources/PolygonGeometryUpdater.js +++ b/packages/engine/Source/DataSources/PolygonGeometryUpdater.js @@ -79,7 +79,7 @@ function PolygonGeometryUpdater(entity, scene) { if (defined(Object.create)) { PolygonGeometryUpdater.prototype = Object.create( - GroundGeometryUpdater.prototype + GroundGeometryUpdater.prototype, ); PolygonGeometryUpdater.prototype.constructor = PolygonGeometryUpdater; } @@ -98,7 +98,7 @@ PolygonGeometryUpdater.prototype.createFillGeometryInstance = function (time) { if (!this._fillEnabled) { throw new DeveloperError( - "This instance does not represent a filled geometry." + "This instance does not represent a filled geometry.", ); } //>>includeEnd('debug'); @@ -112,11 +112,12 @@ PolygonGeometryUpdater.prototype.createFillGeometryInstance = function (time) { isAvailable && entity.isShowing && this._showProperty.getValue(time) && - this._fillProperty.getValue(time) - ), - distanceDisplayCondition: DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( - this._distanceDisplayConditionProperty.getValue(time) + this._fillProperty.getValue(time), ), + distanceDisplayCondition: + DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( + this._distanceDisplayConditionProperty.getValue(time), + ), offset: undefined, color: undefined, }; @@ -140,8 +141,8 @@ PolygonGeometryUpdater.prototype.createFillGeometryInstance = function (time) { this._terrainOffsetProperty, time, defaultOffset, - offsetScratch - ) + offsetScratch, + ), ); } @@ -168,14 +169,14 @@ PolygonGeometryUpdater.prototype.createFillGeometryInstance = function (time) { * @exception {DeveloperError} This instance does not represent an outlined geometry. */ PolygonGeometryUpdater.prototype.createOutlineGeometryInstance = function ( - time + time, ) { //>>includeStart('debug', pragmas.debug); Check.defined("time", time); if (!this._outlineEnabled) { throw new DeveloperError( - "This instance does not represent an outlined geometry." + "This instance does not represent an outlined geometry.", ); } //>>includeEnd('debug'); @@ -187,23 +188,23 @@ PolygonGeometryUpdater.prototype.createOutlineGeometryInstance = function ( this._outlineColorProperty, time, Color.BLACK, - scratchColor - ); - const distanceDisplayCondition = this._distanceDisplayConditionProperty.getValue( - time + scratchColor, ); + const distanceDisplayCondition = + this._distanceDisplayConditionProperty.getValue(time); const attributes = { show: new ShowGeometryInstanceAttribute( isAvailable && entity.isShowing && this._showProperty.getValue(time) && - this._showOutlineProperty.getValue(time) + this._showOutlineProperty.getValue(time), ), color: ColorGeometryInstanceAttribute.fromColor(outlineColor), - distanceDisplayCondition: DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( - distanceDisplayCondition - ), + distanceDisplayCondition: + DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( + distanceDisplayCondition, + ), offset: undefined, }; @@ -213,8 +214,8 @@ PolygonGeometryUpdater.prototype.createOutlineGeometryInstance = function ( this._terrainOffsetProperty, time, defaultOffset, - offsetScratch - ) + offsetScratch, + ), ); } @@ -234,7 +235,7 @@ PolygonGeometryUpdater.prototype.createOutlineGeometryInstance = function ( PolygonGeometryUpdater.prototype._computeCenter = function (time, result) { const hierarchy = Property.getValueOrUndefined( this._entity.polygon.hierarchy, - time + time, ); if (!defined(hierarchy)) { return; @@ -248,7 +249,7 @@ PolygonGeometryUpdater.prototype._computeCenter = function (time, result) { const tangentPlane = EllipsoidTangentPlane.fromPoints(positions, ellipsoid); const positions2D = tangentPlane.projectPointsOntoPlane( positions, - scratch2DPositions + scratch2DPositions, ); const length = positions2D.length; @@ -283,7 +284,7 @@ PolygonGeometryUpdater.prototype._isOnTerrain = function (entity, polygon) { const onTerrain = GroundGeometryUpdater.prototype._isOnTerrain.call( this, entity, - polygon + polygon, ); const perPositionHeightProperty = polygon.perPositionHeight; const perPositionHeightEnabled = @@ -316,7 +317,7 @@ PolygonGeometryUpdater.prototype._isDynamic = function (entity, polygon) { PolygonGeometryUpdater.prototype._setStaticOptions = function ( entity, - polygon + polygon, ) { const isColorMaterial = this._materialProperty instanceof ColorMaterialProperty; @@ -329,31 +330,31 @@ PolygonGeometryUpdater.prototype._setStaticOptions = function ( const hierarchyValue = polygon.hierarchy.getValue(Iso8601.MINIMUM_VALUE); let heightValue = Property.getValueOrUndefined( polygon.height, - Iso8601.MINIMUM_VALUE + Iso8601.MINIMUM_VALUE, ); const heightReferenceValue = Property.getValueOrDefault( polygon.heightReference, Iso8601.MINIMUM_VALUE, - HeightReference.NONE + HeightReference.NONE, ); let extrudedHeightValue = Property.getValueOrUndefined( polygon.extrudedHeight, - Iso8601.MINIMUM_VALUE + Iso8601.MINIMUM_VALUE, ); const extrudedHeightReferenceValue = Property.getValueOrDefault( polygon.extrudedHeightReference, Iso8601.MINIMUM_VALUE, - HeightReference.NONE + HeightReference.NONE, ); const perPositionHeightValue = Property.getValueOrDefault( polygon.perPositionHeight, Iso8601.MINIMUM_VALUE, - false + false, ); heightValue = GroundGeometryUpdater.getGeometryHeight( heightValue, - heightReferenceValue + heightReferenceValue, ); let offsetAttribute; @@ -377,56 +378,57 @@ PolygonGeometryUpdater.prototype._setStaticOptions = function ( heightValue, heightReferenceValue, extrudedHeightValue, - extrudedHeightReferenceValue + extrudedHeightReferenceValue, ); } options.polygonHierarchy = hierarchyValue; options.granularity = Property.getValueOrUndefined( polygon.granularity, - Iso8601.MINIMUM_VALUE + Iso8601.MINIMUM_VALUE, ); options.stRotation = Property.getValueOrUndefined( polygon.stRotation, - Iso8601.MINIMUM_VALUE + Iso8601.MINIMUM_VALUE, ); options.perPositionHeight = perPositionHeightValue; options.closeTop = Property.getValueOrDefault( polygon.closeTop, Iso8601.MINIMUM_VALUE, - true + true, ); options.closeBottom = Property.getValueOrDefault( polygon.closeBottom, Iso8601.MINIMUM_VALUE, - true + true, ); options.offsetAttribute = offsetAttribute; options.height = heightValue; options.arcType = Property.getValueOrDefault( polygon.arcType, Iso8601.MINIMUM_VALUE, - ArcType.GEODESIC + ArcType.GEODESIC, ); options.textureCoordinates = Property.getValueOrUndefined( polygon.textureCoordinates, - Iso8601.MINIMUM_VALUE + Iso8601.MINIMUM_VALUE, ); extrudedHeightValue = GroundGeometryUpdater.getGeometryExtrudedHeight( extrudedHeightValue, - extrudedHeightReferenceValue + extrudedHeightReferenceValue, ); if (extrudedHeightValue === GroundGeometryUpdater.CLAMP_TO_GROUND) { const rectangle = PolygonGeometry.computeRectangleFromPositions( options.polygonHierarchy.positions, options.ellipsoid, options.arcType, - scratchRectangle + scratchRectangle, ); - extrudedHeightValue = ApproximateTerrainHeights.getMinimumMaximumHeights( - rectangle - ).minimumTerrainHeight; + extrudedHeightValue = + ApproximateTerrainHeights.getMinimumMaximumHeights( + rectangle, + ).minimumTerrainHeight; } options.extrudedHeight = extrudedHeightValue; @@ -451,27 +453,28 @@ PolygonGeometryUpdater.DynamicGeometryUpdater = DyanmicPolygonGeometryUpdater; function DyanmicPolygonGeometryUpdater( geometryUpdater, primitives, - groundPrimitives + groundPrimitives, ) { DynamicGeometryUpdater.call( this, geometryUpdater, primitives, - groundPrimitives + groundPrimitives, ); } if (defined(Object.create)) { DyanmicPolygonGeometryUpdater.prototype = Object.create( - DynamicGeometryUpdater.prototype + DynamicGeometryUpdater.prototype, ); - DyanmicPolygonGeometryUpdater.prototype.constructor = DyanmicPolygonGeometryUpdater; + DyanmicPolygonGeometryUpdater.prototype.constructor = + DyanmicPolygonGeometryUpdater; } DyanmicPolygonGeometryUpdater.prototype._isHidden = function ( entity, polygon, - time + time, ) { return ( !defined(this._options.polygonHierarchy) || @@ -482,38 +485,38 @@ DyanmicPolygonGeometryUpdater.prototype._isHidden = function ( DyanmicPolygonGeometryUpdater.prototype._setOptions = function ( entity, polygon, - time + time, ) { const options = this._options; options.polygonHierarchy = Property.getValueOrUndefined( polygon.hierarchy, - time + time, ); let heightValue = Property.getValueOrUndefined(polygon.height, time); const heightReferenceValue = Property.getValueOrDefault( polygon.heightReference, time, - HeightReference.NONE + HeightReference.NONE, ); const extrudedHeightReferenceValue = Property.getValueOrDefault( polygon.extrudedHeightReference, time, - HeightReference.NONE + HeightReference.NONE, ); let extrudedHeightValue = Property.getValueOrUndefined( polygon.extrudedHeight, - time + time, ); const perPositionHeightValue = Property.getValueOrUndefined( polygon.perPositionHeight, - time + time, ); heightValue = GroundGeometryUpdater.getGeometryHeight( heightValue, - extrudedHeightReferenceValue + extrudedHeightReferenceValue, ); let offsetAttribute; @@ -538,7 +541,7 @@ DyanmicPolygonGeometryUpdater.prototype._setOptions = function ( heightValue, heightReferenceValue, extrudedHeightValue, - extrudedHeightReferenceValue + extrudedHeightReferenceValue, ); } @@ -546,40 +549,41 @@ DyanmicPolygonGeometryUpdater.prototype._setOptions = function ( options.stRotation = Property.getValueOrUndefined(polygon.stRotation, time); options.textureCoordinates = Property.getValueOrUndefined( polygon.textureCoordinates, - time + time, ); options.perPositionHeight = Property.getValueOrUndefined( polygon.perPositionHeight, - time + time, ); options.closeTop = Property.getValueOrDefault(polygon.closeTop, time, true); options.closeBottom = Property.getValueOrDefault( polygon.closeBottom, time, - true + true, ); options.offsetAttribute = offsetAttribute; options.height = heightValue; options.arcType = Property.getValueOrDefault( polygon.arcType, time, - ArcType.GEODESIC + ArcType.GEODESIC, ); extrudedHeightValue = GroundGeometryUpdater.getGeometryExtrudedHeight( extrudedHeightValue, - extrudedHeightReferenceValue + extrudedHeightReferenceValue, ); if (extrudedHeightValue === GroundGeometryUpdater.CLAMP_TO_GROUND) { const rectangle = PolygonGeometry.computeRectangleFromPositions( options.polygonHierarchy.positions, options.ellipsoid, options.arcType, - scratchRectangle + scratchRectangle, ); - extrudedHeightValue = ApproximateTerrainHeights.getMinimumMaximumHeights( - rectangle - ).minimumTerrainHeight; + extrudedHeightValue = + ApproximateTerrainHeights.getMinimumMaximumHeights( + rectangle, + ).minimumTerrainHeight; } options.extrudedHeight = extrudedHeightValue; diff --git a/packages/engine/Source/DataSources/PolygonGraphics.js b/packages/engine/Source/DataSources/PolygonGraphics.js index 8e45da5edd5c..d6897a82810a 100644 --- a/packages/engine/Source/DataSources/PolygonGraphics.js +++ b/packages/engine/Source/DataSources/PolygonGraphics.js @@ -137,7 +137,7 @@ Object.defineProperties(PolygonGraphics.prototype, { hierarchy: createPropertyDescriptor( "hierarchy", undefined, - createPolygonHierarchyProperty + createPolygonHierarchyProperty, ), /** @@ -278,7 +278,7 @@ Object.defineProperties(PolygonGraphics.prototype, { * @type {Property|undefined} */ distanceDisplayCondition: createPropertyDescriptor( - "distanceDisplayCondition" + "distanceDisplayCondition", ), /** @@ -358,15 +358,15 @@ PolygonGraphics.prototype.merge = function (source) { this.height = defaultValue(this.height, source.height); this.heightReference = defaultValue( this.heightReference, - source.heightReference + source.heightReference, ); this.extrudedHeight = defaultValue( this.extrudedHeight, - source.extrudedHeight + source.extrudedHeight, ); this.extrudedHeightReference = defaultValue( this.extrudedHeightReference, - source.extrudedHeightReference + source.extrudedHeightReference, ); this.stRotation = defaultValue(this.stRotation, source.stRotation); this.granularity = defaultValue(this.granularity, source.granularity); @@ -377,7 +377,7 @@ PolygonGraphics.prototype.merge = function (source) { this.outlineWidth = defaultValue(this.outlineWidth, source.outlineWidth); this.perPositionHeight = defaultValue( this.perPositionHeight, - source.perPositionHeight + source.perPositionHeight, ); this.closeTop = defaultValue(this.closeTop, source.closeTop); this.closeBottom = defaultValue(this.closeBottom, source.closeBottom); @@ -385,16 +385,16 @@ PolygonGraphics.prototype.merge = function (source) { this.shadows = defaultValue(this.shadows, source.shadows); this.distanceDisplayCondition = defaultValue( this.distanceDisplayCondition, - source.distanceDisplayCondition + source.distanceDisplayCondition, ); this.classificationType = defaultValue( this.classificationType, - source.classificationType + source.classificationType, ); this.zIndex = defaultValue(this.zIndex, source.zIndex); this.textureCoordinates = defaultValue( this.textureCoordinates, - source.textureCoordinates + source.textureCoordinates, ); }; export default PolygonGraphics; diff --git a/packages/engine/Source/DataSources/PolylineArrowMaterialProperty.js b/packages/engine/Source/DataSources/PolylineArrowMaterialProperty.js index 0550b9f46992..9f203546db9a 100644 --- a/packages/engine/Source/DataSources/PolylineArrowMaterialProperty.js +++ b/packages/engine/Source/DataSources/PolylineArrowMaterialProperty.js @@ -88,7 +88,7 @@ PolylineArrowMaterialProperty.prototype.getValue = function (time, result) { this._color, time, Color.WHITE, - result.color + result.color, ); return result; }; diff --git a/packages/engine/Source/DataSources/PolylineDashMaterialProperty.js b/packages/engine/Source/DataSources/PolylineDashMaterialProperty.js index 3335017ba128..f724520f22fc 100644 --- a/packages/engine/Source/DataSources/PolylineDashMaterialProperty.js +++ b/packages/engine/Source/DataSources/PolylineDashMaterialProperty.js @@ -131,25 +131,25 @@ PolylineDashMaterialProperty.prototype.getValue = function (time, result) { this._color, time, defaultColor, - result.color + result.color, ); result.gapColor = Property.getValueOrClonedDefault( this._gapColor, time, defaultGapColor, - result.gapColor + result.gapColor, ); result.dashLength = Property.getValueOrDefault( this._dashLength, time, defaultDashLength, - result.dashLength + result.dashLength, ); result.dashPattern = Property.getValueOrDefault( this._dashPattern, time, defaultDashPattern, - result.dashPattern + result.dashPattern, ); return result; }; diff --git a/packages/engine/Source/DataSources/PolylineGeometryUpdater.js b/packages/engine/Source/DataSources/PolylineGeometryUpdater.js index e70b4583e483..8776e44ada01 100644 --- a/packages/engine/Source/DataSources/PolylineGeometryUpdater.js +++ b/packages/engine/Source/DataSources/PolylineGeometryUpdater.js @@ -40,7 +40,7 @@ const defaultMaterial = new ColorMaterialProperty(Color.WHITE); const defaultShow = new ConstantProperty(true); const defaultShadows = new ConstantProperty(ShadowMode.DISABLED); const defaultDistanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition() + new DistanceDisplayCondition(), ); const defaultClassificationType = new ConstantProperty(ClassificationType.BOTH); @@ -82,7 +82,7 @@ function PolylineGeometryUpdater(entity, scene) { this._scene = scene; this._entitySubscription = entity.definitionChanged.addEventListener( PolylineGeometryUpdater.prototype._onEntityPropertyChanged, - this + this, ); this._fillEnabled = false; this._dynamic = false; @@ -366,7 +366,7 @@ PolylineGeometryUpdater.prototype.createFillGeometryInstance = function (time) { if (!this._fillEnabled) { throw new DeveloperError( - "This instance does not represent a filled geometry." + "This instance does not represent a filled geometry.", ); } //>>includeEnd('debug'); @@ -374,14 +374,14 @@ PolylineGeometryUpdater.prototype.createFillGeometryInstance = function (time) { const entity = this._entity; const isAvailable = entity.isAvailable(time); const show = new ShowGeometryInstanceAttribute( - isAvailable && entity.isShowing && this._showProperty.getValue(time) - ); - const distanceDisplayCondition = this._distanceDisplayConditionProperty.getValue( - time - ); - const distanceDisplayConditionAttribute = DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( - distanceDisplayCondition + isAvailable && entity.isShowing && this._showProperty.getValue(time), ); + const distanceDisplayCondition = + this._distanceDisplayConditionProperty.getValue(time); + const distanceDisplayConditionAttribute = + DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( + distanceDisplayCondition, + ); const attributes = { show: show, @@ -420,15 +420,14 @@ PolylineGeometryUpdater.prototype.createFillGeometryInstance = function (time) { ) { currentColor = this._depthFailMaterialProperty.color.getValue( time, - scratchColor + scratchColor, ); } if (!defined(currentColor)) { currentColor = Color.WHITE; } - attributes.depthFailColor = ColorGeometryInstanceAttribute.fromColor( - currentColor - ); + attributes.depthFailColor = + ColorGeometryInstanceAttribute.fromColor(currentColor); } return new GeometryInstance({ @@ -447,11 +446,11 @@ PolylineGeometryUpdater.prototype.createFillGeometryInstance = function (time) { * @exception {DeveloperError} This instance does not represent an outlined geometry. */ PolylineGeometryUpdater.prototype.createOutlineGeometryInstance = function ( - time + time, ) { //>>includeStart('debug', pragmas.debug); throw new DeveloperError( - "This instance does not represent an outlined geometry." + "This instance does not represent an outlined geometry.", ); //>>includeEnd('debug'); }; @@ -479,7 +478,7 @@ PolylineGeometryUpdater.prototype._onEntityPropertyChanged = function ( entity, propertyName, newValue, - oldValue + oldValue, ) { if (!(propertyName === "availability" || propertyName === "polyline")) { return; @@ -520,11 +519,11 @@ PolylineGeometryUpdater.prototype._onEntityPropertyChanged = function ( this._shadowsProperty = defaultValue(polyline.shadows, defaultShadows); this._distanceDisplayConditionProperty = defaultValue( polyline.distanceDisplayCondition, - defaultDistanceDisplayCondition + defaultDistanceDisplayCondition, ); this._classificationTypeProperty = defaultValue( polyline.classificationType, - defaultClassificationType + defaultClassificationType, ); this._fillEnabled = true; this._zIndex = defaultValue(zIndex, defaultZIndex); @@ -550,7 +549,7 @@ PolylineGeometryUpdater.prototype._onEntityPropertyChanged = function ( const geometryOptions = this._geometryOptions; const positions = positionsProperty.getValue( Iso8601.MINIMUM_VALUE, - geometryOptions.positions + geometryOptions.positions, ); //Because of the way we currently handle reference properties, @@ -598,7 +597,7 @@ PolylineGeometryUpdater.prototype._onEntityPropertyChanged = function ( if (!this._clampToGround && defined(zIndex)) { oneTimeWarning( - "Entity polylines must have clampToGround: true when using zIndex. zIndex will be ignored." + "Entity polylines must have clampToGround: true when using zIndex. zIndex will be ignored.", ); } @@ -619,7 +618,7 @@ PolylineGeometryUpdater.prototype._onEntityPropertyChanged = function ( */ PolylineGeometryUpdater.prototype.createDynamicUpdater = function ( primitives, - groundPrimitives + groundPrimitives, ) { //>>includeStart('debug', pragmas.debug); Check.defined("primitives", primitives); @@ -627,7 +626,7 @@ PolylineGeometryUpdater.prototype.createDynamicUpdater = function ( if (!this._dynamic) { throw new DeveloperError( - "This instance does not represent dynamic geometry." + "This instance does not represent dynamic geometry.", ); } //>>includeEnd('debug'); @@ -687,31 +686,28 @@ DynamicGeometryUpdater.prototype.update = function (time) { let positions = Property.getValueOrUndefined( positionsProperty, time, - this._positions + this._positions, ); // Synchronize with geometryUpdater for GroundPolylinePrimitive geometryUpdater._clampToGround = Property.getValueOrDefault( polyline._clampToGround, time, - false + false, ); geometryUpdater._groundGeometryOptions.positions = positions; geometryUpdater._groundGeometryOptions.width = Property.getValueOrDefault( polyline._width, time, - 1 + 1, ); geometryUpdater._groundGeometryOptions.arcType = Property.getValueOrDefault( polyline._arcType, time, - ArcType.GEODESIC - ); - geometryUpdater._groundGeometryOptions.granularity = Property.getValueOrDefault( - polyline._granularity, - time, - 9999 + ArcType.GEODESIC, ); + geometryUpdater._groundGeometryOptions.granularity = + Property.getValueOrDefault(polyline._granularity, time, 9999); const groundPrimitives = this._groundPrimitives; @@ -741,7 +737,7 @@ DynamicGeometryUpdater.prototype.update = function (time) { const material = MaterialProperty.getValue( time, fillMaterialProperty, - this._material + this._material, ); appearance = new PolylineMaterialAppearance({ material: material, @@ -754,12 +750,11 @@ DynamicGeometryUpdater.prototype.update = function (time) { new GroundPolylinePrimitive({ geometryInstances: geometryUpdater.createFillGeometryInstance(time), appearance: appearance, - classificationType: geometryUpdater.classificationTypeProperty.getValue( - time - ), + classificationType: + geometryUpdater.classificationTypeProperty.getValue(time), asynchronous: false, }), - Property.getValueOrUndefined(geometryUpdater.zIndex, time) + Property.getValueOrUndefined(geometryUpdater.zIndex, time), ); // Hide the polyline in the collection, if any @@ -795,19 +790,19 @@ DynamicGeometryUpdater.prototype.update = function (time) { generateCartesianArcOptions.positions = positions; generateCartesianArcOptions.granularity = Property.getValueOrUndefined( polyline._granularity, - time + time, ); generateCartesianArcOptions.height = PolylinePipeline.extractHeights( positions, - ellipsoid + ellipsoid, ); if (arcType === ArcType.GEODESIC) { positions = PolylinePipeline.generateCartesianArc( - generateCartesianArcOptions + generateCartesianArcOptions, ); } else { positions = PolylinePipeline.generateCartesianRhumbArc( - generateCartesianArcOptions + generateCartesianArcOptions, ); } } @@ -817,13 +812,13 @@ DynamicGeometryUpdater.prototype.update = function (time) { line.material = MaterialProperty.getValue( time, geometryUpdater.fillMaterialProperty, - line.material + line.material, ); line.width = Property.getValueOrDefault(polyline._width, time, 1); line.distanceDisplayCondition = Property.getValueOrUndefined( polyline._distanceDisplayCondition, time, - line.distanceDisplayCondition + line.distanceDisplayCondition, ); }; @@ -846,7 +841,7 @@ DynamicGeometryUpdater.prototype.getBoundingSphere = function (result) { groundPolylinePrimitive.ready ) { const attributes = groundPolylinePrimitive.getGeometryInstanceAttributes( - this._geometryUpdater._entity + this._geometryUpdater._entity, ); if (defined(attributes) && defined(attributes.boundingSphere)) { BoundingSphere.clone(attributes.boundingSphere, result); diff --git a/packages/engine/Source/DataSources/PolylineGlowMaterialProperty.js b/packages/engine/Source/DataSources/PolylineGlowMaterialProperty.js index 50b5c2db007a..7cdb799d6f23 100644 --- a/packages/engine/Source/DataSources/PolylineGlowMaterialProperty.js +++ b/packages/engine/Source/DataSources/PolylineGlowMaterialProperty.js @@ -116,19 +116,19 @@ PolylineGlowMaterialProperty.prototype.getValue = function (time, result) { this._color, time, defaultColor, - result.color + result.color, ); result.glowPower = Property.getValueOrDefault( this._glowPower, time, defaultGlowPower, - result.glowPower + result.glowPower, ); result.taperPower = Property.getValueOrDefault( this._taperPower, time, defaultTaperPower, - result.taperPower + result.taperPower, ); return result; }; diff --git a/packages/engine/Source/DataSources/PolylineGraphics.js b/packages/engine/Source/DataSources/PolylineGraphics.js index 68c00789e036..dccb69badc04 100644 --- a/packages/engine/Source/DataSources/PolylineGraphics.js +++ b/packages/engine/Source/DataSources/PolylineGraphics.js @@ -165,7 +165,7 @@ Object.defineProperties(PolylineGraphics.prototype, { * @type {Property|undefined} */ distanceDisplayCondition: createPropertyDescriptor( - "distanceDisplayCondition" + "distanceDisplayCondition", ), /** @@ -230,18 +230,18 @@ PolylineGraphics.prototype.merge = function (source) { this.material = defaultValue(this.material, source.material); this.depthFailMaterial = defaultValue( this.depthFailMaterial, - source.depthFailMaterial + source.depthFailMaterial, ); this.arcType = defaultValue(this.arcType, source.arcType); this.clampToGround = defaultValue(this.clampToGround, source.clampToGround); this.shadows = defaultValue(this.shadows, source.shadows); this.distanceDisplayCondition = defaultValue( this.distanceDisplayCondition, - source.distanceDisplayCondition + source.distanceDisplayCondition, ); this.classificationType = defaultValue( this.classificationType, - source.classificationType + source.classificationType, ); this.zIndex = defaultValue(this.zIndex, source.zIndex); }; diff --git a/packages/engine/Source/DataSources/PolylineOutlineMaterialProperty.js b/packages/engine/Source/DataSources/PolylineOutlineMaterialProperty.js index bb13942d3736..8ca6563a6049 100644 --- a/packages/engine/Source/DataSources/PolylineOutlineMaterialProperty.js +++ b/packages/engine/Source/DataSources/PolylineOutlineMaterialProperty.js @@ -123,18 +123,18 @@ PolylineOutlineMaterialProperty.prototype.getValue = function (time, result) { this._color, time, defaultColor, - result.color + result.color, ); result.outlineColor = Property.getValueOrClonedDefault( this._outlineColor, time, defaultOutlineColor, - result.outlineColor + result.outlineColor, ); result.outlineWidth = Property.getValueOrDefault( this._outlineWidth, time, - defaultOutlineWidth + defaultOutlineWidth, ); return result; }; diff --git a/packages/engine/Source/DataSources/PolylineVisualizer.js b/packages/engine/Source/DataSources/PolylineVisualizer.js index a30fb2fad7be..8cf4745b0f73 100644 --- a/packages/engine/Source/DataSources/PolylineVisualizer.js +++ b/packages/engine/Source/DataSources/PolylineVisualizer.js @@ -35,9 +35,8 @@ function insertUpdaterIntoBatch(that, time, updater) { if (updater.clampToGround && updater.fillEnabled) { // Also checks for support - const classificationType = updater.classificationTypeProperty.getValue( - time - ); + const classificationType = + updater.classificationTypeProperty.getValue(time); that._groundBatches[classificationType].add(time, updater); return; } @@ -83,7 +82,7 @@ function PolylineVisualizer( scene, entityCollection, primitives, - groundPrimitives + groundPrimitives, ) { //>>includeStart('debug', pragmas.debug); Check.defined("scene", scene); @@ -111,14 +110,14 @@ function PolylineVisualizer( PolylineColorAppearance, undefined, false, - i + i, ); // no depth fail appearance this._materialBatches[i] = new StaticGeometryPerMaterialBatch( primitives, PolylineMaterialAppearance, undefined, false, - i + i, ); this._colorBatches[i + numberOfShadowModes] = new StaticGeometryColorBatch( @@ -126,36 +125,33 @@ function PolylineVisualizer( PolylineColorAppearance, PolylineColorAppearance, false, - i + i, ); //depth fail appearance variations - this._materialBatches[ - i + numberOfShadowModes - ] = new StaticGeometryPerMaterialBatch( - primitives, - PolylineMaterialAppearance, - PolylineColorAppearance, - false, - i - ); + this._materialBatches[i + numberOfShadowModes] = + new StaticGeometryPerMaterialBatch( + primitives, + PolylineMaterialAppearance, + PolylineColorAppearance, + false, + i, + ); - this._colorBatches[ - i + numberOfShadowModes * 2 - ] = new StaticGeometryColorBatch( - primitives, - PolylineColorAppearance, - PolylineMaterialAppearance, - false, - i - ); - this._materialBatches[ - i + numberOfShadowModes * 2 - ] = new StaticGeometryPerMaterialBatch( - primitives, - PolylineMaterialAppearance, - PolylineMaterialAppearance, - false, - i - ); + this._colorBatches[i + numberOfShadowModes * 2] = + new StaticGeometryColorBatch( + primitives, + PolylineColorAppearance, + PolylineMaterialAppearance, + false, + i, + ); + this._materialBatches[i + numberOfShadowModes * 2] = + new StaticGeometryPerMaterialBatch( + primitives, + PolylineMaterialAppearance, + PolylineMaterialAppearance, + false, + i, + ); } this._dynamicBatch = new DynamicGeometryBatch(primitives, groundPrimitives); @@ -167,14 +163,14 @@ function PolylineVisualizer( for (i = 0; i < numberOfClassificationTypes; ++i) { this._groundBatches[i] = new StaticGroundPolylinePerMaterialBatch( groundPrimitives, - i + i, ); } this._batches = this._colorBatches.concat( this._materialBatches, this._dynamicBatch, - this._groundBatches + this._groundBatches, ); this._subscriptions = new AssociativeArray(); @@ -183,12 +179,12 @@ function PolylineVisualizer( this._entityCollection = entityCollection; entityCollection.collectionChanged.addEventListener( PolylineVisualizer.prototype._onCollectionChanged, - this + this, ); this._onCollectionChanged( entityCollection, entityCollection.values, - emptyArray + emptyArray, ); } @@ -257,8 +253,8 @@ PolylineVisualizer.prototype.update = function (time) { id, updater.geometryChanged.addEventListener( PolylineVisualizer._onGeometryChanged, - this - ) + this, + ), ); } @@ -311,7 +307,7 @@ PolylineVisualizer.prototype.getBoundingSphere = function (entity, result) { } else if (state === BoundingSphereState.DONE) { boundingSpheres[count] = BoundingSphere.clone( tmp, - boundingSpheres[count] + boundingSpheres[count], ); count++; } @@ -341,7 +337,7 @@ PolylineVisualizer.prototype.isDestroyed = function () { PolylineVisualizer.prototype.destroy = function () { this._entityCollection.collectionChanged.removeEventListener( PolylineVisualizer.prototype._onCollectionChanged, - this + this, ); this._addedObjects.removeAll(); this._removedObjects.removeAll(); @@ -383,7 +379,7 @@ PolylineVisualizer._onGeometryChanged = function (updater) { PolylineVisualizer.prototype._onCollectionChanged = function ( entityCollection, added, - removed + removed, ) { const addedObjects = this._addedObjects; const removedObjects = this._removedObjects; diff --git a/packages/engine/Source/DataSources/PolylineVolumeGeometryUpdater.js b/packages/engine/Source/DataSources/PolylineVolumeGeometryUpdater.js index 1d8aca1a3d94..a9ed1192c34a 100644 --- a/packages/engine/Source/DataSources/PolylineVolumeGeometryUpdater.js +++ b/packages/engine/Source/DataSources/PolylineVolumeGeometryUpdater.js @@ -49,15 +49,16 @@ function PolylineVolumeGeometryUpdater(entity, scene) { entity, "polylineVolume", entity.polylineVolume, - undefined + undefined, ); } if (defined(Object.create)) { PolylineVolumeGeometryUpdater.prototype = Object.create( - GeometryUpdater.prototype + GeometryUpdater.prototype, ); - PolylineVolumeGeometryUpdater.prototype.constructor = PolylineVolumeGeometryUpdater; + PolylineVolumeGeometryUpdater.prototype.constructor = + PolylineVolumeGeometryUpdater; } /** @@ -69,14 +70,14 @@ if (defined(Object.create)) { * @exception {DeveloperError} This instance does not represent a filled geometry. */ PolylineVolumeGeometryUpdater.prototype.createFillGeometryInstance = function ( - time + time, ) { //>>includeStart('debug', pragmas.debug); Check.defined("time", time); if (!this._fillEnabled) { throw new DeveloperError( - "This instance does not represent a filled geometry." + "This instance does not represent a filled geometry.", ); } //>>includeEnd('debug'); @@ -91,14 +92,14 @@ PolylineVolumeGeometryUpdater.prototype.createFillGeometryInstance = function ( isAvailable && entity.isShowing && this._showProperty.getValue(time) && - this._fillProperty.getValue(time) - ); - const distanceDisplayCondition = this._distanceDisplayConditionProperty.getValue( - time - ); - const distanceDisplayConditionAttribute = DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( - distanceDisplayCondition + this._fillProperty.getValue(time), ); + const distanceDisplayCondition = + this._distanceDisplayConditionProperty.getValue(time); + const distanceDisplayConditionAttribute = + DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( + distanceDisplayCondition, + ); if (this._materialProperty instanceof ColorMaterialProperty) { let currentColor; if ( @@ -138,52 +139,51 @@ PolylineVolumeGeometryUpdater.prototype.createFillGeometryInstance = function ( * * @exception {DeveloperError} This instance does not represent an outlined geometry. */ -PolylineVolumeGeometryUpdater.prototype.createOutlineGeometryInstance = function ( - time -) { - //>>includeStart('debug', pragmas.debug); - Check.defined("time", time); +PolylineVolumeGeometryUpdater.prototype.createOutlineGeometryInstance = + function (time) { + //>>includeStart('debug', pragmas.debug); + Check.defined("time", time); - if (!this._outlineEnabled) { - throw new DeveloperError( - "This instance does not represent an outlined geometry." - ); - } - //>>includeEnd('debug'); + if (!this._outlineEnabled) { + throw new DeveloperError( + "This instance does not represent an outlined geometry.", + ); + } + //>>includeEnd('debug'); - const entity = this._entity; - const isAvailable = entity.isAvailable(time); - const outlineColor = Property.getValueOrDefault( - this._outlineColorProperty, - time, - Color.BLACK, - scratchColor - ); - const distanceDisplayCondition = this._distanceDisplayConditionProperty.getValue( - time - ); + const entity = this._entity; + const isAvailable = entity.isAvailable(time); + const outlineColor = Property.getValueOrDefault( + this._outlineColorProperty, + time, + Color.BLACK, + scratchColor, + ); + const distanceDisplayCondition = + this._distanceDisplayConditionProperty.getValue(time); - return new GeometryInstance({ - id: entity, - geometry: new PolylineVolumeOutlineGeometry(this._options), - attributes: { - show: new ShowGeometryInstanceAttribute( - isAvailable && - entity.isShowing && - this._showProperty.getValue(time) && - this._showOutlineProperty.getValue(time) - ), - color: ColorGeometryInstanceAttribute.fromColor(outlineColor), - distanceDisplayCondition: DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( - distanceDisplayCondition - ), - }, - }); -}; + return new GeometryInstance({ + id: entity, + geometry: new PolylineVolumeOutlineGeometry(this._options), + attributes: { + show: new ShowGeometryInstanceAttribute( + isAvailable && + entity.isShowing && + this._showProperty.getValue(time) && + this._showOutlineProperty.getValue(time), + ), + color: ColorGeometryInstanceAttribute.fromColor(outlineColor), + distanceDisplayCondition: + DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( + distanceDisplayCondition, + ), + }, + }); + }; PolylineVolumeGeometryUpdater.prototype._isHidden = function ( entity, - polylineVolume + polylineVolume, ) { return ( !defined(polylineVolume.positions) || @@ -194,7 +194,7 @@ PolylineVolumeGeometryUpdater.prototype._isHidden = function ( PolylineVolumeGeometryUpdater.prototype._isDynamic = function ( entity, - polylineVolume + polylineVolume, ) { return ( !polylineVolume.positions.isConstant || // @@ -207,7 +207,7 @@ PolylineVolumeGeometryUpdater.prototype._isDynamic = function ( PolylineVolumeGeometryUpdater.prototype._setStaticOptions = function ( entity, - polylineVolume + polylineVolume, ) { const granularity = polylineVolume.granularity; const cornerType = polylineVolume.cornerType; @@ -220,11 +220,11 @@ PolylineVolumeGeometryUpdater.prototype._setStaticOptions = function ( : MaterialAppearance.MaterialSupport.TEXTURED.vertexFormat; options.polylinePositions = polylineVolume.positions.getValue( Iso8601.MINIMUM_VALUE, - options.polylinePositions + options.polylinePositions, ); options.shapePositions = polylineVolume.shape.getValue( Iso8601.MINIMUM_VALUE, - options.shape + options.shape, ); options.granularity = defined(granularity) ? granularity.getValue(Iso8601.MINIMUM_VALUE) @@ -234,7 +234,8 @@ PolylineVolumeGeometryUpdater.prototype._setStaticOptions = function ( : undefined; }; -PolylineVolumeGeometryUpdater.DynamicGeometryUpdater = DynamicPolylineVolumeGeometryUpdater; +PolylineVolumeGeometryUpdater.DynamicGeometryUpdater = + DynamicPolylineVolumeGeometryUpdater; /** * @private @@ -242,27 +243,28 @@ PolylineVolumeGeometryUpdater.DynamicGeometryUpdater = DynamicPolylineVolumeGeom function DynamicPolylineVolumeGeometryUpdater( geometryUpdater, primitives, - groundPrimitives + groundPrimitives, ) { DynamicGeometryUpdater.call( this, geometryUpdater, primitives, - groundPrimitives + groundPrimitives, ); } if (defined(Object.create)) { DynamicPolylineVolumeGeometryUpdater.prototype = Object.create( - DynamicGeometryUpdater.prototype + DynamicGeometryUpdater.prototype, ); - DynamicPolylineVolumeGeometryUpdater.prototype.constructor = DynamicPolylineVolumeGeometryUpdater; + DynamicPolylineVolumeGeometryUpdater.prototype.constructor = + DynamicPolylineVolumeGeometryUpdater; } DynamicPolylineVolumeGeometryUpdater.prototype._isHidden = function ( entity, polylineVolume, - time + time, ) { const options = this._options; return ( @@ -272,7 +274,7 @@ DynamicPolylineVolumeGeometryUpdater.prototype._isHidden = function ( this, entity, polylineVolume, - time + time, ) ); }; @@ -280,25 +282,25 @@ DynamicPolylineVolumeGeometryUpdater.prototype._isHidden = function ( DynamicPolylineVolumeGeometryUpdater.prototype._setOptions = function ( entity, polylineVolume, - time + time, ) { const options = this._options; options.polylinePositions = Property.getValueOrUndefined( polylineVolume.positions, time, - options.polylinePositions + options.polylinePositions, ); options.shapePositions = Property.getValueOrUndefined( polylineVolume.shape, - time + time, ); options.granularity = Property.getValueOrUndefined( polylineVolume.granularity, - time + time, ); options.cornerType = Property.getValueOrUndefined( polylineVolume.cornerType, - time + time, ); }; export default PolylineVolumeGeometryUpdater; diff --git a/packages/engine/Source/DataSources/PolylineVolumeGraphics.js b/packages/engine/Source/DataSources/PolylineVolumeGraphics.js index 19ff7e271c99..dc4abc23d478 100644 --- a/packages/engine/Source/DataSources/PolylineVolumeGraphics.js +++ b/packages/engine/Source/DataSources/PolylineVolumeGraphics.js @@ -176,7 +176,7 @@ Object.defineProperties(PolylineVolumeGraphics.prototype, { * @type {Property|undefined} */ distanceDisplayCondition: createPropertyDescriptor( - "distanceDisplayCondition" + "distanceDisplayCondition", ), }); @@ -231,7 +231,7 @@ PolylineVolumeGraphics.prototype.merge = function (source) { this.shadows = defaultValue(this.shadows, source.shadows); this.distanceDisplayCondition = defaultValue( this.distanceDisplayCondition, - source.distanceDisplayCondition + source.distanceDisplayCondition, ); }; export default PolylineVolumeGraphics; diff --git a/packages/engine/Source/DataSources/PositionProperty.js b/packages/engine/Source/DataSources/PositionProperty.js index 17bce66ed195..d49308ca6a0e 100644 --- a/packages/engine/Source/DataSources/PositionProperty.js +++ b/packages/engine/Source/DataSources/PositionProperty.js @@ -100,7 +100,7 @@ PositionProperty.convertToReferenceFrame = function ( value, inputFrame, outputFrame, - result + result, ) { if (!defined(value)) { return value; @@ -115,7 +115,7 @@ PositionProperty.convertToReferenceFrame = function ( const icrfToFixed = Transforms.computeIcrfToCentralBodyFixedMatrix( time, - scratchMatrix3 + scratchMatrix3, ); if (inputFrame === ReferenceFrame.INERTIAL) { return Matrix3.multiplyByVector(icrfToFixed, value, result); @@ -124,7 +124,7 @@ PositionProperty.convertToReferenceFrame = function ( return Matrix3.multiplyByVector( Matrix3.transpose(icrfToFixed, scratchMatrix3), value, - result + result, ); } }; diff --git a/packages/engine/Source/DataSources/PositionPropertyArray.js b/packages/engine/Source/DataSources/PositionPropertyArray.js index fc8c3f4e54b5..b8bdc8394731 100644 --- a/packages/engine/Source/DataSources/PositionPropertyArray.js +++ b/packages/engine/Source/DataSources/PositionPropertyArray.js @@ -104,7 +104,7 @@ PositionPropertyArray.prototype.getValue = function (time, result) { PositionPropertyArray.prototype.getValueInReferenceFrame = function ( time, referenceFrame, - result + result, ) { //>>includeStart('debug', pragmas.debug); if (!defined(time)) { @@ -131,7 +131,7 @@ PositionPropertyArray.prototype.getValueInReferenceFrame = function ( const itemValue = property.getValueInReferenceFrame( time, referenceFrame, - result[i] + result[i], ); if (defined(itemValue)) { result[x] = itemValue; @@ -161,7 +161,7 @@ PositionPropertyArray.prototype.setValue = function (value) { eventHelper.add( property.definitionChanged, PositionPropertyArray.prototype._raiseDefinitionChanged, - this + this, ); } } diff --git a/packages/engine/Source/DataSources/Property.js b/packages/engine/Source/DataSources/Property.js index 49092eb3ebff..2833df6cef06 100644 --- a/packages/engine/Source/DataSources/Property.js +++ b/packages/engine/Source/DataSources/Property.js @@ -124,7 +124,7 @@ Property.getValueOrClonedDefault = function ( property, time, valueDefault, - result + result, ) { let value; if (defined(property)) { diff --git a/packages/engine/Source/DataSources/PropertyArray.js b/packages/engine/Source/DataSources/PropertyArray.js index b0a1322d865c..2dbbad4c9494 100644 --- a/packages/engine/Source/DataSources/PropertyArray.js +++ b/packages/engine/Source/DataSources/PropertyArray.js @@ -116,7 +116,7 @@ PropertyArray.prototype.setValue = function (value) { eventHelper.add( property.definitionChanged, PropertyArray.prototype._raiseDefinitionChanged, - this + this, ); } } diff --git a/packages/engine/Source/DataSources/PropertyBag.js b/packages/engine/Source/DataSources/PropertyBag.js index f98a3305aab8..01f2e34efae0 100644 --- a/packages/engine/Source/DataSources/PropertyBag.js +++ b/packages/engine/Source/DataSources/PropertyBag.js @@ -99,7 +99,7 @@ function createConstantProperty(value) { PropertyBag.prototype.addProperty = function ( propertyName, value, - createPropertyCallback + createPropertyCallback, ) { const propertyNames = this._propertyNames; @@ -109,7 +109,7 @@ PropertyBag.prototype.addProperty = function ( } if (propertyNames.indexOf(propertyName) !== -1) { throw new DeveloperError( - `${propertyName} is already a registered property.` + `${propertyName} is already a registered property.`, ); } //>>includeEnd('debug'); @@ -121,8 +121,8 @@ PropertyBag.prototype.addProperty = function ( createPropertyDescriptor( propertyName, true, - defaultValue(createPropertyCallback, createConstantProperty) - ) + defaultValue(createPropertyCallback, createConstantProperty), + ), ); if (defined(value)) { @@ -184,7 +184,7 @@ PropertyBag.prototype.getValue = function (time, result) { result[propertyName] = Property.getValueOrUndefined( this[propertyName], time, - result[propertyName] + result[propertyName], ); } return result; diff --git a/packages/engine/Source/DataSources/RectangleGeometryUpdater.js b/packages/engine/Source/DataSources/RectangleGeometryUpdater.js index 1633ad3ab709..e9821d061702 100644 --- a/packages/engine/Source/DataSources/RectangleGeometryUpdater.js +++ b/packages/engine/Source/DataSources/RectangleGeometryUpdater.js @@ -65,13 +65,13 @@ function RectangleGeometryUpdater(entity, scene) { entity, "rectangle", entity.rectangle, - undefined + undefined, ); } if (defined(Object.create)) { RectangleGeometryUpdater.prototype = Object.create( - GroundGeometryUpdater.prototype + GroundGeometryUpdater.prototype, ); RectangleGeometryUpdater.prototype.constructor = RectangleGeometryUpdater; } @@ -85,14 +85,14 @@ if (defined(Object.create)) { * @exception {DeveloperError} This instance does not represent a filled geometry. */ RectangleGeometryUpdater.prototype.createFillGeometryInstance = function ( - time + time, ) { //>>includeStart('debug', pragmas.debug); Check.defined("time", time); if (!this._fillEnabled) { throw new DeveloperError( - "This instance does not represent a filled geometry." + "This instance does not represent a filled geometry.", ); } //>>includeEnd('debug'); @@ -105,11 +105,12 @@ RectangleGeometryUpdater.prototype.createFillGeometryInstance = function ( isAvailable && entity.isShowing && this._showProperty.getValue(time) && - this._fillProperty.getValue(time) - ), - distanceDisplayCondition: DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( - this._distanceDisplayConditionProperty.getValue(time) + this._fillProperty.getValue(time), ), + distanceDisplayCondition: + DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( + this._distanceDisplayConditionProperty.getValue(time), + ), offset: undefined, color: undefined, }; @@ -133,8 +134,8 @@ RectangleGeometryUpdater.prototype.createFillGeometryInstance = function ( this._terrainOffsetProperty, time, defaultOffset, - offsetScratch - ) + offsetScratch, + ), ); } @@ -154,14 +155,14 @@ RectangleGeometryUpdater.prototype.createFillGeometryInstance = function ( * @exception {DeveloperError} This instance does not represent an outlined geometry. */ RectangleGeometryUpdater.prototype.createOutlineGeometryInstance = function ( - time + time, ) { //>>includeStart('debug', pragmas.debug); Check.defined("time", time); if (!this._outlineEnabled) { throw new DeveloperError( - "This instance does not represent an outlined geometry." + "This instance does not represent an outlined geometry.", ); } //>>includeEnd('debug'); @@ -172,23 +173,23 @@ RectangleGeometryUpdater.prototype.createOutlineGeometryInstance = function ( this._outlineColorProperty, time, Color.BLACK, - scratchColor - ); - const distanceDisplayCondition = this._distanceDisplayConditionProperty.getValue( - time + scratchColor, ); + const distanceDisplayCondition = + this._distanceDisplayConditionProperty.getValue(time); const attributes = { show: new ShowGeometryInstanceAttribute( isAvailable && entity.isShowing && this._showProperty.getValue(time) && - this._showOutlineProperty.getValue(time) + this._showOutlineProperty.getValue(time), ), color: ColorGeometryInstanceAttribute.fromColor(outlineColor), - distanceDisplayCondition: DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( - distanceDisplayCondition - ), + distanceDisplayCondition: + DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( + distanceDisplayCondition, + ), offset: undefined, }; @@ -198,8 +199,8 @@ RectangleGeometryUpdater.prototype.createOutlineGeometryInstance = function ( this._terrainOffsetProperty, time, defaultOffset, - offsetScratch - ) + offsetScratch, + ), ); } @@ -214,7 +215,7 @@ RectangleGeometryUpdater.prototype._computeCenter = function (time, result) { const rect = Property.getValueOrUndefined( this._entity.rectangle.coordinates, time, - scratchCenterRect + scratchCenterRect, ); if (!defined(rect)) { return; @@ -248,28 +249,28 @@ RectangleGeometryUpdater.prototype._isDynamic = function (entity, rectangle) { RectangleGeometryUpdater.prototype._setStaticOptions = function ( entity, - rectangle + rectangle, ) { const isColorMaterial = this._materialProperty instanceof ColorMaterialProperty; let heightValue = Property.getValueOrUndefined( rectangle.height, - Iso8601.MINIMUM_VALUE + Iso8601.MINIMUM_VALUE, ); const heightReferenceValue = Property.getValueOrDefault( rectangle.heightReference, Iso8601.MINIMUM_VALUE, - HeightReference.NONE + HeightReference.NONE, ); let extrudedHeightValue = Property.getValueOrUndefined( rectangle.extrudedHeight, - Iso8601.MINIMUM_VALUE + Iso8601.MINIMUM_VALUE, ); const extrudedHeightReferenceValue = Property.getValueOrDefault( rectangle.extrudedHeightReference, Iso8601.MINIMUM_VALUE, - HeightReference.NONE + HeightReference.NONE, ); if (defined(extrudedHeightValue) && !defined(heightValue)) { heightValue = 0; @@ -281,45 +282,47 @@ RectangleGeometryUpdater.prototype._setStaticOptions = function ( : MaterialAppearance.MaterialSupport.TEXTURED.vertexFormat; options.rectangle = rectangle.coordinates.getValue( Iso8601.MINIMUM_VALUE, - options.rectangle + options.rectangle, ); options.granularity = Property.getValueOrUndefined( rectangle.granularity, - Iso8601.MINIMUM_VALUE + Iso8601.MINIMUM_VALUE, ); options.stRotation = Property.getValueOrUndefined( rectangle.stRotation, - Iso8601.MINIMUM_VALUE + Iso8601.MINIMUM_VALUE, ); options.rotation = Property.getValueOrUndefined( rectangle.rotation, - Iso8601.MINIMUM_VALUE - ); - options.offsetAttribute = GroundGeometryUpdater.computeGeometryOffsetAttribute( - heightValue, - heightReferenceValue, - extrudedHeightValue, - extrudedHeightReferenceValue + Iso8601.MINIMUM_VALUE, ); + options.offsetAttribute = + GroundGeometryUpdater.computeGeometryOffsetAttribute( + heightValue, + heightReferenceValue, + extrudedHeightValue, + extrudedHeightReferenceValue, + ); options.height = GroundGeometryUpdater.getGeometryHeight( heightValue, - heightReferenceValue + heightReferenceValue, ); extrudedHeightValue = GroundGeometryUpdater.getGeometryExtrudedHeight( extrudedHeightValue, - extrudedHeightReferenceValue + extrudedHeightReferenceValue, ); if (extrudedHeightValue === GroundGeometryUpdater.CLAMP_TO_GROUND) { extrudedHeightValue = ApproximateTerrainHeights.getMinimumMaximumHeights( - RectangleGeometry.computeRectangle(options, scratchRectangle) + RectangleGeometry.computeRectangle(options, scratchRectangle), ).minimumTerrainHeight; } options.extrudedHeight = extrudedHeightValue; }; -RectangleGeometryUpdater.DynamicGeometryUpdater = DynamicRectangleGeometryUpdater; +RectangleGeometryUpdater.DynamicGeometryUpdater = + DynamicRectangleGeometryUpdater; /** * @private @@ -327,27 +330,28 @@ RectangleGeometryUpdater.DynamicGeometryUpdater = DynamicRectangleGeometryUpdate function DynamicRectangleGeometryUpdater( geometryUpdater, primitives, - groundPrimitives + groundPrimitives, ) { DynamicGeometryUpdater.call( this, geometryUpdater, primitives, - groundPrimitives + groundPrimitives, ); } if (defined(Object.create)) { DynamicRectangleGeometryUpdater.prototype = Object.create( - DynamicGeometryUpdater.prototype + DynamicGeometryUpdater.prototype, ); - DynamicRectangleGeometryUpdater.prototype.constructor = DynamicRectangleGeometryUpdater; + DynamicRectangleGeometryUpdater.prototype.constructor = + DynamicRectangleGeometryUpdater; } DynamicRectangleGeometryUpdater.prototype._isHidden = function ( entity, rectangle, - time + time, ) { return ( !defined(this._options.rectangle) || @@ -355,7 +359,7 @@ DynamicRectangleGeometryUpdater.prototype._isHidden = function ( this, entity, rectangle, - time + time, ) ); }; @@ -363,23 +367,23 @@ DynamicRectangleGeometryUpdater.prototype._isHidden = function ( DynamicRectangleGeometryUpdater.prototype._setOptions = function ( entity, rectangle, - time + time, ) { const options = this._options; let heightValue = Property.getValueOrUndefined(rectangle.height, time); const heightReferenceValue = Property.getValueOrDefault( rectangle.heightReference, time, - HeightReference.NONE + HeightReference.NONE, ); let extrudedHeightValue = Property.getValueOrUndefined( rectangle.extrudedHeight, - time + time, ); const extrudedHeightReferenceValue = Property.getValueOrDefault( rectangle.extrudedHeightReference, time, - HeightReference.NONE + HeightReference.NONE, ); if (defined(extrudedHeightValue) && !defined(heightValue)) { heightValue = 0; @@ -388,32 +392,33 @@ DynamicRectangleGeometryUpdater.prototype._setOptions = function ( options.rectangle = Property.getValueOrUndefined( rectangle.coordinates, time, - options.rectangle + options.rectangle, ); options.granularity = Property.getValueOrUndefined( rectangle.granularity, - time + time, ); options.stRotation = Property.getValueOrUndefined(rectangle.stRotation, time); options.rotation = Property.getValueOrUndefined(rectangle.rotation, time); - options.offsetAttribute = GroundGeometryUpdater.computeGeometryOffsetAttribute( - heightValue, - heightReferenceValue, - extrudedHeightValue, - extrudedHeightReferenceValue - ); + options.offsetAttribute = + GroundGeometryUpdater.computeGeometryOffsetAttribute( + heightValue, + heightReferenceValue, + extrudedHeightValue, + extrudedHeightReferenceValue, + ); options.height = GroundGeometryUpdater.getGeometryHeight( heightValue, - heightReferenceValue + heightReferenceValue, ); extrudedHeightValue = GroundGeometryUpdater.getGeometryExtrudedHeight( extrudedHeightValue, - extrudedHeightReferenceValue + extrudedHeightReferenceValue, ); if (extrudedHeightValue === GroundGeometryUpdater.CLAMP_TO_GROUND) { extrudedHeightValue = ApproximateTerrainHeights.getMinimumMaximumHeights( - RectangleGeometry.computeRectangle(options, scratchRectangle) + RectangleGeometry.computeRectangle(options, scratchRectangle), ).minimumTerrainHeight; } diff --git a/packages/engine/Source/DataSources/RectangleGraphics.js b/packages/engine/Source/DataSources/RectangleGraphics.js index 020f96e56d14..b2cedf2fd4b9 100644 --- a/packages/engine/Source/DataSources/RectangleGraphics.js +++ b/packages/engine/Source/DataSources/RectangleGraphics.js @@ -228,7 +228,7 @@ Object.defineProperties(RectangleGraphics.prototype, { * @type {Property|undefined} */ distanceDisplayCondition: createPropertyDescriptor( - "distanceDisplayCondition" + "distanceDisplayCondition", ), /** @@ -297,15 +297,15 @@ RectangleGraphics.prototype.merge = function (source) { this.height = defaultValue(this.height, source.height); this.heightReference = defaultValue( this.heightReference, - source.heightReference + source.heightReference, ); this.extrudedHeight = defaultValue( this.extrudedHeight, - source.extrudedHeight + source.extrudedHeight, ); this.extrudedHeightReference = defaultValue( this.extrudedHeightReference, - source.extrudedHeightReference + source.extrudedHeightReference, ); this.rotation = defaultValue(this.rotation, source.rotation); this.stRotation = defaultValue(this.stRotation, source.stRotation); @@ -318,11 +318,11 @@ RectangleGraphics.prototype.merge = function (source) { this.shadows = defaultValue(this.shadows, source.shadows); this.distanceDisplayCondition = defaultValue( this.distanceDisplayCondition, - source.distanceDisplayCondition + source.distanceDisplayCondition, ); this.classificationType = defaultValue( this.classificationType, - source.classificationType + source.classificationType, ); this.zIndex = defaultValue(this.zIndex, source.zIndex); }; diff --git a/packages/engine/Source/DataSources/ReferenceProperty.js b/packages/engine/Source/DataSources/ReferenceProperty.js index 175c3e41705f..9e9f3dd73712 100644 --- a/packages/engine/Source/DataSources/ReferenceProperty.js +++ b/packages/engine/Source/DataSources/ReferenceProperty.js @@ -22,7 +22,7 @@ function resolve(that) { // target entity was found. listen for changes to entity definition targetEntity.definitionChanged.addEventListener( ReferenceProperty.prototype._onTargetEntityDefinitionChanged, - that + that, ); that._targetEntity = targetEntity; } @@ -115,7 +115,7 @@ function ReferenceProperty(targetCollection, targetId, targetPropertyNames) { targetCollection.collectionChanged.addEventListener( ReferenceProperty.prototype._onCollectionChanged, - this + this, ); } @@ -284,7 +284,7 @@ ReferenceProperty.prototype.getValue = function (time, result) { ReferenceProperty.prototype.getValueInReferenceFrame = function ( time, referenceFrame, - result + result, ) { const target = resolve(this); return defined(target) @@ -341,7 +341,7 @@ ReferenceProperty.prototype._onTargetEntityDefinitionChanged = function ( targetEntity, name, value, - oldValue + oldValue, ) { if (defined(this._targetProperty) && this._targetPropertyNames[0] === name) { this._targetProperty = undefined; @@ -352,13 +352,13 @@ ReferenceProperty.prototype._onTargetEntityDefinitionChanged = function ( ReferenceProperty.prototype._onCollectionChanged = function ( collection, added, - removed + removed, ) { let targetEntity = this._targetEntity; if (defined(targetEntity) && removed.indexOf(targetEntity) !== -1) { targetEntity.definitionChanged.removeEventListener( ReferenceProperty.prototype._onTargetEntityDefinitionChanged, - this + this, ); this._targetEntity = this._targetProperty = undefined; } else if (!defined(targetEntity)) { diff --git a/packages/engine/Source/DataSources/Rotation.js b/packages/engine/Source/DataSources/Rotation.js index 5f60a4a92fe8..9e337dd463fb 100644 --- a/packages/engine/Source/DataSources/Rotation.js +++ b/packages/engine/Source/DataSources/Rotation.js @@ -93,7 +93,7 @@ const Rotation = { packedArray, startingIndex, lastIndex, - result + result, ) { //>>includeStart('debug', pragmas.debug); if (!defined(packedArray)) { @@ -135,7 +135,7 @@ const Rotation = { sourceArray, firstIndex, lastIndex, - result + result, ) { //>>includeStart('debug', pragmas.debug); if (!defined(array)) { diff --git a/packages/engine/Source/DataSources/SampledPositionProperty.js b/packages/engine/Source/DataSources/SampledPositionProperty.js index fb72ce8aae8f..c8cc2c53a7d6 100644 --- a/packages/engine/Source/DataSources/SampledPositionProperty.js +++ b/packages/engine/Source/DataSources/SampledPositionProperty.js @@ -206,7 +206,7 @@ SampledPositionProperty.prototype.getValue = function (time, result) { SampledPositionProperty.prototype.getValueInReferenceFrame = function ( time, referenceFrame, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.defined("time", time); @@ -220,7 +220,7 @@ SampledPositionProperty.prototype.getValueInReferenceFrame = function ( result, this._referenceFrame, referenceFrame, - result + result, ); } return undefined; @@ -247,7 +247,7 @@ SampledPositionProperty.prototype.setInterpolationOptions = function (options) { SampledPositionProperty.prototype.addSample = function ( time, position, - derivatives + derivatives, ) { const numberOfDerivatives = this._numberOfDerivatives; //>>includeStart('debug', pragmas.debug); @@ -256,7 +256,7 @@ SampledPositionProperty.prototype.addSample = function ( (!defined(derivatives) || derivatives.length !== numberOfDerivatives) ) { throw new DeveloperError( - "derivatives length must be equal to the number of derivatives." + "derivatives length must be equal to the number of derivatives.", ); } //>>includeEnd('debug'); @@ -275,7 +275,7 @@ SampledPositionProperty.prototype.addSample = function ( SampledPositionProperty.prototype.addSamples = function ( times, positions, - derivatives + derivatives, ) { this._property.addSamples(times, positions, derivatives); }; @@ -289,7 +289,7 @@ SampledPositionProperty.prototype.addSamples = function ( */ SampledPositionProperty.prototype.addSamplesPackedArray = function ( packedSamples, - epoch + epoch, ) { this._property.addSamplesPackedArray(packedSamples, epoch); }; diff --git a/packages/engine/Source/DataSources/SampledProperty.js b/packages/engine/Source/DataSources/SampledProperty.js index 2c015283c4f9..803555188725 100644 --- a/packages/engine/Source/DataSources/SampledProperty.js +++ b/packages/engine/Source/DataSources/SampledProperty.js @@ -168,7 +168,7 @@ function SampledProperty(type, derivativeTypes) { let packedLength = innerType.packedLength; let packedInterpolationLength = defaultValue( innerType.packedInterpolationLength, - packedLength + packedLength, ); let inputOrder = 0; @@ -185,7 +185,7 @@ function SampledProperty(type, derivativeTypes) { packedLength += derivativePackedLength; packedInterpolationLength += defaultValue( derivativeType.packedInterpolationLength, - derivativePackedLength + derivativePackedLength, ); innerDerivativeTypes[i] = derivativeType; } @@ -429,9 +429,9 @@ SampledProperty.prototype.getValue = function (time, result) { const numberOfPoints = Math.min( interpolationAlgorithm.getRequiredDataPoints( this._interpolationDegree, - inputOrder + inputOrder, ), - timesLength + timesLength, ); if (numberOfPoints !== this._numberOfPoints) { this._numberOfPoints = numberOfPoints; @@ -472,7 +472,7 @@ SampledProperty.prototype.getValue = function (time, result) { for (let i = 0; i < length; ++i) { xTable[i] = JulianDate.secondsDifference( times[firstIndex + i], - times[lastIndex] + times[lastIndex], ); } @@ -492,7 +492,7 @@ SampledProperty.prototype.getValue = function (time, result) { values, firstIndex, lastIndex, - yTable + yTable, ); } @@ -505,7 +505,7 @@ SampledProperty.prototype.getValue = function (time, result) { xTable, yTable, packedInterpolationLength, - this._interpolationResult + this._interpolationResult, ); } else { const yStride = Math.floor(packedInterpolationLength / (inputOrder + 1)); @@ -516,7 +516,7 @@ SampledProperty.prototype.getValue = function (time, result) { yStride, inputOrder, inputOrder, - this._interpolationResult + this._interpolationResult, ); } @@ -528,7 +528,7 @@ SampledProperty.prototype.getValue = function (time, result) { values, firstIndex, lastIndex, - result + result, ); } return innerType.unpack(values, index * this._packedLength, result); @@ -608,7 +608,7 @@ SampledProperty.prototype.addSample = function (time, value, derivatives) { this._times, this._values, data, - this._packedLength + this._packedLength, ); this._updateTableLength = true; this._definitionChanged.raiseEvent(this); @@ -627,7 +627,7 @@ SampledProperty.prototype.addSample = function (time, value, derivatives) { SampledProperty.prototype.addSamples = function ( times, values, - derivativeValues + derivativeValues, ) { const innerDerivativeTypes = this._innerDerivativeTypes; const hasDerivatives = defined(innerDerivativeTypes); @@ -643,7 +643,7 @@ SampledProperty.prototype.addSamples = function ( (!defined(derivativeValues) || derivativeValues.length !== times.length) ) { throw new DeveloperError( - "times and derivativeValues must be the same length." + "times and derivativeValues must be the same length.", ); } //>>includeEnd('debug'); @@ -668,7 +668,7 @@ SampledProperty.prototype.addSamples = function ( this._times, this._values, data, - this._packedLength + this._packedLength, ); this._updateTableLength = true; this._definitionChanged.raiseEvent(this); @@ -683,7 +683,7 @@ SampledProperty.prototype.addSamples = function ( */ SampledProperty.prototype.addSamplesPackedArray = function ( packedSamples, - epoch + epoch, ) { //>>includeStart('debug', pragmas.debug); Check.defined("packedSamples", packedSamples); @@ -694,7 +694,7 @@ SampledProperty.prototype.addSamplesPackedArray = function ( this._times, this._values, packedSamples, - this._packedLength + this._packedLength, ); this._updateTableLength = true; this._definitionChanged.raiseEvent(this); @@ -724,7 +724,7 @@ function removeSamples(property, startIndex, numberToRemove) { property._times.splice(startIndex, numberToRemove); property._values.splice( startIndex * packedLength, - numberToRemove * packedLength + numberToRemove * packedLength, ); property._updateTableLength = true; property._definitionChanged.raiseEvent(property); diff --git a/packages/engine/Source/DataSources/ScaledPositionProperty.js b/packages/engine/Source/DataSources/ScaledPositionProperty.js index ec89e9386674..3fd1926d931d 100644 --- a/packages/engine/Source/DataSources/ScaledPositionProperty.js +++ b/packages/engine/Source/DataSources/ScaledPositionProperty.js @@ -59,7 +59,7 @@ ScaledPositionProperty.prototype.setValue = function (value) { if (defined(value)) { this._removeSubscription = value.definitionChanged.addEventListener( this._raiseDefinitionChanged, - this + this, ); } this._definitionChanged.raiseEvent(this); @@ -69,7 +69,7 @@ ScaledPositionProperty.prototype.setValue = function (value) { ScaledPositionProperty.prototype.getValueInReferenceFrame = function ( time, referenceFrame, - result + result, ) { //>>includeStart('debug', pragmas.debug); if (!defined(time)) { diff --git a/packages/engine/Source/DataSources/StaticGeometryColorBatch.js b/packages/engine/Source/DataSources/StaticGeometryColorBatch.js index fde70acb1b51..4d65ea05dd8a 100644 --- a/packages/engine/Source/DataSources/StaticGeometryColorBatch.js +++ b/packages/engine/Source/DataSources/StaticGeometryColorBatch.js @@ -26,7 +26,7 @@ function Batch( depthFailAppearanceType, depthFailMaterialProperty, closed, - shadows + shadows, ) { this.translucent = translucent; this.appearanceType = appearanceType; @@ -51,10 +51,11 @@ function Batch( let removeMaterialSubscription; if (defined(depthFailMaterialProperty)) { - removeMaterialSubscription = depthFailMaterialProperty.definitionChanged.addEventListener( - Batch.prototype.onMaterialChanged, - this - ); + removeMaterialSubscription = + depthFailMaterialProperty.definitionChanged.addEventListener( + Batch.prototype.onMaterialChanged, + this, + ); } this.removeMaterialSubscription = removeMaterialSubscription; } @@ -91,16 +92,13 @@ Batch.prototype.add = function (updater, instance) { const that = this; this.subscriptions.set( id, - updater.entity.definitionChanged.addEventListener(function ( - entity, - propertyName, - newValue, - oldValue - ) { - if (propertyName === "isShowing") { - that.showsUpdated.set(updater.id, updater); - } - }) + updater.entity.definitionChanged.addEventListener( + function (entity, propertyName, newValue, oldValue) { + if (propertyName === "isShowing") { + that.showsUpdated.set(updater.id, updater); + } + }, + ), ); } }; @@ -146,7 +144,7 @@ Batch.prototype.update = function (time) { this.depthFailMaterial = MaterialProperty.getValue( time, this.depthFailMaterialProperty, - this.depthFailMaterial + this.depthFailMaterial, ); } depthFailAppearance = new this.depthFailAppearanceType({ @@ -199,7 +197,7 @@ Batch.prototype.update = function (time) { this.depthFailMaterial = MaterialProperty.getValue( time, this.depthFailMaterialProperty, - this.depthFailMaterial + this.depthFailMaterial, ); this.primitive.depthFailAppearance.material = this.depthFailMaterial; } @@ -223,16 +221,16 @@ Batch.prototype.update = function (time) { colorProperty, time, Color.WHITE, - colorScratch + colorScratch, ); if (!Color.equals(attributes._lastColor, resultColor)) { attributes._lastColor = Color.clone( resultColor, - attributes._lastColor + attributes._lastColor, ); attributes.color = ColorGeometryInstanceAttribute.toValue( resultColor, - attributes.color + attributes.color, ); if ( (this.translucent && attributes.color[3] === 255) || @@ -253,16 +251,16 @@ Batch.prototype.update = function (time) { depthFailColorProperty, time, Color.WHITE, - colorScratch + colorScratch, ); if (!Color.equals(attributes._lastDepthFailColor, depthColor)) { attributes._lastDepthFailColor = Color.clone( depthColor, - attributes._lastDepthFailColor + attributes._lastDepthFailColor, ); attributes.depthFailColor = ColorGeometryInstanceAttribute.toValue( depthColor, - attributes.depthFailColor + attributes.depthFailColor, ); } } @@ -274,7 +272,7 @@ Batch.prototype.update = function (time) { if (show !== currentShow) { attributes.show = ShowGeometryInstanceAttribute.toValue( show, - attributes.show + attributes.show, ); } @@ -285,22 +283,24 @@ Batch.prototype.update = function (time) { distanceDisplayConditionProperty, time, defaultDistanceDisplayCondition, - distanceDisplayConditionScratch + distanceDisplayConditionScratch, ); if ( !DistanceDisplayCondition.equals( distanceDisplayCondition, - attributes._lastDistanceDisplayCondition + attributes._lastDistanceDisplayCondition, ) ) { - attributes._lastDistanceDisplayCondition = DistanceDisplayCondition.clone( - distanceDisplayCondition, - attributes._lastDistanceDisplayCondition - ); - attributes.distanceDisplayCondition = DistanceDisplayConditionGeometryInstanceAttribute.toValue( - distanceDisplayCondition, - attributes.distanceDisplayCondition - ); + attributes._lastDistanceDisplayCondition = + DistanceDisplayCondition.clone( + distanceDisplayCondition, + attributes._lastDistanceDisplayCondition, + ); + attributes.distanceDisplayCondition = + DistanceDisplayConditionGeometryInstanceAttribute.toValue( + distanceDisplayCondition, + attributes.distanceDisplayCondition, + ); } } @@ -310,16 +310,16 @@ Batch.prototype.update = function (time) { offsetProperty, time, defaultOffset, - offsetScratch + offsetScratch, ); if (!Cartesian3.equals(offset, attributes._lastOffset)) { attributes._lastOffset = Cartesian3.clone( offset, - attributes._lastOffset + attributes._lastOffset, ); attributes.offset = OffsetGeometryInstanceAttribute.toValue( offset, - attributes.offset + attributes.offset, ); } } @@ -352,7 +352,7 @@ Batch.prototype.updateShows = function (primitive) { if (show !== currentShow) { attributes.show = ShowGeometryInstanceAttribute.toValue( show, - attributes.show + attributes.show, ); instance.attributes.show.value[0] = attributes.show[0]; } @@ -404,7 +404,7 @@ function StaticGeometryColorBatch( appearanceType, depthFailAppearanceType, closed, - shadows + shadows, ) { this._solidItems = []; this._translucentItems = []; @@ -442,7 +442,7 @@ StaticGeometryColorBatch.prototype.add = function (time, updater) { this._depthFailAppearanceType, updater.depthFailMaterialProperty, this._closed, - this._shadows + this._shadows, ); batch.add(updater, instance); items.push(batch); @@ -546,7 +546,7 @@ function getBoundingSphere(items, updater, result) { StaticGeometryColorBatch.prototype.getBoundingSphere = function ( updater, - result + result, ) { const boundingSphere = getBoundingSphere(this._solidItems, updater, result); if (boundingSphere === BoundingSphereState.FAILED) { diff --git a/packages/engine/Source/DataSources/StaticGeometryPerMaterialBatch.js b/packages/engine/Source/DataSources/StaticGeometryPerMaterialBatch.js index 0efdf9ac7aae..32c078c123fc 100644 --- a/packages/engine/Source/DataSources/StaticGeometryPerMaterialBatch.js +++ b/packages/engine/Source/DataSources/StaticGeometryPerMaterialBatch.js @@ -25,7 +25,7 @@ function Batch( depthFailAppearanceType, depthFailMaterialProperty, closed, - shadows + shadows, ) { this.primitives = primitives; this.appearanceType = appearanceType; @@ -44,10 +44,11 @@ function Batch( this.updatersWithAttributes = new AssociativeArray(); this.attributes = new AssociativeArray(); this.invalidated = false; - this.removeMaterialSubscription = materialProperty.definitionChanged.addEventListener( - Batch.prototype.onMaterialChanged, - this - ); + this.removeMaterialSubscription = + materialProperty.definitionChanged.addEventListener( + Batch.prototype.onMaterialChanged, + this, + ); this.subscriptions = new AssociativeArray(); this.showsUpdated = new AssociativeArray(); } @@ -92,16 +93,13 @@ Batch.prototype.add = function (time, updater) { const that = this; this.subscriptions.set( id, - updater.entity.definitionChanged.addEventListener(function ( - entity, - propertyName, - newValue, - oldValue - ) { - if (propertyName === "isShowing") { - that.showsUpdated.set(updater.id, updater); - } - }) + updater.entity.definitionChanged.addEventListener( + function (entity, propertyName, newValue, oldValue) { + if (propertyName === "isShowing") { + that.showsUpdated.set(updater.id, updater); + } + }, + ), ); } this.createPrimitive = true; @@ -146,7 +144,7 @@ Batch.prototype.update = function (time) { this.material = MaterialProperty.getValue( time, this.materialProperty, - this.material + this.material, ); let depthFailAppearance; @@ -154,7 +152,7 @@ Batch.prototype.update = function (time) { this.depthFailMaterial = MaterialProperty.getValue( time, this.depthFailMaterialProperty, - this.depthFailMaterial + this.depthFailMaterial, ); depthFailAppearance = new this.depthFailAppearanceType({ material: this.depthFailMaterial, @@ -203,7 +201,7 @@ Batch.prototype.update = function (time) { this.material = MaterialProperty.getValue( time, this.materialProperty, - this.material + this.material, ); this.primitive.appearance.material = this.material; @@ -214,7 +212,7 @@ Batch.prototype.update = function (time) { this.depthFailMaterial = MaterialProperty.getValue( time, this.depthFailMaterialProperty, - this.depthFailMaterial + this.depthFailMaterial, ); this.primitive.depthFailAppearance.material = this.depthFailMaterial; } @@ -242,16 +240,16 @@ Batch.prototype.update = function (time) { depthFailColorProperty, time, Color.WHITE, - colorScratch + colorScratch, ); if (!Color.equals(attributes._lastDepthFailColor, depthFailColor)) { attributes._lastDepthFailColor = Color.clone( depthFailColor, - attributes._lastDepthFailColor + attributes._lastDepthFailColor, ); attributes.depthFailColor = ColorGeometryInstanceAttribute.toValue( depthFailColor, - attributes.depthFailColor + attributes.depthFailColor, ); } } @@ -262,7 +260,7 @@ Batch.prototype.update = function (time) { if (show !== currentShow) { attributes.show = ShowGeometryInstanceAttribute.toValue( show, - attributes.show + attributes.show, ); } @@ -273,22 +271,24 @@ Batch.prototype.update = function (time) { distanceDisplayConditionProperty, time, defaultDistanceDisplayCondition, - distanceDisplayConditionScratch + distanceDisplayConditionScratch, ); if ( !DistanceDisplayCondition.equals( distanceDisplayCondition, - attributes._lastDistanceDisplayCondition + attributes._lastDistanceDisplayCondition, ) ) { - attributes._lastDistanceDisplayCondition = DistanceDisplayCondition.clone( - distanceDisplayCondition, - attributes._lastDistanceDisplayCondition - ); - attributes.distanceDisplayCondition = DistanceDisplayConditionGeometryInstanceAttribute.toValue( - distanceDisplayCondition, - attributes.distanceDisplayCondition - ); + attributes._lastDistanceDisplayCondition = + DistanceDisplayCondition.clone( + distanceDisplayCondition, + attributes._lastDistanceDisplayCondition, + ); + attributes.distanceDisplayCondition = + DistanceDisplayConditionGeometryInstanceAttribute.toValue( + distanceDisplayCondition, + attributes.distanceDisplayCondition, + ); } } @@ -298,16 +298,16 @@ Batch.prototype.update = function (time) { offsetProperty, time, defaultOffset, - offsetScratch + offsetScratch, ); if (!Cartesian3.equals(offset, attributes._lastOffset)) { attributes._lastOffset = Cartesian3.clone( offset, - attributes._lastOffset + attributes._lastOffset, ); attributes.offset = OffsetGeometryInstanceAttribute.toValue( offset, - attributes.offset + attributes.offset, ); } } @@ -339,7 +339,7 @@ Batch.prototype.updateShows = function (primitive) { if (show !== currentShow) { attributes.show = ShowGeometryInstanceAttribute.toValue( show, - attributes.show + attributes.show, ); instance.attributes.show.value[0] = attributes.show[0]; } @@ -389,7 +389,7 @@ function StaticGeometryPerMaterialBatch( appearanceType, depthFailAppearanceType, closed, - shadows + shadows, ) { this._items = []; this._primitives = primitives; @@ -416,7 +416,7 @@ StaticGeometryPerMaterialBatch.prototype.add = function (time, updater) { this._depthFailAppearanceType, updater.depthFailMaterialProperty, this._closed, - this._shadows + this._shadows, ); batch.add(time, updater); items.push(batch); @@ -464,7 +464,7 @@ StaticGeometryPerMaterialBatch.prototype.update = function (time) { StaticGeometryPerMaterialBatch.prototype.getBoundingSphere = function ( updater, - result + result, ) { const items = this._items; const length = items.length; diff --git a/packages/engine/Source/DataSources/StaticGroundGeometryColorBatch.js b/packages/engine/Source/DataSources/StaticGroundGeometryColorBatch.js index 54c5a9ee95a9..4bde462f4505 100644 --- a/packages/engine/Source/DataSources/StaticGroundGeometryColorBatch.js +++ b/packages/engine/Source/DataSources/StaticGroundGeometryColorBatch.js @@ -54,16 +54,13 @@ Batch.prototype.add = function (updater, instance) { const that = this; this.subscriptions.set( id, - updater.entity.definitionChanged.addEventListener(function ( - entity, - propertyName, - newValue, - oldValue - ) { - if (propertyName === "isShowing") { - that.showsUpdated.set(updater.id, updater); - } - }) + updater.entity.definitionChanged.addEventListener( + function (entity, propertyName, newValue, oldValue) { + if (propertyName === "isShowing") { + that.showsUpdated.set(updater.id, updater); + } + }, + ), ); } }; @@ -75,7 +72,7 @@ Batch.prototype.remove = function (updater) { if (this.updaters.remove(id)) { this.rectangleCollisionCheck.remove( id, - geometryInstance.geometry.rectangle + geometryInstance.geometry.rectangle, ); this.updatersWithAttributes.remove(id); const unsubscribe = this.subscriptions.get(id); @@ -157,14 +154,14 @@ Batch.prototype.update = function (time) { colorProperty, time, Color.WHITE, - colorScratch + colorScratch, ); if (!Color.equals(attributes._lastColor, fillColor)) { attributes._lastColor = Color.clone(fillColor, attributes._lastColor); attributes.color = ColorGeometryInstanceAttribute.toValue( fillColor, - attributes.color + attributes.color, ); } } @@ -176,7 +173,7 @@ Batch.prototype.update = function (time) { if (show !== currentShow) { attributes.show = ShowGeometryInstanceAttribute.toValue( show, - attributes.show + attributes.show, ); } @@ -187,22 +184,24 @@ Batch.prototype.update = function (time) { distanceDisplayConditionProperty, time, defaultDistanceDisplayCondition, - distanceDisplayConditionScratch + distanceDisplayConditionScratch, ); if ( !DistanceDisplayCondition.equals( distanceDisplayCondition, - attributes._lastDistanceDisplayCondition + attributes._lastDistanceDisplayCondition, ) ) { - attributes._lastDistanceDisplayCondition = DistanceDisplayCondition.clone( - distanceDisplayCondition, - attributes._lastDistanceDisplayCondition - ); - attributes.distanceDisplayCondition = DistanceDisplayConditionGeometryInstanceAttribute.toValue( - distanceDisplayCondition, - attributes.distanceDisplayCondition - ); + attributes._lastDistanceDisplayCondition = + DistanceDisplayCondition.clone( + distanceDisplayCondition, + attributes._lastDistanceDisplayCondition, + ); + attributes.distanceDisplayCondition = + DistanceDisplayConditionGeometryInstanceAttribute.toValue( + distanceDisplayCondition, + attributes.distanceDisplayCondition, + ); } } } @@ -234,7 +233,7 @@ Batch.prototype.updateShows = function (primitive) { if (show !== currentShow) { attributes.show = ShowGeometryInstanceAttribute.toValue( show, - attributes.show + attributes.show, ); instance.attributes.show.value[0] = attributes.show[0]; } @@ -310,7 +309,7 @@ StaticGroundGeometryColorBatch.prototype.add = function (time, updater) { this._primitives, this._classificationType, instance.attributes.color.value, - zIndex + zIndex, ); batches.push(batch); } @@ -371,7 +370,7 @@ StaticGroundGeometryColorBatch.prototype.update = function (time) { StaticGroundGeometryColorBatch.prototype.getBoundingSphere = function ( updater, - result + result, ) { const batches = this._batches; const batchCount = batches.length; diff --git a/packages/engine/Source/DataSources/StaticGroundGeometryPerMaterialBatch.js b/packages/engine/Source/DataSources/StaticGroundGeometryPerMaterialBatch.js index c162597ec714..2d2757fc3b77 100644 --- a/packages/engine/Source/DataSources/StaticGroundGeometryPerMaterialBatch.js +++ b/packages/engine/Source/DataSources/StaticGroundGeometryPerMaterialBatch.js @@ -21,7 +21,7 @@ function Batch( appearanceType, materialProperty, usingSphericalTextureCoordinates, - zIndex + zIndex, ) { this.primitives = primitives; // scene level primitive collection this.classificationType = classificationType; @@ -36,10 +36,11 @@ function Batch( this.updatersWithAttributes = new AssociativeArray(); this.attributes = new AssociativeArray(); this.invalidated = false; - this.removeMaterialSubscription = materialProperty.definitionChanged.addEventListener( - Batch.prototype.onMaterialChanged, - this - ); + this.removeMaterialSubscription = + materialProperty.definitionChanged.addEventListener( + Batch.prototype.onMaterialChanged, + this, + ); this.subscriptions = new AssociativeArray(); this.showsUpdated = new AssociativeArray(); this.usingSphericalTextureCoordinates = usingSphericalTextureCoordinates; @@ -87,16 +88,13 @@ Batch.prototype.add = function (time, updater, geometryInstance) { // Listen for show changes. These will be synchronized in updateShows. this.subscriptions.set( id, - updater.entity.definitionChanged.addEventListener(function ( - entity, - propertyName, - newValue, - oldValue - ) { - if (propertyName === "isShowing") { - that.showsUpdated.set(updater.id, updater); - } - }) + updater.entity.definitionChanged.addEventListener( + function (entity, propertyName, newValue, oldValue) { + if (propertyName === "isShowing") { + that.showsUpdated.set(updater.id, updater); + } + }, + ), ); } this.createPrimitive = true; @@ -109,7 +107,7 @@ Batch.prototype.remove = function (updater) { if (this.updaters.remove(id)) { this.rectangleCollisionCheck.remove( id, - geometryInstance.geometry.rectangle + geometryInstance.geometry.rectangle, ); this.updatersWithAttributes.remove(id); const unsubscribe = this.subscriptions.get(id); @@ -145,7 +143,7 @@ Batch.prototype.update = function (time) { this.material = MaterialProperty.getValue( time, this.materialProperty, - this.material + this.material, ); primitive = new GroundPrimitive({ @@ -186,7 +184,7 @@ Batch.prototype.update = function (time) { this.material = MaterialProperty.getValue( time, this.materialProperty, - this.material + this.material, ); this.primitive.appearance.material = this.material; @@ -209,7 +207,7 @@ Batch.prototype.update = function (time) { if (show !== currentShow) { attributes.show = ShowGeometryInstanceAttribute.toValue( show, - attributes.show + attributes.show, ); } @@ -220,22 +218,24 @@ Batch.prototype.update = function (time) { distanceDisplayConditionProperty, time, defaultDistanceDisplayCondition, - distanceDisplayConditionScratch + distanceDisplayConditionScratch, ); if ( !DistanceDisplayCondition.equals( distanceDisplayCondition, - attributes._lastDistanceDisplayCondition + attributes._lastDistanceDisplayCondition, ) ) { - attributes._lastDistanceDisplayCondition = DistanceDisplayCondition.clone( - distanceDisplayCondition, - attributes._lastDistanceDisplayCondition - ); - attributes.distanceDisplayCondition = DistanceDisplayConditionGeometryInstanceAttribute.toValue( - distanceDisplayCondition, - attributes.distanceDisplayCondition - ); + attributes._lastDistanceDisplayCondition = + DistanceDisplayCondition.clone( + distanceDisplayCondition, + attributes._lastDistanceDisplayCondition, + ); + attributes.distanceDisplayCondition = + DistanceDisplayConditionGeometryInstanceAttribute.toValue( + distanceDisplayCondition, + attributes.distanceDisplayCondition, + ); } } } @@ -266,7 +266,7 @@ Batch.prototype.updateShows = function (primitive) { if (show !== currentShow) { attributes.show = ShowGeometryInstanceAttribute.toValue( show, - attributes.show + attributes.show, ); instance.attributes.show.value[0] = attributes.show[0]; } @@ -314,7 +314,7 @@ Batch.prototype.destroy = function () { function StaticGroundGeometryPerMaterialBatch( primitives, classificationType, - appearanceType + appearanceType, ) { this._items = []; this._primitives = primitives; @@ -326,9 +326,10 @@ StaticGroundGeometryPerMaterialBatch.prototype.add = function (time, updater) { const items = this._items; const length = items.length; const geometryInstance = updater.createFillGeometryInstance(time); - const usingSphericalTextureCoordinates = ShadowVolumeAppearance.shouldUseSphericalCoordinates( - geometryInstance.geometry.rectangle - ); + const usingSphericalTextureCoordinates = + ShadowVolumeAppearance.shouldUseSphericalCoordinates( + geometryInstance.geometry.rectangle, + ); const zIndex = Property.getValueOrDefault(updater.zIndex, 0); // Check if the Entity represented by the updater can be placed in an existing batch. Requirements: // * compatible material (same material or same color) @@ -354,7 +355,7 @@ StaticGroundGeometryPerMaterialBatch.prototype.add = function (time, updater) { this._appearanceType, updater.fillMaterialProperty, usingSphericalTextureCoordinates, - zIndex + zIndex, ); batch.add(time, updater, geometryInstance); items.push(batch); @@ -402,7 +403,7 @@ StaticGroundGeometryPerMaterialBatch.prototype.update = function (time) { StaticGroundGeometryPerMaterialBatch.prototype.getBoundingSphere = function ( updater, - result + result, ) { const items = this._items; const length = items.length; @@ -415,12 +416,13 @@ StaticGroundGeometryPerMaterialBatch.prototype.getBoundingSphere = function ( return BoundingSphereState.FAILED; }; -StaticGroundGeometryPerMaterialBatch.prototype.removeAllPrimitives = function () { - const items = this._items; - const length = items.length; - for (let i = 0; i < length; i++) { - items[i].destroy(); - } - this._items.length = 0; -}; +StaticGroundGeometryPerMaterialBatch.prototype.removeAllPrimitives = + function () { + const items = this._items; + const length = items.length; + for (let i = 0; i < length; i++) { + items[i].destroy(); + } + this._items.length = 0; + }; export default StaticGroundGeometryPerMaterialBatch; diff --git a/packages/engine/Source/DataSources/StaticGroundPolylinePerMaterialBatch.js b/packages/engine/Source/DataSources/StaticGroundPolylinePerMaterialBatch.js index c1284c71d9ed..10cf346cf058 100644 --- a/packages/engine/Source/DataSources/StaticGroundPolylinePerMaterialBatch.js +++ b/packages/engine/Source/DataSources/StaticGroundPolylinePerMaterialBatch.js @@ -24,7 +24,7 @@ function Batch( classificationType, materialProperty, zIndex, - asynchronous + asynchronous, ) { let appearanceType; if (materialProperty instanceof ColorMaterialProperty) { @@ -46,10 +46,11 @@ function Batch( this.updatersWithAttributes = new AssociativeArray(); this.attributes = new AssociativeArray(); this.invalidated = false; - this.removeMaterialSubscription = materialProperty.definitionChanged.addEventListener( - Batch.prototype.onMaterialChanged, - this - ); + this.removeMaterialSubscription = + materialProperty.definitionChanged.addEventListener( + Batch.prototype.onMaterialChanged, + this, + ); this.subscriptions = new AssociativeArray(); this.showsUpdated = new AssociativeArray(); this.zIndex = zIndex; @@ -92,16 +93,13 @@ Batch.prototype.add = function (time, updater, geometryInstance) { // Listen for show changes. These will be synchronized in updateShows. this.subscriptions.set( id, - updater.entity.definitionChanged.addEventListener(function ( - entity, - propertyName, - newValue, - oldValue - ) { - if (propertyName === "isShowing") { - that.showsUpdated.set(updater.id, updater); - } - }) + updater.entity.definitionChanged.addEventListener( + function (entity, propertyName, newValue, oldValue) { + if (propertyName === "isShowing") { + that.showsUpdated.set(updater.id, updater); + } + }, + ), ); } this.createPrimitive = true; @@ -154,7 +152,7 @@ Batch.prototype.update = function (time) { this.material = MaterialProperty.getValue( time, this.materialProperty, - this.material + this.material, ); primitive.appearance.material = this.material; } @@ -187,7 +185,7 @@ Batch.prototype.update = function (time) { this.material = MaterialProperty.getValue( time, this.materialProperty, - this.material + this.material, ); this.primitive.appearance.material = this.material; } @@ -210,16 +208,16 @@ Batch.prototype.update = function (time) { colorProperty, time, Color.WHITE, - scratchColor + scratchColor, ); if (!Color.equals(attributes._lastColor, resultColor)) { attributes._lastColor = Color.clone( resultColor, - attributes._lastColor + attributes._lastColor, ); attributes.color = ColorGeometryInstanceAttribute.toValue( resultColor, - attributes.color + attributes.color, ); } } @@ -230,7 +228,7 @@ Batch.prototype.update = function (time) { if (show !== currentShow) { attributes.show = ShowGeometryInstanceAttribute.toValue( show, - attributes.show + attributes.show, ); } @@ -241,22 +239,24 @@ Batch.prototype.update = function (time) { distanceDisplayConditionProperty, time, defaultDistanceDisplayCondition, - distanceDisplayConditionScratch + distanceDisplayConditionScratch, ); if ( !DistanceDisplayCondition.equals( distanceDisplayCondition, - attributes._lastDistanceDisplayCondition + attributes._lastDistanceDisplayCondition, ) ) { - attributes._lastDistanceDisplayCondition = DistanceDisplayCondition.clone( - distanceDisplayCondition, - attributes._lastDistanceDisplayCondition - ); - attributes.distanceDisplayCondition = DistanceDisplayConditionGeometryInstanceAttribute.toValue( - distanceDisplayCondition, - attributes.distanceDisplayCondition - ); + attributes._lastDistanceDisplayCondition = + DistanceDisplayCondition.clone( + distanceDisplayCondition, + attributes._lastDistanceDisplayCondition, + ); + attributes.distanceDisplayCondition = + DistanceDisplayConditionGeometryInstanceAttribute.toValue( + distanceDisplayCondition, + attributes.distanceDisplayCondition, + ); } } } @@ -287,7 +287,7 @@ Batch.prototype.updateShows = function (primitive) { if (show !== currentShow) { attributes.show = ShowGeometryInstanceAttribute.toValue( show, - attributes.show + attributes.show, ); instance.attributes.show.value[0] = attributes.show[0]; } @@ -335,7 +335,7 @@ Batch.prototype.destroy = function () { function StaticGroundPolylinePerMaterialBatch( orderedGroundPrimitives, classificationType, - asynchronous + asynchronous, ) { this._items = []; this._orderedGroundPrimitives = orderedGroundPrimitives; @@ -362,7 +362,7 @@ StaticGroundPolylinePerMaterialBatch.prototype.add = function (time, updater) { this._classificationType, updater.fillMaterialProperty, zIndex, - this._asynchronous + this._asynchronous, ); batch.add(time, updater, geometryInstance); items.push(batch); @@ -410,7 +410,7 @@ StaticGroundPolylinePerMaterialBatch.prototype.update = function (time) { StaticGroundPolylinePerMaterialBatch.prototype.getBoundingSphere = function ( updater, - result + result, ) { const items = this._items; const length = items.length; @@ -423,12 +423,13 @@ StaticGroundPolylinePerMaterialBatch.prototype.getBoundingSphere = function ( return BoundingSphereState.FAILED; }; -StaticGroundPolylinePerMaterialBatch.prototype.removeAllPrimitives = function () { - const items = this._items; - const length = items.length; - for (let i = 0; i < length; i++) { - items[i].destroy(); - } - this._items.length = 0; -}; +StaticGroundPolylinePerMaterialBatch.prototype.removeAllPrimitives = + function () { + const items = this._items; + const length = items.length; + for (let i = 0; i < length; i++) { + items[i].destroy(); + } + this._items.length = 0; + }; export default StaticGroundPolylinePerMaterialBatch; diff --git a/packages/engine/Source/DataSources/StaticOutlineGeometryBatch.js b/packages/engine/Source/DataSources/StaticOutlineGeometryBatch.js index 5464a56a7886..17132917ba5d 100644 --- a/packages/engine/Source/DataSources/StaticOutlineGeometryBatch.js +++ b/packages/engine/Source/DataSources/StaticOutlineGeometryBatch.js @@ -51,16 +51,13 @@ Batch.prototype.add = function (updater, instance) { const that = this; this.subscriptions.set( id, - updater.entity.definitionChanged.addEventListener(function ( - entity, - propertyName, - newValue, - oldValue - ) { - if (propertyName === "isShowing") { - that.showsUpdated.set(updater.id, updater); - } - }) + updater.entity.definitionChanged.addEventListener( + function (entity, propertyName, newValue, oldValue) { + if (propertyName === "isShowing") { + that.showsUpdated.set(updater.id, updater); + } + }, + ), ); } }; @@ -158,16 +155,16 @@ Batch.prototype.update = function (time) { outlineColorProperty, time, Color.WHITE, - colorScratch + colorScratch, ); if (!Color.equals(attributes._lastColor, outlineColor)) { attributes._lastColor = Color.clone( outlineColor, - attributes._lastColor + attributes._lastColor, ); attributes.color = ColorGeometryInstanceAttribute.toValue( outlineColor, - attributes.color + attributes.color, ); if ( (this.translucent && attributes.color[3] === 255) || @@ -185,7 +182,7 @@ Batch.prototype.update = function (time) { if (show !== currentShow) { attributes.show = ShowGeometryInstanceAttribute.toValue( show, - attributes.show + attributes.show, ); } @@ -196,22 +193,24 @@ Batch.prototype.update = function (time) { distanceDisplayConditionProperty, time, defaultDistanceDisplayCondition, - distanceDisplayConditionScratch + distanceDisplayConditionScratch, ); if ( !DistanceDisplayCondition.equals( distanceDisplayCondition, - attributes._lastDistanceDisplayCondition + attributes._lastDistanceDisplayCondition, ) ) { - attributes._lastDistanceDisplayCondition = DistanceDisplayCondition.clone( - distanceDisplayCondition, - attributes._lastDistanceDisplayCondition - ); - attributes.distanceDisplayCondition = DistanceDisplayConditionGeometryInstanceAttribute.toValue( - distanceDisplayCondition, - attributes.distanceDisplayCondition - ); + attributes._lastDistanceDisplayCondition = + DistanceDisplayCondition.clone( + distanceDisplayCondition, + attributes._lastDistanceDisplayCondition, + ); + attributes.distanceDisplayCondition = + DistanceDisplayConditionGeometryInstanceAttribute.toValue( + distanceDisplayCondition, + attributes.distanceDisplayCondition, + ); } } @@ -221,16 +220,16 @@ Batch.prototype.update = function (time) { offsetProperty, time, defaultOffset, - offsetScratch + offsetScratch, ); if (!Cartesian3.equals(offset, attributes._lastOffset)) { attributes._lastOffset = Cartesian3.clone( offset, - attributes._lastOffset + attributes._lastOffset, ); attributes.offset = OffsetGeometryInstanceAttribute.toValue( offset, - attributes.offset + attributes.offset, ); } } @@ -264,7 +263,7 @@ Batch.prototype.updateShows = function (primitive) { if (show !== currentShow) { attributes.show = ShowGeometryInstanceAttribute.toValue( show, - attributes.show + attributes.show, ); instance.attributes.show.value[0] = attributes.show[0]; } @@ -423,7 +422,7 @@ StaticOutlineGeometryBatch.prototype.update = function (time) { StaticOutlineGeometryBatch.prototype.getBoundingSphere = function ( updater, - result + result, ) { let i; diff --git a/packages/engine/Source/DataSources/StripeMaterialProperty.js b/packages/engine/Source/DataSources/StripeMaterialProperty.js index 8fb83fe4f720..e071a4c571e4 100644 --- a/packages/engine/Source/DataSources/StripeMaterialProperty.js +++ b/packages/engine/Source/DataSources/StripeMaterialProperty.js @@ -159,13 +159,13 @@ StripeMaterialProperty.prototype.getValue = function (time, result) { this._evenColor, time, defaultEvenColor, - result.evenColor + result.evenColor, ); result.oddColor = Property.getValueOrClonedDefault( this._oddColor, time, defaultOddColor, - result.oddColor + result.oddColor, ); result.offset = Property.getValueOrDefault(this._offset, time, defaultOffset); result.repeat = Property.getValueOrDefault(this._repeat, time, defaultRepeat); diff --git a/packages/engine/Source/DataSources/TerrainOffsetProperty.js b/packages/engine/Source/DataSources/TerrainOffsetProperty.js index 329d1b136a2f..d4012b1d34f5 100644 --- a/packages/engine/Source/DataSources/TerrainOffsetProperty.js +++ b/packages/engine/Source/DataSources/TerrainOffsetProperty.js @@ -21,7 +21,7 @@ function TerrainOffsetProperty( scene, positionProperty, heightReferenceProperty, - extrudedHeightReferenceProperty + extrudedHeightReferenceProperty, ) { //>>includeStart('debug', pragmas.debug); Check.defined("scene", scene); @@ -48,19 +48,19 @@ function TerrainOffsetProperty( this._removeEventListener = scene.terrainProviderChanged.addEventListener( function () { that._updateClamping(); - } + }, ); this._removeModeListener = scene.morphComplete.addEventListener( function () { that._updateClamping(); - } + }, ); } if (positionProperty.isConstant) { const position = positionProperty.getValue( Iso8601.MINIMUM_VALUE, - scratchPosition + scratchPosition, ); if ( !defined(position) || @@ -75,7 +75,7 @@ function TerrainOffsetProperty( this._normal = scene.ellipsoid.geodeticSurfaceNormal( position, - this._normal + this._normal, ); } } @@ -125,7 +125,7 @@ TerrainOffsetProperty.prototype._updateClamping = function () { const ellipsoid = scene.ellipsoid; const cartographicPosition = ellipsoid.cartesianToCartographic( position, - this._cartographicPosition + this._cartographicPosition, ); const height = scene.getHeight(cartographicPosition, this._heightReference); @@ -143,7 +143,7 @@ TerrainOffsetProperty.prototype._updateClamping = function () { this._removeCallbackFunc = scene.updateHeight( cartographicPosition, updateFunction, - this._heightReference + this._heightReference, ); }; @@ -164,12 +164,12 @@ TerrainOffsetProperty.prototype.getValue = function (time, result) { const heightReference = Property.getValueOrDefault( this._heightReference, time, - HeightReference.NONE + HeightReference.NONE, ); const extrudedHeightReference = Property.getValueOrDefault( this._extrudedHeightReference, time, - HeightReference.NONE + HeightReference.NONE, ); if ( @@ -184,7 +184,7 @@ TerrainOffsetProperty.prototype.getValue = function (time, result) { return Cartesian3.multiplyByScalar( this._normal, this._terrainHeight, - result + result, ); } @@ -204,7 +204,7 @@ TerrainOffsetProperty.prototype.getValue = function (time, result) { return Cartesian3.multiplyByScalar( this._normal, this._terrainHeight, - result + result, ); } diff --git a/packages/engine/Source/DataSources/TimeIntervalCollectionPositionProperty.js b/packages/engine/Source/DataSources/TimeIntervalCollectionPositionProperty.js index 7813010f98c4..80e81e17f5e7 100644 --- a/packages/engine/Source/DataSources/TimeIntervalCollectionPositionProperty.js +++ b/packages/engine/Source/DataSources/TimeIntervalCollectionPositionProperty.js @@ -21,7 +21,7 @@ function TimeIntervalCollectionPositionProperty(referenceFrame) { this._intervals = new TimeIntervalCollection(); this._intervals.changedEvent.addEventListener( TimeIntervalCollectionPositionProperty.prototype._intervalsChanged, - this + this, ); this._referenceFrame = defaultValue(referenceFrame, ReferenceFrame.FIXED); } @@ -90,7 +90,7 @@ const timeScratch = new JulianDate(); */ TimeIntervalCollectionPositionProperty.prototype.getValue = function ( time, - result + result, ) { if (!defined(time)) { time = JulianDate.now(timeScratch); @@ -106,32 +106,29 @@ TimeIntervalCollectionPositionProperty.prototype.getValue = function ( * @param {Cartesian3} [result] The object to store the value into, if omitted, a new instance is created and returned. * @returns {Cartesian3 | undefined} The modified result parameter or a new instance if the result parameter was not supplied. */ -TimeIntervalCollectionPositionProperty.prototype.getValueInReferenceFrame = function ( - time, - referenceFrame, - result -) { - //>>includeStart('debug', pragmas.debug); - if (!defined(time)) { - throw new DeveloperError("time is required."); - } - if (!defined(referenceFrame)) { - throw new DeveloperError("referenceFrame is required."); - } - //>>includeEnd('debug'); +TimeIntervalCollectionPositionProperty.prototype.getValueInReferenceFrame = + function (time, referenceFrame, result) { + //>>includeStart('debug', pragmas.debug); + if (!defined(time)) { + throw new DeveloperError("time is required."); + } + if (!defined(referenceFrame)) { + throw new DeveloperError("referenceFrame is required."); + } + //>>includeEnd('debug'); - const position = this._intervals.findDataForIntervalContainingDate(time); - if (defined(position)) { - return PositionProperty.convertToReferenceFrame( - time, - position, - this._referenceFrame, - referenceFrame, - result - ); - } - return undefined; -}; + const position = this._intervals.findDataForIntervalContainingDate(time); + if (defined(position)) { + return PositionProperty.convertToReferenceFrame( + time, + position, + this._referenceFrame, + referenceFrame, + result, + ); + } + return undefined; + }; /** * Compares this property to the provided property and returns @@ -152,7 +149,8 @@ TimeIntervalCollectionPositionProperty.prototype.equals = function (other) { /** * @private */ -TimeIntervalCollectionPositionProperty.prototype._intervalsChanged = function () { - this._definitionChanged.raiseEvent(this); -}; +TimeIntervalCollectionPositionProperty.prototype._intervalsChanged = + function () { + this._definitionChanged.raiseEvent(this); + }; export default TimeIntervalCollectionPositionProperty; diff --git a/packages/engine/Source/DataSources/TimeIntervalCollectionProperty.js b/packages/engine/Source/DataSources/TimeIntervalCollectionProperty.js index f6325bc8a148..925d95376251 100644 --- a/packages/engine/Source/DataSources/TimeIntervalCollectionProperty.js +++ b/packages/engine/Source/DataSources/TimeIntervalCollectionProperty.js @@ -45,7 +45,7 @@ function TimeIntervalCollectionProperty() { this._intervals = new TimeIntervalCollection(); this._intervals.changedEvent.addEventListener( TimeIntervalCollectionProperty.prototype._intervalsChanged, - this + this, ); } diff --git a/packages/engine/Source/DataSources/VelocityOrientationProperty.js b/packages/engine/Source/DataSources/VelocityOrientationProperty.js index a4ab53537a23..0a0ef6d2527d 100644 --- a/packages/engine/Source/DataSources/VelocityOrientationProperty.js +++ b/packages/engine/Source/DataSources/VelocityOrientationProperty.js @@ -121,7 +121,7 @@ VelocityOrientationProperty.prototype.getValue = function (time, result) { const velocity = this._velocityVectorProperty._getValue( time, velocityScratch, - positionScratch + positionScratch, ); if (!defined(velocity)) { @@ -132,7 +132,7 @@ VelocityOrientationProperty.prototype.getValue = function (time, result) { positionScratch, velocity, this._ellipsoid, - rotationScratch + rotationScratch, ); return Quaternion.fromRotationMatrix(rotationScratch, result); }; @@ -150,7 +150,7 @@ VelocityOrientationProperty.prototype.equals = function (other) { (other instanceof VelocityOrientationProperty && Property.equals( this._velocityVectorProperty, - other._velocityVectorProperty + other._velocityVectorProperty, ) && (this._ellipsoid === other._ellipsoid || this._ellipsoid.equals(other._ellipsoid))) diff --git a/packages/engine/Source/DataSources/VelocityVectorProperty.js b/packages/engine/Source/DataSources/VelocityVectorProperty.js index 3d0b9d900c83..a700d1e01f34 100644 --- a/packages/engine/Source/DataSources/VelocityVectorProperty.js +++ b/packages/engine/Source/DataSources/VelocityVectorProperty.js @@ -85,7 +85,7 @@ Object.defineProperties(VelocityVectorProperty.prototype, { function () { this._definitionChanged.raiseEvent(this); }, - this + this, ); } @@ -138,7 +138,7 @@ VelocityVectorProperty.prototype.getValue = function (time, result) { VelocityVectorProperty.prototype._getValue = function ( time, velocityResult, - positionResult + positionResult, ) { if (!defined(time)) { time = JulianDate.now(timeNowScratch); @@ -158,7 +158,7 @@ VelocityVectorProperty.prototype._getValue = function ( let position1 = property.getValue(time, position1Scratch); let position2 = property.getValue( JulianDate.addSeconds(time, step, timeScratch), - position2Scratch + position2Scratch, ); //If we don't have a position for now, return undefined. @@ -171,7 +171,7 @@ VelocityVectorProperty.prototype._getValue = function ( position2 = position1; position1 = property.getValue( JulianDate.addSeconds(time, -step, timeScratch), - position2Scratch + position2Scratch, ); if (!defined(position1)) { diff --git a/packages/engine/Source/DataSources/WallGeometryUpdater.js b/packages/engine/Source/DataSources/WallGeometryUpdater.js index 45c01e0cd742..a205426a14c6 100644 --- a/packages/engine/Source/DataSources/WallGeometryUpdater.js +++ b/packages/engine/Source/DataSources/WallGeometryUpdater.js @@ -67,7 +67,7 @@ WallGeometryUpdater.prototype.createFillGeometryInstance = function (time) { if (!this._fillEnabled) { throw new DeveloperError( - "This instance does not represent a filled geometry." + "This instance does not represent a filled geometry.", ); } //>>includeEnd('debug'); @@ -82,14 +82,14 @@ WallGeometryUpdater.prototype.createFillGeometryInstance = function (time) { isAvailable && entity.isShowing && this._showProperty.getValue(time) && - this._fillProperty.getValue(time) - ); - const distanceDisplayCondition = this._distanceDisplayConditionProperty.getValue( - time - ); - const distanceDisplayConditionAttribute = DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( - distanceDisplayCondition + this._fillProperty.getValue(time), ); + const distanceDisplayCondition = + this._distanceDisplayConditionProperty.getValue(time); + const distanceDisplayConditionAttribute = + DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( + distanceDisplayCondition, + ); if (this._materialProperty instanceof ColorMaterialProperty) { let currentColor; if ( @@ -135,7 +135,7 @@ WallGeometryUpdater.prototype.createOutlineGeometryInstance = function (time) { if (!this._outlineEnabled) { throw new DeveloperError( - "This instance does not represent an outlined geometry." + "This instance does not represent an outlined geometry.", ); } //>>includeEnd('debug'); @@ -146,11 +146,10 @@ WallGeometryUpdater.prototype.createOutlineGeometryInstance = function (time) { this._outlineColorProperty, time, Color.BLACK, - scratchColor - ); - const distanceDisplayCondition = this._distanceDisplayConditionProperty.getValue( - time + scratchColor, ); + const distanceDisplayCondition = + this._distanceDisplayConditionProperty.getValue(time); return new GeometryInstance({ id: entity, @@ -160,12 +159,13 @@ WallGeometryUpdater.prototype.createOutlineGeometryInstance = function (time) { isAvailable && entity.isShowing && this._showProperty.getValue(time) && - this._showOutlineProperty.getValue(time) + this._showOutlineProperty.getValue(time), ), color: ColorGeometryInstanceAttribute.fromColor(outlineColor), - distanceDisplayCondition: DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( - distanceDisplayCondition - ), + distanceDisplayCondition: + DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( + distanceDisplayCondition, + ), }, }); }; @@ -204,7 +204,7 @@ WallGeometryUpdater.prototype._setStaticOptions = function (entity, wall) { : MaterialAppearance.MaterialSupport.TEXTURED.vertexFormat; options.positions = wall.positions.getValue( Iso8601.MINIMUM_VALUE, - options.positions + options.positions, ); options.minimumHeights = defined(minimumHeights) ? minimumHeights.getValue(Iso8601.MINIMUM_VALUE, options.minimumHeights) @@ -225,19 +225,19 @@ WallGeometryUpdater.DynamicGeometryUpdater = DynamicWallGeometryUpdater; function DynamicWallGeometryUpdater( geometryUpdater, primitives, - groundPrimitives + groundPrimitives, ) { DynamicGeometryUpdater.call( this, geometryUpdater, primitives, - groundPrimitives + groundPrimitives, ); } if (defined(Object.create)) { DynamicWallGeometryUpdater.prototype = Object.create( - DynamicGeometryUpdater.prototype + DynamicGeometryUpdater.prototype, ); DynamicWallGeometryUpdater.prototype.constructor = DynamicWallGeometryUpdater; } @@ -252,23 +252,23 @@ DynamicWallGeometryUpdater.prototype._isHidden = function (entity, wall, time) { DynamicWallGeometryUpdater.prototype._setOptions = function ( entity, wall, - time + time, ) { const options = this._options; options.positions = Property.getValueOrUndefined( wall.positions, time, - options.positions + options.positions, ); options.minimumHeights = Property.getValueOrUndefined( wall.minimumHeights, time, - options.minimumHeights + options.minimumHeights, ); options.maximumHeights = Property.getValueOrUndefined( wall.maximumHeights, time, - options.maximumHeights + options.maximumHeights, ); options.granularity = Property.getValueOrUndefined(wall.granularity, time); }; diff --git a/packages/engine/Source/DataSources/WallGraphics.js b/packages/engine/Source/DataSources/WallGraphics.js index 05653c9225bf..247669354a38 100644 --- a/packages/engine/Source/DataSources/WallGraphics.js +++ b/packages/engine/Source/DataSources/WallGraphics.js @@ -177,7 +177,7 @@ Object.defineProperties(WallGraphics.prototype, { * @type {Property|undefined} */ distanceDisplayCondition: createPropertyDescriptor( - "distanceDisplayCondition" + "distanceDisplayCondition", ), }); @@ -223,11 +223,11 @@ WallGraphics.prototype.merge = function (source) { this.positions = defaultValue(this.positions, source.positions); this.minimumHeights = defaultValue( this.minimumHeights, - source.minimumHeights + source.minimumHeights, ); this.maximumHeights = defaultValue( this.maximumHeights, - source.maximumHeights + source.maximumHeights, ); this.granularity = defaultValue(this.granularity, source.granularity); this.fill = defaultValue(this.fill, source.fill); @@ -238,7 +238,7 @@ WallGraphics.prototype.merge = function (source) { this.shadows = defaultValue(this.shadows, source.shadows); this.distanceDisplayCondition = defaultValue( this.distanceDisplayCondition, - source.distanceDisplayCondition + source.distanceDisplayCondition, ); }; export default WallGraphics; diff --git a/packages/engine/Source/DataSources/createPropertyDescriptor.js b/packages/engine/Source/DataSources/createPropertyDescriptor.js index 689757dba97b..82b45b5d95b4 100644 --- a/packages/engine/Source/DataSources/createPropertyDescriptor.js +++ b/packages/engine/Source/DataSources/createPropertyDescriptor.js @@ -7,7 +7,7 @@ function createProperty( privateName, subscriptionName, configurable, - createPropertyCallback + createPropertyCallback, ) { return { configurable: configurable, @@ -41,7 +41,7 @@ function createProperty( function () { this._definitionChanged.raiseEvent(this, name, value, value); }, - this + this, ); } }, @@ -66,7 +66,7 @@ function createPropertyDescriptor(name, configurable, createPropertyCallback) { `_${name.toString()}`, `_${name.toString()}Subscription`, defaultValue(configurable, false), - defaultValue(createPropertyCallback, createConstantProperty) + defaultValue(createPropertyCallback, createConstantProperty), ); } export default createPropertyDescriptor; diff --git a/packages/engine/Source/DataSources/exportKml.js b/packages/engine/Source/DataSources/exportKml.js index 64b85afee4bc..3a0eff321c2b 100644 --- a/packages/engine/Source/DataSources/exportKml.js +++ b/packages/engine/Source/DataSources/exportKml.js @@ -97,7 +97,7 @@ ExternalFileHandler.prototype.model = function (model, time) { const modelCallback = this._modelCallback; if (!defined(modelCallback)) { throw new RuntimeError( - "Encountered a model entity while exporting to KML, but no model callback was supplied." + "Encountered a model entity while exporting to KML, but no model callback was supplied.", ); } @@ -374,7 +374,7 @@ exportKml._createState = function (options) { // Figure out how we will sample dynamic position properties let defaultAvailability = defaultValue( options.defaultAvailability, - entityAvailability + entityAvailability, ); const sampleDuration = defaultValue(options.sampleDuration, 60); @@ -388,7 +388,7 @@ exportKml._createState = function (options) { JulianDate.addSeconds( defaultAvailability.stop, -10 * sampleDuration, - defaultAvailability.start + defaultAvailability.start, ); } } else if (defaultAvailability.stop === Iso8601.MAXIMUM_VALUE) { @@ -396,7 +396,7 @@ exportKml._createState = function (options) { JulianDate.addSeconds( defaultAvailability.start, 10 * sampleDuration, - defaultAvailability.stop + defaultAvailability.stop, ); } @@ -449,8 +449,8 @@ function recurseEntities(state, parentNode, entities) { createBasicElementWithText( kmlDoc, "begin", - JulianDate.toIso8601(availability.start) - ) + JulianDate.toIso8601(availability.start), + ), ); } @@ -459,8 +459,8 @@ function recurseEntities(state, parentNode, entities) { createBasicElementWithText( kmlDoc, "end", - JulianDate.toIso8601(availability.stop) - ) + JulianDate.toIso8601(availability.stop), + ), ); } } @@ -470,13 +470,13 @@ function recurseEntities(state, parentNode, entities) { overlay.setAttribute("id", idManager.get(entity.id)); overlay.appendChild( - createBasicElementWithText(kmlDoc, "name", entity.name) + createBasicElementWithText(kmlDoc, "name", entity.name), ); overlay.appendChild( - createBasicElementWithText(kmlDoc, "visibility", entity.show) + createBasicElementWithText(kmlDoc, "visibility", entity.show), ); overlay.appendChild( - createBasicElementWithText(kmlDoc, "description", entity.description) + createBasicElementWithText(kmlDoc, "description", entity.description), ); if (defined(timeSpan)) { @@ -503,17 +503,17 @@ function recurseEntities(state, parentNode, entities) { const color = valueGetter.getColor(labelGraphics.fillColor); if (defined(color)) { labelStyle.appendChild( - createBasicElementWithText(kmlDoc, "color", color) + createBasicElementWithText(kmlDoc, "color", color), ); labelStyle.appendChild( - createBasicElementWithText(kmlDoc, "colorMode", "normal") + createBasicElementWithText(kmlDoc, "colorMode", "normal"), ); } const scale = valueGetter.get(labelGraphics.scale); if (defined(scale)) { labelStyle.appendChild( - createBasicElementWithText(kmlDoc, "scale", scale) + createBasicElementWithText(kmlDoc, "scale", scale), ); } @@ -522,10 +522,10 @@ function recurseEntities(state, parentNode, entities) { placemark.appendChild(createBasicElementWithText(kmlDoc, "name", name)); placemark.appendChild( - createBasicElementWithText(kmlDoc, "visibility", entity.show) + createBasicElementWithText(kmlDoc, "visibility", entity.show), ); placemark.appendChild( - createBasicElementWithText(kmlDoc, "description", entity.description) + createBasicElementWithText(kmlDoc, "description", entity.description), ); if (defined(timeSpan)) { @@ -542,7 +542,7 @@ function recurseEntities(state, parentNode, entities) { } placemark.appendChild( - createBasicElementWithText(kmlDoc, "styleUrl", styleCache.get(style)) + createBasicElementWithText(kmlDoc, "styleUrl", styleCache.get(style)), ); } @@ -566,13 +566,13 @@ function recurseEntities(state, parentNode, entities) { const folderNode = kmlDoc.createElement("Folder"); folderNode.setAttribute("id", idManager.get(entity.id)); folderNode.appendChild( - createBasicElementWithText(kmlDoc, "name", entity.name) + createBasicElementWithText(kmlDoc, "name", entity.name), ); folderNode.appendChild( - createBasicElementWithText(kmlDoc, "visibility", entity.show) + createBasicElementWithText(kmlDoc, "visibility", entity.show), ); folderNode.appendChild( - createBasicElementWithText(kmlDoc, "description", entity.description) + createBasicElementWithText(kmlDoc, "description", entity.description), ); parentNode.appendChild(folderNode); @@ -607,7 +607,7 @@ function createPoint(state, entity, geometries, styles) { const coordinates = createBasicElementWithText( kmlDoc, "coordinates", - getCoordinates(scratchCartesian3, ellipsoid) + getCoordinates(scratchCartesian3, ellipsoid), ); const pointGeometry = kmlDoc.createElement("Point"); @@ -615,7 +615,7 @@ function createPoint(state, entity, geometries, styles) { // Set altitude mode const altitudeMode = kmlDoc.createElement("altitudeMode"); altitudeMode.appendChild( - getAltitudeMode(state, pointGraphics.heightReference) + getAltitudeMode(state, pointGraphics.heightReference), ); pointGeometry.appendChild(altitudeMode); @@ -661,16 +661,16 @@ function createTracks(state, entity, pointGraphics, geometries, styles) { if (positionProperty instanceof ScaledPositionProperty) { positionProperty = positionProperty._value; trackAltitudeMode.appendChild( - getAltitudeMode(state, HeightReference.CLAMP_TO_GROUND) + getAltitudeMode(state, HeightReference.CLAMP_TO_GROUND), ); } else if (defined(pointGraphics)) { trackAltitudeMode.appendChild( - getAltitudeMode(state, pointGraphics.heightReference) + getAltitudeMode(state, pointGraphics.heightReference), ); } else { // Path graphics only, which has no height reference trackAltitudeMode.appendChild( - getAltitudeMode(state, HeightReference.NONE) + getAltitudeMode(state, HeightReference.NONE), ); } @@ -682,7 +682,7 @@ function createTracks(state, entity, pointGraphics, geometries, styles) { const constCoordinates = createBasicElementWithText( kmlDoc, "coordinates", - getCoordinates(scratchCartesian3, ellipsoid) + getCoordinates(scratchCartesian3, ellipsoid), ); // This interval is constant so add a track with the same position @@ -698,7 +698,7 @@ function createTracks(state, entity, pointGraphics, geometries, styles) { positionProperty.getValueInReferenceFrame( times[j], ReferenceFrame.FIXED, - scratchCartesian3 + scratchCartesian3, ); positionValues.push(getCoordinates(scratchCartesian3, ellipsoid)); } @@ -748,7 +748,7 @@ function createTracks(state, entity, pointGraphics, geometries, styles) { kmlDoc, "coord", positionValues[k], - gxNamespace + gxNamespace, ); trackGeometry.appendChild(when); @@ -768,7 +768,7 @@ function createTracks(state, entity, pointGraphics, geometries, styles) { } else if (tracks.length > 1) { const multiTrackGeometry = kmlDoc.createElementNS( gxNamespace, - "MultiTrack" + "MultiTrack", ); for (i = 0; i < tracks.length; ++i) { @@ -796,7 +796,7 @@ function createTracks(state, entity, pointGraphics, geometries, styles) { const lineStyle = kmlDoc.createElement("LineStyle"); if (defined(width)) { lineStyle.appendChild( - createBasicElementWithText(kmlDoc, "width", width) + createBasicElementWithText(kmlDoc, "width", width), ); } @@ -816,14 +816,14 @@ function createIconStyleFromPoint(state, pointGraphics) { if (defined(color)) { iconStyle.appendChild(createBasicElementWithText(kmlDoc, "color", color)); iconStyle.appendChild( - createBasicElementWithText(kmlDoc, "colorMode", "normal") + createBasicElementWithText(kmlDoc, "colorMode", "normal"), ); } const pixelSize = valueGetter.get(pointGraphics.pixelSize); if (defined(pixelSize)) { iconStyle.appendChild( - createBasicElementWithText(kmlDoc, "scale", pixelSize / BILLBOARD_SIZE) + createBasicElementWithText(kmlDoc, "scale", pixelSize / BILLBOARD_SIZE), ); } @@ -847,26 +847,26 @@ function createIconStyleFromBillboard(state, billboardGraphics) { const imageSubRegion = valueGetter.get(billboardGraphics.imageSubRegion); if (defined(imageSubRegion)) { icon.appendChild( - createBasicElementWithText(kmlDoc, "x", imageSubRegion.x, gxNamespace) + createBasicElementWithText(kmlDoc, "x", imageSubRegion.x, gxNamespace), ); icon.appendChild( - createBasicElementWithText(kmlDoc, "y", imageSubRegion.y, gxNamespace) + createBasicElementWithText(kmlDoc, "y", imageSubRegion.y, gxNamespace), ); icon.appendChild( createBasicElementWithText( kmlDoc, "w", imageSubRegion.width, - gxNamespace - ) + gxNamespace, + ), ); icon.appendChild( createBasicElementWithText( kmlDoc, "h", imageSubRegion.height, - gxNamespace - ) + gxNamespace, + ), ); } @@ -877,7 +877,7 @@ function createIconStyleFromBillboard(state, billboardGraphics) { if (defined(color)) { iconStyle.appendChild(createBasicElementWithText(kmlDoc, "color", color)); iconStyle.appendChild( - createBasicElementWithText(kmlDoc, "colorMode", "normal") + createBasicElementWithText(kmlDoc, "colorMode", "normal"), ); } @@ -900,7 +900,7 @@ function createIconStyleFromBillboard(state, billboardGraphics) { // Move to left const horizontalOrigin = valueGetter.get( billboardGraphics.horizontalOrigin, - HorizontalOrigin.CENTER + HorizontalOrigin.CENTER, ); if (horizontalOrigin === HorizontalOrigin.CENTER) { pixelOffset.x -= width * 0.5; @@ -911,7 +911,7 @@ function createIconStyleFromBillboard(state, billboardGraphics) { // Move to bottom const verticalOrigin = valueGetter.get( billboardGraphics.verticalOrigin, - VerticalOrigin.CENTER + VerticalOrigin.CENTER, ); if (verticalOrigin === VerticalOrigin.TOP) { pixelOffset.y += height; @@ -939,7 +939,7 @@ function createIconStyleFromBillboard(state, billboardGraphics) { } iconStyle.appendChild( - createBasicElementWithText(kmlDoc, "heading", rotation) + createBasicElementWithText(kmlDoc, "heading", rotation), ); } @@ -963,7 +963,7 @@ function createLineString(state, polylineGraphics, geometries, styles) { let altitudeModeText; if (clampToGround) { lineStringGeometry.appendChild( - createBasicElementWithText(kmlDoc, "tessellate", true) + createBasicElementWithText(kmlDoc, "tessellate", true), ); altitudeModeText = kmlDoc.createTextNode("clampToGround"); } else { @@ -978,7 +978,7 @@ function createLineString(state, polylineGraphics, geometries, styles) { const coordinates = createBasicElementWithText( kmlDoc, "coordinates", - getCoordinates(cartesians, ellipsoid) + getCoordinates(cartesians, ellipsoid), ); lineStringGeometry.appendChild(coordinates); @@ -986,7 +986,7 @@ function createLineString(state, polylineGraphics, geometries, styles) { const zIndex = valueGetter.get(polylineGraphics.zIndex); if (clampToGround && defined(zIndex)) { lineStringGeometry.appendChild( - createBasicElementWithText(kmlDoc, "drawOrder", zIndex, gxNamespace) + createBasicElementWithText(kmlDoc, "drawOrder", zIndex, gxNamespace), ); } @@ -1032,15 +1032,15 @@ function getRectangleBoundaries(state, rectangleGraphics, extrudedHeight) { cornerFunction[i](rectangle, scratchCartographic); coordinateStrings.push( `${CesiumMath.toDegrees( - scratchCartographic.longitude - )},${CesiumMath.toDegrees(scratchCartographic.latitude)},${height}` + scratchCartographic.longitude, + )},${CesiumMath.toDegrees(scratchCartographic.latitude)},${height}`, ); } const coordinates = createBasicElementWithText( kmlDoc, "coordinates", - coordinateStrings.join(" ") + coordinateStrings.join(" "), ); const outerBoundaryIs = kmlDoc.createElement("outerBoundaryIs"); @@ -1061,17 +1061,17 @@ function getLinearRing(state, positions, height, perPositionHeight) { Cartographic.fromCartesian(positions[i], ellipsoid, scratchCartographic); coordinateStrings.push( `${CesiumMath.toDegrees( - scratchCartographic.longitude + scratchCartographic.longitude, )},${CesiumMath.toDegrees(scratchCartographic.latitude)},${ perPositionHeight ? scratchCartographic.height : height - }` + }`, ); } const coordinates = createBasicElementWithText( kmlDoc, "coordinates", - coordinateStrings.join(" ") + coordinateStrings.join(" "), ); const linearRing = kmlDoc.createElement("LinearRing"); linearRing.appendChild(coordinates); @@ -1086,7 +1086,7 @@ function getPolygonBoundaries(state, polygonGraphics, extrudedHeight) { let height = valueGetter.get(polygonGraphics.height, 0.0); const perPositionHeight = valueGetter.get( polygonGraphics.perPositionHeight, - false + false, ); if (!perPositionHeight && extrudedHeight > 0) { @@ -1105,7 +1105,7 @@ function getPolygonBoundaries(state, polygonGraphics, extrudedHeight) { // Polygon boundaries const outerBoundaryIs = kmlDoc.createElement("outerBoundaryIs"); outerBoundaryIs.appendChild( - getLinearRing(state, positions, height, perPositionHeight) + getLinearRing(state, positions, height, perPositionHeight), ); boundaries.push(outerBoundaryIs); @@ -1116,7 +1116,7 @@ function getPolygonBoundaries(state, polygonGraphics, extrudedHeight) { for (let i = 0; i < holeCount; ++i) { const innerBoundaryIs = kmlDoc.createElement("innerBoundaryIs"); innerBoundaryIs.appendChild( - getLinearRing(state, holes[i].positions, height, perPositionHeight) + getLinearRing(state, holes[i].positions, height, perPositionHeight), ); boundaries.push(innerBoundaryIs); } @@ -1148,7 +1148,7 @@ function createPolygon(state, geometry, geometries, styles, overlays) { const extrudedHeight = valueGetter.get(geometry.extrudedHeight, 0.0); if (extrudedHeight > 0) { polygonGeometry.appendChild( - createBasicElementWithText(kmlDoc, "extrude", true) + createBasicElementWithText(kmlDoc, "extrude", true), ); } @@ -1182,7 +1182,7 @@ function createPolygon(state, geometry, geometries, styles, overlays) { const outline = valueGetter.get(geometry.outline, false); if (outline) { polyStyle.appendChild( - createBasicElementWithText(kmlDoc, "outline", outline) + createBasicElementWithText(kmlDoc, "outline", outline), ); // Outline uses LineStyle @@ -1190,18 +1190,18 @@ function createPolygon(state, geometry, geometries, styles, overlays) { const outlineWidth = valueGetter.get(geometry.outlineWidth, 1.0); lineStyle.appendChild( - createBasicElementWithText(kmlDoc, "width", outlineWidth) + createBasicElementWithText(kmlDoc, "width", outlineWidth), ); const outlineColor = valueGetter.getColor( geometry.outlineColor, - Color.BLACK + Color.BLACK, ); lineStyle.appendChild( - createBasicElementWithText(kmlDoc, "color", outlineColor) + createBasicElementWithText(kmlDoc, "color", outlineColor), ); lineStyle.appendChild( - createBasicElementWithText(kmlDoc, "colorMode", "normal") + createBasicElementWithText(kmlDoc, "colorMode", "normal"), ); styles.push(lineStyle); @@ -1220,14 +1220,14 @@ function createGroundOverlay(state, rectangleGraphics, overlays) { // Set altitude mode const altitudeMode = kmlDoc.createElement("altitudeMode"); altitudeMode.appendChild( - getAltitudeMode(state, rectangleGraphics.heightReference) + getAltitudeMode(state, rectangleGraphics.heightReference), ); groundOverlay.appendChild(altitudeMode); const height = valueGetter.get(rectangleGraphics.height); if (defined(height)) { groundOverlay.appendChild( - createBasicElementWithText(kmlDoc, "altitude", height) + createBasicElementWithText(kmlDoc, "altitude", height), ); } @@ -1237,29 +1237,29 @@ function createGroundOverlay(state, rectangleGraphics, overlays) { createBasicElementWithText( kmlDoc, "north", - CesiumMath.toDegrees(rectangle.north) - ) + CesiumMath.toDegrees(rectangle.north), + ), ); latLonBox.appendChild( createBasicElementWithText( kmlDoc, "south", - CesiumMath.toDegrees(rectangle.south) - ) + CesiumMath.toDegrees(rectangle.south), + ), ); latLonBox.appendChild( createBasicElementWithText( kmlDoc, "east", - CesiumMath.toDegrees(rectangle.east) - ) + CesiumMath.toDegrees(rectangle.east), + ), ); latLonBox.appendChild( createBasicElementWithText( kmlDoc, "west", - CesiumMath.toDegrees(rectangle.west) - ) + CesiumMath.toDegrees(rectangle.west), + ), ); groundOverlay.appendChild(latLonBox); @@ -1273,7 +1273,11 @@ function createGroundOverlay(state, rectangleGraphics, overlays) { const color = material.color; if (defined(color)) { groundOverlay.appendChild( - createBasicElementWithText(kmlDoc, "color", colorToString(material.color)) + createBasicElementWithText( + kmlDoc, + "color", + colorToString(material.color), + ), ); } @@ -1326,7 +1330,7 @@ function createModel(state, entity, modelGraphics, geometries, styles) { // Set altitude mode const altitudeMode = kmlDoc.createElement("altitudeMode"); altitudeMode.appendChild( - getAltitudeMode(state, modelGraphics.heightReference) + getAltitudeMode(state, modelGraphics.heightReference), ); modelGeometry.appendChild(altitudeMode); @@ -1337,18 +1341,18 @@ function createModel(state, entity, modelGraphics, geometries, styles) { createBasicElementWithText( kmlDoc, "longitude", - CesiumMath.toDegrees(scratchCartographic.longitude) - ) + CesiumMath.toDegrees(scratchCartographic.longitude), + ), ); location.appendChild( createBasicElementWithText( kmlDoc, "latitude", - CesiumMath.toDegrees(scratchCartographic.latitude) - ) + CesiumMath.toDegrees(scratchCartographic.latitude), + ), ); location.appendChild( - createBasicElementWithText(kmlDoc, "altitude", scratchCartographic.height) + createBasicElementWithText(kmlDoc, "altitude", scratchCartographic.height), ); modelGeometry.appendChild(location); @@ -1395,16 +1399,16 @@ function processMaterial(state, materialProperty, style) { kmlDoc, "outerColor", outlineColor, - gxNamespace - ) + gxNamespace, + ), ); style.appendChild( createBasicElementWithText( kmlDoc, "outerWidth", outlineWidth, - gxNamespace - ) + gxNamespace, + ), ); break; case "Stripe": @@ -1415,7 +1419,7 @@ function processMaterial(state, materialProperty, style) { if (defined(color)) { style.appendChild(createBasicElementWithText(kmlDoc, "color", color)); style.appendChild( - createBasicElementWithText(kmlDoc, "colorMode", "normal") + createBasicElementWithText(kmlDoc, "colorMode", "normal"), ); } } @@ -1426,7 +1430,7 @@ function getAltitudeMode(state, heightReferenceProperty) { const heightReference = valueGetter.get( heightReferenceProperty, - HeightReference.NONE + HeightReference.NONE, ); let altitudeModeText; switch (heightReference) { @@ -1455,10 +1459,10 @@ function getCoordinates(coordinates, ellipsoid) { Cartographic.fromCartesian(coordinates[i], ellipsoid, scratchCartographic); coordinateStrings.push( `${CesiumMath.toDegrees( - scratchCartographic.longitude + scratchCartographic.longitude, )},${CesiumMath.toDegrees(scratchCartographic.latitude)},${ scratchCartographic.height - }` + }`, ); } @@ -1469,7 +1473,7 @@ function createBasicElementWithText( kmlDoc, elementName, elementValue, - namespace + namespace, ) { elementValue = defaultValue(elementValue, ""); diff --git a/packages/engine/Source/DataSources/getElement.js b/packages/engine/Source/DataSources/getElement.js index 987275ff8e59..fdfaf462b70f 100644 --- a/packages/engine/Source/DataSources/getElement.js +++ b/packages/engine/Source/DataSources/getElement.js @@ -14,7 +14,7 @@ function getElement(element) { //>>includeStart('debug', pragmas.debug); if (foundElement === null) { throw new DeveloperError( - `Element with id "${element}" does not exist in the document.` + `Element with id "${element}" does not exist in the document.`, ); } //>>includeEnd('debug'); diff --git a/packages/engine/Source/DataSources/heightReferenceOnEntityPropertyChanged.js b/packages/engine/Source/DataSources/heightReferenceOnEntityPropertyChanged.js index 14ce1744eb8f..73e1ab26b623 100644 --- a/packages/engine/Source/DataSources/heightReferenceOnEntityPropertyChanged.js +++ b/packages/engine/Source/DataSources/heightReferenceOnEntityPropertyChanged.js @@ -7,14 +7,14 @@ function heightReferenceOnEntityPropertyChanged( entity, propertyName, newValue, - oldValue + oldValue, ) { GeometryUpdater.prototype._onEntityPropertyChanged.call( this, entity, propertyName, newValue, - oldValue + oldValue, ); if (this._observedPropertyNames.indexOf(propertyName) === -1) { return; @@ -35,12 +35,12 @@ function heightReferenceOnEntityPropertyChanged( if (defined(heightReferenceProperty)) { const centerPosition = new CallbackProperty( this._computeCenter.bind(this), - !this._dynamic + !this._dynamic, ); this._terrainOffsetProperty = new TerrainOffsetProperty( this._scene, centerPosition, - heightReferenceProperty + heightReferenceProperty, ); } } diff --git a/packages/engine/Source/Renderer/AutomaticUniforms.js b/packages/engine/Source/Renderer/AutomaticUniforms.js index 41628876c8b7..53e028aca287 100644 --- a/packages/engine/Source/Renderer/AutomaticUniforms.js +++ b/packages/engine/Source/Renderer/AutomaticUniforms.js @@ -1330,7 +1330,7 @@ const AutomaticUniforms = { getValue: function (uniformState) { return Matrix4.getTranslation( uniformState.inverseView, - viewerPositionWCScratch + viewerPositionWCScratch, ); }, }), diff --git a/packages/engine/Source/Renderer/Buffer.js b/packages/engine/Source/Renderer/Buffer.js index 641e8cc5c967..27c1be7a8aa6 100644 --- a/packages/engine/Source/Renderer/Buffer.js +++ b/packages/engine/Source/Renderer/Buffer.js @@ -19,13 +19,13 @@ function Buffer(options) { if (!defined(options.typedArray) && !defined(options.sizeInBytes)) { throw new DeveloperError( - "Either options.sizeInBytes or options.typedArray is required." + "Either options.sizeInBytes or options.typedArray is required.", ); } if (defined(options.typedArray) && defined(options.sizeInBytes)) { throw new DeveloperError( - "Cannot pass in both options.sizeInBytes and options.typedArray." + "Cannot pass in both options.sizeInBytes and options.typedArray.", ); } @@ -33,7 +33,7 @@ function Buffer(options) { Check.typeOf.object("options.typedArray", options.typedArray); Check.typeOf.number( "options.typedArray.byteLength", - options.typedArray.byteLength + options.typedArray.byteLength, ); } @@ -184,7 +184,7 @@ Buffer.createIndexBuffer = function (options) { !options.context.elementIndexUint ) { throw new DeveloperError( - "IndexDatatype.UNSIGNED_INT requires OES_element_index_uint, which is not supported on this system. Check context.elementIndexUint." + "IndexDatatype.UNSIGNED_INT requires OES_element_index_uint, which is not supported on this system. Check context.elementIndexUint.", ); } //>>includeEnd('debug'); @@ -250,7 +250,7 @@ Buffer.prototype.copyFromArrayView = function (arrayView, offsetInBytes) { Check.typeOf.number.lessThanOrEquals( "offsetInBytes + arrayView.byteLength", offsetInBytes + arrayView.byteLength, - this._sizeInBytes + this._sizeInBytes, ); //>>includeEnd('debug'); @@ -265,7 +265,7 @@ Buffer.prototype.copyFromBuffer = function ( readBuffer, readOffset, writeOffset, - sizeInBytes + sizeInBytes, ) { //>>includeStart('debug', pragmas.debug); if (!this._webgl2) { @@ -276,7 +276,7 @@ Buffer.prototype.copyFromBuffer = function ( } if (!defined(sizeInBytes) || sizeInBytes <= 0) { throw new DeveloperError( - "sizeInBytes must be defined and be greater than zero." + "sizeInBytes must be defined and be greater than zero.", ); } if ( @@ -285,7 +285,7 @@ Buffer.prototype.copyFromBuffer = function ( readOffset + sizeInBytes > readBuffer._sizeInBytes ) { throw new DeveloperError( - "readOffset must be greater than or equal to zero and readOffset + sizeInBytes must be less than of equal to readBuffer.sizeInBytes." + "readOffset must be greater than or equal to zero and readOffset + sizeInBytes must be less than of equal to readBuffer.sizeInBytes.", ); } if ( @@ -294,7 +294,7 @@ Buffer.prototype.copyFromBuffer = function ( writeOffset + sizeInBytes > this._sizeInBytes ) { throw new DeveloperError( - "writeOffset must be greater than or equal to zero and writeOffset + sizeInBytes must be less than of equal to this.sizeInBytes." + "writeOffset must be greater than or equal to zero and writeOffset + sizeInBytes must be less than of equal to this.sizeInBytes.", ); } if ( @@ -303,7 +303,7 @@ Buffer.prototype.copyFromBuffer = function ( (readOffset > writeOffset && readOffset < writeOffset + sizeInBytes)) ) { throw new DeveloperError( - "When readBuffer is equal to this, the ranges [readOffset + sizeInBytes) and [writeOffset, writeOffset + sizeInBytes) must not overlap." + "When readBuffer is equal to this, the ranges [readOffset + sizeInBytes) and [writeOffset, writeOffset + sizeInBytes) must not overlap.", ); } if ( @@ -313,7 +313,7 @@ Buffer.prototype.copyFromBuffer = function ( readBuffer._bufferTarget === WebGLConstants.ELEMENT_ARRAY_BUFFER) ) { throw new DeveloperError( - "Can not copy an index buffer into another buffer type." + "Can not copy an index buffer into another buffer type.", ); } //>>includeEnd('debug'); @@ -329,7 +329,7 @@ Buffer.prototype.copyFromBuffer = function ( writeTarget, readOffset, writeOffset, - sizeInBytes + sizeInBytes, ); gl.bindBuffer(writeTarget, null); gl.bindBuffer(readTarget, null); @@ -339,7 +339,7 @@ Buffer.prototype.getBufferData = function ( arrayView, sourceOffset, destinationOffset, - length + length, ) { sourceOffset = defaultValue(sourceOffset, 0); destinationOffset = defaultValue(destinationOffset, 0); @@ -376,22 +376,22 @@ Buffer.prototype.getBufferData = function ( if (destinationOffset < 0 || destinationOffset > arrayLength) { throw new DeveloperError( - "destinationOffset must be greater than zero and less than the arrayView length." + "destinationOffset must be greater than zero and less than the arrayView length.", ); } if (destinationOffset + copyLength > arrayLength) { throw new DeveloperError( - "destinationOffset + length must be less than or equal to the arrayViewLength." + "destinationOffset + length must be less than or equal to the arrayViewLength.", ); } if (sourceOffset < 0 || sourceOffset > this._sizeInBytes) { throw new DeveloperError( - "sourceOffset must be greater than zero and less than the buffers size." + "sourceOffset must be greater than zero and less than the buffers size.", ); } if (sourceOffset + copyLength * elementSize > this._sizeInBytes) { throw new DeveloperError( - "sourceOffset + length must be less than the buffers size." + "sourceOffset + length must be less than the buffers size.", ); } //>>includeEnd('debug'); @@ -404,7 +404,7 @@ Buffer.prototype.getBufferData = function ( sourceOffset, arrayView, destinationOffset, - length + length, ); gl.bindBuffer(target, null); }; diff --git a/packages/engine/Source/Renderer/ClearCommand.js b/packages/engine/Source/Renderer/ClearCommand.js index 696db4f2934f..2bd832ddea92 100644 --- a/packages/engine/Source/Renderer/ClearCommand.js +++ b/packages/engine/Source/Renderer/ClearCommand.js @@ -93,7 +93,7 @@ ClearCommand.ALL = Object.freeze( color: new Color(0.0, 0.0, 0.0, 0.0), depth: 1.0, stencil: 0.0, - }) + }), ); ClearCommand.prototype.execute = function (context, passState) { diff --git a/packages/engine/Source/Renderer/ComputeEngine.js b/packages/engine/Source/Renderer/ComputeEngine.js index 1459080510a5..8bb6d0da2ace 100644 --- a/packages/engine/Source/Renderer/ComputeEngine.js +++ b/packages/engine/Source/Renderer/ComputeEngine.js @@ -76,7 +76,7 @@ ComputeEngine.prototype.execute = function (computeCommand) { !defined(computeCommand.shaderProgram) ) { throw new DeveloperError( - "computeCommand.fragmentShaderSource or computeCommand.shaderProgram is required." + "computeCommand.fragmentShaderSource or computeCommand.shaderProgram is required.", ); } diff --git a/packages/engine/Source/Renderer/CubeMap.js b/packages/engine/Source/Renderer/CubeMap.js index ccd7879ad7d9..89400a607846 100644 --- a/packages/engine/Source/Renderer/CubeMap.js +++ b/packages/engine/Source/Renderer/CubeMap.js @@ -91,13 +91,13 @@ function CubeMap(options) { //>>includeStart('debug', pragmas.debug); if ( !Object.values(CubeMap.FaceName).every((faceName) => - defined(source[faceName]) + defined(source[faceName]), ) ) { throw new DeveloperError( `options.source requires faces ${Object.values(CubeMap.FaceName).join( - ", " - )}.` + ", ", + )}.`, ); } //>>includeEnd('debug'); @@ -109,7 +109,7 @@ function CubeMap(options) { const face = source[faceName]; if (Number(face.width) !== width || Number(face.height) !== height) { throw new DeveloperError( - "Each face in options.source must have the same width and height." + "Each face in options.source must have the same width and height.", ); } } @@ -121,7 +121,7 @@ function CubeMap(options) { //>>includeStart('debug', pragmas.debug); if (!defined(width) || !defined(height)) { throw new DeveloperError( - "options requires a source field to create an initialized cube map or width and height fields to create a blank cube map." + "options requires a source field to create an initialized cube map or width and height fields to create a blank cube map.", ); } @@ -135,7 +135,7 @@ function CubeMap(options) { if (size > ContextLimits.maximumCubeMapSize) { throw new DeveloperError( - `Width and height must be less than or equal to the maximum cube map size (${ContextLimits.maximumCubeMapSize}). Check maximumCubeMapSize.` + `Width and height must be less than or equal to the maximum cube map size (${ContextLimits.maximumCubeMapSize}). Check maximumCubeMapSize.`, ); } @@ -145,7 +145,7 @@ function CubeMap(options) { if (PixelFormat.isDepthFormat(pixelFormat)) { throw new DeveloperError( - "options.pixelFormat cannot be DEPTH_COMPONENT or DEPTH_STENCIL." + "options.pixelFormat cannot be DEPTH_COMPONENT or DEPTH_STENCIL.", ); } @@ -155,7 +155,7 @@ function CubeMap(options) { if (pixelDatatype === PixelDatatype.FLOAT && !context.floatingPointTexture) { throw new DeveloperError( - "When options.pixelDatatype is FLOAT, this WebGL implementation must support the OES_texture_float extension." + "When options.pixelDatatype is FLOAT, this WebGL implementation must support the OES_texture_float extension.", ); } @@ -164,7 +164,7 @@ function CubeMap(options) { !context.halfFloatingPointTexture ) { throw new DeveloperError( - "When options.pixelDatatype is HALF_FLOAT, this WebGL implementation must support the OES_texture_half_float extension." + "When options.pixelDatatype is HALF_FLOAT, this WebGL implementation must support the OES_texture_half_float extension.", ); } //>>includeEnd('debug'); @@ -174,7 +174,7 @@ function CubeMap(options) { const internalFormat = PixelFormat.toInternalFormat( pixelFormat, pixelDatatype, - context + context, ); const gl = context._gl; @@ -206,7 +206,7 @@ function CubeMap(options) { size, preMultiplyAlpha, flipY, - initialized + initialized, ); } this._positiveX = constructFace(gl.TEXTURE_CUBE_MAP_POSITIVE_X); @@ -227,7 +227,7 @@ function CubeMap(options) { } else { gl.pixelStorei( gl.UNPACK_COLORSPACE_CONVERSION_WEBGL, - gl.BROWSER_DEFAULT_WEBGL + gl.BROWSER_DEFAULT_WEBGL, ); } @@ -306,7 +306,7 @@ function loadFace(cubeMapFace, source, mipLevel) { 0, pixelFormat, PixelDatatype.toWebGLConstant(pixelDatatype, context), - null + null, ); return; } @@ -318,7 +318,7 @@ function loadFace(cubeMapFace, source, mipLevel) { unpackAlignment = PixelFormat.alignmentInBytes( pixelFormat, pixelDatatype, - size + size, ); } gl.pixelStorei(gl.UNPACK_ALIGNMENT, unpackAlignment); @@ -332,7 +332,7 @@ function loadFace(cubeMapFace, source, mipLevel) { pixelFormat, pixelDatatype, size, - size + size, ); } gl.texImage2D( @@ -344,7 +344,7 @@ function loadFace(cubeMapFace, source, mipLevel) { 0, pixelFormat, PixelDatatype.toWebGLConstant(pixelDatatype, context), - arrayBufferView + arrayBufferView, ); } else { // Only valid for DOM-Element uploads @@ -356,7 +356,7 @@ function loadFace(cubeMapFace, source, mipLevel) { internalFormat, pixelFormat, PixelDatatype.toWebGLConstant(pixelDatatype, context), - source + source, ); } } @@ -492,7 +492,7 @@ function setupSampler(cubeMap, sampler) { gl.texParameteri( target, cubeMap._textureFilterAnisotropic.TEXTURE_MAX_ANISOTROPY_EXT, - sampler.maximumAnisotropy + sampler.maximumAnisotropy, ); } gl.bindTexture(target, null); @@ -531,7 +531,7 @@ CubeMap.prototype.loadMipmaps = function (source, skipColorSpaceConversion) { } else { gl.pixelStorei( gl.UNPACK_COLORSPACE_CONVERSION_WEBGL, - gl.BROWSER_DEFAULT_WEBGL + gl.BROWSER_DEFAULT_WEBGL, ); } @@ -573,7 +573,7 @@ CubeMap.prototype.generateMipmap = function (hint) { //>>includeStart('debug', pragmas.debug); if (this._size > 1 && !CesiumMath.isPowerOfTwo(this._size)) { throw new DeveloperError( - "width and height must be a power of two to call generateMipmap()." + "width and height must be a power of two to call generateMipmap().", ); } if (!MipmapHint.validate(hint)) { diff --git a/packages/engine/Source/Renderer/CubeMapFace.js b/packages/engine/Source/Renderer/CubeMapFace.js index 75cc8c710f41..9fc7daf2533e 100644 --- a/packages/engine/Source/Renderer/CubeMapFace.js +++ b/packages/engine/Source/Renderer/CubeMapFace.js @@ -19,7 +19,7 @@ function CubeMapFace( size, preMultiplyAlpha, flipY, - initialized + initialized, ) { this._context = context; this._texture = texture; @@ -99,12 +99,12 @@ CubeMapFace.prototype.copyFrom = function (options) { Check.typeOf.number.greaterThanOrEquals("yOffset", yOffset, 0); if (xOffset + source.width > this._size) { throw new DeveloperError( - "xOffset + options.source.width must be less than or equal to width." + "xOffset + options.source.width must be less than or equal to width.", ); } if (yOffset + source.height > this._size) { throw new DeveloperError( - "yOffset + options.source.height must be less than or equal to height." + "yOffset + options.source.height must be less than or equal to height.", ); } //>>includeEnd('debug'); @@ -133,7 +133,7 @@ CubeMapFace.prototype.copyFrom = function (options) { unpackAlignment = PixelFormat.alignmentInBytes( pixelFormat, pixelDatatype, - width + width, ); } gl.pixelStorei(gl.UNPACK_ALIGNMENT, unpackAlignment); @@ -143,7 +143,7 @@ CubeMapFace.prototype.copyFrom = function (options) { } else { gl.pixelStorei( gl.UNPACK_COLORSPACE_CONVERSION_WEBGL, - gl.BROWSER_DEFAULT_WEBGL + gl.BROWSER_DEFAULT_WEBGL, ); } @@ -161,7 +161,7 @@ CubeMapFace.prototype.copyFrom = function (options) { pixelFormat, pixelDatatype, size, - size + size, ); } pixels = arrayBufferView; @@ -180,7 +180,7 @@ CubeMapFace.prototype.copyFrom = function (options) { pixelFormat, pixelDatatype, size, - size + size, ); } gl.texImage2D( @@ -192,7 +192,7 @@ CubeMapFace.prototype.copyFrom = function (options) { 0, pixelFormat, PixelDatatype.toWebGLConstant(pixelDatatype, this._context), - pixels + pixels, ); this._initialized = true; } @@ -208,7 +208,7 @@ CubeMapFace.prototype.copyFrom = function (options) { pixelFormat, pixelDatatype, width, - height + height, ); } gl.texSubImage2D( @@ -220,7 +220,7 @@ CubeMapFace.prototype.copyFrom = function (options) { height, pixelFormat, PixelDatatype.toWebGLConstant(pixelDatatype, this._context), - arrayBufferView + arrayBufferView, ); } else { // Only valid for DOM-Element uploads @@ -235,7 +235,7 @@ CubeMapFace.prototype.copyFrom = function (options) { yOffset, pixelFormat, PixelDatatype.toWebGLConstant(pixelDatatype, this._context), - source + source, ); } } @@ -274,7 +274,7 @@ CubeMapFace.prototype.copyFromFramebuffer = function ( framebufferXOffset, framebufferYOffset, width, - height + height, ) { xOffset = defaultValue(xOffset, 0); yOffset = defaultValue(yOffset, 0); @@ -289,31 +289,31 @@ CubeMapFace.prototype.copyFromFramebuffer = function ( Check.typeOf.number.greaterThanOrEquals( "framebufferXOffset", framebufferXOffset, - 0 + 0, ); Check.typeOf.number.greaterThanOrEquals( "framebufferYOffset", framebufferYOffset, - 0 + 0, ); if (xOffset + width > this._size) { throw new DeveloperError( - "xOffset + source.width must be less than or equal to width." + "xOffset + source.width must be less than or equal to width.", ); } if (yOffset + height > this._size) { throw new DeveloperError( - "yOffset + source.height must be less than or equal to height." + "yOffset + source.height must be less than or equal to height.", ); } if (this._pixelDatatype === PixelDatatype.FLOAT) { throw new DeveloperError( - "Cannot call copyFromFramebuffer when the texture pixel data type is FLOAT." + "Cannot call copyFromFramebuffer when the texture pixel data type is FLOAT.", ); } if (this._pixelDatatype === PixelDatatype.HALF_FLOAT) { throw new DeveloperError( - "Cannot call copyFromFramebuffer when the texture pixel data type is HALF_FLOAT." + "Cannot call copyFromFramebuffer when the texture pixel data type is HALF_FLOAT.", ); } //>>includeEnd('debug'); @@ -331,7 +331,7 @@ CubeMapFace.prototype.copyFromFramebuffer = function ( framebufferXOffset, framebufferYOffset, width, - height + height, ); gl.bindTexture(target, null); this._initialized = true; diff --git a/packages/engine/Source/Renderer/DrawCommand.js b/packages/engine/Source/Renderer/DrawCommand.js index 0309f3bc0439..acdcaccc317e 100644 --- a/packages/engine/Source/Renderer/DrawCommand.js +++ b/packages/engine/Source/Renderer/DrawCommand.js @@ -29,7 +29,7 @@ function DrawCommand(options) { this._modelMatrix = options.modelMatrix; this._primitiveType = defaultValue( options.primitiveType, - PrimitiveType.TRIANGLES + PrimitiveType.TRIANGLES, ); this._vertexArray = options.vertexArray; this._count = options.count; @@ -52,18 +52,18 @@ function DrawCommand(options) { this.occlude = defaultValue(options.occlude, true); this.executeInClosestFrustum = defaultValue( options.executeInClosestFrustum, - false + false, ); this.debugShowBoundingVolume = defaultValue( options.debugShowBoundingVolume, - false + false, ); this.castShadows = defaultValue(options.castShadows, false); this.receiveShadows = defaultValue(options.receiveShadows, false); this.pickOnly = defaultValue(options.pickOnly, false); this.depthForTranslucentClassification = defaultValue( options.depthForTranslucentClassification, - false + false, ); this.dirty = true; diff --git a/packages/engine/Source/Renderer/Framebuffer.js b/packages/engine/Source/Renderer/Framebuffer.js index 36f67ee3d312..36745f24cb5d 100644 --- a/packages/engine/Source/Renderer/Framebuffer.js +++ b/packages/engine/Source/Renderer/Framebuffer.js @@ -14,7 +14,7 @@ function attachTexture(framebuffer, attachment, texture) { attachment, texture._target, texture._texture, - 0 + 0, ); } @@ -24,7 +24,7 @@ function attachRenderbuffer(framebuffer, attachment, renderbuffer) { gl.FRAMEBUFFER, attachment, gl.RENDERBUFFER, - renderbuffer._getRenderbuffer() + renderbuffer._getRenderbuffer(), ); } @@ -123,12 +123,12 @@ function Framebuffer(options) { //>>includeStart('debug', pragmas.debug); if (defined(options.colorTextures) && defined(options.colorRenderbuffers)) { throw new DeveloperError( - "Cannot have both color texture and color renderbuffer attachments." + "Cannot have both color texture and color renderbuffer attachments.", ); } if (defined(options.depthTexture) && defined(options.depthRenderbuffer)) { throw new DeveloperError( - "Cannot have both a depth texture and depth renderbuffer attachment." + "Cannot have both a depth texture and depth renderbuffer attachment.", ); } if ( @@ -136,7 +136,7 @@ function Framebuffer(options) { defined(options.depthStencilRenderbuffer) ) { throw new DeveloperError( - "Cannot have both a depth-stencil texture and depth-stencil renderbuffer attachment." + "Cannot have both a depth-stencil texture and depth-stencil renderbuffer attachment.", ); } @@ -148,17 +148,17 @@ function Framebuffer(options) { defined(options.depthStencilRenderbuffer); if (depthAttachment && depthStencilAttachment) { throw new DeveloperError( - "Cannot have both a depth and depth-stencil attachment." + "Cannot have both a depth and depth-stencil attachment.", ); } if (defined(options.stencilRenderbuffer) && depthStencilAttachment) { throw new DeveloperError( - "Cannot have both a stencil and depth-stencil attachment." + "Cannot have both a stencil and depth-stencil attachment.", ); } if (depthAttachment && defined(options.stencilRenderbuffer)) { throw new DeveloperError( - "Cannot have both a depth and stencil attachment." + "Cannot have both a depth and stencil attachment.", ); } //>>includeEnd('debug'); @@ -167,13 +167,15 @@ function Framebuffer(options) { if (defined(options.colorTextures)) { const textures = options.colorTextures; - const length = (this._colorTextures.length = this._activeColorAttachments.length = - textures.length); + const length = + (this._colorTextures.length = + this._activeColorAttachments.length = + textures.length); //>>includeStart('debug', pragmas.debug); if (length > maximumColorAttachments) { throw new DeveloperError( - "The number of color attachments exceeds the number supported." + "The number of color attachments exceeds the number supported.", ); } //>>includeEnd('debug'); @@ -184,7 +186,7 @@ function Framebuffer(options) { //>>includeStart('debug', pragmas.debug); if (!PixelFormat.isColorFormat(texture.pixelFormat)) { throw new DeveloperError( - "The color-texture pixel-format must be a color format." + "The color-texture pixel-format must be a color format.", ); } if ( @@ -192,7 +194,7 @@ function Framebuffer(options) { !context.colorBufferFloat ) { throw new DeveloperError( - "The color texture pixel datatype is FLOAT and the WebGL implementation does not support the EXT_color_buffer_float or WEBGL_color_buffer_float extensions. See Context.colorBufferFloat." + "The color texture pixel datatype is FLOAT and the WebGL implementation does not support the EXT_color_buffer_float or WEBGL_color_buffer_float extensions. See Context.colorBufferFloat.", ); } if ( @@ -200,7 +202,7 @@ function Framebuffer(options) { !context.colorBufferHalfFloat ) { throw new DeveloperError( - "The color texture pixel datatype is HALF_FLOAT and the WebGL implementation does not support the EXT_color_buffer_half_float extension. See Context.colorBufferHalfFloat." + "The color texture pixel datatype is HALF_FLOAT and the WebGL implementation does not support the EXT_color_buffer_half_float extension. See Context.colorBufferHalfFloat.", ); } //>>includeEnd('debug'); @@ -214,13 +216,15 @@ function Framebuffer(options) { if (defined(options.colorRenderbuffers)) { const renderbuffers = options.colorRenderbuffers; - const length = (this._colorRenderbuffers.length = this._activeColorAttachments.length = - renderbuffers.length); + const length = + (this._colorRenderbuffers.length = + this._activeColorAttachments.length = + renderbuffers.length); //>>includeStart('debug', pragmas.debug); if (length > maximumColorAttachments) { throw new DeveloperError( - "The number of color attachments exceeds the number supported." + "The number of color attachments exceeds the number supported.", ); } //>>includeEnd('debug'); @@ -240,7 +244,7 @@ function Framebuffer(options) { //>>includeStart('debug', pragmas.debug); if (texture.pixelFormat !== PixelFormat.DEPTH_COMPONENT) { throw new DeveloperError( - "The depth-texture pixel-format must be DEPTH_COMPONENT." + "The depth-texture pixel-format must be DEPTH_COMPONENT.", ); } //>>includeEnd('debug'); @@ -267,7 +271,7 @@ function Framebuffer(options) { //>>includeStart('debug', pragmas.debug); if (texture.pixelFormat !== PixelFormat.DEPTH_STENCIL) { throw new DeveloperError( - "The depth-stencil pixel-format must be DEPTH_STENCIL." + "The depth-stencil pixel-format must be DEPTH_STENCIL.", ); } //>>includeEnd('debug'); @@ -378,7 +382,7 @@ Framebuffer.prototype.getColorTexture = function (index) { //>>includeStart('debug', pragmas.debug); if (!defined(index) || index < 0 || index >= this._colorTextures.length) { throw new DeveloperError( - "index is required, must be greater than or equal to zero and must be less than the number of color attachments." + "index is required, must be greater than or equal to zero and must be less than the number of color attachments.", ); } //>>includeEnd('debug'); @@ -394,7 +398,7 @@ Framebuffer.prototype.getColorRenderbuffer = function (index) { index >= this._colorRenderbuffers.length ) { throw new DeveloperError( - "index is required, must be greater than or equal to zero and must be less than the number of color attachments." + "index is required, must be greater than or equal to zero and must be less than the number of color attachments.", ); } //>>includeEnd('debug'); diff --git a/packages/engine/Source/Renderer/FramebufferManager.js b/packages/engine/Source/Renderer/FramebufferManager.js index bd67073f5eb8..d9e5a8a64493 100644 --- a/packages/engine/Source/Renderer/FramebufferManager.js +++ b/packages/engine/Source/Renderer/FramebufferManager.js @@ -36,7 +36,7 @@ function FramebufferManager(options) { this._numSamples = defaultValue(options.numSamples, 1); this._colorAttachmentsLength = defaultValue( options.colorAttachmentsLength, - 1 + 1, ); this._color = defaultValue(options.color, true); @@ -44,28 +44,28 @@ function FramebufferManager(options) { this._depthStencil = defaultValue(options.depthStencil, false); this._supportsDepthTexture = defaultValue( options.supportsDepthTexture, - false + false, ); //>>includeStart('debug', pragmas.debug); if (!this._color && !this._depth && !this._depthStencil) { throw new DeveloperError( - "Must enable at least one type of framebuffer attachment." + "Must enable at least one type of framebuffer attachment.", ); } if (this._depth && this._depthStencil) { throw new DeveloperError( - "Cannot have both a depth and depth-stencil attachment." + "Cannot have both a depth and depth-stencil attachment.", ); } //>>includeEnd('debug'); this._createColorAttachments = defaultValue( options.createColorAttachments, - true + true, ); this._createDepthAttachments = defaultValue( options.createDepthAttachments, - true + true, ); this._pixelDatatype = options.pixelDatatype; @@ -116,7 +116,7 @@ FramebufferManager.prototype.isDirty = function ( height, numSamples, pixelDatatype, - pixelFormat + pixelFormat, ) { numSamples = defaultValue(numSamples, 1); const dimensionChanged = this._width !== width || this._height !== height; @@ -145,7 +145,7 @@ FramebufferManager.prototype.update = function ( height, numSamples, pixelDatatype, - pixelFormat + pixelFormat, ) { //>>includeStart('debug', pragmas.debug); if (!defined(width) || !defined(height)) { @@ -157,11 +157,11 @@ FramebufferManager.prototype.update = function ( pixelDatatype, this._color ? defaultValue(this._pixelDatatype, PixelDatatype.UNSIGNED_BYTE) - : undefined + : undefined, ); pixelFormat = defaultValue( pixelFormat, - this._color ? defaultValue(this._pixelFormat, PixelFormat.RGBA) : undefined + this._color ? defaultValue(this._pixelFormat, PixelFormat.RGBA) : undefined, ); if (this.isDirty(width, height, numSamples, pixelDatatype, pixelFormat)) { @@ -278,7 +278,7 @@ FramebufferManager.prototype.getColorTexture = function (index) { //>>includeStart('debug', pragmas.debug); if (index >= this._colorAttachmentsLength) { throw new DeveloperError( - "index must be smaller than total number of color attachments." + "index must be smaller than total number of color attachments.", ); } //>>includeEnd('debug'); @@ -290,12 +290,12 @@ FramebufferManager.prototype.setColorTexture = function (texture, index) { //>>includeStart('debug', pragmas.debug); if (this._createColorAttachments) { throw new DeveloperError( - "createColorAttachments must be false if setColorTexture is called." + "createColorAttachments must be false if setColorTexture is called.", ); } if (index >= this._colorAttachmentsLength) { throw new DeveloperError( - "index must be smaller than total number of color attachments." + "index must be smaller than total number of color attachments.", ); } //>>includeEnd('debug'); @@ -308,7 +308,7 @@ FramebufferManager.prototype.getColorRenderbuffer = function (index) { //>>includeStart('debug', pragmas.debug); if (index >= this._colorAttachmentsLength) { throw new DeveloperError( - "index must be smaller than total number of color attachments." + "index must be smaller than total number of color attachments.", ); } //>>includeEnd('debug'); @@ -317,18 +317,18 @@ FramebufferManager.prototype.getColorRenderbuffer = function (index) { FramebufferManager.prototype.setColorRenderbuffer = function ( renderbuffer, - index + index, ) { index = defaultValue(index, 0); //>>includeStart('debug', pragmas.debug); if (this._createColorAttachments) { throw new DeveloperError( - "createColorAttachments must be false if setColorRenderbuffer is called." + "createColorAttachments must be false if setColorRenderbuffer is called.", ); } if (index >= this._colorAttachmentsLength) { throw new DeveloperError( - "index must be smaller than total number of color attachments." + "index must be smaller than total number of color attachments.", ); } //>>includeEnd('debug'); @@ -344,7 +344,7 @@ FramebufferManager.prototype.setDepthRenderbuffer = function (renderbuffer) { //>>includeStart('debug', pragmas.debug); if (this._createDepthAttachments) { throw new DeveloperError( - "createDepthAttachments must be false if setDepthRenderbuffer is called." + "createDepthAttachments must be false if setDepthRenderbuffer is called.", ); } //>>includeEnd('debug'); @@ -360,7 +360,7 @@ FramebufferManager.prototype.setDepthTexture = function (texture) { //>>includeStart('debug', pragmas.debug); if (this._createDepthAttachments) { throw new DeveloperError( - "createDepthAttachments must be false if setDepthTexture is called." + "createDepthAttachments must be false if setDepthTexture is called.", ); } //>>includeEnd('debug'); @@ -373,12 +373,12 @@ FramebufferManager.prototype.getDepthStencilRenderbuffer = function () { }; FramebufferManager.prototype.setDepthStencilRenderbuffer = function ( - renderbuffer + renderbuffer, ) { //>>includeStart('debug', pragmas.debug); if (this._createDepthAttachments) { throw new DeveloperError( - "createDepthAttachments must be false if setDepthStencilRenderbuffer is called." + "createDepthAttachments must be false if setDepthStencilRenderbuffer is called.", ); } //>>includeEnd('debug'); @@ -394,7 +394,7 @@ FramebufferManager.prototype.setDepthStencilTexture = function (texture) { //>>includeStart('debug', pragmas.debug); if (this._createDepthAttachments) { throw new DeveloperError( - "createDepthAttachments must be false if setDepthStencilTexture is called." + "createDepthAttachments must be false if setDepthStencilTexture is called.", ); } //>>includeEnd('debug'); @@ -419,7 +419,7 @@ FramebufferManager.prototype.prepareTextures = function (context, blitStencil) { FramebufferManager.prototype.clear = function ( context, clearCommand, - passState + passState, ) { const framebuffer = clearCommand.framebuffer; clearCommand.framebuffer = this.framebuffer; diff --git a/packages/engine/Source/Renderer/MultisampleFramebuffer.js b/packages/engine/Source/Renderer/MultisampleFramebuffer.js index 93a2083f7635..7990b5f1abfa 100644 --- a/packages/engine/Source/Renderer/MultisampleFramebuffer.js +++ b/packages/engine/Source/Renderer/MultisampleFramebuffer.js @@ -53,13 +53,13 @@ function MultisampleFramebuffer(options) { if (defined(colorRenderbuffers) !== defined(colorTextures)) { throw new DeveloperError( - "Both color renderbuffer and texture attachments must be provided." + "Both color renderbuffer and texture attachments must be provided.", ); } if (defined(depthStencilRenderbuffer) !== defined(depthStencilTexture)) { throw new DeveloperError( - "Both depth-stencil renderbuffer and texture attachments must be provided." + "Both depth-stencil renderbuffer and texture attachments must be provided.", ); } @@ -95,7 +95,7 @@ MultisampleFramebuffer.prototype.getColorFramebuffer = function () { */ MultisampleFramebuffer.prototype.blitFramebuffers = function ( context, - blitStencil + blitStencil, ) { this._renderFramebuffer.bindRead(); this._colorFramebuffer.bindDraw(); @@ -117,7 +117,7 @@ MultisampleFramebuffer.prototype.blitFramebuffers = function ( this._width, this._height, mask, - gl.NEAREST + gl.NEAREST, ); gl.bindFramebuffer(gl.READ_FRAMEBUFFER, null); gl.bindFramebuffer(gl.DRAW_FRAMEBUFFER, null); diff --git a/packages/engine/Source/Renderer/RenderState.js b/packages/engine/Source/Renderer/RenderState.js index e83838745c35..a4a7d8f863d3 100644 --- a/packages/engine/Source/Renderer/RenderState.js +++ b/packages/engine/Source/Renderer/RenderState.js @@ -93,12 +93,12 @@ function RenderState(renderState) { const cull = defaultValue(rs.cull, defaultValue.EMPTY_OBJECT); const polygonOffset = defaultValue( rs.polygonOffset, - defaultValue.EMPTY_OBJECT + defaultValue.EMPTY_OBJECT, ); const scissorTest = defaultValue(rs.scissorTest, defaultValue.EMPTY_OBJECT); const scissorTestRectangle = defaultValue( scissorTest.rectangle, - defaultValue.EMPTY_OBJECT + defaultValue.EMPTY_OBJECT, ); const depthRange = defaultValue(rs.depthRange, defaultValue.EMPTY_OBJECT); const depthTest = defaultValue(rs.depthTest, defaultValue.EMPTY_OBJECT); @@ -108,15 +108,15 @@ function RenderState(renderState) { const stencilTest = defaultValue(rs.stencilTest, defaultValue.EMPTY_OBJECT); const stencilTestFrontOperation = defaultValue( stencilTest.frontOperation, - defaultValue.EMPTY_OBJECT + defaultValue.EMPTY_OBJECT, ); const stencilTestBackOperation = defaultValue( stencilTest.backOperation, - defaultValue.EMPTY_OBJECT + defaultValue.EMPTY_OBJECT, ); const sampleCoverage = defaultValue( rs.sampleCoverage, - defaultValue.EMPTY_OBJECT + defaultValue.EMPTY_OBJECT, ); const viewport = rs.viewport; @@ -157,35 +157,35 @@ function RenderState(renderState) { defaultValue(blendingColor.red, 0.0), defaultValue(blendingColor.green, 0.0), defaultValue(blendingColor.blue, 0.0), - defaultValue(blendingColor.alpha, 0.0) + defaultValue(blendingColor.alpha, 0.0), ), equationRgb: defaultValue(blending.equationRgb, WebGLConstants.FUNC_ADD), equationAlpha: defaultValue( blending.equationAlpha, - WebGLConstants.FUNC_ADD + WebGLConstants.FUNC_ADD, ), functionSourceRgb: defaultValue( blending.functionSourceRgb, - WebGLConstants.ONE + WebGLConstants.ONE, ), functionSourceAlpha: defaultValue( blending.functionSourceAlpha, - WebGLConstants.ONE + WebGLConstants.ONE, ), functionDestinationRgb: defaultValue( blending.functionDestinationRgb, - WebGLConstants.ZERO + WebGLConstants.ZERO, ), functionDestinationAlpha: defaultValue( blending.functionDestinationAlpha, - WebGLConstants.ZERO + WebGLConstants.ZERO, ), }; this.stencilTest = { enabled: defaultValue(stencilTest.enabled, false), frontFunction: defaultValue( stencilTest.frontFunction, - WebGLConstants.ALWAYS + WebGLConstants.ALWAYS, ), backFunction: defaultValue(stencilTest.backFunction, WebGLConstants.ALWAYS), reference: defaultValue(stencilTest.reference, 0), @@ -211,7 +211,7 @@ function RenderState(renderState) { viewport.x, viewport.y, viewport.width, - viewport.height + viewport.height, ) : undefined; @@ -221,7 +221,7 @@ function RenderState(renderState) { this.lineWidth > ContextLimits.maximumAliasedLineWidth ) { throw new DeveloperError( - "renderState.lineWidth is out of range. Check minimumAliasedLineWidth and maximumAliasedLineWidth." + "renderState.lineWidth is out of range. Check minimumAliasedLineWidth and maximumAliasedLineWidth.", ); } if (!WindingOrder.validate(this.frontFace)) { @@ -235,25 +235,25 @@ function RenderState(renderState) { this.scissorTest.rectangle.height < 0 ) { throw new DeveloperError( - "renderState.scissorTest.rectangle.width and renderState.scissorTest.rectangle.height must be greater than or equal to zero." + "renderState.scissorTest.rectangle.width and renderState.scissorTest.rectangle.height must be greater than or equal to zero.", ); } if (this.depthRange.near > this.depthRange.far) { // WebGL specific - not an error in GL ES throw new DeveloperError( - "renderState.depthRange.near can not be greater than renderState.depthRange.far." + "renderState.depthRange.near can not be greater than renderState.depthRange.far.", ); } if (this.depthRange.near < 0) { // Would be clamped by GL throw new DeveloperError( - "renderState.depthRange.near must be greater than or equal to zero." + "renderState.depthRange.near must be greater than or equal to zero.", ); } if (this.depthRange.far > 1) { // Would be clamped by GL throw new DeveloperError( - "renderState.depthRange.far must be less than or equal to one." + "renderState.depthRange.far must be less than or equal to one.", ); } if (!validateDepthFunction(this.depthTest.func)) { @@ -271,7 +271,7 @@ function RenderState(renderState) { ) { // Would be clamped by GL throw new DeveloperError( - "renderState.blending.color components must be greater than or equal to zero and less than or equal to one." + "renderState.blending.color components must be greater than or equal to zero and less than or equal to one.", ); } if (!validateBlendEquation(this.blending.equationRgb)) { @@ -285,17 +285,17 @@ function RenderState(renderState) { } if (!validateBlendFunction(this.blending.functionSourceAlpha)) { throw new DeveloperError( - "Invalid renderState.blending.functionSourceAlpha." + "Invalid renderState.blending.functionSourceAlpha.", ); } if (!validateBlendFunction(this.blending.functionDestinationRgb)) { throw new DeveloperError( - "Invalid renderState.blending.functionDestinationRgb." + "Invalid renderState.blending.functionDestinationRgb.", ); } if (!validateBlendFunction(this.blending.functionDestinationAlpha)) { throw new DeveloperError( - "Invalid renderState.blending.functionDestinationAlpha." + "Invalid renderState.blending.functionDestinationAlpha.", ); } if (!validateStencilFunction(this.stencilTest.frontFunction)) { @@ -306,55 +306,55 @@ function RenderState(renderState) { } if (!validateStencilOperation(this.stencilTest.frontOperation.fail)) { throw new DeveloperError( - "Invalid renderState.stencilTest.frontOperation.fail." + "Invalid renderState.stencilTest.frontOperation.fail.", ); } if (!validateStencilOperation(this.stencilTest.frontOperation.zFail)) { throw new DeveloperError( - "Invalid renderState.stencilTest.frontOperation.zFail." + "Invalid renderState.stencilTest.frontOperation.zFail.", ); } if (!validateStencilOperation(this.stencilTest.frontOperation.zPass)) { throw new DeveloperError( - "Invalid renderState.stencilTest.frontOperation.zPass." + "Invalid renderState.stencilTest.frontOperation.zPass.", ); } if (!validateStencilOperation(this.stencilTest.backOperation.fail)) { throw new DeveloperError( - "Invalid renderState.stencilTest.backOperation.fail." + "Invalid renderState.stencilTest.backOperation.fail.", ); } if (!validateStencilOperation(this.stencilTest.backOperation.zFail)) { throw new DeveloperError( - "Invalid renderState.stencilTest.backOperation.zFail." + "Invalid renderState.stencilTest.backOperation.zFail.", ); } if (!validateStencilOperation(this.stencilTest.backOperation.zPass)) { throw new DeveloperError( - "Invalid renderState.stencilTest.backOperation.zPass." + "Invalid renderState.stencilTest.backOperation.zPass.", ); } if (defined(this.viewport)) { if (this.viewport.width < 0) { throw new DeveloperError( - "renderState.viewport.width must be greater than or equal to zero." + "renderState.viewport.width must be greater than or equal to zero.", ); } if (this.viewport.height < 0) { throw new DeveloperError( - "renderState.viewport.height must be greater than or equal to zero." + "renderState.viewport.height must be greater than or equal to zero.", ); } if (this.viewport.width > ContextLimits.maximumViewportWidth) { throw new DeveloperError( - `renderState.viewport.width must be less than or equal to the maximum viewport width (${ContextLimits.maximumViewportWidth.toString()}). Check maximumViewportWidth.` + `renderState.viewport.width must be less than or equal to the maximum viewport width (${ContextLimits.maximumViewportWidth.toString()}). Check maximumViewportWidth.`, ); } if (this.viewport.height > ContextLimits.maximumViewportHeight) { throw new DeveloperError( - `renderState.viewport.height must be less than or equal to the maximum viewport height (${ContextLimits.maximumViewportHeight.toString()}). Check maximumViewportHeight.` + `renderState.viewport.height must be less than or equal to the maximum viewport height (${ContextLimits.maximumViewportHeight.toString()}). Check maximumViewportHeight.`, ); } } @@ -673,7 +673,7 @@ function applyBlending(gl, renderState, passState) { blending.functionSourceRgb, blending.functionDestinationRgb, blending.functionSourceAlpha, - blending.functionDestinationAlpha + blending.functionDestinationAlpha, ); } } @@ -706,7 +706,7 @@ function applyStencilTest(gl, renderState) { gl.FRONT, frontOperationFail, frontOperationZFail, - frontOperationZPass + frontOperationZPass, ); const backOperation = stencilTest.backOperation; @@ -718,7 +718,7 @@ function applyStencilTest(gl, renderState) { gl.BACK, backOperationFail, backOperationZFail, - backOperationZPass + backOperationZPass, ); } } @@ -861,7 +861,7 @@ RenderState.partialApply = function ( renderState, previousPassState, passState, - clear + clear, ) { if (previousRenderState !== renderState) { // When a new render state is applied, instead of making WebGL calls for all the states or first diff --git a/packages/engine/Source/Renderer/Renderbuffer.js b/packages/engine/Source/Renderer/Renderbuffer.js index ab875c2878db..74f672159e20 100644 --- a/packages/engine/Source/Renderer/Renderbuffer.js +++ b/packages/engine/Source/Renderer/Renderbuffer.js @@ -36,7 +36,7 @@ function Renderbuffer(options) { if (width > maximumRenderbufferSize) { throw new DeveloperError( - `Width must be less than or equal to the maximum renderbuffer size (${maximumRenderbufferSize}). Check maximumRenderbufferSize.` + `Width must be less than or equal to the maximum renderbuffer size (${maximumRenderbufferSize}). Check maximumRenderbufferSize.`, ); } @@ -44,7 +44,7 @@ function Renderbuffer(options) { if (height > maximumRenderbufferSize) { throw new DeveloperError( - `Height must be less than or equal to the maximum renderbuffer size (${maximumRenderbufferSize}). Check maximumRenderbufferSize.` + `Height must be less than or equal to the maximum renderbuffer size (${maximumRenderbufferSize}). Check maximumRenderbufferSize.`, ); } //>>includeEnd('debug'); @@ -62,7 +62,7 @@ function Renderbuffer(options) { numSamples, format, width, - height + height, ); } else { gl.renderbufferStorage(gl.RENDERBUFFER, format, width, height); diff --git a/packages/engine/Source/Renderer/Sampler.js b/packages/engine/Source/Renderer/Sampler.js index a25e30fd2803..0fc66755101e 100644 --- a/packages/engine/Source/Renderer/Sampler.js +++ b/packages/engine/Source/Renderer/Sampler.js @@ -40,7 +40,7 @@ function Sampler(options) { Check.typeOf.number.greaterThanOrEquals( "maximumAnisotropy", maximumAnisotropy, - 1.0 + 1.0, ); //>>includeEnd('debug'); @@ -98,6 +98,6 @@ Sampler.NEAREST = Object.freeze( wrapT: TextureWrap.CLAMP_TO_EDGE, minificationFilter: TextureMinificationFilter.NEAREST, magnificationFilter: TextureMagnificationFilter.NEAREST, - }) + }), ); export default Sampler; diff --git a/packages/engine/Source/Renderer/ShaderBuilder.js b/packages/engine/Source/Renderer/ShaderBuilder.js index 6fd79ab0098e..95fd920d5769 100644 --- a/packages/engine/Source/Renderer/ShaderBuilder.js +++ b/packages/engine/Source/Renderer/ShaderBuilder.js @@ -155,7 +155,7 @@ ShaderBuilder.prototype.addDefine = function (identifier, value, destination) { ShaderBuilder.prototype.addStruct = function ( structId, structName, - destination + destination, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.string("structId", structId); @@ -213,7 +213,7 @@ ShaderBuilder.prototype.addStructField = function (structId, type, identifier) { ShaderBuilder.prototype.addFunction = function ( functionName, signature, - destination + destination, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.string("functionName", functionName); @@ -254,7 +254,7 @@ ShaderBuilder.prototype.addFunctionLines = function (functionName, lines) { Check.typeOf.string("functionName", functionName); if (typeof lines !== "string" && !Array.isArray(lines)) { throw new DeveloperError( - `Expected lines to be a string or an array of strings, actual value was ${lines}` + `Expected lines to be a string or an array of strings, actual value was ${lines}`, ); } //>>includeEnd('debug'); @@ -317,7 +317,7 @@ ShaderBuilder.prototype.setPositionAttribute = function (type, identifier) { if (defined(this._positionAttributeLine)) { throw new DeveloperError( - "setPositionAttribute() must be called exactly once for the attribute used for gl_Position. For other attributes, use addAttribute()" + "setPositionAttribute() must be called exactly once for the attribute used for gl_Position. For other attributes, use addAttribute()", ); } //>>includeEnd('debug'); @@ -407,7 +407,7 @@ ShaderBuilder.prototype.addVertexLines = function (lines) { //>>includeStart('debug', pragmas.debug); if (typeof lines !== "string" && !Array.isArray(lines)) { throw new DeveloperError( - `Expected lines to be a string or an array of strings, actual value was ${lines}` + `Expected lines to be a string or an array of strings, actual value was ${lines}`, ); } //>>includeEnd('debug'); @@ -442,7 +442,7 @@ ShaderBuilder.prototype.addFragmentLines = function (lines) { //>>includeStart('debug', pragmas.debug); if (typeof lines !== "string" && !Array.isArray(lines)) { throw new DeveloperError( - `Expected lines to be a string or an array of strings, actual value was ${lines}` + `Expected lines to be a string or an array of strings, actual value was ${lines}`, ); } //>>includeEnd('debug'); @@ -488,7 +488,7 @@ ShaderBuilder.prototype.buildShaderProgram = function (context) { this._vertexShaderParts.varyingLines, structLines.vertexLines, functionLines.vertexLines, - this._vertexShaderParts.shaderLines + this._vertexShaderParts.shaderLines, ) .join("\n"); const vertexShaderSource = new ShaderSource({ @@ -501,7 +501,7 @@ ShaderBuilder.prototype.buildShaderProgram = function (context) { this._fragmentShaderParts.varyingLines, structLines.fragmentLines, functionLines.fragmentLines, - this._fragmentShaderParts.shaderLines + this._fragmentShaderParts.shaderLines, ) .join("\n"); const fragmentShaderSource = new ShaderSource({ diff --git a/packages/engine/Source/Renderer/ShaderCache.js b/packages/engine/Source/Renderer/ShaderCache.js index 95268dd6b8b3..dc297dd86f44 100644 --- a/packages/engine/Source/Renderer/ShaderCache.js +++ b/packages/engine/Source/Renderer/ShaderCache.js @@ -112,12 +112,10 @@ ShaderCache.prototype.getShaderProgram = function (options) { } else { const context = this._context; - const vertexShaderText = vertexShaderSource.createCombinedVertexShader( - context - ); - const fragmentShaderText = fragmentShaderSource.createCombinedFragmentShader( - context - ); + const vertexShaderText = + vertexShaderSource.createCombinedVertexShader(context); + const fragmentShaderText = + fragmentShaderSource.createCombinedFragmentShader(context); const shaderProgram = new ShaderProgram({ gl: context._gl, @@ -151,7 +149,7 @@ ShaderCache.prototype.getShaderProgram = function (options) { ShaderCache.prototype.replaceDerivedShaderProgram = function ( shaderProgram, keyword, - options + options, ) { const cachedShader = shaderProgram._cachedShader; const derivedKeyword = keyword + cachedShader.keyword; @@ -169,7 +167,7 @@ ShaderCache.prototype.replaceDerivedShaderProgram = function ( ShaderCache.prototype.getDerivedShaderProgram = function ( shaderProgram, - keyword + keyword, ) { const cachedShader = shaderProgram._cachedShader; const derivedKeyword = keyword + cachedShader.keyword; @@ -184,7 +182,7 @@ ShaderCache.prototype.getDerivedShaderProgram = function ( ShaderCache.prototype.createDerivedShaderProgram = function ( shaderProgram, keyword, - options + options, ) { const cachedShader = shaderProgram._cachedShader; const derivedKeyword = keyword + cachedShader.keyword; @@ -207,12 +205,10 @@ ShaderCache.prototype.createDerivedShaderProgram = function ( const context = this._context; - const vertexShaderText = vertexShaderSource.createCombinedVertexShader( - context - ); - const fragmentShaderText = fragmentShaderSource.createCombinedFragmentShader( - context - ); + const vertexShaderText = + vertexShaderSource.createCombinedVertexShader(context); + const fragmentShaderText = + fragmentShaderSource.createCombinedFragmentShader(context); const derivedShaderProgram = new ShaderProgram({ gl: context._gl, diff --git a/packages/engine/Source/Renderer/ShaderFunction.js b/packages/engine/Source/Renderer/ShaderFunction.js index 3da6656486ee..00d1ca4ea39b 100644 --- a/packages/engine/Source/Renderer/ShaderFunction.js +++ b/packages/engine/Source/Renderer/ShaderFunction.js @@ -37,7 +37,7 @@ ShaderFunction.prototype.addLines = function (lines) { //>>includeStart('debug', pragmas.debug); if (typeof lines !== "string" && !Array.isArray(lines)) { throw new DeveloperError( - `Expected lines to be a string or an array of strings, actual value was ${lines}` + `Expected lines to be a string or an array of strings, actual value was ${lines}`, ); } //>>includeEnd('debug'); diff --git a/packages/engine/Source/Renderer/ShaderProgram.js b/packages/engine/Source/Renderer/ShaderProgram.js index d9df800dc518..e8e180328599 100644 --- a/packages/engine/Source/Renderer/ShaderProgram.js +++ b/packages/engine/Source/Renderer/ShaderProgram.js @@ -28,7 +28,7 @@ function ShaderProgram(options) { const modifiedFS = handleUniformPrecisionMismatches( vertexShaderText, - fragmentShaderText + fragmentShaderText, ); this._gl = options.gl; @@ -143,7 +143,7 @@ function extractUniforms(shaderText) { function handleUniformPrecisionMismatches( vertexShaderText, - fragmentShaderText + fragmentShaderText, ) { // If a uniform exists in both the vertex and fragment shader but with different precision qualifiers, // give the fragment shader uniform a different name. This fixes shader compilation errors on devices @@ -204,7 +204,7 @@ function createAndLinkProgram(gl, shader) { gl.bindAttribLocation( program, attributeLocations[attribute], - attribute + attribute, ); } } @@ -275,7 +275,7 @@ function createAndLinkProgram(gl, shader) { return; } console.error( - `${consolePrefix}Translated ${name} shaderSource:\n${translation}` + `${consolePrefix}Translated ${name} shaderSource:\n${translation}`, ); } } @@ -309,7 +309,7 @@ function findUniforms(gl, program) { const uniformName = activeUniform.name.indexOf( suffix, - activeUniform.name.length - suffix.length + activeUniform.name.length - suffix.length, ) !== -1 ? activeUniform.name.slice(0, activeUniform.name.length - 3) : activeUniform.name; @@ -328,7 +328,7 @@ function findUniforms(gl, program) { gl, activeUniform, uniformName, - location + location, ); uniformsByName[uniformName] = uniform; @@ -388,7 +388,7 @@ function findUniforms(gl, program) { gl, activeUniform, uniformName, - locations + locations, ); uniformsByName[uniformName] = uniformArray; @@ -470,12 +470,12 @@ function reinitialize(shader) { const program = createAndLinkProgram(gl, shader, shader._debugShaders); const numberOfVertexAttributes = gl.getProgramParameter( program, - gl.ACTIVE_ATTRIBUTES + gl.ACTIVE_ATTRIBUTES, ); const uniforms = findUniforms(gl, program); const partitionedUniforms = partitionUniforms( shader, - uniforms.uniformsByName + uniforms.uniformsByName, ); shader._program = program; @@ -483,7 +483,7 @@ function reinitialize(shader) { shader._vertexAttributes = findVertexAttributes( gl, program, - numberOfVertexAttributes + numberOfVertexAttributes, ); shader._uniformsByName = uniforms.uniformsByName; shader._uniforms = uniforms.uniforms; @@ -493,7 +493,7 @@ function reinitialize(shader) { shader.maximumTextureUnitIndex = setSamplerUniforms( gl, program, - uniforms.samplerUniforms + uniforms.samplerUniforms, ); if (oldProgram) { @@ -507,7 +507,7 @@ function reinitialize(shader) { vertexSourceCode, // The new vertex shader source fragmentSourceCode, // The new fragment shader source onCompiled, // Callback triggered by your engine when the compilation is successful. It needs to send back the new linked program. - onError // Callback triggered by your engine in case of error. It needs to send the WebGL error to allow the editor to display the error in the gutter. + onError, // Callback triggered by your engine in case of error. It needs to send the WebGL error to allow the editor to display the error in the gutter. ) { const originalVS = shader._vertexShaderText; const originalFS = shader._fragmentShaderText; @@ -546,7 +546,7 @@ ShaderProgram.prototype._bind = function () { ShaderProgram.prototype._setUniforms = function ( uniformMap, uniformState, - validate + validate, ) { let len; let i; @@ -595,8 +595,8 @@ ShaderProgram.prototype._setUniforms = function ( if (!gl.getProgramParameter(program, gl.VALIDATE_STATUS)) { throw new DeveloperError( `Program validation failed. Program info log: ${gl.getProgramInfoLog( - program - )}` + program, + )}`, ); } //>>includeEnd('debug'); diff --git a/packages/engine/Source/Renderer/ShaderSource.js b/packages/engine/Source/Renderer/ShaderSource.js index 66d253e19ef5..7f72eac0afd5 100644 --- a/packages/engine/Source/Renderer/ShaderSource.js +++ b/packages/engine/Source/Renderer/ShaderSource.js @@ -72,7 +72,7 @@ function generateDependencies(currentNode, dependencyNodes) { const referencedNode = getDependencyNode( element, ShaderSource._czmBuiltinsAndUniforms[element], - dependencyNodes + dependencyNodes, ); currentNode.dependsOn.push(referencedNode); referencedNode.requiredBy.push(currentNode); @@ -170,45 +170,46 @@ function combineShader(shaderSource, isFragmentShader, context) { // Extract existing shader version from sources let version; - combinedSources = combinedSources.replace(/#version\s+(.*?)\n/gm, function ( - match, - group1 - ) { - //>>includeStart('debug', pragmas.debug); - if (defined(version) && version !== group1) { - throw new DeveloperError( - `inconsistent versions found: ${version} and ${group1}` - ); - } - //>>includeEnd('debug'); + combinedSources = combinedSources.replace( + /#version\s+(.*?)\n/gm, + function (match, group1) { + //>>includeStart('debug', pragmas.debug); + if (defined(version) && version !== group1) { + throw new DeveloperError( + `inconsistent versions found: ${version} and ${group1}`, + ); + } + //>>includeEnd('debug'); - // Extract #version to put at the top - version = group1; + // Extract #version to put at the top + version = group1; - // Replace original #version directive with a new line so the line numbers - // are not off by one. There can be only one #version directive - // and it must appear at the top of the source, only preceded by - // whitespace and comments. - return "\n"; - }); + // Replace original #version directive with a new line so the line numbers + // are not off by one. There can be only one #version directive + // and it must appear at the top of the source, only preceded by + // whitespace and comments. + return "\n"; + }, + ); // Extract shader extensions from sources const extensions = []; - combinedSources = combinedSources.replace(/#extension.*\n/gm, function ( - match - ) { - // Extract extension to put at the top - extensions.push(match); - - // Replace original #extension directive with a new line so the line numbers - // are not off by one. - return "\n"; - }); + combinedSources = combinedSources.replace( + /#extension.*\n/gm, + function (match) { + // Extract extension to put at the top + extensions.push(match); + + // Replace original #extension directive with a new line so the line numbers + // are not off by one. + return "\n"; + }, + ); // Remove precision qualifier combinedSources = combinedSources.replace( /precision\s(lowp|mediump|highp)\s(float|int);/, - "" + "", ); // Replace main() for picked if desired. @@ -216,7 +217,7 @@ function combineShader(shaderSource, isFragmentShader, context) { if (defined(pickColorQualifier)) { combinedSources = ShaderSource.createPickFragmentShaderSource( combinedSources, - pickColorQualifier + pickColorQualifier, ); } @@ -280,7 +281,7 @@ function combineShader(shaderSource, isFragmentShader, context) { context.webgl2 && isFragmentShader && !/layout\s*\(location\s*=\s*0\)\s*out\s+vec4\s+out_FragColor;/g.test( - combinedShader + combinedShader, ) && !/czm_out_FragColor/g.test(combinedShader) && /out_FragColor/g.test(combinedShader) @@ -338,7 +339,7 @@ function ShaderSource(options) { pickColorQualifier !== "in" ) { throw new DeveloperError( - "options.pickColorQualifier must be 'uniform' or 'in'." + "options.pickColorQualifier must be 'uniform' or 'in'.", ); } //>>includeEnd('debug'); @@ -422,9 +423,8 @@ for (const uniformName in AutomaticUniforms) { if (AutomaticUniforms.hasOwnProperty(uniformName)) { const uniform = AutomaticUniforms[uniformName]; if (typeof uniform.getDeclaration === "function") { - ShaderSource._czmBuiltinsAndUniforms[ - uniformName - ] = uniform.getDeclaration(uniformName); + ShaderSource._czmBuiltinsAndUniforms[uniformName] = + uniform.getDeclaration(uniformName); } } } @@ -432,7 +432,7 @@ for (const uniformName in AutomaticUniforms) { ShaderSource.createPickVertexShaderSource = function (vertexShaderSource) { const renamedVS = ShaderSource.replaceMain( vertexShaderSource, - "czm_old_main" + "czm_old_main", ); const pickMain = "in vec4 pickColor; \n" + @@ -448,11 +448,11 @@ ShaderSource.createPickVertexShaderSource = function (vertexShaderSource) { ShaderSource.createPickFragmentShaderSource = function ( fragmentShaderSource, - pickColorQualifier + pickColorQualifier, ) { const renamedFS = ShaderSource.replaceMain( fragmentShaderSource, - "czm_old_main" + "czm_old_main", ); const pickMain = `${pickColorQualifier} vec4 czm_pickColor; \n` + diff --git a/packages/engine/Source/Renderer/UniformState.js b/packages/engine/Source/Renderer/UniformState.js index 9cf580df9af6..3c3fa7c42754 100644 --- a/packages/engine/Source/Renderer/UniformState.js +++ b/packages/engine/Source/Renderer/UniformState.js @@ -1227,25 +1227,26 @@ function setCamera(uniformState, camera) { if (Cartesian3.magnitude(camera.positionWC) > 0.0) { uniformState._eyeEllipsoidNormalEC = Cartesian3.normalize( camera.positionWC, - uniformState._eyeEllipsoidNormalEC + uniformState._eyeEllipsoidNormalEC, ); } surfacePosition = ellipsoid.scaleToGeodeticSurface( camera.positionWC, - surfacePositionScratch + surfacePositionScratch, ); } else { uniformState._eyeHeight = positionCartographic.height; - uniformState._eyeEllipsoidNormalEC = ellipsoid.geodeticSurfaceNormalCartographic( - positionCartographic, - uniformState._eyeEllipsoidNormalEC - ); + uniformState._eyeEllipsoidNormalEC = + ellipsoid.geodeticSurfaceNormalCartographic( + positionCartographic, + uniformState._eyeEllipsoidNormalEC, + ); surfacePosition = Cartesian3.fromRadians( positionCartographic.longitude, positionCartographic.latitude, 0.0, ellipsoid, - surfacePositionScratch + surfacePositionScratch, ); } @@ -1258,29 +1259,29 @@ function setCamera(uniformState, camera) { uniformState._eyeEllipsoidNormalEC = Matrix3.multiplyByVector( uniformState._viewRotation, uniformState._eyeEllipsoidNormalEC, - uniformState._eyeEllipsoidNormalEC + uniformState._eyeEllipsoidNormalEC, ); const enuToWorld = Transforms.eastNorthUpToFixedFrame( surfacePosition, ellipsoid, - enuTransformScratch + enuTransformScratch, ); uniformState._enuToModel = Matrix4.multiplyTransformation( uniformState.inverseModel, enuToWorld, - uniformState._enuToModel + uniformState._enuToModel, ); uniformState._modelToEnu = Matrix4.inverseTransformation( uniformState._enuToModel, - uniformState._modelToEnu + uniformState._modelToEnu, ); if ( !CesiumMath.equalsEpsilon( ellipsoid._radii.x, ellipsoid._radii.y, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ) ) { // Ellipsoid curvature calculations assume radii.x === radii.y as is true for WGS84 @@ -1289,7 +1290,7 @@ function setCamera(uniformState, camera) { uniformState._eyeEllipsoidCurvature = ellipsoid.getLocalCurvature( surfacePosition, - uniformState._eyeEllipsoidCurvature + uniformState._eyeEllipsoidCurvature, ); } @@ -1298,13 +1299,14 @@ const sunCartographicScratch = new Cartographic(); function setSunAndMoonDirections(uniformState, frameState) { Transforms.computeIcrfToCentralBodyFixedMatrix( frameState.time, - transformMatrix + transformMatrix, ); - let position = Simon1994PlanetaryPositions.computeSunPositionInEarthInertialFrame( - frameState.time, - uniformState._sunPositionWC - ); + let position = + Simon1994PlanetaryPositions.computeSunPositionInEarthInertialFrame( + frameState.time, + uniformState._sunPositionWC, + ); Matrix3.multiplyByVector(transformMatrix, position, position); Cartesian3.normalize(position, uniformState._sunDirectionWC); @@ -1312,14 +1314,15 @@ function setSunAndMoonDirections(uniformState, frameState) { position = Matrix3.multiplyByVector( uniformState.viewRotation3D, position, - uniformState._sunDirectionEC + uniformState._sunDirectionEC, ); Cartesian3.normalize(position, position); - position = Simon1994PlanetaryPositions.computeMoonPositionInEarthInertialFrame( - frameState.time, - uniformState._moonDirectionEC - ); + position = + Simon1994PlanetaryPositions.computeMoonPositionInEarthInertialFrame( + frameState.time, + uniformState._moonDirectionEC, + ); Matrix3.multiplyByVector(transformMatrix, position, position); Matrix3.multiplyByVector(uniformState.viewRotation3D, position, position); Cartesian3.normalize(position, position); @@ -1328,7 +1331,7 @@ function setSunAndMoonDirections(uniformState, frameState) { const ellipsoid = projection.ellipsoid; const sunCartographic = ellipsoid.cartesianToCartographic( uniformState._sunPositionWC, - sunCartographicScratch + sunCartographicScratch, ); projection.project(sunCartographic, uniformState._sunPositionColumbusView); } @@ -1374,7 +1377,7 @@ UniformState.prototype.updateFrustum = function (frustum) { this._farDepthFromNearPlusOne = frustum.far - frustum.near + 1.0; this._log2FarDepthFromNearPlusOne = CesiumMath.log2( - this._farDepthFromNearPlusOne + this._farDepthFromNearPlusOne, ); this._oneOverLog2FarDepthFromNearPlusOne = 1.0 / this._log2FarDepthFromNearPlusOne; @@ -1429,21 +1432,21 @@ UniformState.prototype.update = function (frameState) { if (light instanceof SunLight) { this._lightDirectionWC = Cartesian3.clone( this._sunDirectionWC, - this._lightDirectionWC + this._lightDirectionWC, ); this._lightDirectionEC = Cartesian3.clone( this._sunDirectionEC, - this._lightDirectionEC + this._lightDirectionEC, ); } else { this._lightDirectionWC = Cartesian3.normalize( Cartesian3.negate(light.direction, this._lightDirectionWC), - this._lightDirectionWC + this._lightDirectionWC, ); this._lightDirectionEC = Matrix3.multiplyByVector( this.viewRotation3D, this._lightDirectionWC, - this._lightDirectionEC + this._lightDirectionEC, ); } @@ -1452,19 +1455,19 @@ UniformState.prototype.update = function (frameState) { lightColor.red, lightColor.green, lightColor.blue, - this._lightColorHdr + this._lightColorHdr, ); lightColorHdr = Cartesian3.multiplyByScalar( lightColorHdr, light.intensity, - lightColorHdr + lightColorHdr, ); const maximumComponent = Cartesian3.maximumComponent(lightColorHdr); if (maximumComponent > 1.0) { Cartesian3.divideByScalar( lightColorHdr, maximumComponent, - this._lightColor + this._lightColor, ); } else { Cartesian3.clone(lightColorHdr, this._lightColor); @@ -1478,14 +1481,14 @@ UniformState.prototype.update = function (frameState) { this._environmentMap = defaultValue( frameState.environmentMap, - frameState.context.defaultCubeMap + frameState.context.defaultCubeMap, ); // IE 11 doesn't optimize out uniforms that are #ifdef'd out. So undefined values for the spherical harmonic // coefficients cause a crash. this._sphericalHarmonicCoefficients = defaultValue( frameState.sphericalHarmonicCoefficients, - EMPTY_ARRAY + EMPTY_ARRAY, ); this._specularEnvironmentMaps = frameState.specularEnvironmentMaps; this._specularEnvironmentMapsMaximumLOD = @@ -1500,17 +1503,17 @@ UniformState.prototype.update = function (frameState) { atmosphere.hueShift, atmosphere.saturationShift, atmosphere.brightnessShift, - this._atmosphereHsbShift + this._atmosphereHsbShift, ); this._atmosphereLightIntensity = atmosphere.lightIntensity; this._atmosphereRayleighCoefficient = Cartesian3.clone( atmosphere.rayleighCoefficient, - this._atmosphereRayleighCoefficient + this._atmosphereRayleighCoefficient, ); this._atmosphereRayleighScaleHeight = atmosphere.rayleighScaleHeight; this._atmosphereMieCoefficient = Cartesian3.clone( atmosphere.mieCoefficient, - this._atmosphereMieCoefficient + this._atmosphereMieCoefficient, ); this._atmosphereMieScaleHeight = atmosphere.mieScaleHeight; this._atmosphereMieAnisotropy = atmosphere.mieAnisotropy; @@ -1522,7 +1525,7 @@ UniformState.prototype.update = function (frameState) { this._frameState = frameState; this._temeToPseudoFixed = Transforms.computeTemeToPseudoFixedMatrix( frameState.time, - this._temeToPseudoFixed + this._temeToPseudoFixed, ); // Convert the relative splitPosition to absolute pixel coordinates @@ -1547,7 +1550,8 @@ UniformState.prototype.update = function (frameState) { this._minimumDisableDepthTestDistance = frameState.minimumDisableDepthTestDistance; - this._minimumDisableDepthTestDistance *= this._minimumDisableDepthTestDistance; + this._minimumDisableDepthTestDistance *= + this._minimumDisableDepthTestDistance; if (this._minimumDisableDepthTestDistance === Number.POSITIVE_INFINITY) { this._minimumDisableDepthTestDistance = -1.0; } @@ -1563,13 +1567,13 @@ function cleanViewport(uniformState) { v.y + v.height, 0.0, 1.0, - uniformState._viewportOrthographicMatrix + uniformState._viewportOrthographicMatrix, ); Matrix4.computeViewportTransformation( v, 0.0, 1.0, - uniformState._viewportTransformation + uniformState._viewportTransformation, ); uniformState._viewportDirty = false; } @@ -1586,7 +1590,7 @@ function cleanInverseProjection(uniformState) { ) { Matrix4.inverse( uniformState._projection, - uniformState._inverseProjection + uniformState._inverseProjection, ); } else { Matrix4.clone(Matrix4.ZERO, uniformState._inverseProjection); @@ -1602,7 +1606,7 @@ function cleanModelView(uniformState) { Matrix4.multiplyTransformation( uniformState._view, uniformState._model, - uniformState._modelView + uniformState._modelView, ); } } @@ -1614,7 +1618,7 @@ function cleanModelView3D(uniformState) { Matrix4.multiplyTransformation( uniformState.view3D, uniformState._model, - uniformState._modelView3D + uniformState._modelView3D, ); } } @@ -1642,7 +1646,7 @@ function cleanViewProjection(uniformState) { Matrix4.multiply( uniformState._projection, uniformState._view, - uniformState._viewProjection + uniformState._viewProjection, ); } } @@ -1653,7 +1657,7 @@ function cleanInverseViewProjection(uniformState) { Matrix4.inverse( uniformState.viewProjection, - uniformState._inverseViewProjection + uniformState._inverseViewProjection, ); } } @@ -1665,7 +1669,7 @@ function cleanModelViewProjection(uniformState) { Matrix4.multiply( uniformState._projection, uniformState.modelView, - uniformState._modelViewProjection + uniformState._modelViewProjection, ); } } @@ -1701,7 +1705,7 @@ function cleanInverseModelViewProjection(uniformState) { Matrix4.inverse( uniformState.modelViewProjection, - uniformState._inverseModelViewProjection + uniformState._inverseModelViewProjection, ); } } @@ -1713,7 +1717,7 @@ function cleanModelViewProjectionRelativeToEye(uniformState) { Matrix4.multiply( uniformState._projection, uniformState.modelViewRelativeToEye, - uniformState._modelViewProjectionRelativeToEye + uniformState._modelViewProjectionRelativeToEye, ); } } @@ -1725,7 +1729,7 @@ function cleanModelViewInfiniteProjection(uniformState) { Matrix4.multiply( uniformState._infiniteProjection, uniformState.modelView, - uniformState._modelViewInfiniteProjection + uniformState._modelViewInfiniteProjection, ); } } @@ -1779,11 +1783,11 @@ function cleanEncodedCameraPositionMC(uniformState) { Matrix4.multiplyByPoint( uniformState.inverseModel, uniformState._cameraPosition, - cameraPositionMC + cameraPositionMC, ); EncodedCartesian3.fromCartesian( cameraPositionMC, - uniformState._encodedCameraPositionMC + uniformState._encodedCameraPositionMC, ); } } @@ -1804,7 +1808,7 @@ function view2Dto3D( frustum2DWidth, mode, projection, - result + result, ) { // The camera position and directions are expressed in the 2D coordinate system where the Y axis is to the East, // the Z axis is to the North, and the X axis is out of the map. Express them instead in the ENU axes where @@ -1843,24 +1847,24 @@ function view2Dto3D( cartographic.longitude = CesiumMath.clamp( cartographic.longitude, -Math.PI, - Math.PI + Math.PI, ); cartographic.latitude = CesiumMath.clamp( cartographic.latitude, -CesiumMath.PI_OVER_TWO, - CesiumMath.PI_OVER_TWO + CesiumMath.PI_OVER_TWO, ); const ellipsoid = projection.ellipsoid; const position3D = ellipsoid.cartographicToCartesian( cartographic, - view2Dto3DCartesian3Scratch + view2Dto3DCartesian3Scratch, ); // Compute the rotation from the local ENU at the real world camera position to the fixed axes. const enuToFixed = Transforms.eastNorthUpToFixedFrame( position3D, ellipsoid, - view2Dto3DMatrix4Scratch + view2Dto3DMatrix4Scratch, ); // Transform each camera direction to the fixed axes. @@ -1906,7 +1910,7 @@ function updateView3D(that) { that._frustum2DWidth, that._mode, that._mapProjection, - that._view3D + that._view3D, ); } Matrix4.getMatrix3(that._view3D, that._viewRotation3D); diff --git a/packages/engine/Source/Renderer/VertexArray.js b/packages/engine/Source/Renderer/VertexArray.js index bdc2971f017a..d51ae591451d 100644 --- a/packages/engine/Source/Renderer/VertexArray.js +++ b/packages/engine/Source/Renderer/VertexArray.js @@ -26,7 +26,7 @@ function addAttribute(attributes, attribute, index, context) { } if (hasVertexBuffer && hasValue) { throw new DeveloperError( - "attribute cannot have both a vertexBuffer and a value. It must have either a vertexBuffer property defining per-vertex data or a value property defining data for all vertices." + "attribute cannot have both a vertexBuffer and a value. It must have either a vertexBuffer property defining per-vertex data or a value property defining data for all vertices.", ); } if ( @@ -37,12 +37,12 @@ function addAttribute(attributes, attribute, index, context) { ) { if (hasValue) { throw new DeveloperError( - "attribute.value.length must be in the range [1, 4]." + "attribute.value.length must be in the range [1, 4].", ); } throw new DeveloperError( - "attribute.componentsPerAttribute must be in the range [1, 4]." + "attribute.componentsPerAttribute must be in the range [1, 4].", ); } if ( @@ -50,13 +50,13 @@ function addAttribute(attributes, attribute, index, context) { !ComponentDatatype.validate(attribute.componentDatatype) ) { throw new DeveloperError( - "attribute must have a valid componentDatatype or not specify it." + "attribute must have a valid componentDatatype or not specify it.", ); } if (defined(attribute.strideInBytes) && attribute.strideInBytes > 255) { // WebGL limit. Not in GL ES. throw new DeveloperError( - "attribute must have a strideInBytes less than or equal to 255 or not specify it." + "attribute must have a strideInBytes less than or equal to 255 or not specify it.", ); } if ( @@ -68,12 +68,12 @@ function addAttribute(attributes, attribute, index, context) { } if (defined(attribute.instanceDivisor) && attribute.instanceDivisor < 0) { throw new DeveloperError( - "attribute must have an instanceDivisor greater than or equal to zero" + "attribute must have an instanceDivisor greater than or equal to zero", ); } if (defined(attribute.instanceDivisor) && hasValue) { throw new DeveloperError( - "attribute cannot have have an instanceDivisor if it is not backed by a buffer" + "attribute cannot have have an instanceDivisor if it is not backed by a buffer", ); } if ( @@ -82,7 +82,7 @@ function addAttribute(attributes, attribute, index, context) { attribute.index === 0 ) { throw new DeveloperError( - "attribute zero cannot have an instanceDivisor greater than 0" + "attribute zero cannot have an instanceDivisor greater than 0", ); } //>>includeEnd('debug'); @@ -96,7 +96,7 @@ function addAttribute(attributes, attribute, index, context) { componentsPerAttribute: componentsPerAttribute, componentDatatype: defaultValue( attribute.componentDatatype, - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ), normalize: defaultValue(attribute.normalize, false), offsetInBytes: defaultValue(attribute.offsetInBytes, 0), @@ -115,7 +115,7 @@ function addAttribute(attributes, attribute, index, context) { this.componentDatatype, this.normalize, this.strideInBytes, - this.offsetInBytes + this.offsetInBytes, ); gl.enableVertexAttribArray(index); if (this.instanceDivisor > 0) { @@ -342,7 +342,7 @@ function VertexArray(options) { const index = vaAttributes[i].index; if (uniqueIndices[index]) { throw new DeveloperError( - `Index ${index} is used by more than one attribute.` + `Index ${index} is used by more than one attribute.`, ); } uniqueIndices[index] = true; @@ -400,7 +400,7 @@ function interleaveAttributes(attributes) { attributes[name].componentDatatype = ComponentDatatype.FLOAT; attributes[name].values = ComponentDatatype.createTypedArray( ComponentDatatype.FLOAT, - attributes[name].values + attributes[name].values, ); } } @@ -415,7 +415,7 @@ function interleaveAttributes(attributes) { for (j = 1; j < namesLength; ++j) { const currentNumberOfVertices = computeNumberOfVertices( - attributes[names[j]] + attributes[names[j]], ); if (currentNumberOfVertices !== numberOfVertices) { @@ -425,7 +425,7 @@ function interleaveAttributes(attributes) { "Attribute " }${names[j]} has a different number of vertices ` + `(${currentNumberOfVertices.toString()})` + - ` than attribute ${names[0]} (${numberOfVertices.toString()}).` + ` than attribute ${names[0]} (${numberOfVertices.toString()}).`, ); } } @@ -455,7 +455,7 @@ function interleaveAttributes(attributes) { // Pad each vertex to be a multiple of the largest component datatype so each // attribute can be addressed using typed arrays. const maxComponentSizeInBytes = ComponentDatatype.getSizeInBytes( - attributes[names[0]].componentDatatype + attributes[names[0]].componentDatatype, ); // Sorted large to small const remainder = vertexSizeInBytes % maxComponentSizeInBytes; if (remainder !== 0) { @@ -472,13 +472,13 @@ function interleaveAttributes(attributes) { for (j = 0; j < namesLength; ++j) { name = names[j]; const sizeInBytes = ComponentDatatype.getSizeInBytes( - attributes[name].componentDatatype + attributes[name].componentDatatype, ); views[name] = { pointer: ComponentDatatype.createTypedArray( attributes[name].componentDatatype, - buffer + buffer, ), index: offsetsInBytes[name] / sizeInBytes, // Offset in ComponentType strideInComponentType: vertexSizeInBytes / sizeInBytes, @@ -583,12 +583,12 @@ VertexArray.fromGeometry = function (options) { const bufferUsage = defaultValue( options.bufferUsage, - BufferUsage.DYNAMIC_DRAW + BufferUsage.DYNAMIC_DRAW, ); const attributeLocations = defaultValue( options.attributeLocations, - defaultValue.EMPTY_OBJECT + defaultValue.EMPTY_OBJECT, ); const interleave = defaultValue(options.interleave, false); const createdVAAttributes = options.vertexArrayAttributes; @@ -656,7 +656,7 @@ VertexArray.fromGeometry = function (options) { context: context, typedArray: ComponentDatatype.createTypedArray( componentDatatype, - attribute.values + attribute.values, ), usage: bufferUsage, }); @@ -685,7 +685,7 @@ VertexArray.fromGeometry = function (options) { value: undefined, componentDatatype: componentDatatype, componentsPerAttribute: AttributeType.getNumberOfComponents( - attribute.type + attribute.type, ), normalize: attribute.normalized, instanceDivisor: attribute.instanceDivisor, diff --git a/packages/engine/Source/Renderer/VertexArrayFacade.js b/packages/engine/Source/Renderer/VertexArrayFacade.js index 752269a0262c..4779a69c140d 100644 --- a/packages/engine/Source/Renderer/VertexArrayFacade.js +++ b/packages/engine/Source/Renderer/VertexArrayFacade.js @@ -64,9 +64,8 @@ function VertexArrayFacade(context, attributes, sizeInVertices, instanced) { attributesForUsage = attributesByUsage[usage]; attributesForUsage.sort(compare); - const vertexSizeInBytes = VertexArrayFacade._vertexSizeInBytes( - attributesForUsage - ); + const vertexSizeInBytes = + VertexArrayFacade._vertexSizeInBytes(attributesForUsage); const bufferUsage = attributesForUsage[0].usage; @@ -78,7 +77,7 @@ function VertexArrayFacade(context, attributes, sizeInVertices, instanced) { arrayBuffer: undefined, arrayViews: VertexArrayFacade._createArrayViews( attributesForUsage, - vertexSizeInBytes + vertexSizeInBytes, ), }; @@ -109,7 +108,7 @@ VertexArrayFacade._verifyAttributes = function (attributes) { componentsPerAttribute: attribute.componentsPerAttribute, componentDatatype: defaultValue( attribute.componentDatatype, - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ), normalize: defaultValue(attribute.normalize, false), @@ -127,20 +126,20 @@ VertexArrayFacade._verifyAttributes = function (attributes) { attr.componentsPerAttribute !== 4 ) { throw new DeveloperError( - "attribute.componentsPerAttribute must be in the range [1, 4]." + "attribute.componentsPerAttribute must be in the range [1, 4].", ); } const datatype = attr.componentDatatype; if (!ComponentDatatype.validate(datatype)) { throw new DeveloperError( - "Attribute must have a valid componentDatatype or not specify it." + "Attribute must have a valid componentDatatype or not specify it.", ); } if (!BufferUsage.validate(attr.usage)) { throw new DeveloperError( - "Attribute must have a valid usage or not specify it." + "Attribute must have a valid usage or not specify it.", ); } //>>includeEnd('debug'); @@ -154,7 +153,7 @@ VertexArrayFacade._verifyAttributes = function (attributes) { //>>includeStart('debug', pragmas.debug); if (uniqueIndices[index]) { throw new DeveloperError( - `Index ${index} is used by more than one attribute.` + `Index ${index} is used by more than one attribute.`, ); } //>>includeEnd('debug'); @@ -263,7 +262,7 @@ VertexArrayFacade._resize = function (buffer, size) { view.view = ComponentDatatype.createArrayBufferView( view.componentDatatype, arrayBuffer, - view.offsetInBytes + view.offsetInBytes, ); } @@ -358,7 +357,7 @@ VertexArrayFacade.prototype.commit = function (indexBuffer) { attributes, buffer, offset, - this._instanced + this._instanced, ); } @@ -414,7 +413,7 @@ VertexArrayFacade._appendAttributes = function ( attributes, buffer, vertexBufferOffset, - instanced + instanced, ) { const arrayViews = buffer.arrayViews; const length = arrayViews.length; @@ -437,17 +436,17 @@ VertexArrayFacade._appendAttributes = function ( VertexArrayFacade.prototype.subCommit = function ( offsetInVertices, - lengthInVertices + lengthInVertices, ) { //>>includeStart('debug', pragmas.debug); if (offsetInVertices < 0 || offsetInVertices >= this._size) { throw new DeveloperError( - "offsetInVertices must be greater than or equal to zero and less than the vertex array size." + "offsetInVertices must be greater than or equal to zero and less than the vertex array size.", ); } if (offsetInVertices + lengthInVertices > this._size) { throw new DeveloperError( - "offsetInVertices + lengthInVertices cannot exceed the vertex array size." + "offsetInVertices + lengthInVertices cannot exceed the vertex array size.", ); } //>>includeEnd('debug'); @@ -469,7 +468,7 @@ function subCommit(buffer, offsetInVertices, lengthInVertices) { // PERFORMANCE_IDEA: Does creating the typed view add too much GC overhead? buffer.vertexBuffer.copyFromArrayView( new Uint8Array(buffer.arrayBuffer, byteOffset, byteLength), - byteOffset + byteOffset, ); } } diff --git a/packages/engine/Source/Renderer/createUniformArray.js b/packages/engine/Source/Renderer/createUniformArray.js index 0c9268a1d7b5..9b38fca15b6b 100644 --- a/packages/engine/Source/Renderer/createUniformArray.js +++ b/packages/engine/Source/Renderer/createUniformArray.js @@ -22,21 +22,21 @@ function createUniformArray(gl, activeUniform, uniformName, locations) { gl, activeUniform, uniformName, - locations + locations, ); case gl.FLOAT_VEC3: return new UniformArrayFloatVec3( gl, activeUniform, uniformName, - locations + locations, ); case gl.FLOAT_VEC4: return new UniformArrayFloatVec4( gl, activeUniform, uniformName, - locations + locations, ); case gl.SAMPLER_2D: case gl.SAMPLER_CUBE: @@ -61,7 +61,7 @@ function createUniformArray(gl, activeUniform, uniformName, locations) { return new UniformArrayMat4(gl, activeUniform, uniformName, locations); default: throw new RuntimeError( - `Unrecognized uniform type: ${activeUniform.type} for uniform "${uniformName}".` + `Unrecognized uniform type: ${activeUniform.type} for uniform "${uniformName}".`, ); } } diff --git a/packages/engine/Source/Renderer/demodernizeShader.js b/packages/engine/Source/Renderer/demodernizeShader.js index e30fcab815f6..df0d795a7b84 100644 --- a/packages/engine/Source/Renderer/demodernizeShader.js +++ b/packages/engine/Source/Renderer/demodernizeShader.js @@ -21,14 +21,14 @@ function demodernizeShader(input, isFragmentShader) { // Replace all texture calls with texture2D output = output.replaceAll( /(texture\()/g, - `texture2D(` // Trailing ')' is included in the match group. + `texture2D(`, // Trailing ')' is included in the match group. ); if (isFragmentShader) { // Replace the in with varying. output = output.replaceAll( /\n\s*(in)\s+(vec\d|mat\d|float)/g, - `\nvarying $2` + `\nvarying $2`, ); if (/out_FragData_(\d+)/.test(output)) { @@ -37,7 +37,7 @@ function demodernizeShader(input, isFragmentShader) { // Remove all layout declarations for out_FragData. output = output.replaceAll( /layout\s+\(location\s*=\s*\d+\)\s*out\s+vec4\s+out_FragData_\d+;/g, - `` + ``, ); // Replace out_FragData with gl_FragData. @@ -47,7 +47,7 @@ function demodernizeShader(input, isFragmentShader) { // Remove all layout declarations for out_FragColor. output = output.replaceAll( /layout\s+\(location\s*=\s*0\)\s*out\s+vec4\s+out_FragColor;/g, - `` + ``, ); // Replace out_FragColor with gl_FragColor. @@ -71,7 +71,7 @@ function demodernizeShader(input, isFragmentShader) { // Replace the out with varying. output = output.replaceAll( /(out)\s+(vec\d|mat\d|float)\s+([\w]+);/g, - `varying $2 $3;` + `varying $2 $3;`, ); } diff --git a/packages/engine/Source/Renderer/loadCubeMap.js b/packages/engine/Source/Renderer/loadCubeMap.js index 693da0a51896..06312734bb27 100644 --- a/packages/engine/Source/Renderer/loadCubeMap.js +++ b/packages/engine/Source/Renderer/loadCubeMap.js @@ -46,7 +46,7 @@ function loadCubeMap(context, urls, skipColorSpaceConversion) { Object.values(CubeMap.FaceName).some((faceName) => !defined(urls[faceName])) ) { throw new DeveloperError( - "urls must have positiveX, negativeX, positiveY, negativeY, positiveZ, and negativeZ properties." + "urls must have positiveX, negativeX, positiveY, negativeY, positiveZ, and negativeZ properties.", ); } //>>includeEnd('debug'); diff --git a/packages/engine/Source/Scene/ArcGisMapServerImageryProvider.js b/packages/engine/Source/Scene/ArcGisMapServerImageryProvider.js index 282ecdfebd6d..d1b97d8f6365 100644 --- a/packages/engine/Source/Scene/ArcGisMapServerImageryProvider.js +++ b/packages/engine/Source/Scene/ArcGisMapServerImageryProvider.js @@ -75,7 +75,7 @@ function ImageryProviderBuilder(options) { const ellipsoid = options.ellipsoid; this.tilingScheme = defaultValue( options.tilingScheme, - new GeographicTilingScheme({ ellipsoid: ellipsoid }) + new GeographicTilingScheme({ ellipsoid: ellipsoid }), ); this.rectangle = defaultValue(options.rectangle, this.tilingScheme.rectangle); this.ellipsoid = ellipsoid; @@ -169,43 +169,43 @@ function metadataSuccess(data, imageryProviderBuilder) { Math.max( extent.xmin, -imageryProviderBuilder.tilingScheme.ellipsoid.maximumRadius * - Math.PI + Math.PI, ), Math.max( extent.ymin, -imageryProviderBuilder.tilingScheme.ellipsoid.maximumRadius * - Math.PI + Math.PI, ), - 0.0 - ) + 0.0, + ), ); const ne = projection.unproject( new Cartesian3( Math.min( extent.xmax, imageryProviderBuilder.tilingScheme.ellipsoid.maximumRadius * - Math.PI + Math.PI, ), Math.min( extent.ymax, imageryProviderBuilder.tilingScheme.ellipsoid.maximumRadius * - Math.PI + Math.PI, ), - 0.0 - ) + 0.0, + ), ); imageryProviderBuilder.rectangle = new Rectangle( sw.longitude, sw.latitude, ne.longitude, - ne.latitude + ne.latitude, ); } else if (data.fullExtent.spatialReference.wkid === 4326) { imageryProviderBuilder.rectangle = Rectangle.fromDegrees( data.fullExtent.xmin, data.fullExtent.ymin, data.fullExtent.xmax, - data.fullExtent.ymax + data.fullExtent.ymax, ); } else { const extentMessage = `fullExtent.spatialReference WKID ${data.fullExtent.spatialReference.wkid} is not supported.`; @@ -319,12 +319,12 @@ function ArcGisMapServerImageryProvider(options) { this._maximumLevel = options.maximumLevel; this._tilingScheme = defaultValue( options.tilingScheme, - new GeographicTilingScheme({ ellipsoid: options.ellipsoid }) + new GeographicTilingScheme({ ellipsoid: options.ellipsoid }), ); this._useTiles = defaultValue(options.usePreCachedTilesIfAvailable, true); this._rectangle = defaultValue( options.rectangle, - this._tilingScheme.rectangle + this._tilingScheme.rectangle, ); this._layers = options.layers; this._credit = options.credit; @@ -377,7 +377,7 @@ function ArcGisMapServerImageryProvider(options) { ArcGisMapServerImageryProvider.fromBasemapType = async function ( style, - options + options, ) { //>>includeStart('debug', pragmas.debug); Check.defined("style", style); @@ -392,15 +392,14 @@ ArcGisMapServerImageryProvider.fromBasemapType = async function ( { accessToken = defaultValue( options.token, - ArcGisMapService.defaultAccessToken + ArcGisMapService.defaultAccessToken, ); server = Resource.createIfNeeded( - ArcGisMapService.defaultWorldImageryServer + ArcGisMapService.defaultWorldImageryServer, ); server.appendForwardSlash(); - const defaultTokenCredit = ArcGisMapService.getDefaultTokenCredit( - accessToken - ); + const defaultTokenCredit = + ArcGisMapService.getDefaultTokenCredit(accessToken); if (defined(defaultTokenCredit)) { warningCredit = Credit.clone(defaultTokenCredit); } @@ -410,15 +409,14 @@ ArcGisMapServerImageryProvider.fromBasemapType = async function ( { accessToken = defaultValue( options.token, - ArcGisMapService.defaultAccessToken + ArcGisMapService.defaultAccessToken, ); server = Resource.createIfNeeded( - ArcGisMapService.defaultWorldOceanServer + ArcGisMapService.defaultWorldOceanServer, ); server.appendForwardSlash(); - const defaultTokenCredit = ArcGisMapService.getDefaultTokenCredit( - accessToken - ); + const defaultTokenCredit = + ArcGisMapService.getDefaultTokenCredit(accessToken); if (defined(defaultTokenCredit)) { warningCredit = Credit.clone(defaultTokenCredit); } @@ -428,15 +426,14 @@ ArcGisMapServerImageryProvider.fromBasemapType = async function ( { accessToken = defaultValue( options.token, - ArcGisMapService.defaultAccessToken + ArcGisMapService.defaultAccessToken, ); server = Resource.createIfNeeded( - ArcGisMapService.defaultWorldHillshadeServer + ArcGisMapService.defaultWorldHillshadeServer, ); server.appendForwardSlash(); - const defaultTokenCredit = ArcGisMapService.getDefaultTokenCredit( - accessToken - ); + const defaultTokenCredit = + ArcGisMapService.getDefaultTokenCredit(accessToken); if (defined(defaultTokenCredit)) { warningCredit = Credit.clone(defaultTokenCredit); } @@ -464,11 +461,8 @@ function buildImageResource(imageryProvider, x, y, level, request) { request: request, }); } else { - const nativeRectangle = imageryProvider._tilingScheme.tileXYToNativeRectangle( - x, - y, - level - ); + const nativeRectangle = + imageryProvider._tilingScheme.tileXYToNativeRectangle(x, y, level); const bbox = `${nativeRectangle.west},${nativeRectangle.south},${nativeRectangle.east},${nativeRectangle.north}`; const query = { @@ -752,7 +746,7 @@ ArcGisMapServerImageryProvider.fromUrl = async function (url, options) { ArcGisMapServerImageryProvider.prototype.getTileCredits = function ( x, y, - level + level, ) { return this._tileCredits; }; @@ -771,11 +765,11 @@ ArcGisMapServerImageryProvider.prototype.requestImage = function ( x, y, level, - request + request, ) { return ImageryProvider.loadImage( this, - buildImageResource(this, x, y, level, request) + buildImageResource(this, x, y, level, request), ); }; @@ -798,7 +792,7 @@ ArcGisMapServerImageryProvider.prototype.pickFeatures = function ( y, level, longitude, - latitude + latitude, ) { if (!this.enablePickFeatures) { return undefined; @@ -815,7 +809,7 @@ ArcGisMapServerImageryProvider.prototype.pickFeatures = function ( sr = "4326"; } else { const projected = this._tilingScheme.projection.project( - new Cartographic(longitude, latitude, 0.0) + new Cartographic(longitude, latitude, 0.0), ); horizontal = projected.x; vertical = projected.y; @@ -871,7 +865,7 @@ ArcGisMapServerImageryProvider.prototype.pickFeatures = function ( featureInfo.position = Cartographic.fromDegrees( feature.geometry.x, feature.geometry.y, - feature.geometry.z + feature.geometry.z, ); } else if (wkid === 102100 || wkid === 900913 || wkid === 3857) { const projection = new WebMercatorProjection(); @@ -879,8 +873,8 @@ ArcGisMapServerImageryProvider.prototype.pickFeatures = function ( new Cartesian3( feature.geometry.x, feature.geometry.y, - feature.geometry.z - ) + feature.geometry.z, + ), ); } } diff --git a/packages/engine/Source/Scene/ArcGisMapService.js b/packages/engine/Source/Scene/ArcGisMapService.js index 0227be51c324..8da3a11e501d 100644 --- a/packages/engine/Source/Scene/ArcGisMapService.js +++ b/packages/engine/Source/Scene/ArcGisMapService.js @@ -32,8 +32,7 @@ ArcGisMapService.defaultAccessToken = defaultAccessToken; * @default https://ibasemaps-api.arcgis.com/arcgis/rest/services/World_Imagery/MapServer */ ArcGisMapService.defaultWorldImageryServer = new Resource({ - url: - "https://ibasemaps-api.arcgis.com/arcgis/rest/services/World_Imagery/MapServer", + url: "https://ibasemaps-api.arcgis.com/arcgis/rest/services/World_Imagery/MapServer", }); /** @@ -43,8 +42,7 @@ ArcGisMapService.defaultWorldImageryServer = new Resource({ * @default https://ibasemaps-api.arcgis.com/arcgis/rest/services/Elevation/World_Hillshade/MapServer */ ArcGisMapService.defaultWorldHillshadeServer = new Resource({ - url: - "https://ibasemaps-api.arcgis.com/arcgis/rest/services/Elevation/World_Hillshade/MapServer", + url: "https://ibasemaps-api.arcgis.com/arcgis/rest/services/Elevation/World_Hillshade/MapServer", }); /** @@ -54,8 +52,7 @@ ArcGisMapService.defaultWorldHillshadeServer = new Resource({ * @default https://ibasemaps-api.arcgis.com/arcgis/rest/services/Ocean/World_Ocean_Base/MapServer */ ArcGisMapService.defaultWorldOceanServer = new Resource({ - url: - "https://ibasemaps-api.arcgis.com/arcgis/rest/services/Ocean/World_Ocean_Base/MapServer", + url: "https://ibasemaps-api.arcgis.com/arcgis/rest/services/Ocean/World_Ocean_Base/MapServer", }); /** diff --git a/packages/engine/Source/Scene/AutoExposure.js b/packages/engine/Source/Scene/AutoExposure.js index 7d7e08f3d606..9d2032cff6e1 100644 --- a/packages/engine/Source/Scene/AutoExposure.js +++ b/packages/engine/Source/Scene/AutoExposure.js @@ -149,7 +149,7 @@ function createFramebuffers(autoexposure, context) { lastTexture.width, lastTexture.height, 1, - pixelDatatype + pixelDatatype, ); autoexposure._framebuffers = framebuffers; } @@ -267,7 +267,7 @@ function createCommands(autoexposure, context) { { framebuffer: framebuffers[i].framebuffer, uniformMap: createUniformMap(autoexposure, i), - } + }, ); } autoexposure._commands = commands; @@ -325,9 +325,8 @@ AutoExposure.prototype.update = function (context) { const framebuffers = this._framebuffers; const temp = framebuffers[framebuffers.length - 1]; framebuffers[framebuffers.length - 1] = this._previousLuminance; - this._commands[ - this._commands.length - 1 - ].framebuffer = this._previousLuminance.framebuffer; + this._commands[this._commands.length - 1].framebuffer = + this._previousLuminance.framebuffer; this._previousLuminance = temp; }; diff --git a/packages/engine/Source/Scene/Axis.js b/packages/engine/Source/Scene/Axis.js index 3f5c6ab5f8d0..6ce33ac5aeb9 100644 --- a/packages/engine/Source/Scene/Axis.js +++ b/packages/engine/Source/Scene/Axis.js @@ -41,7 +41,7 @@ const Axis = { */ Axis.Y_UP_TO_Z_UP = Matrix4.fromRotationTranslation( // Rotation about PI/2 around the X-axis - Matrix3.fromArray([1, 0, 0, 0, 0, 1, 0, -1, 0]) + Matrix3.fromArray([1, 0, 0, 0, 0, 1, 0, -1, 0]), ); /** @@ -52,7 +52,7 @@ Axis.Y_UP_TO_Z_UP = Matrix4.fromRotationTranslation( */ Axis.Z_UP_TO_Y_UP = Matrix4.fromRotationTranslation( // Rotation about -PI/2 around the X-axis - Matrix3.fromArray([1, 0, 0, 0, 0, -1, 0, 1, 0]) + Matrix3.fromArray([1, 0, 0, 0, 0, -1, 0, 1, 0]), ); /** @@ -63,7 +63,7 @@ Axis.Z_UP_TO_Y_UP = Matrix4.fromRotationTranslation( */ Axis.X_UP_TO_Z_UP = Matrix4.fromRotationTranslation( // Rotation about -PI/2 around the Y-axis - Matrix3.fromArray([0, 0, 1, 0, 1, 0, -1, 0, 0]) + Matrix3.fromArray([0, 0, 1, 0, 1, 0, -1, 0, 0]), ); /** @@ -74,7 +74,7 @@ Axis.X_UP_TO_Z_UP = Matrix4.fromRotationTranslation( */ Axis.Z_UP_TO_X_UP = Matrix4.fromRotationTranslation( // Rotation about PI/2 around the Y-axis - Matrix3.fromArray([0, 0, -1, 0, 1, 0, 1, 0, 0]) + Matrix3.fromArray([0, 0, -1, 0, 1, 0, 1, 0, 0]), ); /** @@ -85,7 +85,7 @@ Axis.Z_UP_TO_X_UP = Matrix4.fromRotationTranslation( */ Axis.X_UP_TO_Y_UP = Matrix4.fromRotationTranslation( // Rotation about PI/2 around the Z-axis - Matrix3.fromArray([0, 1, 0, -1, 0, 0, 0, 0, 1]) + Matrix3.fromArray([0, 1, 0, -1, 0, 0, 0, 0, 1]), ); /** @@ -96,7 +96,7 @@ Axis.X_UP_TO_Y_UP = Matrix4.fromRotationTranslation( */ Axis.Y_UP_TO_X_UP = Matrix4.fromRotationTranslation( // Rotation about -PI/2 around the Z-axis - Matrix3.fromArray([0, -1, 0, 1, 0, 0, 0, 0, 1]) + Matrix3.fromArray([0, -1, 0, 1, 0, 0, 0, 0, 1]), ); /** diff --git a/packages/engine/Source/Scene/B3dmParser.js b/packages/engine/Source/Scene/B3dmParser.js index 6d06e6161538..c5021877894e 100644 --- a/packages/engine/Source/Scene/B3dmParser.js +++ b/packages/engine/Source/Scene/B3dmParser.js @@ -39,7 +39,7 @@ B3dmParser.parse = function (arrayBuffer, byteOffset) { const version = view.getUint32(byteOffset, true); if (version !== 1) { throw new RuntimeError( - `Only Batched 3D Model version 1 is supported. Version ${version} is not.` + `Only Batched 3D Model version 1 is supported. Version ${version} is not.`, ); } byteOffset += sizeOfUint32; @@ -77,7 +77,7 @@ B3dmParser.parse = function (arrayBuffer, byteOffset) { featureTableBinaryByteLength = 0; B3dmParser._deprecationWarning( "b3dm-legacy-header", - "This b3dm header is using the legacy format [batchLength] [batchTableByteLength]. The new format is [featureTableJsonByteLength] [featureTableBinaryByteLength] [batchTableJsonByteLength] [batchTableBinaryByteLength] from https://github.com/CesiumGS/3d-tiles/tree/main/specification/TileFormats/Batched3DModel." + "This b3dm header is using the legacy format [batchLength] [batchTableByteLength]. The new format is [featureTableJsonByteLength] [featureTableBinaryByteLength] [batchTableJsonByteLength] [batchTableBinaryByteLength] from https://github.com/CesiumGS/3d-tiles/tree/main/specification/TileFormats/Batched3DModel.", ); } else if (batchTableBinaryByteLength >= 570425344) { // Second legacy check @@ -89,7 +89,7 @@ B3dmParser.parse = function (arrayBuffer, byteOffset) { featureTableBinaryByteLength = 0; B3dmParser._deprecationWarning( "b3dm-legacy-header", - "This b3dm header is using the legacy format [batchTableJsonByteLength] [batchTableBinaryByteLength] [batchLength]. The new format is [featureTableJsonByteLength] [featureTableBinaryByteLength] [batchTableJsonByteLength] [batchTableBinaryByteLength] from https://github.com/CesiumGS/3d-tiles/tree/main/specification/TileFormats/Batched3DModel." + "This b3dm header is using the legacy format [batchTableJsonByteLength] [batchTableBinaryByteLength] [batchLength]. The new format is [featureTableJsonByteLength] [featureTableBinaryByteLength] [batchTableJsonByteLength] [batchTableBinaryByteLength] from https://github.com/CesiumGS/3d-tiles/tree/main/specification/TileFormats/Batched3DModel.", ); } @@ -102,7 +102,7 @@ B3dmParser.parse = function (arrayBuffer, byteOffset) { featureTableJson = getJsonFromTypedArray( uint8Array, byteOffset, - featureTableJsonByteLength + featureTableJsonByteLength, ); byteOffset += featureTableJsonByteLength; } @@ -110,7 +110,7 @@ B3dmParser.parse = function (arrayBuffer, byteOffset) { const featureTableBinary = new Uint8Array( arrayBuffer, byteOffset, - featureTableBinaryByteLength + featureTableBinaryByteLength, ); byteOffset += featureTableBinaryByteLength; @@ -125,7 +125,7 @@ B3dmParser.parse = function (arrayBuffer, byteOffset) { batchTableJson = getJsonFromTypedArray( uint8Array, byteOffset, - batchTableJsonByteLength + batchTableJsonByteLength, ); byteOffset += batchTableJsonByteLength; @@ -134,7 +134,7 @@ B3dmParser.parse = function (arrayBuffer, byteOffset) { batchTableBinary = new Uint8Array( arrayBuffer, byteOffset, - batchTableBinaryByteLength + batchTableBinaryByteLength, ); // Copy the batchTableBinary section and let the underlying ArrayBuffer be freed batchTableBinary = new Uint8Array(batchTableBinary); @@ -154,10 +154,10 @@ B3dmParser.parse = function (arrayBuffer, byteOffset) { // Create a copy of the glb so that it is 4-byte aligned B3dmParser._deprecationWarning( "b3dm-glb-unaligned", - "The embedded glb is not aligned to a 4-byte boundary." + "The embedded glb is not aligned to a 4-byte boundary.", ); gltfView = new Uint8Array( - uint8Array.subarray(byteOffset, byteOffset + gltfByteLength) + uint8Array.subarray(byteOffset, byteOffset + gltfByteLength), ); } diff --git a/packages/engine/Source/Scene/BatchTable.js b/packages/engine/Source/Scene/BatchTable.js index a24e541c86e8..d8d0580b6a2b 100644 --- a/packages/engine/Source/Scene/BatchTable.js +++ b/packages/engine/Source/Scene/BatchTable.js @@ -94,12 +94,12 @@ function BatchTable(context, attributes, numberOfInstances) { const stride = getStride(offsets, attributes, packFloats); const maxNumberOfInstancesPerRow = Math.floor( - ContextLimits.maximumTextureSize / stride + ContextLimits.maximumTextureSize / stride, ); const instancesPerWidth = Math.min( numberOfInstances, - maxNumberOfInstancesPerRow + maxNumberOfInstancesPerRow, ); const width = stride * instancesPerWidth; const height = Math.ceil(numberOfInstances / instancesPerWidth); @@ -258,7 +258,7 @@ const scratchGetAttributeCartesian4 = new Cartesian4(); BatchTable.prototype.getBatchedAttribute = function ( instanceIndex, attributeIndex, - result + result, ) { //>>includeStart('debug', pragmas.debug); if (instanceIndex < 0 || instanceIndex >= this._numberOfInstances) { @@ -283,13 +283,13 @@ BatchTable.prototype.getBatchedAttribute = function ( value = getPackedFloat( this._batchValues, index, - scratchGetAttributeCartesian4 + scratchGetAttributeCartesian4, ); } else { value = Cartesian4.unpack( this._batchValues, index, - scratchGetAttributeCartesian4 + scratchGetAttributeCartesian4, ); } @@ -325,7 +325,7 @@ const setAttributeScratchCartesian4 = new Cartesian4(); BatchTable.prototype.setBatchedAttribute = function ( instanceIndex, attributeIndex, - value + value, ) { //>>includeStart('debug', pragmas.debug); if (instanceIndex < 0 || instanceIndex >= this._numberOfInstances) { @@ -347,7 +347,7 @@ BatchTable.prototype.setBatchedAttribute = function ( const currentAttribute = this.getBatchedAttribute( instanceIndex, attributeIndex, - result + result, ); const attributeType = getAttributeType(this._attributes, attributeIndex); const entriesEqual = defined(attributeType.equals) diff --git a/packages/engine/Source/Scene/BatchTableHierarchy.js b/packages/engine/Source/Scene/BatchTableHierarchy.js index 4c1b2c4f1d90..49927f76aa5d 100644 --- a/packages/engine/Source/Scene/BatchTableHierarchy.js +++ b/packages/engine/Source/Scene/BatchTableHierarchy.js @@ -76,14 +76,14 @@ function initialize(hierarchy, hierarchyJson, binaryBody) { if (defined(classIds.byteOffset)) { classIds.componentType = defaultValue( classIds.componentType, - ComponentDatatype.UNSIGNED_SHORT + ComponentDatatype.UNSIGNED_SHORT, ); classIds.type = AttributeType.SCALAR; binaryAccessor = getBinaryAccessor(classIds); classIds = binaryAccessor.createArrayBufferView( binaryBody.buffer, binaryBody.byteOffset + classIds.byteOffset, - instancesLength + instancesLength, ); byteLength += classIds.byteLength; } @@ -93,14 +93,14 @@ function initialize(hierarchy, hierarchyJson, binaryBody) { if (defined(parentCounts.byteOffset)) { parentCounts.componentType = defaultValue( parentCounts.componentType, - ComponentDatatype.UNSIGNED_SHORT + ComponentDatatype.UNSIGNED_SHORT, ); parentCounts.type = AttributeType.SCALAR; binaryAccessor = getBinaryAccessor(parentCounts); parentCounts = binaryAccessor.createArrayBufferView( binaryBody.buffer, binaryBody.byteOffset + parentCounts.byteOffset, - instancesLength + instancesLength, ); byteLength += parentCounts.byteLength; } @@ -117,14 +117,14 @@ function initialize(hierarchy, hierarchyJson, binaryBody) { if (defined(parentIds) && defined(parentIds.byteOffset)) { parentIds.componentType = defaultValue( parentIds.componentType, - ComponentDatatype.UNSIGNED_SHORT + ComponentDatatype.UNSIGNED_SHORT, ); parentIds.type = AttributeType.SCALAR; binaryAccessor = getBinaryAccessor(parentIds); parentIds = binaryAccessor.createArrayBufferView( binaryBody.buffer, binaryBody.byteOffset + parentIds.byteOffset, - parentIdsLength + parentIdsLength, ); byteLength += parentIds.byteLength; @@ -137,7 +137,7 @@ function initialize(hierarchy, hierarchyJson, binaryBody) { const binaryProperties = getBinaryProperties( classInstancesLength, properties, - binaryBody + binaryBody, ); byteLength += countBinaryPropertyMemory(binaryProperties); classes[i].instances = combine(binaryProperties, properties); @@ -179,7 +179,7 @@ function getBinaryProperties(featuresLength, properties, binaryBody) { } if (!defined(binaryBody)) { throw new RuntimeError( - `Property ${name} requires a batch table binary.` + `Property ${name} requires a batch table binary.`, ); } @@ -189,7 +189,7 @@ function getBinaryProperties(featuresLength, properties, binaryBody) { const typedArray = binaryAccessor.createArrayBufferView( binaryBody.buffer, binaryBody.byteOffset + byteOffset, - featuresLength + featuresLength, ); if (!defined(binaryProperties)) { @@ -247,12 +247,12 @@ function validateInstance(hierarchy, instanceIndex, stack) { if (instanceIndex >= instancesLength) { throw new DeveloperError( - `Parent index ${instanceIndex} exceeds the total number of instances: ${instancesLength}` + `Parent index ${instanceIndex} exceeds the total number of instances: ${instancesLength}`, ); } if (stack.indexOf(instanceIndex) > -1) { throw new DeveloperError( - "Circular dependency detected in the batch table hierarchy." + "Circular dependency detected in the batch table hierarchy.", ); } @@ -279,7 +279,7 @@ let marker = 0; function traverseHierarchyMultipleParents( hierarchy, instanceIndex, - endConditionCallback + endConditionCallback, ) { const classIds = hierarchy._classIds; const parentCounts = hierarchy._parentCounts; @@ -326,7 +326,7 @@ function traverseHierarchyMultipleParents( function traverseHierarchySingleParent( hierarchy, instanceIndex, - endConditionCallback + endConditionCallback, ) { let hasParent = true; while (hasParent) { @@ -352,13 +352,13 @@ function traverseHierarchy(hierarchy, instanceIndex, endConditionCallback) { return traverseHierarchyMultipleParents( hierarchy, instanceIndex, - endConditionCallback + endConditionCallback, ); } return traverseHierarchySingleParent( hierarchy, instanceIndex, - endConditionCallback + endConditionCallback, ); } @@ -371,16 +371,17 @@ function traverseHierarchy(hierarchy, instanceIndex, endConditionCallback) { * @private */ BatchTableHierarchy.prototype.hasProperty = function (batchId, propertyId) { - const result = traverseHierarchy(this, batchId, function ( - hierarchy, - instanceIndex - ) { - const classId = hierarchy._classIds[instanceIndex]; - const instances = hierarchy._classes[classId].instances; - if (defined(instances[propertyId])) { - return true; - } - }); + const result = traverseHierarchy( + this, + batchId, + function (hierarchy, instanceIndex) { + const classId = hierarchy._classIds[instanceIndex]; + const instances = hierarchy._classes[classId].instances; + if (defined(instances[propertyId])) { + return true; + } + }, + ); return defined(result); }; @@ -478,32 +479,33 @@ function getBinaryProperty(binaryProperty, index) { BatchTableHierarchy.prototype.setProperty = function ( batchId, propertyId, - value + value, ) { - const result = traverseHierarchy(this, batchId, function ( - hierarchy, - instanceIndex - ) { - const classId = hierarchy._classIds[instanceIndex]; - const instanceClass = hierarchy._classes[classId]; - const indexInClass = hierarchy._classIndexes[instanceIndex]; - const propertyValues = instanceClass.instances[propertyId]; - if (defined(propertyValues)) { - //>>includeStart('debug', pragmas.debug); - if (instanceIndex !== batchId) { - throw new DeveloperError( - `Inherited property "${propertyId}" is read-only.` - ); - } - //>>includeEnd('debug'); - if (defined(propertyValues.typedArray)) { - setBinaryProperty(propertyValues, indexInClass, value); - } else { - propertyValues[indexInClass] = clone(value, true); + const result = traverseHierarchy( + this, + batchId, + function (hierarchy, instanceIndex) { + const classId = hierarchy._classIds[instanceIndex]; + const instanceClass = hierarchy._classes[classId]; + const indexInClass = hierarchy._classIndexes[instanceIndex]; + const propertyValues = instanceClass.instances[propertyId]; + if (defined(propertyValues)) { + //>>includeStart('debug', pragmas.debug); + if (instanceIndex !== batchId) { + throw new DeveloperError( + `Inherited property "${propertyId}" is read-only.`, + ); + } + //>>includeEnd('debug'); + if (defined(propertyValues.typedArray)) { + setBinaryProperty(propertyValues, indexInClass, value); + } else { + propertyValues[indexInClass] = clone(value, true); + } + return true; } - return true; - } - }); + }, + ); return defined(result); }; @@ -528,16 +530,17 @@ function setBinaryProperty(binaryProperty, index, value) { BatchTableHierarchy.prototype.isClass = function (batchId, className) { // PERFORMANCE_IDEA : cache results in the ancestor classes to speed up this check if this area becomes a hotspot // PERFORMANCE_IDEA : treat class names as integers for faster comparisons - const result = traverseHierarchy(this, batchId, function ( - hierarchy, - instanceIndex - ) { - const classId = hierarchy._classIds[instanceIndex]; - const instanceClass = hierarchy._classes[classId]; - if (instanceClass.name === className) { - return true; - } - }); + const result = traverseHierarchy( + this, + batchId, + function (hierarchy, instanceIndex) { + const classId = hierarchy._classIds[instanceIndex]; + const instanceClass = hierarchy._classes[classId]; + if (instanceClass.name === className) { + return true; + } + }, + ); return defined(result); }; diff --git a/packages/engine/Source/Scene/BatchTexture.js b/packages/engine/Source/Scene/BatchTexture.js index 7b43f8cee30d..8cc3484b95b8 100644 --- a/packages/engine/Source/Scene/BatchTexture.js +++ b/packages/engine/Source/Scene/BatchTexture.js @@ -220,7 +220,7 @@ function checkBatchId(batchId, featuresLength) { if (!defined(batchId) || batchId < 0 || batchId >= featuresLength) { throw new DeveloperError( `batchId is required and between zero and featuresLength - 1 (${featuresLength}` - - +")." + +").", ); } } @@ -413,7 +413,7 @@ BatchTexture.prototype.getColor = function (batchId, result) { batchValues[offset + 1], batchValues[offset + 2], showAlphaProperties[propertyOffset + 1], - result + result, ); }; diff --git a/packages/engine/Source/Scene/Billboard.js b/packages/engine/Source/Scene/Billboard.js index 13b1148cc920..d06129800960 100644 --- a/packages/engine/Source/Scene/Billboard.js +++ b/packages/engine/Source/Scene/Billboard.js @@ -101,7 +101,7 @@ function Billboard(options, billboardCollection) { options.disableDepthTestDistance < 0.0 ) { throw new DeveloperError( - "disableDepthTestDistance must be greater than or equal to 0.0." + "disableDepthTestDistance must be greater than or equal to 0.0.", ); } //>>includeEnd('debug'); @@ -114,7 +114,7 @@ function Billboard(options, billboardCollection) { //>>includeStart('debug', pragmas.debug); if (translucencyByDistance.far <= translucencyByDistance.near) { throw new DeveloperError( - "translucencyByDistance.far must be greater than translucencyByDistance.near." + "translucencyByDistance.far must be greater than translucencyByDistance.near.", ); } //>>includeEnd('debug'); @@ -124,19 +124,19 @@ function Billboard(options, billboardCollection) { //>>includeStart('debug', pragmas.debug); if (pixelOffsetScaleByDistance.far <= pixelOffsetScaleByDistance.near) { throw new DeveloperError( - "pixelOffsetScaleByDistance.far must be greater than pixelOffsetScaleByDistance.near." + "pixelOffsetScaleByDistance.far must be greater than pixelOffsetScaleByDistance.near.", ); } //>>includeEnd('debug'); pixelOffsetScaleByDistance = NearFarScalar.clone( - pixelOffsetScaleByDistance + pixelOffsetScaleByDistance, ); } if (defined(scaleByDistance)) { //>>includeStart('debug', pragmas.debug); if (scaleByDistance.far <= scaleByDistance.near) { throw new DeveloperError( - "scaleByDistance.far must be greater than scaleByDistance.near." + "scaleByDistance.far must be greater than scaleByDistance.near.", ); } //>>includeEnd('debug'); @@ -146,44 +146,44 @@ function Billboard(options, billboardCollection) { //>>includeStart('debug', pragmas.debug); if (distanceDisplayCondition.far <= distanceDisplayCondition.near) { throw new DeveloperError( - "distanceDisplayCondition.far must be greater than distanceDisplayCondition.near." + "distanceDisplayCondition.far must be greater than distanceDisplayCondition.near.", ); } //>>includeEnd('debug'); distanceDisplayCondition = DistanceDisplayCondition.clone( - distanceDisplayCondition + distanceDisplayCondition, ); } this._show = defaultValue(options.show, true); this._position = Cartesian3.clone( - defaultValue(options.position, Cartesian3.ZERO) + defaultValue(options.position, Cartesian3.ZERO), ); this._actualPosition = Cartesian3.clone(this._position); // For columbus view and 2D this._pixelOffset = Cartesian2.clone( - defaultValue(options.pixelOffset, Cartesian2.ZERO) + defaultValue(options.pixelOffset, Cartesian2.ZERO), ); this._translate = new Cartesian2(0.0, 0.0); // used by labels for glyph vertex translation this._eyeOffset = Cartesian3.clone( - defaultValue(options.eyeOffset, Cartesian3.ZERO) + defaultValue(options.eyeOffset, Cartesian3.ZERO), ); this._heightReference = defaultValue( options.heightReference, - HeightReference.NONE + HeightReference.NONE, ); this._verticalOrigin = defaultValue( options.verticalOrigin, - VerticalOrigin.CENTER + VerticalOrigin.CENTER, ); this._horizontalOrigin = defaultValue( options.horizontalOrigin, - HorizontalOrigin.CENTER + HorizontalOrigin.CENTER, ); this._scale = defaultValue(options.scale, 1.0); this._color = Color.clone(defaultValue(options.color, Color.WHITE)); this._rotation = defaultValue(options.rotation, 0.0); this._alignedAxis = Cartesian3.clone( - defaultValue(options.alignedAxis, Cartesian3.ZERO) + defaultValue(options.alignedAxis, Cartesian3.ZERO), ); this._width = options.width; this._height = options.height; @@ -247,7 +247,7 @@ function Billboard(options, billboardCollection) { this._clusterShow = true; this._outlineColor = Color.clone( - defaultValue(options.outlineColor, Color.BLACK) + defaultValue(options.outlineColor, Color.BLACK), ); this._outlineWidth = defaultValue(options.outlineWidth, 0.0); @@ -255,7 +255,7 @@ function Billboard(options, billboardCollection) { this._splitDirection = defaultValue( options.splitDirection, - SplitDirection.NONE + SplitDirection.NONE, ); } @@ -271,8 +271,10 @@ const COLOR_INDEX = (Billboard.COLOR_INDEX = 8); const ROTATION_INDEX = (Billboard.ROTATION_INDEX = 9); const ALIGNED_AXIS_INDEX = (Billboard.ALIGNED_AXIS_INDEX = 10); const SCALE_BY_DISTANCE_INDEX = (Billboard.SCALE_BY_DISTANCE_INDEX = 11); -const TRANSLUCENCY_BY_DISTANCE_INDEX = (Billboard.TRANSLUCENCY_BY_DISTANCE_INDEX = 12); -const PIXEL_OFFSET_SCALE_BY_DISTANCE_INDEX = (Billboard.PIXEL_OFFSET_SCALE_BY_DISTANCE_INDEX = 13); +const TRANSLUCENCY_BY_DISTANCE_INDEX = + (Billboard.TRANSLUCENCY_BY_DISTANCE_INDEX = 12); +const PIXEL_OFFSET_SCALE_BY_DISTANCE_INDEX = + (Billboard.PIXEL_OFFSET_SCALE_BY_DISTANCE_INDEX = 13); const DISTANCE_DISPLAY_CONDITION = (Billboard.DISTANCE_DISPLAY_CONDITION = 14); const DISABLE_DEPTH_DISTANCE = (Billboard.DISABLE_DEPTH_DISTANCE = 15); Billboard.TEXTURE_COORDINATE_BOUNDS = 16; @@ -425,7 +427,7 @@ Object.defineProperties(Billboard.prototype, { Check.typeOf.object("value", value); if (value.far <= value.near) { throw new DeveloperError( - "far distance must be greater than near distance." + "far distance must be greater than near distance.", ); } } @@ -471,7 +473,7 @@ Object.defineProperties(Billboard.prototype, { Check.typeOf.object("value", value); if (value.far <= value.near) { throw new DeveloperError( - "far distance must be greater than near distance." + "far distance must be greater than near distance.", ); } } @@ -481,7 +483,7 @@ Object.defineProperties(Billboard.prototype, { if (!NearFarScalar.equals(translucencyByDistance, value)) { this._translucencyByDistance = NearFarScalar.clone( value, - translucencyByDistance + translucencyByDistance, ); makeDirty(this, TRANSLUCENCY_BY_DISTANCE_INDEX); } @@ -521,7 +523,7 @@ Object.defineProperties(Billboard.prototype, { Check.typeOf.object("value", value); if (value.far <= value.near) { throw new DeveloperError( - "far distance must be greater than near distance." + "far distance must be greater than near distance.", ); } } @@ -531,7 +533,7 @@ Object.defineProperties(Billboard.prototype, { if (!NearFarScalar.equals(pixelOffsetScaleByDistance, value)) { this._pixelOffsetScaleByDistance = NearFarScalar.clone( value, - pixelOffsetScaleByDistance + pixelOffsetScaleByDistance, ); makeDirty(this, PIXEL_OFFSET_SCALE_BY_DISTANCE_INDEX); } @@ -855,14 +857,14 @@ Object.defineProperties(Billboard.prototype, { Check.typeOf.object("value", value); if (value.far <= value.near) { throw new DeveloperError( - "far distance must be greater than near distance." + "far distance must be greater than near distance.", ); } } //>>includeEnd('debug'); this._distanceDisplayCondition = DistanceDisplayCondition.clone( value, - this._distanceDisplayCondition + this._distanceDisplayCondition, ); makeDirty(this, DISTANCE_DISPLAY_CONDITION); } @@ -885,7 +887,7 @@ Object.defineProperties(Billboard.prototype, { Check.typeOf.number("value", value); if (value < 0.0) { throw new DeveloperError( - "disableDepthTestDistance must be greater than or equal to 0.0." + "disableDepthTestDistance must be greater than or equal to 0.0.", ); } } @@ -1014,7 +1016,7 @@ Object.defineProperties(Billboard.prototype, { set: function (value) { this._actualClampedPosition = Cartesian3.clone( value, - this._actualClampedPosition + this._actualClampedPosition, ); makeDirty(this, POSITION_INDEX); }, @@ -1123,7 +1125,7 @@ Billboard._updateClamping = function (collection, owner) { //>>includeStart('debug', pragmas.debug); if (owner._heightReference !== HeightReference.NONE) { throw new DeveloperError( - "Height reference is not supported without a scene." + "Height reference is not supported without a scene.", ); } //>>includeEnd('debug'); @@ -1166,7 +1168,7 @@ Billboard._updateClamping = function (collection, owner) { function updateFunction(clampedPosition) { const updatedClampedPosition = ellipsoid.cartographicToCartesian( clampedPosition, - owner._clampedPosition + owner._clampedPosition, ); if (isHeightReferenceRelative(owner._heightReference)) { @@ -1174,7 +1176,7 @@ Billboard._updateClamping = function (collection, owner) { clampedPosition.height += position.height; ellipsoid.cartographicToCartesian( clampedPosition, - updatedClampedPosition + updatedClampedPosition, ); } else { updatedClampedPosition.x += position.height; @@ -1187,7 +1189,7 @@ Billboard._updateClamping = function (collection, owner) { owner._removeCallbackFunc = scene.updateHeight( position, updateFunction, - owner._heightReference + owner._heightReference, ); Cartographic.clone(position, scratchCartographic); @@ -1388,7 +1390,7 @@ Billboard._computeActualPosition = function ( billboard, position, frameState, - modelMatrix + modelMatrix, ) { if (defined(billboard._clampedPosition)) { if (frameState.mode !== billboard._mode) { @@ -1402,7 +1404,7 @@ Billboard._computeActualPosition = function ( Matrix4.multiplyByPoint(modelMatrix, position, tempCartesian3); return SceneTransforms.computeActualEllipsoidPosition( frameState, - tempCartesian3 + tempCartesian3, ); }; @@ -1415,13 +1417,13 @@ Billboard._computeScreenSpacePosition = function ( eyeOffset, pixelOffset, scene, - result + result, ) { // Model to world coordinates const positionWorld = Matrix4.multiplyByPoint( modelMatrix, position, - scratchCartesian3 + scratchCartesian3, ); // World to window coordinates @@ -1429,7 +1431,7 @@ Billboard._computeScreenSpacePosition = function ( scene, positionWorld, eyeOffset, - result + result, ); if (!defined(positionWC)) { return undefined; @@ -1469,7 +1471,7 @@ Billboard.prototype.computeScreenSpacePosition = function (scene, result) { //>>includeStart('debug', pragmas.debug); if (!defined(billboardCollection)) { throw new DeveloperError( - "Billboard must be in a collection. Was it removed?" + "Billboard must be in a collection. Was it removed?", ); } if (!defined(scene)) { @@ -1501,7 +1503,7 @@ Billboard.prototype.computeScreenSpacePosition = function (scene, result) { this._eyeOffset, scratchPixelOffset, scene, - result + result, ); return windowCoordinates; }; @@ -1518,7 +1520,7 @@ Billboard.prototype.computeScreenSpacePosition = function (scene, result) { Billboard.getScreenSpaceBoundingBox = function ( billboard, screenSpacePosition, - result + result, ) { let width = billboard.width; let height = billboard.height; @@ -1583,15 +1585,15 @@ Billboard.prototype.equals = function (other) { NearFarScalar.equals(this._scaleByDistance, other._scaleByDistance) && NearFarScalar.equals( this._translucencyByDistance, - other._translucencyByDistance + other._translucencyByDistance, ) && NearFarScalar.equals( this._pixelOffsetScaleByDistance, - other._pixelOffsetScaleByDistance + other._pixelOffsetScaleByDistance, ) && DistanceDisplayCondition.equals( this._distanceDisplayCondition, - other._distanceDisplayCondition + other._distanceDisplayCondition, ) && this._disableDepthTestDistance === other._disableDepthTestDistance && this._splitDirection === other._splitDirection) @@ -1601,7 +1603,7 @@ Billboard.prototype.equals = function (other) { Billboard.prototype._destroy = function () { if (defined(this._customData)) { this._billboardCollection._scene.globe._surface.removeTileCustomData( - this._customData + this._customData, ); this._customData = undefined; } diff --git a/packages/engine/Source/Scene/BillboardCollection.js b/packages/engine/Source/Scene/BillboardCollection.js index b4d2ffdf7ab9..54da7f40943d 100644 --- a/packages/engine/Source/Scene/BillboardCollection.js +++ b/packages/engine/Source/Scene/BillboardCollection.js @@ -246,7 +246,7 @@ function BillboardCollection(options) { * @see Transforms.eastNorthUpToFixedFrame */ this.modelMatrix = Matrix4.clone( - defaultValue(options.modelMatrix, Matrix4.IDENTITY) + defaultValue(options.modelMatrix, Matrix4.IDENTITY), ); this._modelMatrix = Matrix4.clone(Matrix4.IDENTITY); @@ -262,7 +262,7 @@ function BillboardCollection(options) { */ this.debugShowBoundingVolume = defaultValue( options.debugShowBoundingVolume, - false + false, ); /** @@ -277,7 +277,7 @@ function BillboardCollection(options) { */ this.debugShowTextureAtlas = defaultValue( options.debugShowTextureAtlas, - false + false, ); /** @@ -290,7 +290,7 @@ function BillboardCollection(options) { */ this.blendOption = defaultValue( options.blendOption, - BlendOption.OPAQUE_AND_TRANSLUCENT + BlendOption.OPAQUE_AND_TRANSLUCENT, ); this._blendOption = undefined; @@ -341,7 +341,7 @@ function BillboardCollection(options) { } } }, - this + this, ); } } @@ -568,7 +568,7 @@ function removeBillboards(billboardCollection) { BillboardCollection.prototype._updateBillboard = function ( billboard, - propertyChanged + propertyChanged, ) { if (!billboard._dirty) { this._billboardsToUpdate[this._billboardsToUpdateIndex++] = billboard; @@ -718,7 +718,7 @@ function createVAF( buffersUsage, instanced, batchTable, - sdf + sdf, ) { const attributes = [ { @@ -838,7 +838,7 @@ function writePositionScaleAndRotation( frameState, textureAtlasCoordinates, vafWriters, - billboard + billboard, ) { let i; const positionHighWriter = @@ -851,7 +851,7 @@ function writePositionScaleAndRotation( BoundingSphere.expand( billboardCollection._baseVolume, position, - billboardCollection._baseVolume + billboardCollection._baseVolume, ); billboardCollection._boundingVolumeDirty = true; } @@ -866,7 +866,7 @@ function writePositionScaleAndRotation( billboardCollection._maxScale = Math.max( billboardCollection._maxScale, - scale + scale, ); const high = writePositionScratch.high; @@ -914,7 +914,7 @@ function writeCompressedAttrib0( frameState, textureAtlasCoordinates, vafWriters, - billboard + billboard, ) { let i; const writer = vafWriters[attributeLocations.compressedAttribute0]; @@ -929,7 +929,7 @@ function writeCompressedAttrib0( billboardCollection._maxPixelOffset = Math.max( billboardCollection._maxPixelOffset, Math.abs(pixelOffsetX + translateX), - Math.abs(-pixelOffsetY + translateY) + Math.abs(-pixelOffsetY + translateY), ); const horizontalOrigin = billboard.horizontalOrigin; @@ -978,7 +978,7 @@ function writeCompressedAttrib0( let compressed0 = Math.floor( - CesiumMath.clamp(pixelOffsetX, -UPPER_BOUND, UPPER_BOUND) + UPPER_BOUND + CesiumMath.clamp(pixelOffsetX, -UPPER_BOUND, UPPER_BOUND) + UPPER_BOUND, ) * LEFT_SHIFT7; compressed0 += (horizontalOrigin + 1.0) * LEFT_SHIFT5; compressed0 += (verticalOrigin + 1.0) * LEFT_SHIFT3; @@ -986,11 +986,11 @@ function writeCompressedAttrib0( let compressed1 = Math.floor( - CesiumMath.clamp(pixelOffsetY, -UPPER_BOUND, UPPER_BOUND) + UPPER_BOUND + CesiumMath.clamp(pixelOffsetY, -UPPER_BOUND, UPPER_BOUND) + UPPER_BOUND, ) * LEFT_SHIFT8; let compressed2 = Math.floor( - CesiumMath.clamp(translateX, -UPPER_BOUND, UPPER_BOUND) + UPPER_BOUND + CesiumMath.clamp(translateX, -UPPER_BOUND, UPPER_BOUND) + UPPER_BOUND, ) * LEFT_SHIFT8; const tempTanslateY = @@ -998,7 +998,7 @@ function writeCompressedAttrib0( RIGHT_SHIFT8; const upperTranslateY = Math.floor(tempTanslateY); const lowerTranslateY = Math.floor( - (tempTanslateY - upperTranslateY) * LEFT_SHIFT8 + (tempTanslateY - upperTranslateY) * LEFT_SHIFT8, ); compressed1 += upperTranslateY; @@ -1006,21 +1006,17 @@ function writeCompressedAttrib0( scratchCartesian2.x = bottomLeftX; scratchCartesian2.y = bottomLeftY; - const compressedTexCoordsLL = AttributeCompression.compressTextureCoordinates( - scratchCartesian2 - ); + const compressedTexCoordsLL = + AttributeCompression.compressTextureCoordinates(scratchCartesian2); scratchCartesian2.x = topRightX; - const compressedTexCoordsLR = AttributeCompression.compressTextureCoordinates( - scratchCartesian2 - ); + const compressedTexCoordsLR = + AttributeCompression.compressTextureCoordinates(scratchCartesian2); scratchCartesian2.y = topRightY; - const compressedTexCoordsUR = AttributeCompression.compressTextureCoordinates( - scratchCartesian2 - ); + const compressedTexCoordsUR = + AttributeCompression.compressTextureCoordinates(scratchCartesian2); scratchCartesian2.x = bottomLeftX; - const compressedTexCoordsUL = AttributeCompression.compressTextureCoordinates( - scratchCartesian2 - ); + const compressedTexCoordsUL = + AttributeCompression.compressTextureCoordinates(scratchCartesian2); if (billboardCollection._instanced) { i = billboard._index; @@ -1032,28 +1028,28 @@ function writeCompressedAttrib0( compressed0 + LOWER_LEFT, compressed1, compressed2, - compressedTexCoordsLL + compressedTexCoordsLL, ); writer( i + 1, compressed0 + LOWER_RIGHT, compressed1, compressed2, - compressedTexCoordsLR + compressedTexCoordsLR, ); writer( i + 2, compressed0 + UPPER_RIGHT, compressed1, compressed2, - compressedTexCoordsUR + compressedTexCoordsUR, ); writer( i + 3, compressed0 + UPPER_LEFT, compressed1, compressed2, - compressedTexCoordsUL + compressedTexCoordsUL, ); } } @@ -1063,7 +1059,7 @@ function writeCompressedAttrib1( frameState, textureAtlasCoordinates, vafWriters, - billboard + billboard, ) { let i; const writer = vafWriters[attributeLocations.compressedAttribute1]; @@ -1107,11 +1103,11 @@ function writeCompressedAttrib1( const textureWidth = billboardCollection._textureAtlas.texture.width; const imageWidth = Math.round( - defaultValue(billboard.width, textureWidth * width) + defaultValue(billboard.width, textureWidth * width), ); billboardCollection._maxSize = Math.max( billboardCollection._maxSize, - imageWidth + imageWidth, ); let compressed0 = CesiumMath.clamp(imageWidth, 0.0, LEFT_SHIFT16); @@ -1149,7 +1145,7 @@ function writeCompressedAttrib2( frameState, textureAtlasCoordinates, vafWriters, - billboard + billboard, ) { let i; const writer = vafWriters[attributeLocations.compressedAttribute2]; @@ -1183,15 +1179,15 @@ function writeCompressedAttrib2( const dimensions = billboardCollection._textureAtlas.texture.dimensions; const imageHeight = Math.round( - defaultValue(billboard.height, dimensions.y * height) + defaultValue(billboard.height, dimensions.y * height), ); billboardCollection._maxSize = Math.max( billboardCollection._maxSize, - imageHeight + imageHeight, ); let labelHorizontalOrigin = defaultValue( billboard._labelHorizontalOrigin, - -2 + -2, ); labelHorizontalOrigin += 2; const compressed3 = imageHeight * LEFT_SHIFT2 + labelHorizontalOrigin; @@ -1228,7 +1224,7 @@ function writeEyeOffset( frameState, textureAtlasCoordinates, vafWriters, - billboard + billboard, ) { let i; const writer = vafWriters[attributeLocations.eyeOffset]; @@ -1243,7 +1239,7 @@ function writeEyeOffset( billboardCollection._maxEyeOffset, Math.abs(eyeOffset.x), Math.abs(eyeOffset.y), - Math.abs(eyeOffsetZ) + Math.abs(eyeOffsetZ), ); if (billboardCollection._instanced) { @@ -1265,9 +1261,8 @@ function writeEyeOffset( scratchCartesian2.x = width; scratchCartesian2.y = height; - const compressedTexCoordsRange = AttributeCompression.compressTextureCoordinates( - scratchCartesian2 - ); + const compressedTexCoordsRange = + AttributeCompression.compressTextureCoordinates(scratchCartesian2); i = billboard._index; writer(i, eyeOffset.x, eyeOffset.y, eyeOffsetZ, compressedTexCoordsRange); @@ -1285,7 +1280,7 @@ function writeScaleByDistance( frameState, textureAtlasCoordinates, vafWriters, - billboard + billboard, ) { let i; const writer = vafWriters[attributeLocations.scaleByDistance]; @@ -1325,7 +1320,7 @@ function writePixelOffsetScaleByDistance( frameState, textureAtlasCoordinates, vafWriters, - billboard + billboard, ) { let i; const writer = vafWriters[attributeLocations.pixelOffsetScaleByDistance]; @@ -1365,7 +1360,7 @@ function writeCompressedAttribute3( frameState, textureAtlasCoordinates, vafWriters, - billboard + billboard, ) { let i; const writer = vafWriters[attributeLocations.compressedAttribute3]; @@ -1422,13 +1417,13 @@ function writeCompressedAttribute3( imageHeight = Math.round( defaultValue( billboard.height, - billboardCollection._textureAtlas.texture.dimensions.y * height - ) + billboardCollection._textureAtlas.texture.dimensions.y * height, + ), ); const textureWidth = billboardCollection._textureAtlas.texture.width; imageWidth = Math.round( - defaultValue(billboard.width, textureWidth * width) + defaultValue(billboard.width, textureWidth * width), ); } else { imageWidth = billboard._labelDimensions.x; @@ -1456,7 +1451,7 @@ function writeTextureCoordinateBoundsOrLabelTranslate( frameState, textureAtlasCoordinates, vafWriters, - billboard + billboard, ) { if (isHeightReferenceClamp(billboard.heightReference)) { const scene = billboardCollection._scene; @@ -1534,7 +1529,7 @@ function writeBatchId( frameState, textureAtlasCoordinates, vafWriters, - billboard + billboard, ) { if (!defined(billboardCollection._batchTable)) { return; @@ -1561,7 +1556,7 @@ function writeSDF( frameState, textureAtlasCoordinates, vafWriters, - billboard + billboard, ) { if (!billboardCollection._sdf) { return; @@ -1601,7 +1596,7 @@ function writeSplitDirection( frameState, textureAtlasCoordinates, vafWriters, - billboard + billboard, ) { const writer = vafWriters[attributeLocations.splitDirection]; let direction = 0.0; @@ -1629,91 +1624,91 @@ function writeBillboard( frameState, textureAtlasCoordinates, vafWriters, - billboard + billboard, ) { writePositionScaleAndRotation( billboardCollection, frameState, textureAtlasCoordinates, vafWriters, - billboard + billboard, ); writeCompressedAttrib0( billboardCollection, frameState, textureAtlasCoordinates, vafWriters, - billboard + billboard, ); writeCompressedAttrib1( billboardCollection, frameState, textureAtlasCoordinates, vafWriters, - billboard + billboard, ); writeCompressedAttrib2( billboardCollection, frameState, textureAtlasCoordinates, vafWriters, - billboard + billboard, ); writeEyeOffset( billboardCollection, frameState, textureAtlasCoordinates, vafWriters, - billboard + billboard, ); writeScaleByDistance( billboardCollection, frameState, textureAtlasCoordinates, vafWriters, - billboard + billboard, ); writePixelOffsetScaleByDistance( billboardCollection, frameState, textureAtlasCoordinates, vafWriters, - billboard + billboard, ); writeCompressedAttribute3( billboardCollection, frameState, textureAtlasCoordinates, vafWriters, - billboard + billboard, ); writeTextureCoordinateBoundsOrLabelTranslate( billboardCollection, frameState, textureAtlasCoordinates, vafWriters, - billboard + billboard, ); writeBatchId( billboardCollection, frameState, textureAtlasCoordinates, vafWriters, - billboard + billboard, ); writeSDF( billboardCollection, frameState, textureAtlasCoordinates, vafWriters, - billboard + billboard, ); writeSplitDirection( billboardCollection, frameState, textureAtlasCoordinates, vafWriters, - billboard + billboard, ); } @@ -1723,7 +1718,7 @@ function recomputeActualPositions( length, frameState, modelMatrix, - recomputeBoundingVolume + recomputeBoundingVolume, ) { let boundingVolume; if (frameState.mode === SceneMode.SCENE3D) { @@ -1741,7 +1736,7 @@ function recomputeActualPositions( billboard, position, frameState, - modelMatrix + modelMatrix, ); if (defined(actualPosition)) { billboard._setActualPosition(actualPosition); @@ -1787,7 +1782,7 @@ function updateMode(billboardCollection, frameState) { billboards.length, frameState, modelMatrix, - true + true, ); } } else if (mode === SceneMode.MORPHING) { @@ -1797,7 +1792,7 @@ function updateMode(billboardCollection, frameState) { billboards.length, frameState, modelMatrix, - true + true, ); } else if (mode === SceneMode.SCENE2D || mode === SceneMode.COLUMBUS_VIEW) { recomputeActualPositions( @@ -1806,7 +1801,7 @@ function updateMode(billboardCollection, frameState) { billboardCollection._billboardsToUpdateIndex, frameState, modelMatrix, - false + false, ); } } @@ -1817,7 +1812,7 @@ function updateBoundingVolume(collection, frameState, boundingVolume) { pixelScale = frameState.camera.getPixelSize( boundingVolume, frameState.context.drawingBufferWidth, - frameState.context.drawingBufferHeight + frameState.context.drawingBufferHeight, ); } @@ -1936,7 +1931,7 @@ BillboardCollection.prototype.update = function (frameState) { this._buffersUsage, this._instanced, this._batchTable, - this._sdf + this._sdf, ); vafWriters = this._vaf.writers; @@ -1949,7 +1944,7 @@ BillboardCollection.prototype.update = function (frameState) { frameState, textureAtlasCoordinates, vafWriters, - billboard + billboard, ); } @@ -2084,7 +2079,7 @@ BillboardCollection.prototype.update = function (frameState) { BoundingSphere.transform( this._baseVolume, this.modelMatrix, - this._baseVolumeWC + this._baseVolumeWC, ); } @@ -2094,12 +2089,12 @@ BillboardCollection.prototype.update = function (frameState) { modelMatrix = this.modelMatrix; boundingVolume = BoundingSphere.clone( this._baseVolumeWC, - this._boundingVolume + this._boundingVolume, ); } else { boundingVolume = BoundingSphere.clone( this._baseVolume2D, - this._boundingVolume + this._boundingVolume, ); } updateBoundingVolume(this, frameState, boundingVolume); @@ -2187,11 +2182,11 @@ BillboardCollection.prototype.update = function (frameState) { vsSource = this._batchTable.getVertexShaderCallback( false, "a_batchId", - undefined + undefined, )(vsSource); fsSource = this._batchTable.getFragmentShaderCallback( false, - undefined + undefined, )(fsSource); } @@ -2342,9 +2337,12 @@ BillboardCollection.prototype.update = function (frameState) { this._compiledShaderRotation = this._shaderRotation; this._compiledShaderAlignedAxis = this._shaderAlignedAxis; this._compiledShaderScaleByDistance = this._shaderScaleByDistance; - this._compiledShaderTranslucencyByDistance = this._shaderTranslucencyByDistance; - this._compiledShaderPixelOffsetScaleByDistance = this._shaderPixelOffsetScaleByDistance; - this._compiledShaderDistanceDisplayCondition = this._shaderDistanceDisplayCondition; + this._compiledShaderTranslucencyByDistance = + this._shaderTranslucencyByDistance; + this._compiledShaderPixelOffsetScaleByDistance = + this._shaderPixelOffsetScaleByDistance; + this._compiledShaderDistanceDisplayCondition = + this._shaderDistanceDisplayCondition; this._compiledShaderDisableDepthDistance = this._shaderDisableDepthDistance; this._compiledShaderClampToGround = this._shaderClampToGround; this._compiledSDF = this._sdf; diff --git a/packages/engine/Source/Scene/BingMapsImageryProvider.js b/packages/engine/Source/Scene/BingMapsImageryProvider.js index 8024cea285de..26d600e1bc1b 100644 --- a/packages/engine/Source/Scene/BingMapsImageryProvider.js +++ b/packages/engine/Source/Scene/BingMapsImageryProvider.js @@ -101,7 +101,7 @@ ImageryProviderBuilder.prototype.build = function (provider) { CesiumMath.toRadians(bbox[1]), CesiumMath.toRadians(bbox[0]), CesiumMath.toRadians(bbox[3]), - CesiumMath.toRadians(bbox[2]) + CesiumMath.toRadians(bbox[2]), ); } } @@ -110,7 +110,7 @@ ImageryProviderBuilder.prototype.build = function (provider) { function metadataSuccess(data, imageryProviderBuilder) { if (data.resourceSets.length !== 1) { throw new RuntimeError( - "metadata does not specify one resource in resourceSets" + "metadata does not specify one resource in resourceSets", ); } @@ -126,7 +126,7 @@ function metadataSuccess(data, imageryProviderBuilder) { // prevent issues with the imagery API from crashing the viewer when the expected properties are not there // See https://github.com/CesiumGS/cesium/issues/12088 validProviders = resource.imageryProviders.filter((provider) => - provider.coverageAreas?.some((area) => defined(area.bbox)) + provider.coverageAreas?.some((area) => defined(area.bbox)), ); } imageryProviderBuilder.attributionList = validProviders; @@ -146,7 +146,7 @@ function metadataFailure(metadataResource, error, provider) { undefined, undefined, undefined, - error + error, ); throw new RuntimeError(message); @@ -155,7 +155,7 @@ function metadataFailure(metadataResource, error, provider) { async function requestMetadata( metadataResource, imageryProviderBuilder, - provider + provider, ) { const cacheKey = metadataResource.url; let promise = BingMapsImageryProvider._metadataCache[cacheKey]; @@ -230,7 +230,7 @@ function BingMapsImageryProvider(options) { this._proxy = options.proxy; this._credit = new Credit( - `` + ``, ); this._tilingScheme = new WebMercatorTilingScheme({ @@ -541,12 +541,12 @@ BingMapsImageryProvider.prototype.getTileCredits = function (x, y, level) { x, y, level, - rectangleScratch + rectangleScratch, ); const result = getRectangleAttribution( this._attributionList, level, - rectangle + rectangle, ); return result; @@ -566,11 +566,11 @@ BingMapsImageryProvider.prototype.requestImage = function ( x, y, level, - request + request, ) { const promise = ImageryProvider.loadImage( this, - buildImageResource(this, x, y, level, request) + buildImageResource(this, x, y, level, request), ); if (defined(promise)) { @@ -604,7 +604,7 @@ BingMapsImageryProvider.prototype.pickFeatures = function ( y, level, longitude, - latitude + latitude, ) { return undefined; }; @@ -683,7 +683,7 @@ Object.defineProperties(BingMapsImageryProvider, { get: function () { if (!defined(BingMapsImageryProvider._logoUrl)) { BingMapsImageryProvider._logoUrl = buildModuleUrl( - "Assets/Images/bing_maps_credit.png" + "Assets/Images/bing_maps_credit.png", ); } return BingMapsImageryProvider._logoUrl; @@ -748,7 +748,7 @@ function getRectangleAttribution(attributionList, level, rectangle) { const intersection = Rectangle.intersection( rectangle, area.bbox, - intersectionScratch + intersectionScratch, ); if (defined(intersection)) { included = true; diff --git a/packages/engine/Source/Scene/BoundingVolumeSemantics.js b/packages/engine/Source/Scene/BoundingVolumeSemantics.js index a9adb87b3fd0..8bfb24ed38b6 100644 --- a/packages/engine/Source/Scene/BoundingVolumeSemantics.js +++ b/packages/engine/Source/Scene/BoundingVolumeSemantics.js @@ -29,7 +29,7 @@ const BoundingVolumeSemantics = {}; * @experimental This feature is using part of the 3D Tiles spec that is not final and is subject to change without Cesium's standard deprecation policy. */ BoundingVolumeSemantics.parseAllBoundingVolumeSemantics = function ( - tileMetadata + tileMetadata, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.object("tileMetadata", tileMetadata); @@ -39,29 +39,29 @@ BoundingVolumeSemantics.parseAllBoundingVolumeSemantics = function ( tile: { boundingVolume: BoundingVolumeSemantics.parseBoundingVolumeSemantic( "TILE", - tileMetadata + tileMetadata, ), minimumHeight: BoundingVolumeSemantics._parseMinimumHeight( "TILE", - tileMetadata + tileMetadata, ), maximumHeight: BoundingVolumeSemantics._parseMaximumHeight( "TILE", - tileMetadata + tileMetadata, ), }, content: { boundingVolume: BoundingVolumeSemantics.parseBoundingVolumeSemantic( "CONTENT", - tileMetadata + tileMetadata, ), minimumHeight: BoundingVolumeSemantics._parseMinimumHeight( "CONTENT", - tileMetadata + tileMetadata, ), maximumHeight: BoundingVolumeSemantics._parseMaximumHeight( "CONTENT", - tileMetadata + tileMetadata, ), }, }; @@ -83,7 +83,7 @@ BoundingVolumeSemantics.parseAllBoundingVolumeSemantics = function ( */ BoundingVolumeSemantics.parseBoundingVolumeSemantic = function ( prefix, - tileMetadata + tileMetadata, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.string("prefix", prefix); @@ -104,7 +104,7 @@ BoundingVolumeSemantics.parseBoundingVolumeSemantic = function ( const boundingRegionSemantic = `${prefix}_BOUNDING_REGION`; const boundingRegion = tileMetadata.getPropertyBySemantic( - boundingRegionSemantic + boundingRegionSemantic, ); if (defined(boundingRegion)) { @@ -115,7 +115,7 @@ BoundingVolumeSemantics.parseBoundingVolumeSemantic = function ( const boundingSphereSemantic = `${prefix}_BOUNDING_SPHERE`; const boundingSphere = tileMetadata.getPropertyBySemantic( - boundingSphereSemantic + boundingSphereSemantic, ); if (defined(boundingSphere)) { diff --git a/packages/engine/Source/Scene/BoxEmitter.js b/packages/engine/Source/Scene/BoxEmitter.js index 89eb3401a5a2..15e0eaf293d4 100644 --- a/packages/engine/Source/Scene/BoxEmitter.js +++ b/packages/engine/Source/Scene/BoxEmitter.js @@ -69,7 +69,7 @@ BoxEmitter.prototype.emit = function (particle) { particle.position = Cartesian3.fromElements(x, y, z, particle.position); particle.velocity = Cartesian3.normalize( particle.position, - particle.velocity + particle.velocity, ); }; export default BoxEmitter; diff --git a/packages/engine/Source/Scene/BufferLoader.js b/packages/engine/Source/Scene/BufferLoader.js index fb5f572abb9c..bc4075c8f827 100644 --- a/packages/engine/Source/Scene/BufferLoader.js +++ b/packages/engine/Source/Scene/BufferLoader.js @@ -32,7 +32,7 @@ function BufferLoader(options) { //>>includeStart('debug', pragmas.debug); if (defined(typedArray) === defined(resource)) { throw new DeveloperError( - "One of options.typedArray and options.resource must be defined." + "One of options.typedArray and options.resource must be defined.", ); } //>>includeEnd('debug'); diff --git a/packages/engine/Source/Scene/Camera.js b/packages/engine/Source/Scene/Camera.js index 6ed0e6a6cab2..f24e257cef63 100644 --- a/packages/engine/Source/Scene/Camera.js +++ b/packages/engine/Source/Scene/Camera.js @@ -235,7 +235,7 @@ function Camera(scene) { const projection = scene.mapProjection; this._projection = projection; this._maxCoord = projection.project( - new Cartographic(Math.PI, CesiumMath.PI_OVER_TWO) + new Cartographic(Math.PI, CesiumMath.PI_OVER_TWO), ); this._max2Dfrustum = undefined; @@ -244,7 +244,7 @@ function Camera(scene) { this, Camera.DEFAULT_VIEW_RECTANGLE, this.position, - true + true, ); let mag = Cartesian3.magnitude(this.position); @@ -272,7 +272,7 @@ Camera.TRANSFORM_2D = new Matrix4( 0.0, 0.0, 0.0, - 1.0 + 1.0, ); /** @@ -280,7 +280,7 @@ Camera.TRANSFORM_2D = new Matrix4( */ Camera.TRANSFORM_2D_INVERSE = Matrix4.inverseTransformation( Camera.TRANSFORM_2D, - new Matrix4() + new Matrix4(), ); /** @@ -291,7 +291,7 @@ Camera.DEFAULT_VIEW_RECTANGLE = Rectangle.fromDegrees( -95.0, -20.0, -70.0, - 90.0 + 90.0, ); /** @@ -309,7 +309,7 @@ Camera.DEFAULT_VIEW_FACTOR = 0.5; Camera.DEFAULT_OFFSET = new HeadingPitchRange( 0.0, -CesiumMath.PI_OVER_FOUR, - 0.0 + 0.0, ); function updateViewMatrix(camera) { @@ -318,12 +318,12 @@ function updateViewMatrix(camera) { camera._direction, camera._up, camera._right, - camera._viewMatrix + camera._viewMatrix, ); Matrix4.multiply( camera._viewMatrix, camera._actualInvTransform, - camera._viewMatrix + camera._viewMatrix, ); Matrix4.inverseTransformation(camera._viewMatrix, camera._invViewMatrix); } @@ -332,19 +332,19 @@ function updateCameraDeltas(camera) { if (!defined(camera._oldPositionWC)) { camera._oldPositionWC = Cartesian3.clone( camera.positionWC, - camera._oldPositionWC + camera._oldPositionWC, ); } else { camera.positionWCDeltaMagnitudeLastFrame = camera.positionWCDeltaMagnitude; const delta = Cartesian3.subtract( camera.positionWC, camera._oldPositionWC, - camera._oldPositionWC + camera._oldPositionWC, ); camera.positionWCDeltaMagnitude = Cartesian3.magnitude(delta); camera._oldPositionWC = Cartesian3.clone( camera.positionWC, - camera._oldPositionWC + camera._oldPositionWC, ); // Update move timers @@ -427,14 +427,14 @@ Camera.prototype._updateCameraChanged = function () { headingChangedPercentage > percentageChanged ) { camera._changed.raiseEvent( - Math.max(rollChangedPercentage, headingChangedPercentage) + Math.max(rollChangedPercentage, headingChangedPercentage), ); } if (camera._mode === SceneMode.SCENE2D) { if (!defined(camera._changedFrustum)) { camera._changedPosition = Cartesian3.clone( camera.position, - camera._changedPosition + camera._changedPosition, ); camera._changedFrustum = camera.frustum.clone(); return; @@ -479,7 +479,7 @@ Camera.prototype._updateCameraChanged = function () { camera._changed.raiseEvent(areaPercentage); camera._changedPosition = Cartesian3.clone( camera.position, - camera._changedPosition + camera._changedPosition, ); camera._changedFrustum = camera.frustum.clone(camera._changedFrustum); } @@ -489,17 +489,17 @@ Camera.prototype._updateCameraChanged = function () { if (!defined(camera._changedDirection)) { camera._changedPosition = Cartesian3.clone( camera.positionWC, - camera._changedPosition + camera._changedPosition, ); camera._changedDirection = Cartesian3.clone( camera.directionWC, - camera._changedDirection + camera._changedDirection, ); return; } const dirAngle = CesiumMath.acosClamped( - Cartesian3.dot(camera.directionWC, camera._changedDirection) + Cartesian3.dot(camera.directionWC, camera._changedDirection), ); let dirPercentage; @@ -511,7 +511,7 @@ Camera.prototype._updateCameraChanged = function () { const distance = Cartesian3.distance( camera.positionWC, - camera._changedPosition + camera._changedPosition, ); const heightPercentage = distance / camera.positionCartographic.height; @@ -522,11 +522,11 @@ Camera.prototype._updateCameraChanged = function () { camera._changed.raiseEvent(Math.max(dirPercentage, heightPercentage)); camera._changedPosition = Cartesian3.clone( camera.positionWC, - camera._changedPosition + camera._changedPosition, ); camera._changedDirection = Cartesian3.clone( camera.directionWC, - camera._changedDirection + camera._changedDirection, ); } }; @@ -535,7 +535,7 @@ function convertTransformForColumbusView(camera) { Transforms.basisTo2D( camera._projection, camera._transform, - camera._actualTransform + camera._actualTransform, ); } @@ -555,16 +555,16 @@ function convertTransformFor2D(camera) { const origin = Matrix4.getColumn( camera._transform, 3, - scratchCartesian4Origin + scratchCartesian4Origin, ); const cartographic = ellipsoid.cartesianToCartographic( origin, - scratchCartographic + scratchCartographic, ); const projectedPosition = projection.project( cartographic, - scratchCartesian3Projection + scratchCartesian3Projection, ); const newOrigin = scratchCartesian4NewOrigin; newOrigin.x = projectedPosition.z; @@ -574,13 +574,13 @@ function convertTransformFor2D(camera) { const newZAxis = Cartesian4.clone( Cartesian4.UNIT_X, - scratchCartesian4NewZAxis + scratchCartesian4NewZAxis, ); const xAxis = Cartesian4.add( Matrix4.getColumn(camera._transform, 0, scratchCartesian3), origin, - scratchCartesian3 + scratchCartesian3, ); ellipsoid.cartesianToCartographic(xAxis, cartographic); @@ -601,7 +601,7 @@ function convertTransformFor2D(camera) { const yAxis = Cartesian4.add( Matrix4.getColumn(camera._transform, 1, scratchCartesian3), origin, - scratchCartesian3 + scratchCartesian3, ); ellipsoid.cartesianToCartographic(yAxis, cartographic); @@ -629,25 +629,25 @@ function convertTransformFor2D(camera) { camera._actualTransform, 0, newXAxis, - camera._actualTransform + camera._actualTransform, ); Matrix4.setColumn( camera._actualTransform, 1, newYAxis, - camera._actualTransform + camera._actualTransform, ); Matrix4.setColumn( camera._actualTransform, 2, newZAxis, - camera._actualTransform + camera._actualTransform, ); Matrix4.setColumn( camera._actualTransform, 3, newOrigin, - camera._actualTransform + camera._actualTransform, ); } @@ -714,7 +714,7 @@ function updateMembers(camera) { Matrix4.inverseTransformation( camera._actualTransform, - camera._actualInvTransform + camera._actualInvTransform, ); camera._modeChanged = false; @@ -726,15 +726,16 @@ function updateMembers(camera) { camera._positionWC = Matrix4.multiplyByPoint( transform, position, - camera._positionWC + camera._positionWC, ); // Compute the Cartographic position of the camera. if (mode === SceneMode.SCENE3D || mode === SceneMode.MORPHING) { - camera._positionCartographic = camera._projection.ellipsoid.cartesianToCartographic( - camera._positionWC, - camera._positionCartographic - ); + camera._positionCartographic = + camera._projection.ellipsoid.cartesianToCartographic( + camera._positionWC, + camera._positionCartographic, + ); } else { // The camera position is expressed in the 2D coordinate system where the Y axis is to the East, // the Z axis is to the North, and the X axis is out of the map. Express them instead in the ENU axes where @@ -757,7 +758,7 @@ function updateMembers(camera) { if (directionChanged || upChanged || rightChanged) { const det = Cartesian3.dot( direction, - Cartesian3.cross(up, right, scratchCartesian) + Cartesian3.cross(up, right, scratchCartesian), ); if (Math.abs(1.0 - det) > CesiumMath.EPSILON2) { //orthonormalize axes @@ -766,11 +767,11 @@ function updateMembers(camera) { const w0 = Cartesian3.multiplyByScalar( direction, scalar, - scratchCartesian + scratchCartesian, ); up = Cartesian3.normalize( Cartesian3.subtract(up, w0, camera._up), - camera._up + camera._up, ); Cartesian3.clone(up, camera.up); @@ -783,7 +784,7 @@ function updateMembers(camera) { camera._directionWC = Matrix4.multiplyByPointAsVector( transform, direction, - camera._directionWC + camera._directionWC, ); Cartesian3.normalize(camera._directionWC, camera._directionWC); } @@ -797,7 +798,7 @@ function updateMembers(camera) { camera._rightWC = Matrix4.multiplyByPointAsVector( transform, right, - camera._rightWC + camera._rightWC, ); Cartesian3.normalize(camera._rightWC, camera._rightWC); } @@ -998,7 +999,7 @@ Object.defineProperties(Camera.prototype, { const transform = Transforms.eastNorthUpToFixedFrame( this.positionWC, ellipsoid, - scratchHPRMatrix2 + scratchHPRMatrix2, ); this._setTransform(transform); @@ -1029,7 +1030,7 @@ Object.defineProperties(Camera.prototype, { const transform = Transforms.eastNorthUpToFixedFrame( this.positionWC, ellipsoid, - scratchHPRMatrix2 + scratchHPRMatrix2, ); this._setTransform(transform); @@ -1060,7 +1061,7 @@ Object.defineProperties(Camera.prototype, { const transform = Transforms.eastNorthUpToFixedFrame( this.positionWC, ellipsoid, - scratchHPRMatrix2 + scratchHPRMatrix2, ); this._setTransform(transform); @@ -1125,7 +1126,7 @@ Camera.prototype.update = function (mode) { !(this.frustum instanceof OrthographicOffCenterFrustum) ) { throw new DeveloperError( - "An OrthographicOffCenterFrustum is required in 2D." + "An OrthographicOffCenterFrustum is required in 2D.", ); } if ( @@ -1134,7 +1135,7 @@ Camera.prototype.update = function (mode) { !(this.frustum instanceof OrthographicFrustum) ) { throw new DeveloperError( - "A PerspectiveFrustum or OrthographicFrustum is required in 3D and Columbus view" + "A PerspectiveFrustum or OrthographicFrustum is required in 3D and Columbus view", ); } //>>includeEnd('debug'); @@ -1152,7 +1153,7 @@ Camera.prototype.update = function (mode) { //>>includeStart('debug', pragmas.debug); if (!(frustum instanceof OrthographicOffCenterFrustum)) { throw new DeveloperError( - "The camera frustum is expected to be orthographic for 2D camera control." + "The camera frustum is expected to be orthographic for 2D camera control.", ); } //>>includeEnd('debug'); @@ -1217,7 +1218,7 @@ function calculateOrthographicFrustumWidth(camera) { ray, scene, true, - scratchRayIntersection + scratchRayIntersection, ); } @@ -1225,7 +1226,7 @@ function calculateOrthographicFrustumWidth(camera) { if (scene.pickPositionSupported) { depthIntersection = scene.pickPositionWorldCoordinates( mousePosition, - scratchDepthIntersection + scratchDepthIntersection, ); } @@ -1271,12 +1272,12 @@ function setView3D(camera, position, hpr) { //>>includeEnd('debug'); const currentTransform = Matrix4.clone( camera.transform, - scratchSetViewTransform1 + scratchSetViewTransform1, ); const localTransform = Transforms.eastNorthUpToFixedFrame( position, camera._projection.ellipsoid, - scratchSetViewTransform2 + scratchSetViewTransform2, ); camera._setTransform(localTransform); @@ -1285,7 +1286,7 @@ function setView3D(camera, position, hpr) { const rotQuat = Quaternion.fromHeadingPitchRoll( hpr, - scratchSetViewQuaternion + scratchSetViewQuaternion, ); const rotMat = Matrix3.fromQuaternion(rotQuat, scratchSetViewMatrix3); @@ -1301,7 +1302,7 @@ function setView3D(camera, position, hpr) { function setViewCV(camera, position, hpr, convert) { const currentTransform = Matrix4.clone( camera.transform, - scratchSetViewTransform1 + scratchSetViewTransform1, ); camera._setTransform(Matrix4.IDENTITY); @@ -1310,7 +1311,7 @@ function setViewCV(camera, position, hpr, convert) { const projection = camera._projection; const cartographic = projection.ellipsoid.cartesianToCartographic( position, - scratchSetViewCartographic + scratchSetViewCartographic, ); position = projection.project(cartographic, scratchSetViewCartesian); } @@ -1320,7 +1321,7 @@ function setViewCV(camera, position, hpr, convert) { const rotQuat = Quaternion.fromHeadingPitchRoll( hpr, - scratchSetViewQuaternion + scratchSetViewQuaternion, ); const rotMat = Matrix3.fromQuaternion(rotQuat, scratchSetViewMatrix3); @@ -1336,7 +1337,7 @@ function setViewCV(camera, position, hpr, convert) { function setView2D(camera, position, hpr, convert) { const currentTransform = Matrix4.clone( camera.transform, - scratchSetViewTransform1 + scratchSetViewTransform1, ); camera._setTransform(Matrix4.IDENTITY); @@ -1345,7 +1346,7 @@ function setView2D(camera, position, hpr, convert) { const projection = camera._projection; const cartographic = projection.ellipsoid.cartesianToCartographic( position, - scratchSetViewCartographic + scratchSetViewCartographic, ); position = projection.project(cartographic, scratchSetViewCartesian); } @@ -1371,7 +1372,7 @@ function setView2D(camera, position, hpr, convert) { hpr.roll = 0.0; const rotQuat = Quaternion.fromHeadingPitchRoll( hpr, - scratchSetViewQuaternion + scratchSetViewQuaternion, ); const rotMat = Matrix3.fromQuaternion(rotQuat, scratchSetViewMatrix3); @@ -1389,7 +1390,7 @@ const scratchToHPRRight = new Cartesian3(); function directionUpToHeadingPitchRoll(camera, position, orientation, result) { const direction = Cartesian3.clone( orientation.direction, - scratchToHPRDirection + scratchToHPRDirection, ); const up = Cartesian3.clone(orientation.up, scratchToHPRUp); @@ -1398,11 +1399,11 @@ function directionUpToHeadingPitchRoll(camera, position, orientation, result) { const transform = Transforms.eastNorthUpToFixedFrame( position, ellipsoid, - scratchHPRMatrix1 + scratchHPRMatrix1, ); const invTransform = Matrix4.inverseTransformation( transform, - scratchHPRMatrix2 + scratchHPRMatrix2, ); Matrix4.multiplyByPointAsVector(invTransform, direction, direction); @@ -1487,7 +1488,7 @@ Camera.prototype.setView = function (options) { options = defaultValue(options, defaultValue.EMPTY_OBJECT); let orientation = defaultValue( options.orientation, - defaultValue.EMPTY_OBJECT + defaultValue.EMPTY_OBJECT, ); const mode = this._mode; @@ -1502,12 +1503,12 @@ Camera.prototype.setView = function (options) { let convert = defaultValue(options.convert, true); let destination = defaultValue( options.destination, - Cartesian3.clone(this.positionWC, scratchSetViewCartesian) + Cartesian3.clone(this.positionWC, scratchSetViewCartesian), ); if (defined(destination) && defined(destination.west)) { destination = this.getRectangleCameraCoordinates( destination, - scratchSetViewCartesian + scratchSetViewCartesian, ); //>>includeStart('debug', pragmas.debug); // destination.z may be null in 2D, but .x and .y should be numeric @@ -1523,7 +1524,7 @@ Camera.prototype.setView = function (options) { this, destination, orientation, - scratchSetViewOptions.orientation + scratchSetViewOptions.orientation, ); } @@ -1563,7 +1564,7 @@ Camera.prototype.flyHome = function (duration) { }); } else if (mode === SceneMode.SCENE3D) { const destination = this.getRectangleCameraCoordinates( - Camera.DEFAULT_VIEW_RECTANGLE + Camera.DEFAULT_VIEW_RECTANGLE, ); let mag = Cartesian3.magnitude(destination); @@ -1582,7 +1583,7 @@ Camera.prototype.flyHome = function (duration) { position = Cartesian3.multiplyByScalar( Cartesian3.normalize(position, position), 5.0 * maxRadii, - position + position, ); this.flyTo({ destination: position, @@ -1661,7 +1662,7 @@ Camera.prototype.worldToCameraCoordinatesVector = function (cartesian, result) { return Matrix4.multiplyByPointAsVector( this._actualInvTransform, cartesian, - result + result, ); }; @@ -1728,7 +1729,7 @@ Camera.prototype.cameraToWorldCoordinatesVector = function (cartesian, result) { return Matrix4.multiplyByPointAsVector( this._actualTransform, cartesian, - result + result, ); }; @@ -1976,7 +1977,7 @@ Camera.prototype.look = function (axis, angle) { const quaternion = Quaternion.fromAxisAngle( axis, -turnAngle, - lookScratchQuaternion + lookScratchQuaternion, ); const rotation = Matrix3.fromQuaternion(quaternion, lookScratchMatrix); @@ -2038,7 +2039,7 @@ Camera.prototype.rotate = function (axis, angle) { const quaternion = Quaternion.fromAxisAngle( axis, -turnAngle, - rotateScratchQuaternion + rotateScratchQuaternion, ); const rotation = Matrix3.fromQuaternion(quaternion, rotateScratchMatrix); Matrix3.multiplyByVector(rotation, this.position, this.position); @@ -2087,24 +2088,24 @@ function rotateVertical(camera, angle) { !Cartesian3.equalsEpsilon( camera.position, Cartesian3.ZERO, - CesiumMath.EPSILON2 + CesiumMath.EPSILON2, ) ) { const p = Cartesian3.normalize(position, rotateVertScratchP); const northParallel = Cartesian3.equalsEpsilon( p, camera.constrainedAxis, - CesiumMath.EPSILON2 + CesiumMath.EPSILON2, ); const southParallel = Cartesian3.equalsEpsilon( p, Cartesian3.negate(camera.constrainedAxis, rotateVertScratchNegate), - CesiumMath.EPSILON2 + CesiumMath.EPSILON2, ); if (!northParallel && !southParallel) { const constrainedAxis = Cartesian3.normalize( camera.constrainedAxis, - rotateVertScratchA + rotateVertScratchA, ); let dot = Cartesian3.dot(p, constrainedAxis); @@ -2115,7 +2116,7 @@ function rotateVertical(camera, angle) { dot = Cartesian3.dot( p, - Cartesian3.negate(constrainedAxis, rotateVertScratchNegate) + Cartesian3.negate(constrainedAxis, rotateVertScratchNegate), ); angleToAxis = CesiumMath.acosClamped(dot); if (angle < 0 && -angle > angleToAxis) { @@ -2125,7 +2126,7 @@ function rotateVertical(camera, angle) { const tangent = Cartesian3.cross( constrainedAxis, p, - rotateVertScratchTan + rotateVertScratchTan, ); camera.rotate(tangent, angle); } else if ((northParallel && angle < 0) || (southParallel && angle > 0)) { @@ -2182,7 +2183,7 @@ function zoom2D(camera, amount) { !defined(frustum.top) ) { throw new DeveloperError( - "The camera frustum is expected to be orthographic for 2D camera control." + "The camera frustum is expected to be orthographic for 2D camera control.", ); } //>>includeEnd('debug'); @@ -2294,7 +2295,7 @@ Camera.prototype.getMagnitude = function () { } else if (this._mode === SceneMode.SCENE2D) { return Math.max( this.frustum.right - this.frustum.left, - this.frustum.top - this.frustum.bottom + this.frustum.top - this.frustum.bottom, ); } }; @@ -2349,7 +2350,7 @@ Camera.prototype.lookAt = function (target, offset) { const transform = Transforms.eastNorthUpToFixedFrame( target, ellipsoid, - scratchLookAtMatrix4 + scratchLookAtMatrix4, ); this.lookAtTransform(transform, offset); }; @@ -2363,29 +2364,29 @@ function offsetFromHeadingPitchRange(heading, pitch, range) { pitch = CesiumMath.clamp( pitch, -CesiumMath.PI_OVER_TWO, - CesiumMath.PI_OVER_TWO + CesiumMath.PI_OVER_TWO, ); heading = CesiumMath.zeroToTwoPi(heading) - CesiumMath.PI_OVER_TWO; const pitchQuat = Quaternion.fromAxisAngle( Cartesian3.UNIT_Y, -pitch, - scratchLookAtHeadingPitchRangeQuaternion1 + scratchLookAtHeadingPitchRangeQuaternion1, ); const headingQuat = Quaternion.fromAxisAngle( Cartesian3.UNIT_Z, -heading, - scratchLookAtHeadingPitchRangeQuaternion2 + scratchLookAtHeadingPitchRangeQuaternion2, ); const rotQuat = Quaternion.multiply(headingQuat, pitchQuat, headingQuat); const rotMatrix = Matrix3.fromQuaternion( rotQuat, - scratchHeadingPitchRangeMatrix3 + scratchHeadingPitchRangeMatrix3, ); const offset = Cartesian3.clone( Cartesian3.UNIT_X, - scratchLookAtHeadingPitchRangeOffset + scratchLookAtHeadingPitchRangeOffset, ); Matrix3.multiplyByVector(rotMatrix, offset, offset); Cartesian3.negate(offset, offset); @@ -2428,7 +2429,7 @@ Camera.prototype.lookAtTransform = function (transform, offset) { } if (this._mode === SceneMode.MORPHING) { throw new DeveloperError( - "lookAtTransform is not supported while morphing." + "lookAtTransform is not supported while morphing.", ); } //>>includeEnd('debug'); @@ -2443,7 +2444,7 @@ Camera.prototype.lookAtTransform = function (transform, offset) { cartesianOffset = offsetFromHeadingPitchRange( offset.heading, offset.pitch, - offset.range + offset.range, ); } else { cartesianOffset = offset; @@ -2562,17 +2563,14 @@ function rectangleCameraPosition3D(camera, rectangle, result, updateCamera) { !defined(ellipsoidGeodesic) || ellipsoidGeodesic.ellipsoid !== ellipsoid ) { - viewRectangle3DEllipsoidGeodesic = ellipsoidGeodesic = new EllipsoidGeodesic( - undefined, - undefined, - ellipsoid - ); + viewRectangle3DEllipsoidGeodesic = ellipsoidGeodesic = + new EllipsoidGeodesic(undefined, undefined, ellipsoid); } ellipsoidGeodesic.setEndPoints(northCartographic, southCartographic); latitude = ellipsoidGeodesic.interpolateUsingFraction( 0.5, - viewRectangle3DCartographic1 + viewRectangle3DCartographic1, ).latitude; } @@ -2583,7 +2581,7 @@ function rectangleCameraPosition3D(camera, rectangle, result, updateCamera) { const center = ellipsoid.cartographicToCartesian( centerCartographic, - viewRectangle3DCenter + viewRectangle3DCenter, ); const cart = viewRectangle3DCartographic1; @@ -2591,32 +2589,32 @@ function rectangleCameraPosition3D(camera, rectangle, result, updateCamera) { cart.latitude = north; const northEast = ellipsoid.cartographicToCartesian( cart, - viewRectangle3DNorthEast + viewRectangle3DNorthEast, ); cart.longitude = west; const northWest = ellipsoid.cartographicToCartesian( cart, - viewRectangle3DNorthWest + viewRectangle3DNorthWest, ); cart.longitude = longitude; const northCenter = ellipsoid.cartographicToCartesian( cart, - viewRectangle3DNorthCenter + viewRectangle3DNorthCenter, ); cart.latitude = south; const southCenter = ellipsoid.cartographicToCartesian( cart, - viewRectangle3DSouthCenter + viewRectangle3DSouthCenter, ); cart.longitude = east; const southEast = ellipsoid.cartographicToCartesian( cart, - viewRectangle3DSouthEast + viewRectangle3DSouthEast, ); cart.longitude = west; const southWest = ellipsoid.cartographicToCartesian( cart, - viewRectangle3DSouthWest + viewRectangle3DSouthWest, ); Cartesian3.subtract(northWest, center, northWest); @@ -2636,11 +2634,11 @@ function rectangleCameraPosition3D(camera, rectangle, result, updateCamera) { if (camera.frustum instanceof OrthographicFrustum) { const width = Math.max( Cartesian3.distance(northEast, northWest), - Cartesian3.distance(southEast, southWest) + Cartesian3.distance(southEast, southWest), ); const height = Math.max( Cartesian3.distance(northEast, southEast), - Cartesian3.distance(northWest, southWest) + Cartesian3.distance(northWest, southWest), ); let rightScalar; @@ -2673,7 +2671,7 @@ function rectangleCameraPosition3D(camera, rectangle, result, updateCamera) { computeD(direction, right, northEast, tanTheta), computeD(direction, right, southWest, tanTheta), computeD(direction, right, northCenter, tanTheta), - computeD(direction, right, southCenter, tanTheta) + computeD(direction, right, southCenter, tanTheta), ); // If the rectangle crosses the equator, compute D at the equator, too, because that's the @@ -2685,25 +2683,25 @@ function rectangleCameraPosition3D(camera, rectangle, result, updateCamera) { equatorCartographic.height = 0.0; let equatorPosition = ellipsoid.cartographicToCartesian( equatorCartographic, - viewRectangle3DEquator + viewRectangle3DEquator, ); Cartesian3.subtract(equatorPosition, center, equatorPosition); d = Math.max( d, computeD(direction, up, equatorPosition, tanPhi), - computeD(direction, right, equatorPosition, tanTheta) + computeD(direction, right, equatorPosition, tanTheta), ); equatorCartographic.longitude = east; equatorPosition = ellipsoid.cartographicToCartesian( equatorCartographic, - viewRectangle3DEquator + viewRectangle3DEquator, ); Cartesian3.subtract(equatorPosition, center, equatorPosition); d = Math.max( d, computeD(direction, up, equatorPosition, tanPhi), - computeD(direction, right, equatorPosition, tanTheta) + computeD(direction, right, equatorPosition, tanTheta), ); } } @@ -2711,7 +2709,7 @@ function rectangleCameraPosition3D(camera, rectangle, result, updateCamera) { return Cartesian3.add( center, Cartesian3.multiplyByScalar(direction, -d, viewRectangle3DEquator), - result + result, ); } @@ -2748,7 +2746,7 @@ function rectangleCameraPositionColumbusView(camera, rectangle, result) { result.z = Math.max( (northEast.x - southWest.x) / tanTheta, - (northEast.y - southWest.y) / tanPhi + (northEast.y - southWest.y) / tanPhi, ) * 0.5; } else { const width = northEast.x - southWest.x; @@ -2933,7 +2931,7 @@ Camera.prototype.pickEllipsoid = function (windowPosition, ellipsoid, result) { this, windowPosition, this._projection, - result + result, ); } else { return undefined; @@ -2963,18 +2961,18 @@ function getPickRayPerspective(camera, windowPosition, result) { const nearCenter = Cartesian3.multiplyByScalar( camera.directionWC, near, - pickPerspCenter + pickPerspCenter, ); Cartesian3.add(position, nearCenter, nearCenter); const xDir = Cartesian3.multiplyByScalar( camera.rightWC, x * near * tanTheta, - pickPerspXDir + pickPerspXDir, ); const yDir = Cartesian3.multiplyByScalar( camera.upWC, y * near * tanPhi, - pickPerspYDir + pickPerspYDir, ); const direction = Cartesian3.add(nearCenter, xDir, result.direction); Cartesian3.add(direction, yDir, direction); @@ -3019,7 +3017,7 @@ function getPickRayOrthographic(camera, windowPosition, result) { result.origin.z, result.origin.x, result.origin.y, - result.origin + result.origin, ); } @@ -3081,12 +3079,12 @@ Camera.prototype.distanceToBoundingSphere = function (boundingSphere) { const toCenter = Cartesian3.subtract( this.positionWC, boundingSphere.center, - scratchToCenter + scratchToCenter, ); const proj = Cartesian3.multiplyByScalar( this.directionWC, Cartesian3.dot(toCenter, this.directionWC), - scratchProj + scratchProj, ); return Math.max(0.0, Cartesian3.magnitude(proj) - boundingSphere.radius); }; @@ -3104,7 +3102,7 @@ const scratchPixelSize = new Cartesian2(); Camera.prototype.getPixelSize = function ( boundingSphere, drawingBufferWidth, - drawingBufferHeight + drawingBufferHeight, ) { //>>includeStart('debug', pragmas.debug); if (!defined(boundingSphere)) { @@ -3124,7 +3122,7 @@ Camera.prototype.getPixelSize = function ( drawingBufferHeight, distance, this._scene.pixelRatio, - scratchPixelSize + scratchPixelSize, ); return Math.max(pixelSize.x, pixelSize.y); }; @@ -3135,7 +3133,7 @@ function createAnimationTemplateCV( center, maxX, maxY, - duration + duration, ) { const newPosition = Cartesian3.clone(position); @@ -3156,7 +3154,7 @@ function createAnimationTemplateCV( position, newPosition, value.time, - new Cartesian3() + new Cartesian3(), ); camera.worldToCameraCoordinatesPoint(interp, camera.position); } @@ -3184,14 +3182,14 @@ function createAnimationCV(camera, duration) { const normal = camera.worldToCameraCoordinatesVector( Cartesian3.UNIT_X, - normalScratch + normalScratch, ); const scalar = -Cartesian3.dot(normal, position) / Cartesian3.dot(normal, direction); const center = Cartesian3.add( position, Cartesian3.multiplyByScalar(direction, scalar, centerScratch), - centerScratch + centerScratch, ); camera.cameraToWorldCoordinatesPoint(center, center); @@ -3200,7 +3198,7 @@ function createAnimationCV(camera, duration) { const tanPhi = Math.tan(camera.frustum.fovy * 0.5); const tanTheta = camera.frustum.aspectRatio * tanPhi; const distToC = Cartesian3.magnitude( - Cartesian3.subtract(position, center, scratchCartesian3Subtract) + Cartesian3.subtract(position, center, scratchCartesian3Subtract), ); const dWidth = tanTheta * distToC; const dHeight = tanPhi * distToC; @@ -3226,7 +3224,7 @@ function createAnimationCV(camera, duration) { center, maxX, maxY, - duration + duration, ); } } @@ -3384,20 +3382,20 @@ Camera.prototype.flyTo = function (options) { if (isRectangle) { destination = this.getRectangleCameraCoordinates( destination, - scratchFlyToDestination + scratchFlyToDestination, ); } let orientation = defaultValue( options.orientation, - defaultValue.EMPTY_OBJECT + defaultValue.EMPTY_OBJECT, ); if (defined(orientation.direction)) { orientation = directionUpToHeadingPitchRoll( this, destination, orientation, - scratchSetViewOptions.orientation + scratchSetViewOptions.orientation, ); } @@ -3466,11 +3464,12 @@ Camera.prototype.flyTo = function (options) { orientation: orientation, }); - this._scene.preloadFlightCullingVolume = preloadFlightCamera.frustum.computeCullingVolume( - preloadFlightCamera.positionWC, - preloadFlightCamera.directionWC, - preloadFlightCamera.upWC - ); + this._scene.preloadFlightCullingVolume = + preloadFlightCamera.frustum.computeCullingVolume( + preloadFlightCamera.positionWC, + preloadFlightCamera.directionWC, + preloadFlightCamera.upWC, + ); } }; @@ -3506,7 +3505,7 @@ const MINIMUM_ZOOM = 100.0; function adjustBoundingSphereOffset(camera, boundingSphere, offset) { offset = HeadingPitchRange.clone( - defined(offset) ? offset : Camera.DEFAULT_OFFSET + defined(offset) ? offset : Camera.DEFAULT_OFFSET, ); const minimumZoom = @@ -3558,7 +3557,7 @@ Camera.prototype.viewBoundingSphere = function (boundingSphere, offset) { if (this._mode === SceneMode.MORPHING) { throw new DeveloperError( - "viewBoundingSphere is not supported while morphing." + "viewBoundingSphere is not supported while morphing.", ); } //>>includeEnd('debug'); @@ -3615,7 +3614,7 @@ Camera.prototype.flyToBoundingSphere = function (boundingSphere, options) { const offset = adjustBoundingSphereOffset( this, boundingSphere, - options.offset + options.offset, ); let position; @@ -3623,13 +3622,13 @@ Camera.prototype.flyToBoundingSphere = function (boundingSphere, options) { position = Cartesian3.multiplyByScalar( Cartesian3.UNIT_Z, offset.range, - scratchflyToBoundingSphereDestination + scratchflyToBoundingSphereDestination, ); } else { position = offsetFromHeadingPitchRange( offset.heading, offset.pitch, - offset.range + offset.range, ); } @@ -3639,7 +3638,7 @@ Camera.prototype.flyToBoundingSphere = function (boundingSphere, options) { const transform = Transforms.eastNorthUpToFixedFrame( boundingSphere.center, ellipsoid, - scratchflyToBoundingSphereTransform + scratchflyToBoundingSphereTransform, ); Matrix4.multiplyByPoint(transform, position, position); @@ -3650,29 +3649,29 @@ Camera.prototype.flyToBoundingSphere = function (boundingSphere, options) { direction = Cartesian3.subtract( boundingSphere.center, position, - scratchflyToBoundingSphereDirection + scratchflyToBoundingSphereDirection, ); Cartesian3.normalize(direction, direction); up = Matrix4.multiplyByPointAsVector( transform, Cartesian3.UNIT_Z, - scratchflyToBoundingSphereUp + scratchflyToBoundingSphereUp, ); if (1.0 - Math.abs(Cartesian3.dot(direction, up)) < CesiumMath.EPSILON6) { const rotateQuat = Quaternion.fromAxisAngle( direction, offset.heading, - scratchFlyToBoundingSphereQuaternion + scratchFlyToBoundingSphereQuaternion, ); const rotation = Matrix3.fromQuaternion( rotateQuat, - scratchFlyToBoundingSphereMatrix3 + scratchFlyToBoundingSphereMatrix3, ); Cartesian3.fromCartesian4( Matrix4.getColumn(transform, 1, scratchFlyToBoundingSphereCart4), - up + up, ); Matrix3.multiplyByVector(rotation, up, up); } @@ -3680,7 +3679,7 @@ Camera.prototype.flyToBoundingSphere = function (boundingSphere, options) { const right = Cartesian3.cross( direction, up, - scratchflyToBoundingSphereRight + scratchflyToBoundingSphereRight, ); Cartesian3.cross(right, direction, up); Cartesian3.normalize(up, up); @@ -3723,7 +3722,7 @@ function computeHorizonQuad(camera, ellipsoid) { const q = Cartesian3.multiplyComponents( ellipsoid.oneOverRadii, p, - scratchCartesian3_1 + scratchCartesian3_1, ); const qMagnitude = Cartesian3.magnitude(q); @@ -3740,11 +3739,11 @@ function computeHorizonQuad(camera, ellipsoid) { } else { eUnit = Cartesian3.normalize( Cartesian3.cross(Cartesian3.UNIT_Z, qUnit, scratchCartesian3_3), - scratchCartesian3_3 + scratchCartesian3_3, ); nUnit = Cartesian3.normalize( Cartesian3.cross(qUnit, eUnit, scratchCartesian3_4), - scratchCartesian3_4 + scratchCartesian3_4, ); } @@ -3755,18 +3754,18 @@ function computeHorizonQuad(camera, ellipsoid) { const center = Cartesian3.multiplyByScalar( qUnit, 1.0 / qMagnitude, - scratchCartesian3_1 + scratchCartesian3_1, ); const scalar = wMagnitude / qMagnitude; const eastOffset = Cartesian3.multiplyByScalar( eUnit, scalar, - scratchCartesian3_2 + scratchCartesian3_2, ); const northOffset = Cartesian3.multiplyByScalar( nUnit, scalar, - scratchCartesian3_3 + scratchCartesian3_3, ); // A conservative measure for the longitudes would be to use the min/max longitudes of the bounding frustum. @@ -3803,7 +3802,7 @@ function addToResult(x, y, index, camera, ellipsoid, computedHorizonQuad) { const r = camera.pickEllipsoid( scratchPickCartesian2, ellipsoid, - scratchRectCartesian + scratchRectCartesian, ); if (defined(r)) { cartoArray[index] = ellipsoid.cartesianToCartographic(r, cartoArray[index]); @@ -3811,7 +3810,7 @@ function addToResult(x, y, index, camera, ellipsoid, computedHorizonQuad) { } cartoArray[index] = ellipsoid.cartesianToCartographic( computedHorizonQuad[index], - cartoArray[index] + cartoArray[index], ); return 0; } @@ -3828,11 +3827,11 @@ Camera.prototype.computeViewRectangle = function (ellipsoid, result) { const cullingVolume = this.frustum.computeCullingVolume( this.positionWC, this.directionWC, - this.upWC + this.upWC, ); const boundingSphere = new BoundingSphere( Cartesian3.ZERO, - ellipsoid.maximumRadius + ellipsoid.maximumRadius, ); const visibility = cullingVolume.computeVisibility(boundingSphere); if (visibility === Intersect.OUTSIDE) { @@ -3853,7 +3852,7 @@ Camera.prototype.computeViewRectangle = function (ellipsoid, result) { 0, this, ellipsoid, - computedHorizonQuad + computedHorizonQuad, ); successfulPickCount += addToResult( 0, @@ -3861,7 +3860,7 @@ Camera.prototype.computeViewRectangle = function (ellipsoid, result) { 1, this, ellipsoid, - computedHorizonQuad + computedHorizonQuad, ); successfulPickCount += addToResult( width, @@ -3869,7 +3868,7 @@ Camera.prototype.computeViewRectangle = function (ellipsoid, result) { 2, this, ellipsoid, - computedHorizonQuad + computedHorizonQuad, ); successfulPickCount += addToResult( width, @@ -3877,7 +3876,7 @@ Camera.prototype.computeViewRectangle = function (ellipsoid, result) { 3, this, ellipsoid, - computedHorizonQuad + computedHorizonQuad, ); if (successfulPickCount < 2) { @@ -3908,7 +3907,7 @@ Camera.prototype.computeViewRectangle = function (ellipsoid, result) { CesiumMath.equalsEpsilon( Math.abs(distance), CesiumMath.TWO_PI, - CesiumMath.EPSILON9 + CesiumMath.EPSILON9, ) ) { result.west = -CesiumMath.PI; diff --git a/packages/engine/Source/Scene/CameraEventAggregator.js b/packages/engine/Source/Scene/CameraEventAggregator.js index 67bf50bb8fc3..24a0be753884 100644 --- a/packages/engine/Source/Scene/CameraEventAggregator.js +++ b/packages/engine/Source/Scene/CameraEventAggregator.js @@ -19,20 +19,20 @@ function getKey(type, modifier) { function clonePinchMovement(pinchMovement, result) { Cartesian2.clone( pinchMovement.distance.startPosition, - result.distance.startPosition + result.distance.startPosition, ); Cartesian2.clone( pinchMovement.distance.endPosition, - result.distance.endPosition + result.distance.endPosition, ); Cartesian2.clone( pinchMovement.angleAndHeight.startPosition, - result.angleAndHeight.startPosition + result.angleAndHeight.startPosition, ); Cartesian2.clone( pinchMovement.angleAndHeight.endPosition, - result.angleAndHeight.endPosition + result.angleAndHeight.endPosition, ); } @@ -74,11 +74,11 @@ function listenToPinch(aggregator, modifier, canvas) { event.position1, event.position2, 0.5, - eventStartPosition[key] + eventStartPosition[key], ); }, ScreenSpaceEventType.PINCH_START, - modifier + modifier, ); aggregator._eventHandler.setInputAction( @@ -88,7 +88,7 @@ function listenToPinch(aggregator, modifier, canvas) { releaseTime[key] = new Date(); }, ScreenSpaceEventType.PINCH_END, - modifier + modifier, ); aggregator._eventHandler.setInputAction( @@ -98,11 +98,11 @@ function listenToPinch(aggregator, modifier, canvas) { if (!update[key]) { Cartesian2.clone( mouseMovement.distance.endPosition, - movement.distance.endPosition + movement.distance.endPosition, ); Cartesian2.clone( mouseMovement.angleAndHeight.endPosition, - movement.angleAndHeight.endPosition + movement.angleAndHeight.endPosition, ); } else { clonePinchMovement(mouseMovement, movement); @@ -126,7 +126,7 @@ function listenToPinch(aggregator, modifier, canvas) { } }, ScreenSpaceEventType.PINCH_MOVE, - modifier + modifier, ); } @@ -168,7 +168,7 @@ function listenToWheel(aggregator, modifier) { update[key] = false; }, ScreenSpaceEventType.WHEEL, - modifier + modifier, ); } @@ -213,7 +213,7 @@ function listenMouseButtonDownUp(aggregator, modifier, type) { Cartesian2.clone(event.position, eventStartPosition[key]); }, down, - modifier + modifier, ); aggregator._eventHandler.setInputAction( @@ -225,7 +225,7 @@ function listenMouseButtonDownUp(aggregator, modifier, type) { } }, up, - modifier + modifier, ); } @@ -319,7 +319,7 @@ function listenMouseMove(aggregator, modifier) { if (!update[key]) { Cartesian2.clone( mouseMovement.endPosition, - movement[key].endPosition + movement[key].endPosition, ); } else { cloneMouseMovement(movement[key], lastMovement[key]); @@ -334,11 +334,11 @@ function listenMouseMove(aggregator, modifier) { Cartesian2.clone( mouseMovement.endPosition, - aggregator._currentMousePosition + aggregator._currentMousePosition, ); }, ScreenSpaceEventType.MOUSE_MOVE, - modifier + modifier, ); } @@ -517,7 +517,7 @@ CameraEventAggregator.prototype.isButtonDown = function (type, modifier) { */ CameraEventAggregator.prototype.getStartMousePosition = function ( type, - modifier + modifier, ) { //>>includeStart('debug', pragmas.debug); if (!defined(type)) { @@ -560,7 +560,7 @@ CameraEventAggregator.prototype.getButtonPressTime = function (type, modifier) { */ CameraEventAggregator.prototype.getButtonReleaseTime = function ( type, - modifier + modifier, ) { //>>includeStart('debug', pragmas.debug); if (!defined(type)) { diff --git a/packages/engine/Source/Scene/CameraFlightPath.js b/packages/engine/Source/Scene/CameraFlightPath.js index 6d6a1aa29a73..3490f72f497f 100644 --- a/packages/engine/Source/Scene/CameraFlightPath.js +++ b/packages/engine/Source/Scene/CameraFlightPath.js @@ -46,7 +46,7 @@ function createPitchFunction( startPitch, endPitch, heightFunction, - pitchAdjustHeight + pitchAdjustHeight, ) { if (defined(pitchAdjustHeight) && heightFunction(0.5) > pitchAdjustHeight) { const startHeight = heightFunction(0.0); @@ -77,7 +77,7 @@ function createHeightFunction( destination, startHeight, endHeight, - optionAltitude + optionAltitude, ) { let altitude = optionAltitude; const maxHeight = Math.max(startHeight, endHeight); @@ -91,19 +91,19 @@ function createHeightFunction( const diff = Cartesian3.subtract(start, end, scratchCart); const verticalDistance = Cartesian3.magnitude( - Cartesian3.multiplyByScalar(up, Cartesian3.dot(diff, up), scratchCart2) + Cartesian3.multiplyByScalar(up, Cartesian3.dot(diff, up), scratchCart2), ); const horizontalDistance = Cartesian3.magnitude( Cartesian3.multiplyByScalar( right, Cartesian3.dot(diff, right), - scratchCart2 - ) + scratchCart2, + ), ); altitude = Math.min( getAltitude(frustum, verticalDistance, horizontalDistance) * 0.2, - 1000000000.0 + 1000000000.0, ); } @@ -130,7 +130,7 @@ function adjustAngleForLERP(startAngle, endAngle) { CesiumMath.equalsEpsilon( startAngle, CesiumMath.TWO_PI, - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ) ) { startAngle = 0.0; @@ -155,7 +155,7 @@ function createUpdateCV( pitch, roll, optionAltitude, - optionPitchAdjustHeight + optionPitchAdjustHeight, ) { const camera = scene.camera; @@ -169,14 +169,14 @@ function createUpdateCV( destination, start.z, destination.z, - optionAltitude + optionAltitude, ); const pitchFunction = createPitchFunction( startPitch, pitch, heightFunction, - optionPitchAdjustHeight + optionPitchAdjustHeight, ); function update(value) { @@ -226,7 +226,7 @@ function createUpdate3D( optionAltitude, optionFlyOverLongitude, optionFlyOverLongitudeWeight, - optionPitchAdjustHeight + optionPitchAdjustHeight, ) { const camera = scene.camera; const projection = scene.mapProjection; @@ -234,7 +234,7 @@ function createUpdate3D( const startCart = Cartographic.clone( camera.positionCartographic, - scratchStartCart + scratchStartCart, ); const startPitch = camera.pitch; const startHeading = adjustAngleForLERP(camera.heading, heading); @@ -242,7 +242,7 @@ function createUpdate3D( const destCart = ellipsoid.cartesianToCartographic( destination, - scratchEndCart + scratchEndCart, ); startCart.longitude = CesiumMath.zeroToTwoPi(startCart.longitude); destCart.longitude = CesiumMath.zeroToTwoPi(destCart.longitude); @@ -288,13 +288,13 @@ function createUpdate3D( destination, startCart.height, destCart.height, - optionAltitude + optionAltitude, ); const pitchFunction = createPitchFunction( startPitch, pitch, heightFunction, - optionPitchAdjustHeight + optionPitchAdjustHeight, ); // Isolate scope for update function. @@ -315,7 +315,7 @@ function createUpdate3D( CesiumMath.lerp(startLongitude, destLongitude, time), CesiumMath.lerp(startLatitude, destLatitude, time), heightFunction(time), - ellipsoid + ellipsoid, ); camera.setView({ @@ -338,7 +338,7 @@ function createUpdate2D( heading, pitch, roll, - optionAltitude + optionAltitude, ) { const camera = scene.camera; @@ -351,7 +351,7 @@ function createUpdate2D( destination, startHeight, destination.z, - optionAltitude + optionAltitude, ); function update(value) { @@ -470,7 +470,7 @@ CameraFlightPath.createTween = function (scene, options) { CesiumMath.equalsEpsilon( Math.max(frustum.right - frustum.left, frustum.top - frustum.bottom), destination.z, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); empty = @@ -479,7 +479,7 @@ CameraFlightPath.createTween = function (scene, options) { Cartesian3.equalsEpsilon( destination, camera.position, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, )); empty = @@ -487,17 +487,17 @@ CameraFlightPath.createTween = function (scene, options) { CesiumMath.equalsEpsilon( CesiumMath.negativePiToPi(heading), CesiumMath.negativePiToPi(camera.heading), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ) && CesiumMath.equalsEpsilon( CesiumMath.negativePiToPi(pitch), CesiumMath.negativePiToPi(camera.pitch), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ) && CesiumMath.equalsEpsilon( CesiumMath.negativePiToPi(roll), CesiumMath.negativePiToPi(camera.roll), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); if (empty) { @@ -521,7 +521,7 @@ CameraFlightPath.createTween = function (scene, options) { maximumHeight, flyOverLongitude, flyOverLongitudeWeight, - pitchAdjustHeight + pitchAdjustHeight, ); update({ time: 1.0 }); @@ -542,7 +542,7 @@ CameraFlightPath.createTween = function (scene, options) { maximumHeight, flyOverLongitude, flyOverLongitudeWeight, - pitchAdjustHeight + pitchAdjustHeight, ); if (!defined(easingFunction)) { diff --git a/packages/engine/Source/Scene/Cesium3DTile.js b/packages/engine/Source/Scene/Cesium3DTile.js index 2d6a2d80974b..53e21c781f15 100644 --- a/packages/engine/Source/Scene/Cesium3DTile.js +++ b/packages/engine/Source/Scene/Cesium3DTile.js @@ -90,7 +90,7 @@ function Cesium3DTile(tileset, baseResource, header, parent) { const computedTransform = Matrix4.multiply( parentTransform, this.transform, - new Matrix4() + new Matrix4(), ); const parentInitialTransform = defined(parent) @@ -99,7 +99,7 @@ function Cesium3DTile(tileset, baseResource, header, parent) { this._initialTransform = Matrix4.multiply( parentInitialTransform, this.transform, - new Matrix4() + new Matrix4(), ); /** @@ -128,7 +128,7 @@ function Cesium3DTile(tileset, baseResource, header, parent) { // can override header.boundingVolume (if necessary) this._boundingVolume = this.createBoundingVolume( header.boundingVolume, - computedTransform + computedTransform, ); this._boundingVolume2D = undefined; @@ -142,7 +142,7 @@ function Cesium3DTile(tileset, baseResource, header, parent) { // outside of this box. contentBoundingVolume = this.createBoundingVolume( contentHeader.boundingVolume, - computedTransform + computedTransform, ); } this._contentBoundingVolume = contentBoundingVolume; @@ -152,7 +152,7 @@ function Cesium3DTile(tileset, baseResource, header, parent) { if (defined(header.viewerRequestVolume)) { viewerRequestVolume = this.createBoundingVolume( header.viewerRequestVolume, - computedTransform + computedTransform, ); } this._viewerRequestVolume = viewerRequestVolume; @@ -173,7 +173,7 @@ function Cesium3DTile(tileset, baseResource, header, parent) { : tileset._geometricError; Cesium3DTile._deprecationWarning( "geometricErrorUndefined", - "Required property geometricError is undefined for this tile. Using parent's geometric error instead." + "Required property geometricError is undefined for this tile. Using parent's geometric error instead.", ); } @@ -186,7 +186,7 @@ function Cesium3DTile(tileset, baseResource, header, parent) { "lowercase-refine", `This tile uses a lowercase refine "${ header.refine - }". Instead use "${header.refine.toUpperCase()}".` + }". Instead use "${header.refine.toUpperCase()}".`, ); } refine = @@ -248,14 +248,14 @@ function Cesium3DTile(tileset, baseResource, header, parent) { if (defined(contentHeader.url)) { Cesium3DTile._deprecationWarning( "contentUrl", - 'This tileset JSON uses the "content.url" property which has been deprecated. Use "content.uri" instead.' + 'This tileset JSON uses the "content.url" property which has been deprecated. Use "content.uri" instead.', ); contentHeaderUri = contentHeader.url; } if (contentHeaderUri === "") { Cesium3DTile._deprecationWarning( "contentUriEmpty", - "content.uri property is an empty string, which creates a circular dependency, making this tileset invalid. Omit the content property instead" + "content.uri property is an empty string, which creates a circular dependency, making this tileset invalid. Omit the content property instead", ); content = new Empty3DTileContent(tileset, this); hasEmptyContent = true; @@ -266,7 +266,7 @@ function Cesium3DTile(tileset, baseResource, header, parent) { url: contentHeaderUri, }); serverKey = RequestScheduler.getServerKey( - contentResource.getUrlComponent() + contentResource.getUrlComponent(), ); } } else { @@ -811,18 +811,18 @@ function isPriorityDeferred(tile, frameState) { const scaledCameraDirection = Cartesian3.multiplyByScalar( camera.directionWC, tile._centerZDepth, - scratchCartesian + scratchCartesian, ); const closestPointOnLine = Cartesian3.add( camera.positionWC, scaledCameraDirection, - scratchCartesian + scratchCartesian, ); // The distance from the camera's view direction to the tile. const toLine = Cartesian3.subtract( closestPointOnLine, center, - scratchCartesian + scratchCartesian, ); const distanceToCenterLine = Cartesian3.magnitude(toLine); const notTouchingSphere = distanceToCenterLine > radius; @@ -836,21 +836,21 @@ function isPriorityDeferred(tile, frameState) { const scaledToLine = Cartesian3.multiplyByScalar( toLineNormalized, radius, - scratchCartesian + scratchCartesian, ); const closestOnSphere = Cartesian3.add( center, scaledToLine, - scratchCartesian + scratchCartesian, ); const toClosestOnSphere = Cartesian3.subtract( closestOnSphere, camera.positionWC, - scratchCartesian + scratchCartesian, ); const toClosestOnSphereNormalize = Cartesian3.normalize( toClosestOnSphere, - scratchCartesian + scratchCartesian, ); tile._foveatedFactor = 1.0 - @@ -887,12 +887,12 @@ function isPriorityDeferred(tile, frameState) { const normalizedFoveatedFactor = CesiumMath.clamp( (tile._foveatedFactor - foveatedConeFactor) / range, 0.0, - 1.0 + 1.0, ); const sseRelaxation = tileset.foveatedInterpolationCallback( tileset.foveatedMinimumScreenSpaceErrorRelaxation, tileset.memoryAdjustedScreenSpaceError, - normalizedFoveatedFactor + normalizedFoveatedFactor, ); const sse = tile._screenSpaceError === 0.0 && defined(tile.parent) @@ -915,7 +915,7 @@ const scratchJulianDate = new JulianDate(); Cesium3DTile.prototype.getScreenSpaceError = function ( frameState, useParentGeometricError, - progressiveResolutionHeightFraction + progressiveResolutionHeightFraction, ) { const tileset = this._tileset; const heightFraction = defaultValue(progressiveResolutionHeightFraction, 1.0); @@ -1042,21 +1042,21 @@ Cesium3DTile.prototype.updateVisibility = function (frameState) { this._screenSpaceErrorProgressiveResolution = this.getScreenSpaceError( frameState, false, - tileset.progressiveResolutionHeightFraction + tileset.progressiveResolutionHeightFraction, ); this._visibilityPlaneMask = this.visibility( frameState, - parentVisibilityPlaneMask + parentVisibilityPlaneMask, ); // Use parent's plane mask to speed up visibility test this._visible = this._visibilityPlaneMask !== CullingVolume.MASK_OUTSIDE; this._inRequestVolume = this.insideViewerRequestVolume(frameState); this._priorityReverseScreenSpaceError = getPriorityReverseScreenSpaceError( tileset, - this + this, ); this._priorityProgressiveResolution = isPriorityProgressiveResolution( tileset, - this + this, ); this.priorityDeferred = isPriorityDeferred(this, frameState); @@ -1095,7 +1095,7 @@ function updateExpireDate(tile) { JulianDate.addSeconds( expireDurationDate, tile.expireDuration, - expireDurationDate + expireDurationDate, ); if (defined(tile.expireDate)) { @@ -1169,7 +1169,7 @@ function requestMultipleContents(tile) { tileset, tile, tile._contentResource.clone(), - contentsJson + contentsJson, ); tile._content = multipleContents; } @@ -1213,7 +1213,7 @@ async function processArrayBuffer( tileset, request, expired, - requestPromise + requestPromise, ) { const previousState = tile._contentState; tile._contentState = Cesium3DTileContentState.LOADING; @@ -1365,8 +1365,8 @@ async function makeContent(tile, arrayBuffer) { tile, tile._contentResource, preprocessed.binaryPayload.buffer, - 0 - ) + 0, + ), ); } else { // JSON formats @@ -1375,8 +1375,8 @@ async function makeContent(tile, arrayBuffer) { tileset, tile, tile._contentResource, - preprocessed.jsonPayload - ) + preprocessed.jsonPayload, + ), ); } @@ -1461,11 +1461,11 @@ function getBoundingVolume(tile, frameState) { const sphere = BoundingSphere.projectTo2D( boundingSphere, frameState.mapProjection, - scratchProjectedBoundingSphere + scratchProjectedBoundingSphere, ); tile._boundingVolume2D = new TileBoundingSphere( sphere.center, - sphere.radius + sphere.radius, ); } @@ -1489,11 +1489,11 @@ function getContentBoundingVolume(tile, frameState) { const sphere = BoundingSphere.projectTo2D( boundingSphere, frameState.mapProjection, - scratchProjectedBoundingSphere + scratchProjectedBoundingSphere, ); tile._contentBoundingVolume2D = new TileBoundingSphere( sphere.center, - sphere.radius + sphere.radius, ); } return frameState.mode !== SceneMode.SCENE3D @@ -1512,7 +1512,7 @@ function getContentBoundingVolume(tile, frameState) { */ Cesium3DTile.prototype.visibility = function ( frameState, - parentVisibilityPlaneMask + parentVisibilityPlaneMask, ) { const cullingVolume = frameState.cullingVolume; const boundingVolume = getBoundingVolume(this, frameState); @@ -1522,7 +1522,7 @@ Cesium3DTile.prototype.visibility = function ( if (defined(clippingPlanes) && clippingPlanes.enabled) { const intersection = clippingPlanes.computeIntersectionWithBoundingVolume( boundingVolume, - tileset.clippingPlanesOriginMatrix + tileset.clippingPlanesOriginMatrix, ); this._isClipped = intersection !== Intersect.INSIDE; if (intersection === Intersect.OUTSIDE) { @@ -1532,9 +1532,8 @@ Cesium3DTile.prototype.visibility = function ( const clippingPolygons = tileset.clippingPolygons; if (defined(clippingPolygons) && clippingPolygons.enabled) { - const intersection = clippingPolygons.computeIntersectionWithBoundingVolume( - boundingVolume - ); + const intersection = + clippingPolygons.computeIntersectionWithBoundingVolume(boundingVolume); this._isClippedByPolygon = intersection !== Intersect.OUTSIDE; // Polygon clipping intersections are determined by outer rectangles, therefore we cannot @@ -1543,7 +1542,7 @@ Cesium3DTile.prototype.visibility = function ( return cullingVolume.computeVisibilityWithPlaneMask( boundingVolume, - parentVisibilityPlaneMask + parentVisibilityPlaneMask, ); }; @@ -1579,7 +1578,7 @@ Cesium3DTile.prototype.contentVisibility = function (frameState) { if (defined(clippingPlanes) && clippingPlanes.enabled) { const intersection = clippingPlanes.computeIntersectionWithBoundingVolume( boundingVolume, - tileset.clippingPlanesOriginMatrix + tileset.clippingPlanesOriginMatrix, ); this._isClipped = intersection !== Intersect.INSIDE; if (intersection === Intersect.OUTSIDE) { @@ -1589,9 +1588,8 @@ Cesium3DTile.prototype.contentVisibility = function (frameState) { const clippingPolygons = tileset.clippingPolygons; if (defined(clippingPolygons) && clippingPolygons.enabled) { - const intersection = clippingPolygons.computeIntersectionWithBoundingVolume( - boundingVolume - ); + const intersection = + clippingPolygons.computeIntersectionWithBoundingVolume(boundingVolume); this._isClippedByPolygon = intersection !== Intersect.OUTSIDE; if (intersection === Intersect.INSIDE) { return Intersect.OUTSIDE; @@ -1630,7 +1628,7 @@ Cesium3DTile.prototype.distanceToTileCenter = function (frameState) { const toCenter = Cartesian3.subtract( boundingVolume.center, frameState.camera.positionWC, - scratchToTileCenter + scratchToTileCenter, ); return Cartesian3.dot(frameState.camera.directionWC, toCenter); }; @@ -1694,7 +1692,7 @@ function createBoxFromTransformedRegion( region, transform, initialTransform, - result + result, ) { const rectangle = Rectangle.unpack(region, 0, scratchRectangle); const minimumHeight = region[4]; @@ -1705,7 +1703,7 @@ function createBoxFromTransformedRegion( minimumHeight, maximumHeight, Ellipsoid.WGS84, - scratchOrientedBoundingBox + scratchOrientedBoundingBox, ); let center = orientedBoundingBox.center; let halfAxes = orientedBoundingBox.halfAxes; @@ -1716,7 +1714,7 @@ function createBoxFromTransformedRegion( transform = Matrix4.multiplyTransformation( transform, Matrix4.inverseTransformation(initialTransform, scratchTransform), - scratchTransform + scratchTransform, ); center = Matrix4.multiplyByPoint(transform, center, center); const rotationScale = Matrix4.getMatrix3(transform, scratchMatrix); @@ -1746,7 +1744,7 @@ function createRegion(region, transform, initialTransform, result) { region, transform, initialTransform, - result + result, ); } @@ -1781,7 +1779,7 @@ function createSphere(sphere, transform, result) { sphere[0], sphere[1], sphere[2], - scratchCenter + scratchCenter, ); let radius = sphere[3]; @@ -1812,17 +1810,15 @@ function createSphere(sphere, transform, result) { Cesium3DTile.prototype.createBoundingVolume = function ( boundingVolumeHeader, transform, - result + result, ) { // if explicit tile metadata includes TILE_BOUNDING_BOX, TILE_BOUNDING_REGION, // or TILE_BOUNDING_SPHERE, override tile.boundingVolume. const tileMetadata = this.metadata; let metadataBoundingVolumeHeader; if (defined(tileMetadata)) { - metadataBoundingVolumeHeader = BoundingVolumeSemantics.parseBoundingVolumeSemantic( - "TILE", - tileMetadata - ); + metadataBoundingVolumeHeader = + BoundingVolumeSemantics.parseBoundingVolumeSemantic("TILE", tileMetadata); } if (defined(metadataBoundingVolumeHeader)) { boundingVolumeHeader = metadataBoundingVolumeHeader; @@ -1834,7 +1830,7 @@ Cesium3DTile.prototype.createBoundingVolume = function ( if (hasExtension(boundingVolumeHeader, "3DTILES_bounding_volume_S2")) { return new TileBoundingS2Cell( - boundingVolumeHeader.extensions["3DTILES_bounding_volume_S2"] + boundingVolumeHeader.extensions["3DTILES_bounding_volume_S2"], ); } @@ -1845,7 +1841,7 @@ Cesium3DTile.prototype.createBoundingVolume = function ( exaggerateBoundingBox( tileOrientedBoundingBox, this._verticalExaggeration, - this._verticalExaggerationRelativeHeight + this._verticalExaggerationRelativeHeight, ); } return tileOrientedBoundingBox; @@ -1855,7 +1851,7 @@ Cesium3DTile.prototype.createBoundingVolume = function ( region, transform, this._initialTransform, - result + result, ); if (this._verticalExaggeration === 1.0) { return tileBoundingVolume; @@ -1864,18 +1860,18 @@ Cesium3DTile.prototype.createBoundingVolume = function ( exaggerateBoundingBox( tileBoundingVolume, this._verticalExaggeration, - this._verticalExaggerationRelativeHeight + this._verticalExaggerationRelativeHeight, ); } else { tileBoundingVolume.minimumHeight = VerticalExaggeration.getHeight( tileBoundingVolume.minimumHeight, this._verticalExaggeration, - this._verticalExaggerationRelativeHeight + this._verticalExaggerationRelativeHeight, ); tileBoundingVolume.maximumHeight = VerticalExaggeration.getHeight( tileBoundingVolume.maximumHeight, this._verticalExaggeration, - this._verticalExaggerationRelativeHeight + this._verticalExaggerationRelativeHeight, ); tileBoundingVolume.computeBoundingVolumes(Ellipsoid.WGS84); } @@ -1889,7 +1885,7 @@ Cesium3DTile.prototype.createBoundingVolume = function ( Ellipsoid.WGS84, this._verticalExaggeration, this._verticalExaggerationRelativeHeight, - scratchCenter + scratchCenter, ); const exaggeratedRadius = tileBoundingSphere.radius * this._verticalExaggeration; @@ -1898,12 +1894,12 @@ Cesium3DTile.prototype.createBoundingVolume = function ( return tileBoundingSphere; } throw new RuntimeError( - "boundingVolume must contain a sphere, region, or box" + "boundingVolume must contain a sphere, region, or box", ); }; const scratchExaggeratedCorners = Cartesian3.unpackArray( - new Array(8 * 3).fill(0) + new Array(8 * 3).fill(0), ); /** @@ -1917,7 +1913,7 @@ const scratchExaggeratedCorners = Cartesian3.unpackArray( function exaggerateBoundingBox( tileOrientedBoundingBox, exaggeration, - exaggerationRelativeHeight + exaggerationRelativeHeight, ) { const exaggeratedCorners = tileOrientedBoundingBox.boundingVolume .computeCorners(scratchExaggeratedCorners) @@ -1927,16 +1923,16 @@ function exaggerateBoundingBox( Ellipsoid.WGS84, exaggeration, exaggerationRelativeHeight, - corner - ) + corner, + ), ); const exaggeratedBox = OrientedBoundingBox.fromPoints( exaggeratedCorners, - scratchOrientedBoundingBox + scratchOrientedBoundingBox, ); tileOrientedBoundingBox.update( exaggeratedBox.center, - exaggeratedBox.halfAxes + exaggeratedBox.halfAxes, ); } @@ -1949,17 +1945,17 @@ function exaggerateBoundingBox( */ Cesium3DTile.prototype.updateTransform = function ( parentTransform, - frameState + frameState, ) { parentTransform = defaultValue(parentTransform, Matrix4.IDENTITY); const computedTransform = Matrix4.multiplyTransformation( parentTransform, this.transform, - scratchTransform + scratchTransform, ); const transformChanged = !Matrix4.equals( computedTransform, - this.computedTransform + this.computedTransform, ); const exaggerationChanged = defined(frameState) && @@ -1985,20 +1981,20 @@ Cesium3DTile.prototype.updateTransform = function ( this._boundingVolume = this.createBoundingVolume( header.boundingVolume, this.computedTransform, - this._boundingVolume + this._boundingVolume, ); if (defined(this._contentBoundingVolume)) { this._contentBoundingVolume = this.createBoundingVolume( contentHeader.boundingVolume, this.computedTransform, - this._contentBoundingVolume + this._contentBoundingVolume, ); } if (defined(this._viewerRequestVolume)) { this._viewerRequestVolume = this.createBoundingVolume( header.viewerRequestVolume, this.computedTransform, - this._viewerRequestVolume + this._viewerRequestVolume, ); } @@ -2057,12 +2053,11 @@ function applyDebugSettings(tile, tileset, frameState, passOptions) { tile._debugBoundingVolume = tile._boundingVolume.createDebugVolume(color); } tile._debugBoundingVolume.update(frameState); - const attributes = tile._debugBoundingVolume.getGeometryInstanceAttributes( - "outline" - ); + const attributes = + tile._debugBoundingVolume.getGeometryInstanceAttributes("outline"); attributes.color = ColorGeometryInstanceAttribute.toValue( color, - attributes.color + attributes.color, ); } else if (!showVolume && defined(tile._debugBoundingVolume)) { tile._debugBoundingVolume = tile._debugBoundingVolume.destroy(); @@ -2070,16 +2065,16 @@ function applyDebugSettings(tile, tileset, frameState, passOptions) { if (tileset.debugShowContentBoundingVolume && hasContentBoundingVolume) { if (!defined(tile._debugContentBoundingVolume)) { - tile._debugContentBoundingVolume = tile._contentBoundingVolume.createDebugVolume( - Color.BLUE - ); + tile._debugContentBoundingVolume = + tile._contentBoundingVolume.createDebugVolume(Color.BLUE); } tile._debugContentBoundingVolume.update(frameState); } else if ( !tileset.debugShowContentBoundingVolume && defined(tile._debugContentBoundingVolume) ) { - tile._debugContentBoundingVolume = tile._debugContentBoundingVolume.destroy(); + tile._debugContentBoundingVolume = + tile._debugContentBoundingVolume.destroy(); } if ( @@ -2087,9 +2082,8 @@ function applyDebugSettings(tile, tileset, frameState, passOptions) { defined(tile._viewerRequestVolume) ) { if (!defined(tile._debugViewerRequestVolume)) { - tile._debugViewerRequestVolume = tile._viewerRequestVolume.createDebugVolume( - Color.YELLOW - ); + tile._debugViewerRequestVolume = + tile._viewerRequestVolume.createDebugVolume(Color.YELLOW); } tile._debugViewerRequestVolume.update(frameState); } else if ( @@ -2314,7 +2308,7 @@ function priorityNormalizeAndClamp(value, minimum, maximum) { // Subtract epsilon since we only want decimal digits present in the output. return Math.max( CesiumMath.normalize(value, minimum, maximum) - CesiumMath.EPSILON7, - 0.0 + 0.0, ); } @@ -2352,7 +2346,7 @@ Cesium3DTile.prototype.updatePriority = function () { const preloadProgressiveResolutionDigitsCount = digitsForABoolean; const preloadProgressiveResolutionScale = Math.pow( 10, - preloadProgressiveResolutionLeftShift + preloadProgressiveResolutionLeftShift, ); const foveatedDeferLeftShift = @@ -2369,7 +2363,7 @@ Cesium3DTile.prototype.updatePriority = function () { let depthDigits = priorityNormalizeAndClamp( this._depth, minimumPriority.depth, - maximumPriority.depth + maximumPriority.depth, ); depthDigits = preferLeaves ? 1.0 - depthDigits : depthDigits; @@ -2381,17 +2375,17 @@ Cesium3DTile.prototype.updatePriority = function () { ? priorityNormalizeAndClamp( this._priorityHolder._distanceToCamera, minimumPriority.distance, - maximumPriority.distance + maximumPriority.distance, ) : priorityNormalizeAndClamp( this._priorityReverseScreenSpaceError, minimumPriority.reverseScreenSpaceError, - maximumPriority.reverseScreenSpaceError + maximumPriority.reverseScreenSpaceError, ); const preferredSortingDigits = isolateDigits( normalizedPreferredSorting, preferredSortingDigitsCount, - preferredSortingLeftShift + preferredSortingLeftShift, ); const preloadProgressiveResolutionDigits = this._priorityProgressiveResolution @@ -2401,12 +2395,12 @@ Cesium3DTile.prototype.updatePriority = function () { const normalizedFoveatedFactor = priorityNormalizeAndClamp( this._priorityHolder._foveatedFactor, minimumPriority.foveatedFactor, - maximumPriority.foveatedFactor + maximumPriority.foveatedFactor, ); const foveatedDigits = isolateDigits( normalizedFoveatedFactor, foveatedDigitsCount, - foveatedLeftShift + foveatedLeftShift, ); const foveatedDeferDigits = this.priorityDeferred ? foveatedDeferScale : 0; diff --git a/packages/engine/Source/Scene/Cesium3DTileBatchTable.js b/packages/engine/Source/Scene/Cesium3DTileBatchTable.js index b9a678cdd8c5..5bb9f4f3ac42 100644 --- a/packages/engine/Source/Scene/Cesium3DTileBatchTable.js +++ b/packages/engine/Source/Scene/Cesium3DTileBatchTable.js @@ -37,7 +37,7 @@ function Cesium3DTileBatchTable( featuresLength, batchTableJson, batchTableBinary, - colorChangedCallback + colorChangedCallback, ) { /** * @readonly @@ -56,17 +56,16 @@ function Cesium3DTileBatchTable( this._batchTableHierarchy = initializeHierarchy( this, batchTableJson, - batchTableBinary + batchTableBinary, ); const binaryProperties = getBinaryProperties( featuresLength, properties, - batchTableBinary - ); - this._binaryPropertiesByteLength = countBinaryPropertyMemory( - binaryProperties + batchTableBinary, ); + this._binaryPropertiesByteLength = + countBinaryPropertyMemory(binaryProperties); this._batchTableBinaryProperties = binaryProperties; this._content = content; @@ -139,7 +138,7 @@ function initializeHierarchy(batchTable, jsonHeader, binaryBody) { if (defined(legacyHierarchy)) { Cesium3DTileBatchTable._deprecationWarning( "batchTableHierarchyExtension", - "The batch table HIERARCHY property has been moved to an extension. Use extensions.3DTILES_batch_table_hierarchy instead." + "The batch table HIERARCHY property has been moved to an extension. Use extensions.3DTILES_batch_table_hierarchy instead.", ); batchTable._extensions["3DTILES_batch_table_hierarchy"] = legacyHierarchy; hierarchy = legacyHierarchy; @@ -173,7 +172,7 @@ function getBinaryProperties(featuresLength, properties, binaryBody) { } if (!defined(binaryBody)) { throw new RuntimeError( - `Property ${name} requires a batch table binary.` + `Property ${name} requires a batch table binary.`, ); } @@ -183,7 +182,7 @@ function getBinaryProperties(featuresLength, properties, binaryBody) { const typedArray = binaryAccessor.createArrayBufferView( binaryBody.buffer, binaryBody.byteOffset + byteOffset, - featuresLength + featuresLength, ); if (!defined(binaryProperties)) { @@ -220,7 +219,7 @@ function countBinaryPropertyMemory(binaryProperties) { Cesium3DTileBatchTable.getBinaryProperties = function ( featuresLength, batchTableJson, - batchTableBinary + batchTableBinary, ) { return getBinaryProperties(featuresLength, batchTableJson, batchTableBinary); }; @@ -269,7 +268,7 @@ Cesium3DTileBatchTable.prototype.applyStyle = function (style) { const color = defined(style.color) ? defaultValue( style.color.evaluateColor(feature, scratchColor), - DEFAULT_COLOR_VALUE + DEFAULT_COLOR_VALUE, ) : DEFAULT_COLOR_VALUE; const show = defined(style.show) @@ -303,7 +302,7 @@ function checkBatchId(batchId, featuresLength) { if (!defined(batchId) || batchId < 0 || batchId >= featuresLength) { throw new DeveloperError( `batchId is required and must be between zero and featuresLength - 1 (${featuresLength}` - - +")." + +").", ); } } @@ -378,7 +377,7 @@ Cesium3DTileBatchTable.prototype.getPropertyIds = function (batchId, results) { if (defined(this._batchTableHierarchy)) { results.push.apply( results, - this._batchTableHierarchy.getPropertyIds(batchId, scratchPropertyIds) + this._batchTableHierarchy.getPropertyIds(batchId, scratchPropertyIds), ); } @@ -390,7 +389,7 @@ Cesium3DTileBatchTable.prototype.getPropertyIds = function (batchId, results) { */ Cesium3DTileBatchTable.prototype.getPropertyBySemantic = function ( batchId, - name + name, ) { // Cesium 3D Tiles 1.0 formats do not have semantics return undefined; @@ -417,7 +416,7 @@ Cesium3DTileBatchTable.prototype.getProperty = function (batchId, name) { if (defined(this._batchTableHierarchy)) { const hierarchyProperty = this._batchTableHierarchy.getProperty( batchId, - name + name, ); if (defined(hierarchyProperty)) { return hierarchyProperty; @@ -491,7 +490,7 @@ function getGlslComputeSt(batchTable) { Cesium3DTileBatchTable.prototype.getVertexShaderCallback = function ( handleTranslucent, batchIdAttributeName, - diffuseAttributeOrUniformName + diffuseAttributeOrUniformName, ) { if (this.featuresLength === 0) { return; @@ -504,7 +503,7 @@ Cesium3DTileBatchTable.prototype.getVertexShaderCallback = function ( const renamedSource = modifyDiffuse( source, diffuseAttributeOrUniformName, - false + false, ); let newMain; @@ -636,7 +635,7 @@ function modifyDiffuse(source, diffuseAttributeOrUniformName, applyHighlight) { // uniform vec3 u_diffuseColor; // uniform sampler2D diffuseTexture; let regex = new RegExp( - `(uniform|attribute|in)\\s+(vec[34]|sampler2D)\\s+${diffuseAttributeOrUniformName};` + `(uniform|attribute|in)\\s+(vec[34]|sampler2D)\\s+${diffuseAttributeOrUniformName};`, ); const uniformMatch = source.match(regex); @@ -716,7 +715,7 @@ function modifyDiffuse(source, diffuseAttributeOrUniformName, applyHighlight) { Cesium3DTileBatchTable.prototype.getFragmentShaderCallback = function ( handleTranslucent, diffuseAttributeOrUniformName, - hasPremultipliedAlpha + hasPremultipliedAlpha, ) { if (this.featuresLength === 0) { return; @@ -784,43 +783,44 @@ Cesium3DTileBatchTable.prototype.getFragmentShaderCallback = function ( }; }; -Cesium3DTileBatchTable.prototype.getClassificationFragmentShaderCallback = function () { - if (this.featuresLength === 0) { - return; - } - return function (source) { - source = ShaderSource.replaceMain(source, "tile_main"); - if (ContextLimits.maximumVertexTextureImageUnits > 0) { - // When VTF is supported, per-feature show/hide already happened in the fragment shader - source += - "uniform sampler2D tile_pickTexture;\n" + - "in vec2 tile_featureSt; \n" + - "in vec4 tile_featureColor; \n" + - "void main() \n" + - "{ \n" + - " tile_main(); \n" + - " out_FragColor = tile_featureColor; \n" + - " out_FragColor.rgb *= out_FragColor.a; \n" + - "}"; - } else { - source += - "uniform sampler2D tile_batchTexture; \n" + - "uniform sampler2D tile_pickTexture;\n" + - "in vec2 tile_featureSt; \n" + - "void main() \n" + - "{ \n" + - " tile_main(); \n" + - " vec4 featureProperties = texture(tile_batchTexture, tile_featureSt); \n" + - " if (featureProperties.a == 0.0) { \n" + // show: alpha == 0 - false, non-zero - true - " discard; \n" + - " } \n" + - " out_FragColor = featureProperties; \n" + - " out_FragColor.rgb *= out_FragColor.a; \n" + - "} \n"; +Cesium3DTileBatchTable.prototype.getClassificationFragmentShaderCallback = + function () { + if (this.featuresLength === 0) { + return; } - return source; + return function (source) { + source = ShaderSource.replaceMain(source, "tile_main"); + if (ContextLimits.maximumVertexTextureImageUnits > 0) { + // When VTF is supported, per-feature show/hide already happened in the fragment shader + source += + "uniform sampler2D tile_pickTexture;\n" + + "in vec2 tile_featureSt; \n" + + "in vec4 tile_featureColor; \n" + + "void main() \n" + + "{ \n" + + " tile_main(); \n" + + " out_FragColor = tile_featureColor; \n" + + " out_FragColor.rgb *= out_FragColor.a; \n" + + "}"; + } else { + source += + "uniform sampler2D tile_batchTexture; \n" + + "uniform sampler2D tile_pickTexture;\n" + + "in vec2 tile_featureSt; \n" + + "void main() \n" + + "{ \n" + + " tile_main(); \n" + + " vec4 featureProperties = texture(tile_batchTexture, tile_featureSt); \n" + + " if (featureProperties.a == 0.0) { \n" + // show: alpha == 0 - false, non-zero - true + " discard; \n" + + " } \n" + + " out_FragColor = featureProperties; \n" + + " out_FragColor.rgb *= out_FragColor.a; \n" + + "} \n"; + } + return source; + }; }; -}; function getColorBlend(batchTable) { const tileset = batchTable._content.tileset; @@ -853,7 +853,7 @@ Cesium3DTileBatchTable.prototype.getUniformMapCallback = function () { // PERFORMANCE_IDEA: we could also use a custom shader that avoids the texture read. return defaultValue( that._batchTexture.batchTexture, - that._batchTexture.defaultTexture + that._batchTexture.defaultTexture, ); }, tile_textureDimensions: function () { @@ -888,7 +888,7 @@ const StyleCommandsNeeded = { Cesium3DTileBatchTable.prototype.addDerivedCommands = function ( frameState, - commandStart + commandStart, ) { const commandList = frameState.commandList; const commandEnd = commandList.length; @@ -938,7 +938,7 @@ Cesium3DTileBatchTable.prototype.addDerivedCommands = function ( if (!defined(derivedCommands.zback)) { derivedCommands.zback = deriveZBackfaceCommand( frameState.context, - originalCommand + originalCommand, ); } tileset._backfaceCommands.push(derivedCommands.zback); @@ -951,7 +951,7 @@ Cesium3DTileBatchTable.prototype.addDerivedCommands = function ( if (command.renderState.depthMask) { derivedCommands.stencil = deriveStencilCommand( originalCommand, - tile._selectionDepth + tile._selectionDepth, ); } else { // Ignore if tile does not write depth @@ -1043,7 +1043,7 @@ function deriveOpaqueCommand(command) { function getLogDepthPolygonOffsetFragmentShaderProgram(context, shaderProgram) { let shader = context.shaderCache.getDerivedShaderProgram( shaderProgram, - "zBackfaceLogDepth" + "zBackfaceLogDepth", ); if (!defined(shader)) { const fs = shaderProgram.fragmentShaderSource.clone(); @@ -1057,7 +1057,7 @@ function getLogDepthPolygonOffsetFragmentShaderProgram(context, shaderProgram) { vertexShaderSource: shaderProgram.vertexShaderSource, fragmentShaderSource: fs, attributeLocations: shaderProgram._attributeLocations, - } + }, ); } @@ -1103,7 +1103,7 @@ function deriveZBackfaceCommand(context, command) { // tiles to disappear. derivedCommand.shaderProgram = getLogDepthPolygonOffsetFragmentShaderProgram( context, - command.shaderProgram + command.shaderProgram, ); return derivedCommand; } diff --git a/packages/engine/Source/Scene/Cesium3DTileContentFactory.js b/packages/engine/Source/Scene/Cesium3DTileContentFactory.js index 281235e37778..7c18fdb7a19f 100644 --- a/packages/engine/Source/Scene/Cesium3DTileContentFactory.js +++ b/packages/engine/Source/Scene/Cesium3DTileContentFactory.js @@ -18,7 +18,7 @@ const Cesium3DTileContentFactory = { tile, resource, arrayBuffer, - byteOffset + byteOffset, ); }, pnts: function (tileset, tile, resource, arrayBuffer, byteOffset) { @@ -27,7 +27,7 @@ const Cesium3DTileContentFactory = { tile, resource, arrayBuffer, - byteOffset + byteOffset, ); }, i3dm: function (tileset, tile, resource, arrayBuffer, byteOffset) { @@ -36,7 +36,7 @@ const Cesium3DTileContentFactory = { tile, resource, arrayBuffer, - byteOffset + byteOffset, ); }, cmpt: function (tileset, tile, resource, arrayBuffer, byteOffset) { @@ -47,7 +47,7 @@ const Cesium3DTileContentFactory = { resource, arrayBuffer, byteOffset, - Cesium3DTileContentFactory + Cesium3DTileContentFactory, ); }, externalTileset: function (tileset, tile, resource, json) { @@ -59,7 +59,7 @@ const Cesium3DTileContentFactory = { tile, resource, arrayBuffer, - byteOffset + byteOffset, ); }, vctr: function (tileset, tile, resource, arrayBuffer, byteOffset) { @@ -68,7 +68,7 @@ const Cesium3DTileContentFactory = { tile, resource, arrayBuffer, - byteOffset + byteOffset, ); }, subt: function (tileset, tile, resource, arrayBuffer, byteOffset) { @@ -78,7 +78,7 @@ const Cesium3DTileContentFactory = { resource, undefined, arrayBuffer, - byteOffset + byteOffset, ); }, subtreeJson: function (tileset, tile, resource, json) { diff --git a/packages/engine/Source/Scene/Cesium3DTileFeature.js b/packages/engine/Source/Scene/Cesium3DTileFeature.js index 49d3dd06e1c8..037de779ad92 100644 --- a/packages/engine/Source/Scene/Cesium3DTileFeature.js +++ b/packages/engine/Source/Scene/Cesium3DTileFeature.js @@ -361,7 +361,7 @@ Cesium3DTileFeature.prototype.getPropertyInherited = function (name) { return Cesium3DTileFeature.getPropertyInherited( this._content, this._batchId, - name + name, ); }; diff --git a/packages/engine/Source/Scene/Cesium3DTileFeatureTable.js b/packages/engine/Source/Scene/Cesium3DTileFeatureTable.js index a503ba18fc1c..a691d9ec1792 100644 --- a/packages/engine/Source/Scene/Cesium3DTileFeatureTable.js +++ b/packages/engine/Source/Scene/Cesium3DTileFeatureTable.js @@ -18,7 +18,7 @@ function getTypedArrayFromBinary( componentType, componentLength, count, - byteOffset + byteOffset, ) { const cachedTypedArrays = featureTable._cachedTypedArrays; let typedArray = cachedTypedArrays[semantic]; @@ -27,7 +27,7 @@ function getTypedArrayFromBinary( componentType, featureTable.buffer.buffer, featureTable.buffer.byteOffset + byteOffset, - count * componentLength + count * componentLength, ); cachedTypedArrays[semantic] = typedArray; } @@ -47,7 +47,7 @@ function getTypedArrayFromArray(featureTable, semantic, componentType, array) { Cesium3DTileFeatureTable.prototype.getGlobalProperty = function ( semantic, componentType, - componentLength + componentLength, ) { const jsonValue = this.json[semantic]; if (!defined(jsonValue)) { @@ -63,7 +63,7 @@ Cesium3DTileFeatureTable.prototype.getGlobalProperty = function ( componentType, componentLength, 1, - jsonValue.byteOffset + jsonValue.byteOffset, ); } @@ -77,7 +77,7 @@ Cesium3DTileFeatureTable.prototype.hasProperty = function (semantic) { Cesium3DTileFeatureTable.prototype.getPropertyArray = function ( semantic, componentType, - componentLength + componentLength, ) { const jsonValue = this.json[semantic]; if (!defined(jsonValue)) { @@ -94,7 +94,7 @@ Cesium3DTileFeatureTable.prototype.getPropertyArray = function ( componentType, componentLength, this.featuresLength, - jsonValue.byteOffset + jsonValue.byteOffset, ); } @@ -106,7 +106,7 @@ Cesium3DTileFeatureTable.prototype.getProperty = function ( componentType, componentLength, featureId, - result + result, ) { const jsonValue = this.json[semantic]; if (!defined(jsonValue)) { @@ -116,7 +116,7 @@ Cesium3DTileFeatureTable.prototype.getProperty = function ( const typedArray = this.getPropertyArray( semantic, componentType, - componentLength + componentLength, ); if (componentLength === 1) { diff --git a/packages/engine/Source/Scene/Cesium3DTileOptimizations.js b/packages/engine/Source/Scene/Cesium3DTileOptimizations.js index 9e836942f74c..d4a5d1c9dafd 100644 --- a/packages/engine/Source/Scene/Cesium3DTileOptimizations.js +++ b/packages/engine/Source/Scene/Cesium3DTileOptimizations.js @@ -67,7 +67,7 @@ Cesium3DTileOptimizations.checkChildrenWithinParent = function (tile) { const axis = Cartesian3.subtract( childOrientedBoundingBox.center, orientedBoundingBox.center, - scratchAxis + scratchAxis, ); const axisLength = Cartesian3.magnitude(axis); Cartesian3.divideByScalar(axis, axisLength, axis); diff --git a/packages/engine/Source/Scene/Cesium3DTilePointFeature.js b/packages/engine/Source/Scene/Cesium3DTilePointFeature.js index 01158482ac53..52ab3f469fbb 100644 --- a/packages/engine/Source/Scene/Cesium3DTilePointFeature.js +++ b/packages/engine/Source/Scene/Cesium3DTilePointFeature.js @@ -46,7 +46,7 @@ function Cesium3DTilePointFeature( batchId, billboard, label, - polyline + polyline, ) { this._content = content; this._billboard = billboard; @@ -416,7 +416,7 @@ Object.defineProperties(Cesium3DTilePointFeature.prototype, { const ellipsoid = this._content.tileset.ellipsoid; const cart = ellipsoid.cartesianToCartographic( this._billboard.position, - scratchCartographic + scratchCartographic, ); cart.height = cart.height - offset + value; const newPosition = ellipsoid.cartographicToCartesian(cart); @@ -465,7 +465,7 @@ Object.defineProperties(Cesium3DTilePointFeature.prototype, { set: function (value) { this._polyline.material.uniforms.color = Color.clone( value, - this._polyline.material.uniforms.color + this._polyline.material.uniforms.color, ); }, }, @@ -654,19 +654,19 @@ function setBillboardImage(feature) { const newColor = defaultValue( feature._color, - Cesium3DTilePointFeature.defaultColor + Cesium3DTilePointFeature.defaultColor, ); const newOutlineColor = defaultValue( feature._pointOutlineColor, - Cesium3DTilePointFeature.defaultPointOutlineColor + Cesium3DTilePointFeature.defaultPointOutlineColor, ); const newOutlineWidth = defaultValue( feature._pointOutlineWidth, - Cesium3DTilePointFeature.defaultPointOutlineWidth + Cesium3DTilePointFeature.defaultPointOutlineWidth, ); const newPointSize = defaultValue( feature._pointSize, - Cesium3DTilePointFeature.defaultPointSize + Cesium3DTilePointFeature.defaultPointSize, ); const currentColor = feature._billboardColor; @@ -686,7 +686,7 @@ function setBillboardImage(feature) { feature._billboardColor = Color.clone(newColor, feature._billboardColor); feature._billboardOutlineColor = Color.clone( newOutlineColor, - feature._billboardOutlineColor + feature._billboardOutlineColor, ); feature._billboardOutlineWidth = newOutlineWidth; feature._billboardSize = newPointSize; @@ -708,8 +708,8 @@ function setBillboardImage(feature) { cssColor, cssOutlineColor, newOutlineWidth, - newPointSize - ) + newPointSize, + ), ); } @@ -781,7 +781,7 @@ Cesium3DTilePointFeature.prototype.getPropertyInherited = function (name) { return Cesium3DTileFeature.getPropertyInherited( this._content, this._batchId, - name + name, ); }; diff --git a/packages/engine/Source/Scene/Cesium3DTilesVoxelProvider.js b/packages/engine/Source/Scene/Cesium3DTilesVoxelProvider.js index a04084e840ca..f473b19b96e7 100644 --- a/packages/engine/Source/Scene/Cesium3DTilesVoxelProvider.js +++ b/packages/engine/Source/Scene/Cesium3DTilesVoxelProvider.js @@ -138,13 +138,8 @@ Cesium3DTilesVoxelProvider.fromUrl = async function (url) { const implicitTileset = new ImplicitTileset(resource, root, metadataSchema); - const { - shape, - minBounds, - maxBounds, - shapeTransform, - globalTransform, - } = getShape(root); + const { shape, minBounds, maxBounds, shapeTransform, globalTransform } = + getShape(root); provider.shape = shape; provider.minBounds = minBounds; @@ -178,7 +173,7 @@ function getTileCount(metadata) { } return metadata.tileset.getPropertyBySemantic( - MetadataSemantic.TILESET_TILE_COUNT + MetadataSemantic.TILESET_TILE_COUNT, ); } @@ -191,7 +186,7 @@ function validate(tileset) { if (!hasExtension(root.content, "3DTILES_content_voxels")) { throw new RuntimeError( - "Root tile content must have 3DTILES_content_voxels extension" + "Root tile content must have 3DTILES_content_voxels extension", ); } @@ -228,12 +223,12 @@ function getShape(tile) { } else if (hasExtension(boundingVolume, "3DTILES_bounding_volume_cylinder")) { return getCylinderShape( boundingVolume.extensions["3DTILES_bounding_volume_cylinder"].cylinder, - tileTransform + tileTransform, ); } throw new RuntimeError( - "Only box, region and 3DTILES_bounding_volume_cylinder are supported in Cesium3DTilesVoxelProvider" + "Only box, region and 3DTILES_bounding_volume_cylinder are supported in Cesium3DTilesVoxelProvider", ); } @@ -263,7 +258,7 @@ function getBoxShape(box, tileTransform) { const obb = OrientedBoundingBox.unpack(box); const shapeTransform = Matrix4.fromRotationTranslation( obb.halfAxes, - obb.center + obb.center, ); return { @@ -279,7 +274,7 @@ function getCylinderShape(cylinder, tileTransform) { const obb = OrientedBoundingBox.unpack(cylinder); const shapeTransform = Matrix4.fromRotationTranslation( obb.halfAxes, - obb.center + obb.center, ); return { @@ -345,11 +340,10 @@ function copyArray(values, length) { } async function getVoxelContent(implicitTileset, tileCoordinates) { - const voxelRelative = implicitTileset.contentUriTemplates[0].getDerivedResource( - { + const voxelRelative = + implicitTileset.contentUriTemplates[0].getDerivedResource({ templateValues: tileCoordinates.getTemplateValues(), - } - ); + }); const voxelResource = implicitTileset.baseResource.getDerivedResource({ url: voxelRelative.url, }); @@ -361,7 +355,7 @@ async function getVoxelContent(implicitTileset, tileCoordinates) { voxelResource, preprocessed.jsonPayload, preprocessed.binaryPayload, - implicitTileset.metadataSchema + implicitTileset.metadataSchema, ); return voxelContent; @@ -381,7 +375,7 @@ async function getSubtreePromise(provider, subtreeCoord) { const subtreeRelative = implicitTileset.subtreeUriTemplate.getDerivedResource( { templateValues: subtreeCoord.getTemplateValues(), - } + }, ); const subtreeResource = implicitTileset.baseResource.getDerivedResource({ url: subtreeRelative.url, @@ -402,7 +396,7 @@ async function getSubtreePromise(provider, subtreeCoord) { preprocessed.jsonPayload, preprocessed.binaryPayload, implicitTileset, - subtreeCoord + subtreeCoord, ); subtreeCache.addSubtree(subtree); return subtree; diff --git a/packages/engine/Source/Scene/Cesium3DTilesetBaseTraversal.js b/packages/engine/Source/Scene/Cesium3DTilesetBaseTraversal.js index a3a012d04fae..bd48b939cdae 100644 --- a/packages/engine/Source/Scene/Cesium3DTilesetBaseTraversal.js +++ b/packages/engine/Source/Scene/Cesium3DTilesetBaseTraversal.js @@ -162,11 +162,11 @@ function updateAndPushChildren(tile, stack, frameState) { : tile; // This is where priority dependency chains are wired up or started anew. priorityHolder._foveatedFactor = Math.min( minPriorityChild._foveatedFactor, - priorityHolder._foveatedFactor + priorityHolder._foveatedFactor, ); priorityHolder._distanceToCamera = Math.min( minPriorityChild._distanceToCamera, - priorityHolder._distanceToCamera + priorityHolder._distanceToCamera, ); for (let i = 0; i < children.length; ++i) { @@ -189,19 +189,15 @@ function updateAndPushChildren(tile, stack, frameState) { function executeTraversal(root, frameState) { const { tileset } = root; - const { - canTraverse, - loadTile, - visitTile, - touchTile, - } = Cesium3DTilesetTraversal; + const { canTraverse, loadTile, visitTile, touchTile } = + Cesium3DTilesetTraversal; const stack = traversal.stack; stack.push(root); while (stack.length > 0) { traversal.stackMaximumLength = Math.max( traversal.stackMaximumLength, - stack.length + stack.length, ); const tile = stack.pop(); @@ -249,12 +245,8 @@ function executeTraversal(root, frameState) { * @returns {boolean} */ function executeEmptyTraversal(root, frameState) { - const { - canTraverse, - updateTile, - loadTile, - touchTile, - } = Cesium3DTilesetTraversal; + const { canTraverse, updateTile, loadTile, touchTile } = + Cesium3DTilesetTraversal; let allDescendantsLoaded = true; const stack = emptyTraversal.stack; stack.push(root); @@ -262,7 +254,7 @@ function executeEmptyTraversal(root, frameState) { while (stack.length > 0) { emptyTraversal.stackMaximumLength = Math.max( emptyTraversal.stackMaximumLength, - stack.length + stack.length, ); const tile = stack.pop(); diff --git a/packages/engine/Source/Scene/Cesium3DTilesetCache.js b/packages/engine/Source/Scene/Cesium3DTilesetCache.js index d4a8a5015159..7c09edcbfa41 100644 --- a/packages/engine/Source/Scene/Cesium3DTilesetCache.js +++ b/packages/engine/Source/Scene/Cesium3DTilesetCache.js @@ -37,7 +37,7 @@ Cesium3DTilesetCache.prototype.add = function (tile) { Cesium3DTilesetCache.prototype.unloadTile = function ( tileset, tile, - unloadCallback + unloadCallback, ) { const node = tile.cacheNode; if (!defined(node)) { @@ -51,7 +51,7 @@ Cesium3DTilesetCache.prototype.unloadTile = function ( Cesium3DTilesetCache.prototype.unloadTiles = function ( tileset, - unloadCallback + unloadCallback, ) { const trimTiles = this._trimTiles; this._trimTiles = false; diff --git a/packages/engine/Source/Scene/Cesium3DTilesetHeatmap.js b/packages/engine/Source/Scene/Cesium3DTilesetHeatmap.js index ec01db34d542..6378a5ebcf7d 100644 --- a/packages/engine/Source/Scene/Cesium3DTilesetHeatmap.js +++ b/packages/engine/Source/Scene/Cesium3DTilesetHeatmap.js @@ -57,15 +57,15 @@ function getHeatmapValue(tileValue, tilePropertyName) { Cesium3DTilesetHeatmap.prototype.setReferenceMinimumMaximum = function ( minimum, maximum, - tilePropertyName + tilePropertyName, ) { this._referenceMinimum[tilePropertyName] = getHeatmapValue( minimum, - tilePropertyName + tilePropertyName, ); this._referenceMaximum[tilePropertyName] = getHeatmapValue( maximum, - tilePropertyName + tilePropertyName, ); }; @@ -74,7 +74,7 @@ function getHeatmapValueAndUpdateMinimumMaximum(heatmap, tile) { if (defined(tilePropertyName)) { const heatmapValue = getHeatmapValue( tile[tilePropertyName], - tilePropertyName + tilePropertyName, ); if (!defined(heatmapValue)) { heatmap.tilePropertyName = undefined; @@ -123,7 +123,7 @@ Cesium3DTilesetHeatmap.prototype.colorize = function (tile, frameState) { const shiftedValue = CesiumMath.clamp( heatmapValue - minimum, 0.0, - shiftedMax + shiftedMax, ); // Get position between minimum and maximum and convert that to a position in the color array diff --git a/packages/engine/Source/Scene/Cesium3DTilesetMetadata.js b/packages/engine/Source/Scene/Cesium3DTilesetMetadata.js index 8c2df573bfb2..ec92d7a2caeb 100644 --- a/packages/engine/Source/Scene/Cesium3DTilesetMetadata.js +++ b/packages/engine/Source/Scene/Cesium3DTilesetMetadata.js @@ -58,7 +58,7 @@ function Cesium3DTilesetMetadata(options) { new GroupMetadata({ group: group, class: schema.classes[group.class], - }) + }), ); } } else if (defined(groupsJson)) { @@ -75,7 +75,7 @@ function Cesium3DTilesetMetadata(options) { id: groupId, group: groupsJson[groupId], class: schema.classes[group.class], - }) + }), ); } } diff --git a/packages/engine/Source/Scene/Cesium3DTilesetMostDetailedTraversal.js b/packages/engine/Source/Scene/Cesium3DTilesetMostDetailedTraversal.js index 8645642a852f..00130506cd9f 100644 --- a/packages/engine/Source/Scene/Cesium3DTilesetMostDetailedTraversal.js +++ b/packages/engine/Source/Scene/Cesium3DTilesetMostDetailedTraversal.js @@ -29,7 +29,7 @@ const traversal = { */ Cesium3DTilesetMostDetailedTraversal.selectTiles = function ( tileset, - frameState + frameState, ) { tileset._selectedTiles.length = 0; tileset._requestedTiles.length = 0; @@ -52,7 +52,7 @@ Cesium3DTilesetMostDetailedTraversal.selectTiles = function ( while (stack.length > 0) { traversal.stackMaximumLength = Math.max( traversal.stackMaximumLength, - stack.length + stack.length, ); const tile = stack.pop(); diff --git a/packages/engine/Source/Scene/Cesium3DTilesetSkipTraversal.js b/packages/engine/Source/Scene/Cesium3DTilesetSkipTraversal.js index 81e18c41e78c..eebcff5bc987 100644 --- a/packages/engine/Source/Scene/Cesium3DTilesetSkipTraversal.js +++ b/packages/engine/Source/Scene/Cesium3DTilesetSkipTraversal.js @@ -73,7 +73,7 @@ Cesium3DTilesetSkipTraversal.selectTiles = function (tileset, frameState) { descendantTraversal.stack.trim(descendantTraversal.stackMaximumLength); selectionTraversal.stack.trim(selectionTraversal.stackMaximumLength); selectionTraversal.ancestorStack.trim( - selectionTraversal.ancestorStackMaximumLength + selectionTraversal.ancestorStackMaximumLength, ); // Update the priority for any requests found during traversal @@ -98,7 +98,7 @@ function selectDescendants(root, frameState) { while (stack.length > 0) { descendantTraversal.stackMaximumLength = Math.max( descendantTraversal.stackMaximumLength, - stack.length + stack.length, ); const tile = stack.pop(); const children = tile.children; @@ -269,21 +269,17 @@ function executeTraversal(root, frameState) { ? Number.MAX_VALUE : Math.max( tileset.baseScreenSpaceError, - tileset.memoryAdjustedScreenSpaceError + tileset.memoryAdjustedScreenSpaceError, ); - const { - canTraverse, - loadTile, - visitTile, - touchTile, - } = Cesium3DTilesetTraversal; + const { canTraverse, loadTile, visitTile, touchTile } = + Cesium3DTilesetTraversal; const stack = traversal.stack; stack.push(root); while (stack.length > 0) { traversal.stackMaximumLength = Math.max( traversal.stackMaximumLength, - stack.length + stack.length, ); const tile = stack.pop(); @@ -364,11 +360,11 @@ function traverseAndSelect(root, frameState) { while (stack.length > 0 || ancestorStack.length > 0) { selectionTraversal.stackMaximumLength = Math.max( selectionTraversal.stackMaximumLength, - stack.length + stack.length, ); selectionTraversal.ancestorStackMaximumLength = Math.max( selectionTraversal.ancestorStackMaximumLength, - ancestorStack.length + ancestorStack.length, ); if (ancestorStack.length > 0) { diff --git a/packages/engine/Source/Scene/Cesium3DTilesetStatistics.js b/packages/engine/Source/Scene/Cesium3DTilesetStatistics.js index a75adb2100b7..ed80f3fc67fd 100644 --- a/packages/engine/Source/Scene/Cesium3DTilesetStatistics.js +++ b/packages/engine/Source/Scene/Cesium3DTilesetStatistics.js @@ -89,7 +89,7 @@ function updatePointAndFeatureCounts(statistics, content, decrement, load) { } Cesium3DTilesetStatistics.prototype.incrementSelectionCounts = function ( - content + content, ) { updatePointAndFeatureCounts(this, content, false, false); }; diff --git a/packages/engine/Source/Scene/Cesium3DTilesetTraversal.js b/packages/engine/Source/Scene/Cesium3DTilesetTraversal.js index 57dea371ce94..fd13b76d9d4a 100644 --- a/packages/engine/Source/Scene/Cesium3DTilesetTraversal.js +++ b/packages/engine/Source/Scene/Cesium3DTilesetTraversal.js @@ -165,10 +165,8 @@ function isOnScreenLongEnough(tile, frameState) { return true; } - const { - positionWCDeltaMagnitude, - positionWCDeltaMagnitudeLastFrame, - } = frameState.camera; + const { positionWCDeltaMagnitude, positionWCDeltaMagnitudeLastFrame } = + frameState.camera; const deltaMagnitude = positionWCDeltaMagnitude !== 0.0 ? positionWCDeltaMagnitude @@ -296,29 +294,29 @@ function updateMinimumMaximumPriority(tile) { maximumPriority.distance = Math.max( priorityHolder._distanceToCamera, - maximumPriority.distance + maximumPriority.distance, ); minimumPriority.distance = Math.min( priorityHolder._distanceToCamera, - minimumPriority.distance + minimumPriority.distance, ); maximumPriority.depth = Math.max(tile._depth, maximumPriority.depth); minimumPriority.depth = Math.min(tile._depth, minimumPriority.depth); maximumPriority.foveatedFactor = Math.max( priorityHolder._foveatedFactor, - maximumPriority.foveatedFactor + maximumPriority.foveatedFactor, ); minimumPriority.foveatedFactor = Math.min( priorityHolder._foveatedFactor, - minimumPriority.foveatedFactor + minimumPriority.foveatedFactor, ); maximumPriority.reverseScreenSpaceError = Math.max( tile._priorityReverseScreenSpaceError, - maximumPriority.reverseScreenSpaceError + maximumPriority.reverseScreenSpaceError, ); minimumPriority.reverseScreenSpaceError = Math.min( tile._priorityReverseScreenSpaceError, - minimumPriority.reverseScreenSpaceError + minimumPriority.reverseScreenSpaceError, ); } diff --git a/packages/engine/Source/Scene/ClassificationPrimitive.js b/packages/engine/Source/Scene/ClassificationPrimitive.js index 170b01a83a9f..e08fc9950fdd 100644 --- a/packages/engine/Source/Scene/ClassificationPrimitive.js +++ b/packages/engine/Source/Scene/ClassificationPrimitive.js @@ -110,7 +110,7 @@ function ClassificationPrimitive(options) { */ this.classificationType = defaultValue( options.classificationType, - ClassificationType.BOTH + ClassificationType.BOTH, ); /** * This property is for debugging only; it is not for production use nor is it optimized. @@ -124,7 +124,7 @@ function ClassificationPrimitive(options) { */ this.debugShowBoundingVolume = defaultValue( options.debugShowBoundingVolume, - false + false, ); /** * This property is for debugging only; it is not for production use nor is it optimized. @@ -138,7 +138,7 @@ function ClassificationPrimitive(options) { */ this.debugShowShadowVolume = defaultValue( options.debugShowShadowVolume, - false + false, ); this._debugShowShadowVolume = false; @@ -185,7 +185,7 @@ function ClassificationPrimitive(options) { interleave: defaultValue(options.interleave, false), releaseGeometryInstances: defaultValue( options.releaseGeometryInstances, - true + true, ), allowPicking: defaultValue(options.allowPicking, true), asynchronous: defaultValue(options.asynchronous, true), @@ -434,7 +434,7 @@ function createRenderStates( classificationPrimitive, context, appearance, - twoPasses + twoPasses, ) { if (defined(classificationPrimitive._rsStencilDepthPass)) { return; @@ -442,13 +442,13 @@ function createRenderStates( const stencilEnabled = !classificationPrimitive.debugShowShadowVolume; classificationPrimitive._rsStencilDepthPass = RenderState.fromCache( - getStencilDepthRenderState(stencilEnabled, false) + getStencilDepthRenderState(stencilEnabled, false), ); classificationPrimitive._rsStencilDepthPass3DTiles = RenderState.fromCache( - getStencilDepthRenderState(stencilEnabled, true) + getStencilDepthRenderState(stencilEnabled, true), ); classificationPrimitive._rsColorPass = RenderState.fromCache( - getColorRenderState(stencilEnabled, false) + getColorRenderState(stencilEnabled, false), ); classificationPrimitive._rsPickPass = RenderState.fromCache(pickRenderState); } @@ -471,7 +471,7 @@ function modifyForEncodedNormals(primitive, vertexShaderSource) { modifiedVS = modifiedVS.replace(/in\s+vec3\s+extrudeDirection;/g, ""); modifiedVS = ShaderSource.replaceMain( modifiedVS, - "czm_non_compressed_main" + "czm_non_compressed_main", ); const compressedMain = `${"void main() \n" + "{ \n"}${decode} czm_non_compressed_main(); \n` + @@ -485,14 +485,15 @@ function createShaderProgram(classificationPrimitive, frameState) { const context = frameState.context; const primitive = classificationPrimitive._primitive; let vs = ShadowVolumeAppearanceVS; - vs = classificationPrimitive._primitive._batchTable.getVertexShaderCallback()( - vs - ); + vs = + classificationPrimitive._primitive._batchTable.getVertexShaderCallback()( + vs, + ); vs = Primitive._appendDistanceDisplayConditionToShader(primitive, vs); vs = Primitive._modifyShaderPosition( classificationPrimitive, vs, - frameState.scene3DOnly + frameState.scene3DOnly, ); vs = Primitive._updateColorAttribute(primitive, vs); @@ -521,7 +522,7 @@ function createShaderProgram(classificationPrimitive, frameState) { const shadowVolumeAppearance = new ShadowVolumeAppearance( cullFragmentsUsingExtents, planarExtents, - classificationPrimitive.appearance + classificationPrimitive.appearance, ); classificationPrimitive._spStencil = ShaderProgram.replaceCache({ @@ -542,7 +543,7 @@ function createShaderProgram(classificationPrimitive, frameState) { [extrudedDefine], vsPick, false, - frameState.mapProjection + frameState.mapProjection, ); classificationPrimitive._spPick = ShaderProgram.replaceCache({ @@ -558,7 +559,7 @@ function createShaderProgram(classificationPrimitive, frameState) { if (cullFragmentsUsingExtents) { let pickProgram2D = context.shaderCache.getDerivedShaderProgram( classificationPrimitive._spPick, - "2dPick" + "2dPick", ); if (!defined(pickProgram2D)) { const pickFS2D = shadowVolumeAppearance.createPickFragmentShader(true); @@ -566,7 +567,7 @@ function createShaderProgram(classificationPrimitive, frameState) { [extrudedDefine], vsPick, true, - frameState.mapProjection + frameState.mapProjection, ); pickProgram2D = context.shaderCache.createDerivedShaderProgram( @@ -576,7 +577,7 @@ function createShaderProgram(classificationPrimitive, frameState) { vertexShaderSource: pickVS2D, fragmentShaderSource: pickFS2D, attributeLocations: attributeLocations, - } + }, ); } classificationPrimitive._spPick2D = pickProgram2D; @@ -610,7 +611,7 @@ function createShaderProgram(classificationPrimitive, frameState) { [extrudedDefine], vs, false, - frameState.mapProjection + frameState.mapProjection, ); classificationPrimitive._spColor = ShaderProgram.replaceCache({ @@ -627,7 +628,7 @@ function createShaderProgram(classificationPrimitive, frameState) { if (cullFragmentsUsingExtents) { let colorProgram2D = context.shaderCache.getDerivedShaderProgram( classificationPrimitive._spColor, - "2dColor" + "2dColor", ); if (!defined(colorProgram2D)) { const fsColorSource2D = shadowVolumeAppearance.createFragmentShader(true); @@ -635,7 +636,7 @@ function createShaderProgram(classificationPrimitive, frameState) { [extrudedDefine], vs, true, - frameState.mapProjection + frameState.mapProjection, ); colorProgram2D = context.shaderCache.createDerivedShaderProgram( @@ -645,7 +646,7 @@ function createShaderProgram(classificationPrimitive, frameState) { vertexShaderSource: vsColorSource2D, fragmentShaderSource: fsColorSource2D, attributeLocations: attributeLocations, - } + }, ); } classificationPrimitive._spColor2D = colorProgram2D; @@ -662,7 +663,7 @@ function createColorCommands(classificationPrimitive, colorCommands) { let derivedCommand; let vaIndex = 0; let uniformMap = primitive._batchTable.getUniformMapCallback()( - classificationPrimitive._uniformMap + classificationPrimitive._uniformMap, ); const needs2DShader = classificationPrimitive._needs2DShader; @@ -687,7 +688,7 @@ function createColorCommands(classificationPrimitive, colorCommands) { derivedCommand = DrawCommand.shallowClone( command, - command.derivedCommands.tileset + command.derivedCommands.tileset, ); derivedCommand.renderState = classificationPrimitive._rsStencilDepthPass3DTiles; @@ -718,7 +719,7 @@ function createColorCommands(classificationPrimitive, colorCommands) { derivedCommand = DrawCommand.shallowClone( command, - command.derivedCommands.tileset + command.derivedCommands.tileset, ); derivedCommand.pass = Pass.CESIUM_3D_TILE_CLASSIFICATION; command.derivedCommands.tileset = derivedCommand; @@ -728,7 +729,7 @@ function createColorCommands(classificationPrimitive, colorCommands) { // First derive from the terrain command let derived2DCommand = DrawCommand.shallowClone( command, - command.derivedCommands.appearance2D + command.derivedCommands.appearance2D, ); derived2DCommand.shaderProgram = classificationPrimitive._spColor2D; command.derivedCommands.appearance2D = derived2DCommand; @@ -736,7 +737,7 @@ function createColorCommands(classificationPrimitive, colorCommands) { // Then derive from the 3D Tiles command derived2DCommand = DrawCommand.shallowClone( derivedCommand, - derivedCommand.derivedCommands.appearance2D + derivedCommand.derivedCommands.appearance2D, ); derived2DCommand.shaderProgram = classificationPrimitive._spColor2D; derivedCommand.derivedCommands.appearance2D = derived2DCommand; @@ -752,7 +753,7 @@ function createColorCommands(classificationPrimitive, colorCommands) { for (let j = 0; j < length; ++j) { const commandIgnoreShow = (commandsIgnoreShow[j] = DrawCommand.shallowClone( colorCommands[commandIndex], - commandsIgnoreShow[j] + commandsIgnoreShow[j], )); commandIgnoreShow.shaderProgram = spStencil; commandIgnoreShow.pass = Pass.CESIUM_3D_TILE_CLASSIFICATION_IGNORE_SHOW; @@ -783,7 +784,7 @@ function createPickCommands(classificationPrimitive, pickCommands) { let derivedCommand; let vaIndex = 0; const uniformMap = primitive._batchTable.getUniformMapCallback()( - classificationPrimitive._uniformMap + classificationPrimitive._uniformMap, ); const needs2DShader = classificationPrimitive._needs2DShader; @@ -818,7 +819,7 @@ function createPickCommands(classificationPrimitive, pickCommands) { // Derive for 3D Tiles classification derivedCommand = DrawCommand.shallowClone( command, - command.derivedCommands.tileset + command.derivedCommands.tileset, ); derivedCommand.renderState = classificationPrimitive._rsStencilDepthPass3DTiles; @@ -847,7 +848,7 @@ function createPickCommands(classificationPrimitive, pickCommands) { derivedCommand = DrawCommand.shallowClone( command, - command.derivedCommands.tileset + command.derivedCommands.tileset, ); derivedCommand.pass = Pass.CESIUM_3D_TILE_CLASSIFICATION; command.derivedCommands.tileset = derivedCommand; @@ -857,7 +858,7 @@ function createPickCommands(classificationPrimitive, pickCommands) { // First derive from the terrain command let derived2DCommand = DrawCommand.shallowClone( command, - command.derivedCommands.pick2D + command.derivedCommands.pick2D, ); derived2DCommand.shaderProgram = classificationPrimitive._spPick2D; command.derivedCommands.pick2D = derived2DCommand; @@ -865,7 +866,7 @@ function createPickCommands(classificationPrimitive, pickCommands) { // Then derive from the 3D Tiles command derived2DCommand = DrawCommand.shallowClone( derivedCommand, - derivedCommand.derivedCommands.pick2D + derivedCommand.derivedCommands.pick2D, ); derived2DCommand.shaderProgram = classificationPrimitive._spPick2D; derivedCommand.derivedCommands.pick2D = derived2DCommand; @@ -880,7 +881,7 @@ function createCommands( translucent, twoPasses, colorCommands, - pickCommands + pickCommands, ) { createColorCommands(classificationPrimitive, colorCommands); createPickCommands(classificationPrimitive, pickCommands); @@ -896,7 +897,7 @@ function updateAndQueueRenderCommand( modelMatrix, cull, boundingVolume, - debugShowBoundingVolume + debugShowBoundingVolume, ) { command.modelMatrix = modelMatrix; command.boundingVolume = boundingVolume; @@ -911,7 +912,7 @@ function updateAndQueuePickCommand( frameState, modelMatrix, cull, - boundingVolume + boundingVolume, ) { command.modelMatrix = modelMatrix; command.boundingVolume = boundingVolume; @@ -928,7 +929,7 @@ function updateAndQueueCommands( modelMatrix, cull, debugShowBoundingVolume, - twoPasses + twoPasses, ) { const primitive = classificationPrimitive._primitive; Primitive._updateBoundingVolumes(primitive, frameState, modelMatrix); @@ -971,7 +972,7 @@ function updateAndQueueCommands( modelMatrix, cull, boundingVolume, - debugShowBoundingVolume + debugShowBoundingVolume, ); } if (queue3DTilesCommands) { @@ -982,7 +983,7 @@ function updateAndQueueCommands( modelMatrix, cull, boundingVolume, - debugShowBoundingVolume + debugShowBoundingVolume, ); } } @@ -999,7 +1000,7 @@ function updateAndQueueCommands( modelMatrix, cull, boundingVolume, - debugShowBoundingVolume + debugShowBoundingVolume, ); } } @@ -1018,7 +1019,7 @@ function updateAndQueueCommands( frameState, modelMatrix, cull, - boundingVolume + boundingVolume, ); } if (queue3DTilesCommands) { @@ -1028,7 +1029,7 @@ function updateAndQueueCommands( frameState, modelMatrix, cull, - boundingVolume + boundingVolume, ); } } @@ -1080,12 +1081,12 @@ ClassificationPrimitive.prototype.update = function (frameState) { attributes = instances[0].attributes; // Not expecting these to be set by users, should only be set via GroundPrimitive. // So don't check for mismatch. - hasSphericalExtentsAttribute = ShadowVolumeAppearance.hasAttributesForSphericalExtents( - attributes - ); - hasPlanarExtentsAttributes = ShadowVolumeAppearance.hasAttributesForTextureCoordinatePlanes( - attributes - ); + hasSphericalExtentsAttribute = + ShadowVolumeAppearance.hasAttributesForSphericalExtents(attributes); + hasPlanarExtentsAttributes = + ShadowVolumeAppearance.hasAttributesForTextureCoordinatePlanes( + attributes, + ); firstColor = attributes.color; } @@ -1098,7 +1099,7 @@ ClassificationPrimitive.prototype.update = function (frameState) { //>>includeStart('debug', pragmas.debug); else if (hasPerColorAttribute) { throw new DeveloperError( - "All GeometryInstances must have color attributes to use per-instance color." + "All GeometryInstances must have color attributes to use per-instance color.", ); } //>>includeEnd('debug'); @@ -1117,7 +1118,7 @@ ClassificationPrimitive.prototype.update = function (frameState) { !hasPlanarExtentsAttributes ) { throw new DeveloperError( - "All GeometryInstances must have the same color attribute except via GroundPrimitives" + "All GeometryInstances must have the same color attribute except via GroundPrimitives", ); } @@ -1135,7 +1136,7 @@ ClassificationPrimitive.prototype.update = function (frameState) { appearance instanceof PerInstanceColorAppearance ) { throw new DeveloperError( - "PerInstanceColorAppearance requires color GeometryInstanceAttributes on all GeometryInstances" + "PerInstanceColorAppearance requires color GeometryInstanceAttributes on all GeometryInstances", ); } if ( @@ -1144,7 +1145,7 @@ ClassificationPrimitive.prototype.update = function (frameState) { !hasPlanarExtentsAttributes ) { throw new DeveloperError( - "Materials on ClassificationPrimitives are not supported except via GroundPrimitives" + "Materials on ClassificationPrimitives are not supported except via GroundPrimitives", ); } //>>includeEnd('debug'); @@ -1173,7 +1174,7 @@ ClassificationPrimitive.prototype.update = function (frameState) { if (defined(this._createBoundingVolumeFunction)) { primitiveOptions._createBoundingVolumeFunction = function ( frameState, - geometry + geometry, ) { that._createBoundingVolumeFunction(frameState, geometry); }; @@ -1183,14 +1184,14 @@ ClassificationPrimitive.prototype.update = function (frameState) { primitive, context, appearance, - twoPasses + twoPasses, ) { createRenderStates(that, context); }; primitiveOptions._createShaderProgramFunction = function ( primitive, frameState, - appearance + appearance, ) { createShaderProgram(that, frameState); }; @@ -1201,7 +1202,7 @@ ClassificationPrimitive.prototype.update = function (frameState) { translucent, twoPasses, colorCommands, - pickCommands + pickCommands, ) { createCommands( that, @@ -1210,7 +1211,7 @@ ClassificationPrimitive.prototype.update = function (frameState) { true, false, colorCommands, - pickCommands + pickCommands, ); }; @@ -1223,7 +1224,7 @@ ClassificationPrimitive.prototype.update = function (frameState) { modelMatrix, cull, debugShowBoundingVolume, - twoPasses + twoPasses, ) { that._updateAndQueueCommandsFunction( primitive, @@ -1233,7 +1234,7 @@ ClassificationPrimitive.prototype.update = function (frameState) { modelMatrix, cull, debugShowBoundingVolume, - twoPasses + twoPasses, ); }; } else { @@ -1245,7 +1246,7 @@ ClassificationPrimitive.prototype.update = function (frameState) { modelMatrix, cull, debugShowBoundingVolume, - twoPasses + twoPasses, ) { updateAndQueueCommands( that, @@ -1255,7 +1256,7 @@ ClassificationPrimitive.prototype.update = function (frameState) { modelMatrix, cull, debugShowBoundingVolume, - twoPasses + twoPasses, ); }; } @@ -1270,19 +1271,19 @@ ClassificationPrimitive.prototype.update = function (frameState) { ) { this._debugShowShadowVolume = true; this._rsStencilDepthPass = RenderState.fromCache( - getStencilDepthRenderState(false, false) + getStencilDepthRenderState(false, false), ); this._rsStencilDepthPass3DTiles = RenderState.fromCache( - getStencilDepthRenderState(false, true) + getStencilDepthRenderState(false, true), ); this._rsColorPass = RenderState.fromCache(getColorRenderState(false)); } else if (!this.debugShowShadowVolume && this._debugShowShadowVolume) { this._debugShowShadowVolume = false; this._rsStencilDepthPass = RenderState.fromCache( - getStencilDepthRenderState(true, false) + getStencilDepthRenderState(true, false), ); this._rsStencilDepthPass3DTiles = RenderState.fromCache( - getStencilDepthRenderState(true, true) + getStencilDepthRenderState(true, true), ); this._rsColorPass = RenderState.fromCache(getColorRenderState(true)); } @@ -1296,7 +1297,7 @@ ClassificationPrimitive.prototype.update = function (frameState) { defined(appearance.material) ) { throw new DeveloperError( - "Materials on ClassificationPrimitives are not supported except via GroundPrimitive" + "Materials on ClassificationPrimitives are not supported except via GroundPrimitive", ); } if ( @@ -1304,7 +1305,7 @@ ClassificationPrimitive.prototype.update = function (frameState) { appearance instanceof PerInstanceColorAppearance ) { throw new DeveloperError( - "PerInstanceColorAppearance requires color GeometryInstanceAttribute" + "PerInstanceColorAppearance requires color GeometryInstanceAttribute", ); } //>>includeEnd('debug'); @@ -1340,12 +1341,12 @@ ClassificationPrimitive.prototype.update = function (frameState) { * attributes.show = Cesium.ShowGeometryInstanceAttribute.toValue(true); */ ClassificationPrimitive.prototype.getGeometryInstanceAttributes = function ( - id + id, ) { //>>includeStart('debug', pragmas.debug); if (!defined(this._primitive)) { throw new DeveloperError( - "must call update before calling getGeometryInstanceAttributes" + "must call update before calling getGeometryInstanceAttributes", ); } //>>includeEnd('debug'); diff --git a/packages/engine/Source/Scene/ClippingPlaneCollection.js b/packages/engine/Source/Scene/ClippingPlaneCollection.js index c9c9d84a3cfa..d1372aafba61 100644 --- a/packages/engine/Source/Scene/ClippingPlaneCollection.js +++ b/packages/engine/Source/Scene/ClippingPlaneCollection.js @@ -85,7 +85,7 @@ function ClippingPlaneCollection(options) { * @default Matrix4.IDENTITY */ this.modelMatrix = Matrix4.clone( - defaultValue(options.modelMatrix, Matrix4.IDENTITY) + defaultValue(options.modelMatrix, Matrix4.IDENTITY), ); /** @@ -126,7 +126,7 @@ function ClippingPlaneCollection(options) { const unionClippingRegions = defaultValue( options.unionClippingRegions, - false + false, ); this._unionClippingRegions = unionClippingRegions; this._testIntersection = unionClippingRegions @@ -415,7 +415,7 @@ function packPlanesAsUint8(clippingPlaneCollection, startIndex, endIndex) { const oct32Normal = AttributeCompression.octEncodeToCartesian4( plane.normal, - oct32EncodeScratch + oct32EncodeScratch, ); uint8View[byteIndex] = oct32Normal.x; uint8View[byteIndex + 1] = oct32Normal.y; @@ -424,7 +424,7 @@ function packPlanesAsUint8(clippingPlaneCollection, startIndex, endIndex) { const encodedDistance = Cartesian4.packFloat( plane.distance, - distanceEncodeScratch + distanceEncodeScratch, ); uint8View[byteIndex + 4] = encodedDistance.x; uint8View[byteIndex + 5] = encodedDistance.y; @@ -506,7 +506,7 @@ ClippingPlaneCollection.prototype.update = function (frameState) { if (!defined(clippingPlanesTexture)) { const requiredResolution = computeTextureResolution( pixelsNeeded, - textureResolutionScratch + textureResolutionScratch, ); // Allocate twice as much space as needed to avoid frequent texture reallocation. // Allocate in the Y direction, since texture may be as wide as context texture support. @@ -523,7 +523,7 @@ ClippingPlaneCollection.prototype.update = function (frameState) { flipY: false, }); this._float32View = new Float32Array( - requiredResolution.x * requiredResolution.y * 4 + requiredResolution.x * requiredResolution.y * 4, ); } else { clippingPlanesTexture = new Texture({ @@ -536,7 +536,7 @@ ClippingPlaneCollection.prototype.update = function (frameState) { flipY: false, }); this._uint8View = new Uint8Array( - requiredResolution.x * requiredResolution.y * 4 + requiredResolution.x * requiredResolution.y * 4, ); } @@ -569,7 +569,7 @@ ClippingPlaneCollection.prototype.update = function (frameState) { } else { offsetY = Math.floor((dirtyIndex * 2) / clippingPlanesTexture.width); offsetX = Math.floor( - dirtyIndex * 2 - offsetY * clippingPlanesTexture.width + dirtyIndex * 2 - offsetY * clippingPlanesTexture.width, ); packPlanesAsUint8(this, dirtyIndex, dirtyIndex + 1); clippingPlanesTexture.copyFrom({ @@ -619,42 +619,40 @@ const scratchPlane = new Plane(Cartesian3.UNIT_X, 0.0); * if the entire volume is on the opposite side and should be clipped, and * {@link Intersect.INTERSECTING} if the volume intersects the planes. */ -ClippingPlaneCollection.prototype.computeIntersectionWithBoundingVolume = function ( - tileBoundingVolume, - transform -) { - const planes = this._planes; - const length = planes.length; - - let modelMatrix = this.modelMatrix; - if (defined(transform)) { - modelMatrix = Matrix4.multiply(transform, modelMatrix, scratchMatrix); - } +ClippingPlaneCollection.prototype.computeIntersectionWithBoundingVolume = + function (tileBoundingVolume, transform) { + const planes = this._planes; + const length = planes.length; + + let modelMatrix = this.modelMatrix; + if (defined(transform)) { + modelMatrix = Matrix4.multiply(transform, modelMatrix, scratchMatrix); + } - // If the collection is not set to union the clipping regions, the volume must be outside of all planes to be - // considered completely clipped. If the collection is set to union the clipping regions, if the volume can be - // outside any the planes, it is considered completely clipped. - // Lastly, if not completely clipped, if any plane is intersecting, more calculations must be performed. - let intersection = Intersect.INSIDE; - if (!this.unionClippingRegions && length > 0) { - intersection = Intersect.OUTSIDE; - } + // If the collection is not set to union the clipping regions, the volume must be outside of all planes to be + // considered completely clipped. If the collection is set to union the clipping regions, if the volume can be + // outside any the planes, it is considered completely clipped. + // Lastly, if not completely clipped, if any plane is intersecting, more calculations must be performed. + let intersection = Intersect.INSIDE; + if (!this.unionClippingRegions && length > 0) { + intersection = Intersect.OUTSIDE; + } - for (let i = 0; i < length; ++i) { - const plane = planes[i]; + for (let i = 0; i < length; ++i) { + const plane = planes[i]; - Plane.transform(plane, modelMatrix, scratchPlane); // ClippingPlane can be used for Plane math + Plane.transform(plane, modelMatrix, scratchPlane); // ClippingPlane can be used for Plane math - const value = tileBoundingVolume.intersectPlane(scratchPlane); - if (value === Intersect.INTERSECTING) { - intersection = value; - } else if (this._testIntersection(value)) { - return value; + const value = tileBoundingVolume.intersectPlane(scratchPlane); + if (value === Intersect.INTERSECTING) { + intersection = value; + } else if (this._testIntersection(value)) { + return value; + } } - } - return intersection; -}; + return intersection; + }; /** * Sets the owner for the input ClippingPlaneCollection if there wasn't another owner. @@ -668,7 +666,7 @@ ClippingPlaneCollection.prototype.computeIntersectionWithBoundingVolume = functi ClippingPlaneCollection.setOwner = function ( clippingPlaneCollection, owner, - key + key, ) { // Don't destroy the ClippingPlaneCollection if it is already owned by newOwner if (clippingPlaneCollection === owner[key]) { @@ -680,7 +678,7 @@ ClippingPlaneCollection.setOwner = function ( //>>includeStart('debug', pragmas.debug); if (defined(clippingPlaneCollection._owner)) { throw new DeveloperError( - "ClippingPlaneCollection should only be assigned to one object" + "ClippingPlaneCollection should only be assigned to one object", ); } //>>includeEnd('debug'); @@ -714,7 +712,7 @@ ClippingPlaneCollection.useFloatTexture = function (context) { ClippingPlaneCollection.getTextureResolution = function ( clippingPlaneCollection, context, - result + result, ) { const texture = clippingPlaneCollection.texture; if (defined(texture)) { diff --git a/packages/engine/Source/Scene/ClippingPolygon.js b/packages/engine/Source/Scene/ClippingPolygon.js index 2191a4e458ce..3b1886aa44b4 100644 --- a/packages/engine/Source/Scene/ClippingPolygon.js +++ b/packages/engine/Source/Scene/ClippingPolygon.js @@ -42,7 +42,7 @@ function ClippingPolygon(options) { Check.typeOf.number.greaterThanOrEquals( "options.positions.length", options.positions.length, - 3 + 3, ); //>>includeEnd('debug'); @@ -143,7 +143,7 @@ ClippingPolygon.prototype.computeRectangle = function (result) { this.positions, this.ellipsoid, undefined, - result + result, ); }; @@ -167,19 +167,19 @@ ClippingPolygon.prototype.computeSphericalExtents = function (result) { let spherePoint = Cartographic.toCartesian( Rectangle.southwest(rectangle), this.ellipsoid, - spherePointScratch + spherePointScratch, ); // Project into plane with vertical for latitude let magXY = Math.sqrt( - spherePoint.x * spherePoint.x + spherePoint.y * spherePoint.y + spherePoint.x * spherePoint.x + spherePoint.y * spherePoint.y, ); // Use fastApproximateAtan2 for alignment with shader let sphereLatitude = CesiumMath.fastApproximateAtan2(magXY, spherePoint.z); let sphereLongitude = CesiumMath.fastApproximateAtan2( spherePoint.x, - spherePoint.y + spherePoint.y, ); result.south = sphereLatitude; @@ -188,19 +188,19 @@ ClippingPolygon.prototype.computeSphericalExtents = function (result) { spherePoint = Cartographic.toCartesian( Rectangle.northeast(rectangle), this.ellipsoid, - spherePointScratch + spherePointScratch, ); // Project into plane with vertical for latitude magXY = Math.sqrt( - spherePoint.x * spherePoint.x + spherePoint.y * spherePoint.y + spherePoint.x * spherePoint.x + spherePoint.y * spherePoint.y, ); // Use fastApproximateAtan2 for alignment with shader sphereLatitude = CesiumMath.fastApproximateAtan2(magXY, spherePoint.z); sphereLongitude = CesiumMath.fastApproximateAtan2( spherePoint.x, - spherePoint.y + spherePoint.y, ); result.north = sphereLatitude; diff --git a/packages/engine/Source/Scene/ClippingPolygonCollection.js b/packages/engine/Source/Scene/ClippingPolygonCollection.js index dd960d690fe4..b1638373703d 100644 --- a/packages/engine/Source/Scene/ClippingPolygonCollection.js +++ b/packages/engine/Source/Scene/ClippingPolygonCollection.js @@ -407,9 +407,9 @@ function getExtents(polygons) { Rectangle.union( polygons[p].computeSphericalExtents(scratchRectangle), extents, - extents + extents, ), - extents + extents, ); extentsList[i] = undefined; @@ -442,7 +442,7 @@ function getExtents(polygons) { polygonIndicesList .filter(defined) .forEach((polygonIndices, e) => - polygonIndices.forEach((p) => extentsIndexByPolygon.set(p, e)) + polygonIndices.forEach((p) => extentsIndexByPolygon.set(p, e)), ); return { @@ -492,11 +492,11 @@ function packPolygonsAsFloats(clippingPolygonCollection) { // Use fastApproximateAtan2 for alignment with shader const latitudeApproximation = CesiumMath.fastApproximateAtan2( magXY, - spherePoint.z + spherePoint.z, ); const longitudeApproximation = CesiumMath.fastApproximateAtan2( spherePoint.x, - spherePoint.y + spherePoint.y, ); polygonsFloat32View[floatIndex++] = latitudeApproximation; @@ -534,14 +534,14 @@ ClippingPolygonCollection.prototype.update = function (frameState) { if (!ClippingPolygonCollection.isSupported(frameState)) { throw new RuntimeError( - "ClippingPolygonCollections are only supported for WebGL 2." + "ClippingPolygonCollections are only supported for WebGL 2.", ); } // It'd be expensive to validate any individual position has changed. Instead verify if the list of polygon positions has had elements added or removed, which should be good enough for most cases. const totalPositions = this._polygons.reduce( (totalPositions, polygon) => totalPositions + polygon.length, - 0 + 0, ); if (totalPositions === this.totalPositions) { @@ -584,7 +584,7 @@ ClippingPolygonCollection.prototype.update = function (frameState) { const requiredResolution = ClippingPolygonCollection.getTextureResolution( polygonsTexture, this.pixelsNeededForPolygonPositions, - textureResolutionScratch + textureResolutionScratch, ); polygonsTexture = new Texture({ @@ -597,7 +597,7 @@ ClippingPolygonCollection.prototype.update = function (frameState) { flipY: false, }); this._float32View = new Float32Array( - requiredResolution.x * requiredResolution.y * 2 + requiredResolution.x * requiredResolution.y * 2, ); this._polygonsTexture = polygonsTexture; } @@ -623,7 +623,7 @@ ClippingPolygonCollection.prototype.update = function (frameState) { const requiredResolution = ClippingPolygonCollection.getTextureResolution( extentsTexture, this.pixelsNeededForExtents, - textureResolutionScratch + textureResolutionScratch, ); extentsTexture = new Texture({ @@ -636,7 +636,7 @@ ClippingPolygonCollection.prototype.update = function (frameState) { flipY: false, }); this._extentsFloat32View = new Float32Array( - requiredResolution.x * requiredResolution.y * 4 + requiredResolution.x * requiredResolution.y * 4, ); this._extentsTexture = extentsTexture; @@ -661,10 +661,11 @@ ClippingPolygonCollection.prototype.update = function (frameState) { }); if (!defined(signedDistanceTexture)) { - const textureDimensions = ClippingPolygonCollection.getClippingDistanceTextureResolution( - this, - textureResolutionScratch - ); + const textureDimensions = + ClippingPolygonCollection.getClippingDistanceTextureResolution( + this, + textureResolutionScratch, + ); signedDistanceTexture = new Texture({ context: context, width: textureDimensions.x, @@ -741,56 +742,54 @@ const scratchRectangleIntersection = new Rectangle(); * @returns {Intersect} The intersection type: {@link Intersect.OUTSIDE} if the entire volume is not clipped, {@link Intersect.INSIDE} * if the entire volume should be clipped, and {@link Intersect.INTERSECTING} if the volume intersects the polygons and will partially clipped. */ -ClippingPolygonCollection.prototype.computeIntersectionWithBoundingVolume = function ( - tileBoundingVolume, - ellipsoid -) { - const polygons = this._polygons; - const length = polygons.length; +ClippingPolygonCollection.prototype.computeIntersectionWithBoundingVolume = + function (tileBoundingVolume, ellipsoid) { + const polygons = this._polygons; + const length = polygons.length; + + let intersection = Intersect.OUTSIDE; + if (this.inverse) { + intersection = Intersect.INSIDE; + } - let intersection = Intersect.OUTSIDE; - if (this.inverse) { - intersection = Intersect.INSIDE; - } + for (let i = 0; i < length; ++i) { + const polygon = polygons[i]; - for (let i = 0; i < length; ++i) { - const polygon = polygons[i]; + const polygonBoundingRectangle = polygon.computeRectangle(); + let tileBoundingRectangle = tileBoundingVolume.rectangle; + if ( + !defined(tileBoundingRectangle) && + defined(tileBoundingVolume.boundingVolume?.computeCorners) + ) { + const points = tileBoundingVolume.boundingVolume.computeCorners(); + tileBoundingRectangle = Rectangle.fromCartesianArray( + points, + ellipsoid, + scratchRectangleTile, + ); + } - const polygonBoundingRectangle = polygon.computeRectangle(); - let tileBoundingRectangle = tileBoundingVolume.rectangle; - if ( - !defined(tileBoundingRectangle) && - defined(tileBoundingVolume.boundingVolume?.computeCorners) - ) { - const points = tileBoundingVolume.boundingVolume.computeCorners(); - tileBoundingRectangle = Rectangle.fromCartesianArray( - points, - ellipsoid, - scratchRectangleTile - ); - } + if (!defined(tileBoundingRectangle)) { + tileBoundingRectangle = Rectangle.fromBoundingSphere( + tileBoundingVolume.boundingSphere, + ellipsoid, + scratchRectangleTile, + ); + } - if (!defined(tileBoundingRectangle)) { - tileBoundingRectangle = Rectangle.fromBoundingSphere( - tileBoundingVolume.boundingSphere, - ellipsoid, - scratchRectangleTile + const result = Rectangle.simpleIntersection( + tileBoundingRectangle, + polygonBoundingRectangle, + scratchRectangleIntersection, ); - } - const result = Rectangle.simpleIntersection( - tileBoundingRectangle, - polygonBoundingRectangle, - scratchRectangleIntersection - ); - - if (defined(result)) { - intersection = Intersect.INTERSECTING; + if (defined(result)) { + intersection = Intersect.INTERSECTING; + } } - } - return intersection; -}; + return intersection; + }; /** * Sets the owner for the input ClippingPolygonCollection if there wasn't another owner. @@ -804,7 +803,7 @@ ClippingPolygonCollection.prototype.computeIntersectionWithBoundingVolume = func ClippingPolygonCollection.setOwner = function ( clippingPolygonsCollection, owner, - key + key, ) { // Don't destroy the ClippingPolygonCollection if it is already owned by newOwner if (clippingPolygonsCollection === owner[key]) { @@ -816,7 +815,7 @@ ClippingPolygonCollection.setOwner = function ( //>>includeStart('debug', pragmas.debug); if (defined(clippingPolygonsCollection._owner)) { throw new DeveloperError( - "ClippingPolygonCollection should only be assigned to one object" + "ClippingPolygonCollection should only be assigned to one object", ); } //>>includeEnd('debug'); @@ -849,7 +848,7 @@ ClippingPolygonCollection.isSupported = function (scene) { ClippingPolygonCollection.getTextureResolution = function ( texture, pixelsNeeded, - result + result, ) { if (defined(texture)) { result.x = texture.width; @@ -879,7 +878,7 @@ ClippingPolygonCollection.getTextureResolution = function ( */ ClippingPolygonCollection.getClippingDistanceTextureResolution = function ( clippingPolygonCollection, - result + result, ) { const texture = clippingPolygonCollection.signedDistanceTexture; if (defined(texture)) { @@ -906,7 +905,7 @@ ClippingPolygonCollection.getClippingDistanceTextureResolution = function ( */ ClippingPolygonCollection.getClippingExtentsTextureResolution = function ( clippingPolygonCollection, - result + result, ) { const texture = clippingPolygonCollection.extentsTexture; if (defined(texture)) { @@ -918,7 +917,7 @@ ClippingPolygonCollection.getClippingExtentsTextureResolution = function ( return ClippingPolygonCollection.getTextureResolution( texture, clippingPolygonCollection.pixelsNeededForExtents, - result + result, ); }; diff --git a/packages/engine/Source/Scene/CloudCollection.js b/packages/engine/Source/Scene/CloudCollection.js index 43af3d6266a7..da168182e3ed 100644 --- a/packages/engine/Source/Scene/CloudCollection.js +++ b/packages/engine/Source/Scene/CloudCollection.js @@ -168,7 +168,7 @@ function CloudCollection(options) { * @default Cartesian3.ZERO */ this.noiseOffset = Cartesian3.clone( - defaultValue(options.noiseOffset, Cartesian3.ZERO) + defaultValue(options.noiseOffset, Cartesian3.ZERO), ); this._loading = false; @@ -447,14 +447,7 @@ CloudCollection.prototype.get = function (index) { }; const texturePositions = new Float32Array([ - -1.0, - -1.0, - 1.0, - -1.0, - 1.0, - 1.0, - -1.0, - 1.0, + -1.0, -1.0, 1.0, -1.0, 1.0, 1.0, -1.0, 1.0, ]); const textureIndices = new Uint16Array([0, 1, 2, 0, 2, 3]); @@ -713,7 +706,7 @@ function createNoiseTexture(cloudCollection, frameState, vsSource, fsSource) { textureSliceWidth % noiseTextureRows !== 0 ) { throw new DeveloperError( - "noiseTextureRows must evenly divide textureSliceWidth" + "noiseTextureRows must evenly divide textureSliceWidth", ); } //>>includeEnd('debug'); diff --git a/packages/engine/Source/Scene/Composite3DTileContent.js b/packages/engine/Source/Scene/Composite3DTileContent.js index 02be6c08ac6c..c9636bcda93a 100644 --- a/packages/engine/Source/Scene/Composite3DTileContent.js +++ b/packages/engine/Source/Scene/Composite3DTileContent.js @@ -222,7 +222,7 @@ Composite3DTileContent.fromTileType = async function ( resource, arrayBuffer, byteOffset, - factory + factory, ) { byteOffset = defaultValue(byteOffset, 0); @@ -233,7 +233,7 @@ Composite3DTileContent.fromTileType = async function ( const version = view.getUint32(byteOffset, true); if (version !== 1) { throw new RuntimeError( - `Only Composite Tile version 1 is supported. Version ${version} is not.` + `Only Composite Tile version 1 is supported. Version ${version} is not.`, ); } byteOffset += sizeOfUint32; @@ -278,11 +278,11 @@ Composite3DTileContent.fromTileType = async function ( if (defined(contentFactory)) { promises[i] = Promise.resolve( - contentFactory(tileset, tile, childResource, arrayBuffer, byteOffset) + contentFactory(tileset, tile, childResource, arrayBuffer, byteOffset), ); } else { throw new RuntimeError( - `Unknown tile content type, ${tileType}, inside Composite tile` + `Unknown tile content type, ${tileType}, inside Composite tile`, ); } @@ -294,7 +294,7 @@ Composite3DTileContent.fromTileType = async function ( tileset, tile, resource, - innerContents + innerContents, ); return content; }; @@ -317,7 +317,7 @@ Composite3DTileContent.prototype.getFeature = function (batchId) { Composite3DTileContent.prototype.applyDebugSettings = function ( enabled, - color + color, ) { const contents = this._contents; const length = contents.length; diff --git a/packages/engine/Source/Scene/ConditionsExpression.js b/packages/engine/Source/Scene/ConditionsExpression.js index 1bed27442ace..b88e01cc2053 100644 --- a/packages/engine/Source/Scene/ConditionsExpression.js +++ b/packages/engine/Source/Scene/ConditionsExpression.js @@ -73,8 +73,8 @@ function setRuntime(expression, defines) { runtimeConditions.push( new Statement( new Expression(cond, defines), - new Expression(condExpression, defines) - ) + new Expression(condExpression, defines), + ), ); } expression._runtimeConditions = runtimeConditions; @@ -148,7 +148,7 @@ ConditionsExpression.prototype.getShaderFunction = function ( functionSignature, variableSubstitutionMap, shaderState, - returnType + returnType, ) { const conditions = this._runtimeConditions; if (!defined(conditions) || conditions.length === 0) { @@ -162,11 +162,11 @@ ConditionsExpression.prototype.getShaderFunction = function ( const condition = statement.condition.getShaderExpression( variableSubstitutionMap, - shaderState + shaderState, ); const expression = statement.expression.getShaderExpression( variableSubstitutionMap, - shaderState + shaderState, ); // Build the if/else chain from the list of conditions diff --git a/packages/engine/Source/Scene/ContentMetadata.js b/packages/engine/Source/Scene/ContentMetadata.js index adc66fcd4ca7..b09c9077ed3c 100644 --- a/packages/engine/Source/Scene/ContentMetadata.js +++ b/packages/engine/Source/Scene/ContentMetadata.js @@ -100,7 +100,7 @@ ContentMetadata.prototype.hasPropertyBySemantic = function (semantic) { return MetadataEntity.hasPropertyBySemantic( semantic, this._properties, - this._class + this._class, ); }; @@ -145,7 +145,7 @@ ContentMetadata.prototype.setProperty = function (propertyId, value) { propertyId, value, this._properties, - this._class + this._class, ); }; @@ -160,7 +160,7 @@ ContentMetadata.prototype.getPropertyBySemantic = function (semantic) { return MetadataEntity.getPropertyBySemantic( semantic, this._properties, - this._class + this._class, ); }; @@ -177,7 +177,7 @@ ContentMetadata.prototype.setPropertyBySemantic = function (semantic, value) { semantic, value, this._properties, - this._class + this._class, ); }; diff --git a/packages/engine/Source/Scene/CreditDisplay.js b/packages/engine/Source/Scene/CreditDisplay.js index cda1a16f8fe5..c2716ce8780f 100644 --- a/packages/engine/Source/Scene/CreditDisplay.js +++ b/packages/engine/Source/Scene/CreditDisplay.js @@ -102,7 +102,7 @@ function displayCredits(container, credits, delimiter, elementWrapperTagName) { if (existingDelimiter.className !== delimiterClassName) { container.replaceChild( createDelimiterElement(delimiter), - existingDelimiter + existingDelimiter, ); } } @@ -114,7 +114,7 @@ function displayCredits(container, credits, delimiter, elementWrapperTagName) { // check to see if the correct credit is in the right place if (childNodes.length <= domIndex) { container.appendChild( - createCreditElement(element, elementWrapperTagName) + createCreditElement(element, elementWrapperTagName), ); } else { const existingElement = childNodes[domIndex]; @@ -122,7 +122,7 @@ function displayCredits(container, credits, delimiter, elementWrapperTagName) { // not the right credit, swap it in container.replaceChild( createCreditElement(element, elementWrapperTagName), - existingElement + existingElement, ); } } @@ -149,7 +149,7 @@ function styleLightboxContainer(that) { lightboxCredits.className = "cesium-credit-lightbox cesium-credit-lightbox-expanded"; lightboxCredits.style.marginTop = `${Math.floor( - (height - lightboxCredits.clientHeight) * 0.5 + (height - lightboxCredits.clientHeight) * 0.5, )}px`; } that._lastViewportWidth = width; @@ -157,7 +157,7 @@ function styleLightboxContainer(that) { if (width >= mobileWidth && height !== that._lastViewportHeight) { lightboxCredits.style.marginTop = `${Math.floor( - (height - lightboxCredits.clientHeight) * 0.5 + (height - lightboxCredits.clientHeight) * 0.5, )}px`; that._lastViewportHeight = height; } @@ -275,7 +275,7 @@ function appendCss(container) { const shadowRootOrDocumentHead = defaultValue( getShadowRoot(container), - document.head + document.head, ); const styleElem = document.createElement("style"); styleElem.innerHTML = style; @@ -562,7 +562,7 @@ CreditDisplay.prototype.endFrame = function () { this._screenContainer, screenCredits, this._delimiter, - undefined + undefined, ); const lightboxCredits = this._currentFrameCredits.lightboxCredits.values; @@ -625,7 +625,7 @@ function getDefaultCredit() { defaultCredit = new Credit( ``, - true + true, ); } diff --git a/packages/engine/Source/Scene/CumulusCloud.js b/packages/engine/Source/Scene/CumulusCloud.js index d66dd964813f..bfde2ff7e4f3 100644 --- a/packages/engine/Source/Scene/CumulusCloud.js +++ b/packages/engine/Source/Scene/CumulusCloud.js @@ -38,7 +38,7 @@ function CumulusCloud(options, cloudCollection) { this._show = defaultValue(options.show, true); this._position = Cartesian3.clone( - defaultValue(options.position, Cartesian3.ZERO) + defaultValue(options.position, Cartesian3.ZERO), ); if (!defined(options.scale) && defined(options.maximumSize)) { @@ -46,16 +46,16 @@ function CumulusCloud(options, cloudCollection) { this._scale = new Cartesian2(this._maximumSize.x, this._maximumSize.y); } else { this._scale = Cartesian2.clone( - defaultValue(options.scale, new Cartesian2(20.0, 12.0)) + defaultValue(options.scale, new Cartesian2(20.0, 12.0)), ); const defaultMaxSize = new Cartesian3( this._scale.x, this._scale.y, - Math.min(this._scale.x, this._scale.y) / 1.5 + Math.min(this._scale.x, this._scale.y) / 1.5, ); this._maximumSize = Cartesian3.clone( - defaultValue(options.maximumSize, defaultMaxSize) + defaultValue(options.maximumSize, defaultMaxSize), ); } diff --git a/packages/engine/Source/Scene/DebugAppearance.js b/packages/engine/Source/Scene/DebugAppearance.js index 098eea16a602..f0d2b0fadfeb 100644 --- a/packages/engine/Source/Scene/DebugAppearance.js +++ b/packages/engine/Source/Scene/DebugAppearance.js @@ -80,7 +80,7 @@ function DebugAppearance(options) { //>>includeStart('debug', pragmas.debug); default: throw new DeveloperError( - "options.glslDatatype must be float, vec2, vec3, or vec4." + "options.glslDatatype must be float, vec2, vec3, or vec4.", ); //>>includeEnd('debug'); } @@ -133,7 +133,7 @@ function DebugAppearance(options) { this._renderState = Appearance.getDefaultRenderState( false, false, - options.renderState + options.renderState, ); this._closed = defaultValue(options.closed, false); diff --git a/packages/engine/Source/Scene/DebugCameraPrimitive.js b/packages/engine/Source/Scene/DebugCameraPrimitive.js index 414882b124a9..d5ad4a421f8a 100644 --- a/packages/engine/Source/Scene/DebugCameraPrimitive.js +++ b/packages/engine/Source/Scene/DebugCameraPrimitive.js @@ -151,7 +151,7 @@ DebugCameraPrimitive.prototype.update = function (frameState) { const orientation = Quaternion.fromRotationMatrix( rotation, - scratchOrientation + scratchOrientation, ); planesPrimitives.length = outlinePrimitives.length = numFrustums; @@ -170,7 +170,7 @@ DebugCameraPrimitive.prototype.update = function (frameState) { }), attributes: { color: ColorGeometryInstanceAttribute.fromColor( - Color.fromAlpha(this._color, 0.1, scratchColor) + Color.fromAlpha(this._color, 0.1, scratchColor), ), }, id: this.id, diff --git a/packages/engine/Source/Scene/DebugInspector.js b/packages/engine/Source/Scene/DebugInspector.js index 899933f7c915..e95de9ccb8f6 100644 --- a/packages/engine/Source/Scene/DebugInspector.js +++ b/packages/engine/Source/Scene/DebugInspector.js @@ -126,7 +126,7 @@ const scratchShowFrustumCommand = new DrawCommand(); DebugInspector.prototype.executeDebugShowFrustumsCommand = function ( scene, command, - passState + passState, ) { // create debug command const shaderProgramId = command.shaderProgram.id; @@ -134,7 +134,7 @@ DebugInspector.prototype.executeDebugShowFrustumsCommand = function ( if (!defined(debugShaderProgram)) { debugShaderProgram = createDebugShowFrustumsShaderProgram( scene, - command.shaderProgram + command.shaderProgram, ); this._cachedShowFrustumsShaders[shaderProgramId] = debugShaderProgram; @@ -142,7 +142,7 @@ DebugInspector.prototype.executeDebugShowFrustumsCommand = function ( const debugCommand = DrawCommand.shallowClone( command, - scratchShowFrustumCommand + scratchShowFrustumCommand, ); debugCommand.shaderProgram = debugShaderProgram; debugCommand.uniformMap = createDebugShowFrustumsUniformMap(scene, command); diff --git a/packages/engine/Source/Scene/DebugModelMatrixPrimitive.js b/packages/engine/Source/Scene/DebugModelMatrixPrimitive.js index 7ab16bb7738c..61214248993c 100644 --- a/packages/engine/Source/Scene/DebugModelMatrixPrimitive.js +++ b/packages/engine/Source/Scene/DebugModelMatrixPrimitive.js @@ -74,7 +74,7 @@ function DebugModelMatrixPrimitive(options) { * @default {@link Matrix4.IDENTITY} */ this.modelMatrix = Matrix4.clone( - defaultValue(options.modelMatrix, Matrix4.IDENTITY) + defaultValue(options.modelMatrix, Matrix4.IDENTITY), ); this._modelMatrix = new Matrix4(); @@ -136,7 +136,7 @@ DebugModelMatrixPrimitive.prototype.update = function (frameState) { modelMatrix: Matrix4.multiplyByUniformScale( this.modelMatrix, this.length, - new Matrix4() + new Matrix4(), ), id: this.id, pickPrimitive: this, @@ -152,7 +152,7 @@ DebugModelMatrixPrimitive.prototype.update = function (frameState) { modelMatrix: Matrix4.multiplyByUniformScale( this.modelMatrix, this.length, - new Matrix4() + new Matrix4(), ), id: this.id, pickPrimitive: this, @@ -168,7 +168,7 @@ DebugModelMatrixPrimitive.prototype.update = function (frameState) { modelMatrix: Matrix4.multiplyByUniformScale( this.modelMatrix, this.length, - new Matrix4() + new Matrix4(), ), id: this.id, pickPrimitive: this, diff --git a/packages/engine/Source/Scene/DepthPlane.js b/packages/engine/Source/Scene/DepthPlane.js index 234ae355b313..a45fe0c68ab6 100644 --- a/packages/engine/Source/Scene/DepthPlane.js +++ b/packages/engine/Source/Scene/DepthPlane.js @@ -58,7 +58,7 @@ function computeDepthQuad(ellipsoid, frameState) { const q = Cartesian3.multiplyComponents( ellipsoid.oneOverRadii, p, - scratchCartesian1 + scratchCartesian1, ); const qUnit = Cartesian3.normalize(q, scratchCartesian2); @@ -66,11 +66,11 @@ function computeDepthQuad(ellipsoid, frameState) { // Determine the east and north directions at q. const eUnit = Cartesian3.normalize( Cartesian3.cross(Cartesian3.UNIT_Z, q, scratchCartesian3), - scratchCartesian3 + scratchCartesian3, ); const nUnit = Cartesian3.normalize( Cartesian3.cross(qUnit, eUnit, scratchCartesian4), - scratchCartesian4 + scratchCartesian4, ); const qMagnitude = Cartesian3.magnitude(q); @@ -82,7 +82,7 @@ function computeDepthQuad(ellipsoid, frameState) { center = Cartesian3.multiplyByScalar( qUnit, 1.0 / qMagnitude, - scratchCartesian1 + scratchCartesian1, ); const scalar = wMagnitude / qMagnitude; eastOffset = Cartesian3.multiplyByScalar(eUnit, scalar, scratchCartesian2); @@ -108,7 +108,7 @@ function computeDepthQuad(ellipsoid, frameState) { const lowerRight = Cartesian3.subtract( center, northOffset, - scratchCartesian5 + scratchCartesian5, ); Cartesian3.add(lowerRight, eastOffset, lowerRight); Cartesian3.multiplyComponents(radii, lowerRight, lowerRight); @@ -130,7 +130,7 @@ DepthPlane.prototype.update = function (frameState) { const ellipsoid = new Ellipsoid( radii.x + this._ellipsoidOffset, radii.y + this._ellipsoidOffset, - radii.z + this._ellipsoidOffset + radii.z + this._ellipsoidOffset, ); const useLogDepth = frameState.useLogDepth; @@ -156,7 +156,7 @@ DepthPlane.prototype.update = function (frameState) { renderState: this._rs, boundingVolume: new BoundingSphere( Cartesian3.ZERO, - ellipsoid.maximumRadius + ellipsoid.maximumRadius, ), pass: Pass.OPAQUE, owner: this, diff --git a/packages/engine/Source/Scene/DerivedCommand.js b/packages/engine/Source/Scene/DerivedCommand.js index cc84a664f3f5..8d70e283ac6a 100644 --- a/packages/engine/Source/Scene/DerivedCommand.js +++ b/packages/engine/Source/Scene/DerivedCommand.js @@ -16,7 +16,7 @@ const discardRegex = /\bdiscard\b/; function getDepthOnlyShaderProgram(context, shaderProgram) { const cachedShader = context.shaderCache.getDerivedShaderProgram( shaderProgram, - "depthOnly" + "depthOnly", ); if (defined(cachedShader)) { return cachedShader; @@ -64,7 +64,7 @@ function getDepthOnlyShaderProgram(context, shaderProgram) { vertexShaderSource: shaderProgram.vertexShaderSource, fragmentShaderSource: fs, attributeLocations: shaderProgram._attributeLocations, - } + }, ); } @@ -95,7 +95,7 @@ DerivedCommand.createDepthOnlyDerivedCommand = function ( scene, command, context, - result + result, ) { // For a depth only pass, we bind a framebuffer with only a depth attachment (no color attachments), // do not write color, and write depth. If the fragment shader doesn't modify the fragment depth @@ -111,17 +111,17 @@ DerivedCommand.createDepthOnlyDerivedCommand = function ( result.depthOnlyCommand = DrawCommand.shallowClone( command, - result.depthOnlyCommand + result.depthOnlyCommand, ); if (!defined(shader) || result.shaderProgramId !== command.shaderProgram.id) { result.depthOnlyCommand.shaderProgram = getDepthOnlyShaderProgram( context, - command.shaderProgram + command.shaderProgram, ); result.depthOnlyCommand.renderState = getDepthOnlyRenderState( scene, - command.renderState + command.renderState, ); result.shaderProgramId = command.shaderProgram.id; } else { @@ -145,7 +145,7 @@ function getLogDepthShaderProgram(context, shaderProgram) { const cachedShader = context.shaderCache.getDerivedShaderProgram( shaderProgram, - "logDepth" + "logDepth", ); if (defined(cachedShader)) { return cachedShader; @@ -223,7 +223,7 @@ void main() vertexShaderSource: vs, fragmentShaderSource: fs, attributeLocations: attributeLocations, - } + }, ); } @@ -239,7 +239,7 @@ DerivedCommand.createLogDepthCommand = function (command, context, result) { if (!defined(shader) || result.shaderProgramId !== command.shaderProgram.id) { result.command.shaderProgram = getLogDepthShaderProgram( context, - command.shaderProgram + command.shaderProgram, ); result.shaderProgramId = command.shaderProgram.id; } else { @@ -252,7 +252,7 @@ DerivedCommand.createLogDepthCommand = function (command, context, result) { function getPickShaderProgram(context, shaderProgram, pickId) { const cachedShader = context.shaderCache.getDerivedShaderProgram( shaderProgram, - "pick" + "pick", ); if (defined(cachedShader)) { return cachedShader; @@ -315,7 +315,7 @@ DerivedCommand.createPickDerivedCommand = function ( scene, command, context, - result + result, ) { if (!defined(result)) { result = {}; @@ -330,11 +330,11 @@ DerivedCommand.createPickDerivedCommand = function ( result.pickCommand.shaderProgram = getPickShaderProgram( context, command.shaderProgram, - command.pickId + command.pickId, ); result.pickCommand.renderState = getPickRenderState( scene, - command.renderState + command.renderState, ); result.shaderProgramId = command.shaderProgram.id; } else { @@ -438,7 +438,7 @@ function getGlslType(classProperty) { function getPickMetadataShaderProgram( context, shaderProgram, - pickedMetadataInfo + pickedMetadataInfo, ) { const schemaId = pickedMetadataInfo.schemaId; const className = pickedMetadataInfo.className; @@ -446,7 +446,7 @@ function getPickMetadataShaderProgram( const keyword = `pickMetadata-${schemaId}-${className}-${propertyName}`; const shader = context.shaderCache.getDerivedShaderProgram( shaderProgram, - keyword + keyword, ); if (defined(shader)) { return shader; @@ -491,32 +491,32 @@ function getPickMetadataShaderProgram( replaceDefine( newDefines, MetadataPickingPipelineStage.METADATA_PICKING_VALUE_TYPE, - glslType + glslType, ); replaceDefine( newDefines, MetadataPickingPipelineStage.METADATA_PICKING_VALUE_STRING, - `metadata.${propertyName}` + `metadata.${propertyName}`, ); replaceDefine( newDefines, MetadataPickingPipelineStage.METADATA_PICKING_VALUE_COMPONENT_X, - sourceValueStrings[0] + sourceValueStrings[0], ); replaceDefine( newDefines, MetadataPickingPipelineStage.METADATA_PICKING_VALUE_COMPONENT_Y, - sourceValueStrings[1] + sourceValueStrings[1], ); replaceDefine( newDefines, MetadataPickingPipelineStage.METADATA_PICKING_VALUE_COMPONENT_Z, - sourceValueStrings[2] + sourceValueStrings[2], ); replaceDefine( newDefines, MetadataPickingPipelineStage.METADATA_PICKING_VALUE_COMPONENT_W, - sourceValueStrings[3] + sourceValueStrings[3], ); const newFragmentShaderSource = new ShaderSource({ @@ -530,7 +530,7 @@ function getPickMetadataShaderProgram( vertexShaderSource: shaderProgram.vertexShaderSource, fragmentShaderSource: newFragmentShaderSource, attributeLocations: shaderProgram._attributeLocations, - } + }, ); return newShader; } @@ -542,24 +542,24 @@ DerivedCommand.createPickMetadataDerivedCommand = function ( scene, command, context, - result + result, ) { if (!defined(result)) { result = {}; } result.pickMetadataCommand = DrawCommand.shallowClone( command, - result.pickMetadataCommand + result.pickMetadataCommand, ); result.pickMetadataCommand.shaderProgram = getPickMetadataShaderProgram( context, command.shaderProgram, - command.pickedMetadataInfo + command.pickedMetadataInfo, ); result.pickMetadataCommand.renderState = getPickRenderState( scene, - command.renderState + command.renderState, ); result.shaderProgramId = command.shaderProgram.id; @@ -569,7 +569,7 @@ DerivedCommand.createPickMetadataDerivedCommand = function ( function getHdrShaderProgram(context, shaderProgram) { const cachedShader = context.shaderCache.getDerivedShaderProgram( shaderProgram, - "HDR" + "HDR", ); if (defined(cachedShader)) { return cachedShader; @@ -603,7 +603,7 @@ DerivedCommand.createHdrCommand = function (command, context, result) { if (!defined(shader) || result.shaderProgramId !== command.shaderProgram.id) { result.command.shaderProgram = getHdrShaderProgram( context, - command.shaderProgram + command.shaderProgram, ); result.shaderProgramId = command.shaderProgram.id; } else { diff --git a/packages/engine/Source/Scene/DiscardMissingTileImagePolicy.js b/packages/engine/Source/Scene/DiscardMissingTileImagePolicy.js index de950a3b0b1b..9cf5fc73a4e6 100644 --- a/packages/engine/Source/Scene/DiscardMissingTileImagePolicy.js +++ b/packages/engine/Source/Scene/DiscardMissingTileImagePolicy.js @@ -113,7 +113,7 @@ DiscardMissingTileImagePolicy.prototype.shouldDiscardImage = function (image) { //>>includeStart('debug', pragmas.debug); if (!this._isReady) { throw new DeveloperError( - "shouldDiscardImage must not be called before the discard policy is ready." + "shouldDiscardImage must not be called before the discard policy is ready.", ); } //>>includeEnd('debug'); diff --git a/packages/engine/Source/Scene/DracoLoader.js b/packages/engine/Source/Scene/DracoLoader.js index 56f2eaf71514..74a517504992 100644 --- a/packages/engine/Source/Scene/DracoLoader.js +++ b/packages/engine/Source/Scene/DracoLoader.js @@ -11,7 +11,7 @@ function DracoLoader() {} // Maximum concurrency to use when decoding draco models DracoLoader._maxDecodingConcurrency = Math.max( FeatureDetection.hardwareConcurrency - 1, - 1 + 1, ); // Exposed for testing purposes @@ -22,7 +22,7 @@ DracoLoader._getDecoderTaskProcessor = function () { if (!defined(DracoLoader._decoderTaskProcessor)) { const processor = new TaskProcessor( "decodeDraco", - DracoLoader._maxDecodingConcurrency + DracoLoader._maxDecodingConcurrency, ); processor .initWebAssemblyModule({ @@ -33,7 +33,7 @@ DracoLoader._getDecoderTaskProcessor = function () { DracoLoader._taskProcessorReady = true; } else { DracoLoader._error = new RuntimeError( - "Draco decoder could not be initialized." + "Draco decoder could not be initialized.", ); } }) diff --git a/packages/engine/Source/Scene/EllipsoidPrimitive.js b/packages/engine/Source/Scene/EllipsoidPrimitive.js index 82530a0463c4..ab7d70811787 100644 --- a/packages/engine/Source/Scene/EllipsoidPrimitive.js +++ b/packages/engine/Source/Scene/EllipsoidPrimitive.js @@ -100,7 +100,7 @@ function EllipsoidPrimitive(options) { * e.modelMatrix = Cesium.Transforms.eastNorthUpToFixedFrame(origin); */ this.modelMatrix = Matrix4.clone( - defaultValue(options.modelMatrix, Matrix4.IDENTITY) + defaultValue(options.modelMatrix, Matrix4.IDENTITY), ); this._modelMatrix = new Matrix4(); this._computedModelMatrix = new Matrix4(); @@ -135,7 +135,7 @@ function EllipsoidPrimitive(options) { */ this.material = defaultValue( options.material, - Material.fromType(Material.ColorType) + Material.fromType(Material.ColorType), ); this._material = undefined; this._translucent = undefined; @@ -164,7 +164,7 @@ function EllipsoidPrimitive(options) { */ this.debugShowBoundingVolume = defaultValue( options.debugShowBoundingVolume, - false + false, ); /** @@ -223,7 +223,7 @@ function getVertexArray(context) { BoxGeometry.fromDimensions({ dimensions: new Cartesian3(2.0, 2.0, 2.0), vertexFormat: VertexFormat.POSITION_ONLY, - }) + }), ); vertexArray = VertexArray.fromGeometry({ @@ -321,7 +321,7 @@ EllipsoidPrimitive.prototype.update = function (frameState) { Matrix4.multiplyByTranslation( this.modelMatrix, this.center, - this._computedModelMatrix + this._computedModelMatrix, ); boundingSphereDirty = true; } @@ -332,7 +332,7 @@ EllipsoidPrimitive.prototype.update = function (frameState) { BoundingSphere.transform( this._boundingSphere, this._computedModelMatrix, - this._boundingSphere + this._boundingSphere, ); } @@ -452,7 +452,7 @@ EllipsoidPrimitive.prototype.update = function (frameState) { pickCommand.shaderProgram = this._pickSP; pickCommand.uniformMap = combine( combine(this._uniforms, this._pickUniforms), - this.material._uniforms + this.material._uniforms, ); pickCommand.executeInClosestFrustum = translucent; } diff --git a/packages/engine/Source/Scene/EllipsoidSurfaceAppearance.js b/packages/engine/Source/Scene/EllipsoidSurfaceAppearance.js index 30e4aaf91e37..f51ed1d2bcda 100644 --- a/packages/engine/Source/Scene/EllipsoidSurfaceAppearance.js +++ b/packages/engine/Source/Scene/EllipsoidSurfaceAppearance.js @@ -72,16 +72,16 @@ function EllipsoidSurfaceAppearance(options) { this._vertexShaderSource = defaultValue( options.vertexShaderSource, - EllipsoidSurfaceAppearanceVS + EllipsoidSurfaceAppearanceVS, ); this._fragmentShaderSource = defaultValue( options.fragmentShaderSource, - EllipsoidSurfaceAppearanceFS + EllipsoidSurfaceAppearanceFS, ); this._renderState = Appearance.getDefaultRenderState( translucent, !aboveGround, - options.renderState + options.renderState, ); this._closed = false; diff --git a/packages/engine/Source/Scene/Empty3DTileContent.js b/packages/engine/Source/Scene/Empty3DTileContent.js index 0b5c4459da35..2479baefb211 100644 --- a/packages/engine/Source/Scene/Empty3DTileContent.js +++ b/packages/engine/Source/Scene/Empty3DTileContent.js @@ -104,7 +104,7 @@ Object.defineProperties(Empty3DTileContent.prototype, { set: function (value) { //>>includeStart('debug', pragmas.debug); throw new DeveloperError( - "Empty3DTileContent cannot have content metadata" + "Empty3DTileContent cannot have content metadata", ); //>>includeEnd('debug'); }, diff --git a/packages/engine/Source/Scene/Expression.js b/packages/engine/Source/Scene/Expression.js index 2d413de4b39c..a87be1b0940a 100644 --- a/packages/engine/Source/Scene/Expression.js +++ b/packages/engine/Source/Scene/Expression.js @@ -183,11 +183,11 @@ Expression.prototype.getShaderFunction = function ( functionSignature, variableSubstitutionMap, shaderState, - returnType + returnType, ) { let shaderExpression = this.getShaderExpression( variableSubstitutionMap, - shaderState + shaderState, ); shaderExpression = @@ -212,11 +212,11 @@ Expression.prototype.getShaderFunction = function ( */ Expression.prototype.getShaderExpression = function ( variableSubstitutionMap, - shaderState + shaderState, ) { return this._runtimeAst.getShaderExpression( variableSubstitutionMap, - shaderState + shaderState, ); }; @@ -325,14 +325,14 @@ function getEvaluateUnaryComponentwise(operation) { return Cartesian2.fromElements( operation(left.x), operation(left.y), - scratchStorage.getCartesian2() + scratchStorage.getCartesian2(), ); } else if (left instanceof Cartesian3) { return Cartesian3.fromElements( operation(left.x), operation(left.y), operation(left.z), - scratchStorage.getCartesian3() + scratchStorage.getCartesian3(), ); } else if (left instanceof Cartesian4) { return Cartesian4.fromElements( @@ -340,11 +340,11 @@ function getEvaluateUnaryComponentwise(operation) { operation(left.y), operation(left.z), operation(left.w), - scratchStorage.getCartesian4() + scratchStorage.getCartesian4(), ); } throw new RuntimeError( - `Function "${call}" requires a vector or number argument. Argument is ${left}.` + `Function "${call}" requires a vector or number argument. Argument is ${left}.`, ); }; } @@ -358,14 +358,14 @@ function getEvaluateBinaryComponentwise(operation, allowScalar) { return Cartesian2.fromElements( operation(left.x, right), operation(left.y, right), - scratchStorage.getCartesian2() + scratchStorage.getCartesian2(), ); } else if (left instanceof Cartesian3) { return Cartesian3.fromElements( operation(left.x, right), operation(left.y, right), operation(left.z, right), - scratchStorage.getCartesian3() + scratchStorage.getCartesian3(), ); } else if (left instanceof Cartesian4) { return Cartesian4.fromElements( @@ -373,7 +373,7 @@ function getEvaluateBinaryComponentwise(operation, allowScalar) { operation(left.y, right), operation(left.z, right), operation(left.w, right), - scratchStorage.getCartesian4() + scratchStorage.getCartesian4(), ); } } @@ -384,14 +384,14 @@ function getEvaluateBinaryComponentwise(operation, allowScalar) { return Cartesian2.fromElements( operation(left.x, right.x), operation(left.y, right.y), - scratchStorage.getCartesian2() + scratchStorage.getCartesian2(), ); } else if (left instanceof Cartesian3 && right instanceof Cartesian3) { return Cartesian3.fromElements( operation(left.x, right.x), operation(left.y, right.y), operation(left.z, right.z), - scratchStorage.getCartesian3() + scratchStorage.getCartesian3(), ); } else if (left instanceof Cartesian4 && right instanceof Cartesian4) { return Cartesian4.fromElements( @@ -399,12 +399,12 @@ function getEvaluateBinaryComponentwise(operation, allowScalar) { operation(left.y, right.y), operation(left.z, right.z), operation(left.w, right.w), - scratchStorage.getCartesian4() + scratchStorage.getCartesian4(), ); } throw new RuntimeError( - `Function "${call}" requires vector or number arguments of matching types. Arguments are ${left} and ${right}.` + `Function "${call}" requires vector or number arguments of matching types. Arguments are ${left} and ${right}.`, ); }; } @@ -418,14 +418,14 @@ function getEvaluateTernaryComponentwise(operation, allowScalar) { return Cartesian2.fromElements( operation(left.x, right.x, test), operation(left.y, right.y, test), - scratchStorage.getCartesian2() + scratchStorage.getCartesian2(), ); } else if (left instanceof Cartesian3 && right instanceof Cartesian3) { return Cartesian3.fromElements( operation(left.x, right.x, test), operation(left.y, right.y, test), operation(left.z, right.z, test), - scratchStorage.getCartesian3() + scratchStorage.getCartesian3(), ); } else if (left instanceof Cartesian4 && right instanceof Cartesian4) { return Cartesian4.fromElements( @@ -433,7 +433,7 @@ function getEvaluateTernaryComponentwise(operation, allowScalar) { operation(left.y, right.y, test), operation(left.z, right.z, test), operation(left.w, right.w, test), - scratchStorage.getCartesian4() + scratchStorage.getCartesian4(), ); } } @@ -452,7 +452,7 @@ function getEvaluateTernaryComponentwise(operation, allowScalar) { return Cartesian2.fromElements( operation(left.x, right.x, test.x), operation(left.y, right.y, test.y), - scratchStorage.getCartesian2() + scratchStorage.getCartesian2(), ); } else if ( left instanceof Cartesian3 && @@ -463,7 +463,7 @@ function getEvaluateTernaryComponentwise(operation, allowScalar) { operation(left.x, right.x, test.x), operation(left.y, right.y, test.y), operation(left.z, right.z, test.z), - scratchStorage.getCartesian3() + scratchStorage.getCartesian3(), ); } else if ( left instanceof Cartesian4 && @@ -475,12 +475,12 @@ function getEvaluateTernaryComponentwise(operation, allowScalar) { operation(left.y, right.y, test.y), operation(left.z, right.z, test.z), operation(left.w, right.w, test.w), - scratchStorage.getCartesian4() + scratchStorage.getCartesian4(), ); } throw new RuntimeError( - `Function "${call}" requires vector or number arguments of matching types. Arguments are ${left}, ${right}, and ${test}.` + `Function "${call}" requires vector or number arguments of matching types. Arguments are ${left}, ${right}, and ${test}.`, ); }; } @@ -497,7 +497,7 @@ function length(call, left) { } throw new RuntimeError( - `Function "${call}" requires a vector or number argument. Argument is ${left}.` + `Function "${call}" requires a vector or number argument. Argument is ${left}.`, ); } @@ -513,7 +513,7 @@ function normalize(call, left) { } throw new RuntimeError( - `Function "${call}" requires a vector or number argument. Argument is ${left}.` + `Function "${call}" requires a vector or number argument. Argument is ${left}.`, ); } @@ -529,7 +529,7 @@ function distance(call, left, right) { } throw new RuntimeError( - `Function "${call}" requires vector or number arguments of matching types. Arguments are ${left} and ${right}.` + `Function "${call}" requires vector or number arguments of matching types. Arguments are ${left} and ${right}.`, ); } @@ -545,7 +545,7 @@ function dot(call, left, right) { } throw new RuntimeError( - `Function "${call}" requires vector or number arguments of matching types. Arguments are ${left} and ${right}.` + `Function "${call}" requires vector or number arguments of matching types. Arguments are ${left} and ${right}.`, ); } @@ -555,7 +555,7 @@ function cross(call, left, right) { } throw new RuntimeError( - `Function "${call}" requires vec3 arguments. Arguments are ${left} and ${right}.` + `Function "${call}" requires vec3 arguments. Arguments are ${left} and ${right}.`, ); } @@ -642,7 +642,7 @@ function parseLiteral(ast) { } return new Node( ExpressionNodeType.LITERAL_STRING, - replaceBackslashes(ast.value) + replaceBackslashes(ast.value), ); } } @@ -802,7 +802,7 @@ function parseRegex(expression, ast) { try { exp = new RegExp( replaceBackslashes(String(pattern._value)), - flags._value + flags._value, ); } catch (e) { throw new RuntimeError(e); @@ -856,7 +856,7 @@ function parseNumberConstant(ast) { if (name === "POSITIVE_INFINITY") { return new Node( ExpressionNodeType.LITERAL_NUMBER, - Number.POSITIVE_INFINITY + Number.POSITIVE_INFINITY, ); } } @@ -1115,7 +1115,7 @@ Node.prototype._evaluateLiteralColor = function (feature) { args[1].evaluate(feature), args[2].evaluate(feature), 255, - color + color, ); } else if (this._value === "rgba") { // convert between css alpha (0 to 1) and cesium alpha (0 to 255) @@ -1125,7 +1125,7 @@ Node.prototype._evaluateLiteralColor = function (feature) { args[1].evaluate(feature), args[2].evaluate(feature), a, - color + color, ); } else if (this._value === "hsl") { Color.fromHsl( @@ -1133,7 +1133,7 @@ Node.prototype._evaluateLiteralColor = function (feature) { args[1].evaluate(feature), args[2].evaluate(feature), 1.0, - color + color, ); } else if (this._value === "hsla") { Color.fromHsl( @@ -1141,7 +1141,7 @@ Node.prototype._evaluateLiteralColor = function (feature) { args[1].evaluate(feature), args[2].evaluate(feature), args[3].evaluate(feature), - color + color, ); } return Cartesian4.fromColor(color, scratchStorage.getCartesian4()); @@ -1177,7 +1177,7 @@ Node.prototype._evaluateLiteralVector = function (feature) { components.push(value.x, value.y, value.z, value.w); } else { throw new RuntimeError( - `${call} argument must be a vector or number. Argument is ${value}.` + `${call} argument must be a vector or number. Argument is ${value}.`, ); } } @@ -1189,7 +1189,7 @@ Node.prototype._evaluateLiteralVector = function (feature) { throw new RuntimeError(`Invalid ${call} constructor. No valid arguments.`); } else if (componentsLength < vectorLength && componentsLength > 1) { throw new RuntimeError( - `Invalid ${call} constructor. Not enough arguments.` + `Invalid ${call} constructor. Not enough arguments.`, ); } else if (componentsLength > vectorLength && argsLength > 1) { throw new RuntimeError(`Invalid ${call} constructor. Too many arguments.`); @@ -1314,7 +1314,7 @@ Node.prototype._evaluateNot = function (feature) { const left = this._left.evaluate(feature); if (typeof left !== "boolean") { throw new RuntimeError( - `Operator "!" requires a boolean argument. Argument is ${left}.` + `Operator "!" requires a boolean argument. Argument is ${left}.`, ); } return !left; @@ -1333,7 +1333,7 @@ Node.prototype._evaluateNegative = function (feature) { } throw new RuntimeError( - `Operator "-" requires a vector or number argument. Argument is ${left}.` + `Operator "-" requires a vector or number argument. Argument is ${left}.`, ); }; @@ -1349,7 +1349,7 @@ Node.prototype._evaluatePositive = function (feature) { ) ) { throw new RuntimeError( - `Operator "+" requires a vector or number argument. Argument is ${left}.` + `Operator "+" requires a vector or number argument. Argument is ${left}.`, ); } @@ -1362,7 +1362,7 @@ Node.prototype._evaluateLessThan = function (feature) { if (typeof left !== "number" || typeof right !== "number") { throw new RuntimeError( - `Operator "<" requires number arguments. Arguments are ${left} and ${right}.` + `Operator "<" requires number arguments. Arguments are ${left} and ${right}.`, ); } @@ -1375,7 +1375,7 @@ Node.prototype._evaluateLessThanOrEquals = function (feature) { if (typeof left !== "number" || typeof right !== "number") { throw new RuntimeError( - `Operator "<=" requires number arguments. Arguments are ${left} and ${right}.` + `Operator "<=" requires number arguments. Arguments are ${left} and ${right}.`, ); } @@ -1388,7 +1388,7 @@ Node.prototype._evaluateGreaterThan = function (feature) { if (typeof left !== "number" || typeof right !== "number") { throw new RuntimeError( - `Operator ">" requires number arguments. Arguments are ${left} and ${right}.` + `Operator ">" requires number arguments. Arguments are ${left} and ${right}.`, ); } @@ -1401,7 +1401,7 @@ Node.prototype._evaluateGreaterThanOrEquals = function (feature) { if (typeof left !== "number" || typeof right !== "number") { throw new RuntimeError( - `Operator ">=" requires number arguments. Arguments are ${left} and ${right}.` + `Operator ">=" requires number arguments. Arguments are ${left} and ${right}.`, ); } @@ -1412,7 +1412,7 @@ Node.prototype._evaluateOr = function (feature) { const left = this._left.evaluate(feature); if (typeof left !== "boolean") { throw new RuntimeError( - `Operator "||" requires boolean arguments. First argument is ${left}.` + `Operator "||" requires boolean arguments. First argument is ${left}.`, ); } @@ -1424,7 +1424,7 @@ Node.prototype._evaluateOr = function (feature) { const right = this._right.evaluate(feature); if (typeof right !== "boolean") { throw new RuntimeError( - `Operator "||" requires boolean arguments. Second argument is ${right}.` + `Operator "||" requires boolean arguments. Second argument is ${right}.`, ); } @@ -1435,7 +1435,7 @@ Node.prototype._evaluateAnd = function (feature) { const left = this._left.evaluate(feature); if (typeof left !== "boolean") { throw new RuntimeError( - `Operator "&&" requires boolean arguments. First argument is ${left}.` + `Operator "&&" requires boolean arguments. First argument is ${left}.`, ); } @@ -1447,7 +1447,7 @@ Node.prototype._evaluateAnd = function (feature) { const right = this._right.evaluate(feature); if (typeof right !== "boolean") { throw new RuntimeError( - `Operator "&&" requires boolean arguments. Second argument is ${right}.` + `Operator "&&" requires boolean arguments. Second argument is ${right}.`, ); } @@ -1471,7 +1471,7 @@ Node.prototype._evaluatePlus = function (feature) { } throw new RuntimeError( - `Operator "+" requires vector or number arguments of matching types, or at least one string argument. Arguments are ${left} and ${right}.` + `Operator "+" requires vector or number arguments of matching types, or at least one string argument. Arguments are ${left} and ${right}.`, ); }; @@ -1489,7 +1489,7 @@ Node.prototype._evaluateMinus = function (feature) { } throw new RuntimeError( - `Operator "-" requires vector or number arguments of matching types. Arguments are ${left} and ${right}.` + `Operator "-" requires vector or number arguments of matching types. Arguments are ${left} and ${right}.`, ); }; @@ -1500,62 +1500,62 @@ Node.prototype._evaluateTimes = function (feature) { return Cartesian2.multiplyComponents( left, right, - scratchStorage.getCartesian2() + scratchStorage.getCartesian2(), ); } else if (right instanceof Cartesian2 && typeof left === "number") { return Cartesian2.multiplyByScalar( right, left, - scratchStorage.getCartesian2() + scratchStorage.getCartesian2(), ); } else if (left instanceof Cartesian2 && typeof right === "number") { return Cartesian2.multiplyByScalar( left, right, - scratchStorage.getCartesian2() + scratchStorage.getCartesian2(), ); } else if (right instanceof Cartesian3 && left instanceof Cartesian3) { return Cartesian3.multiplyComponents( left, right, - scratchStorage.getCartesian3() + scratchStorage.getCartesian3(), ); } else if (right instanceof Cartesian3 && typeof left === "number") { return Cartesian3.multiplyByScalar( right, left, - scratchStorage.getCartesian3() + scratchStorage.getCartesian3(), ); } else if (left instanceof Cartesian3 && typeof right === "number") { return Cartesian3.multiplyByScalar( left, right, - scratchStorage.getCartesian3() + scratchStorage.getCartesian3(), ); } else if (right instanceof Cartesian4 && left instanceof Cartesian4) { return Cartesian4.multiplyComponents( left, right, - scratchStorage.getCartesian4() + scratchStorage.getCartesian4(), ); } else if (right instanceof Cartesian4 && typeof left === "number") { return Cartesian4.multiplyByScalar( right, left, - scratchStorage.getCartesian4() + scratchStorage.getCartesian4(), ); } else if (left instanceof Cartesian4 && typeof right === "number") { return Cartesian4.multiplyByScalar( left, right, - scratchStorage.getCartesian4() + scratchStorage.getCartesian4(), ); } else if (typeof left === "number" && typeof right === "number") { return left * right; } throw new RuntimeError( - `Operator "*" requires vector or number arguments. If both arguments are vectors they must be matching types. Arguments are ${left} and ${right}.` + `Operator "*" requires vector or number arguments. If both arguments are vectors they must be matching types. Arguments are ${left} and ${right}.`, ); }; @@ -1566,44 +1566,44 @@ Node.prototype._evaluateDivide = function (feature) { return Cartesian2.divideComponents( left, right, - scratchStorage.getCartesian2() + scratchStorage.getCartesian2(), ); } else if (left instanceof Cartesian2 && typeof right === "number") { return Cartesian2.divideByScalar( left, right, - scratchStorage.getCartesian2() + scratchStorage.getCartesian2(), ); } else if (right instanceof Cartesian3 && left instanceof Cartesian3) { return Cartesian3.divideComponents( left, right, - scratchStorage.getCartesian3() + scratchStorage.getCartesian3(), ); } else if (left instanceof Cartesian3 && typeof right === "number") { return Cartesian3.divideByScalar( left, right, - scratchStorage.getCartesian3() + scratchStorage.getCartesian3(), ); } else if (right instanceof Cartesian4 && left instanceof Cartesian4) { return Cartesian4.divideComponents( left, right, - scratchStorage.getCartesian4() + scratchStorage.getCartesian4(), ); } else if (left instanceof Cartesian4 && typeof right === "number") { return Cartesian4.divideByScalar( left, right, - scratchStorage.getCartesian4() + scratchStorage.getCartesian4(), ); } else if (typeof left === "number" && typeof right === "number") { return left / right; } throw new RuntimeError( - `Operator "/" requires vector or number arguments of matching types, or a number as the second argument. Arguments are ${left} and ${right}.` + `Operator "/" requires vector or number arguments of matching types, or a number as the second argument. Arguments are ${left} and ${right}.`, ); }; @@ -1614,14 +1614,14 @@ Node.prototype._evaluateMod = function (feature) { return Cartesian2.fromElements( left.x % right.x, left.y % right.y, - scratchStorage.getCartesian2() + scratchStorage.getCartesian2(), ); } else if (right instanceof Cartesian3 && left instanceof Cartesian3) { return Cartesian3.fromElements( left.x % right.x, left.y % right.y, left.z % right.z, - scratchStorage.getCartesian3() + scratchStorage.getCartesian3(), ); } else if (right instanceof Cartesian4 && left instanceof Cartesian4) { return Cartesian4.fromElements( @@ -1629,14 +1629,14 @@ Node.prototype._evaluateMod = function (feature) { left.y % right.y, left.z % right.z, left.w % right.w, - scratchStorage.getCartesian4() + scratchStorage.getCartesian4(), ); } else if (typeof left === "number" && typeof right === "number") { return left % right; } throw new RuntimeError( - `Operator "%" requires vector or number arguments of matching types. Arguments are ${left} and ${right}.` + `Operator "%" requires vector or number arguments of matching types. Arguments are ${left} and ${right}.`, ); }; @@ -1671,7 +1671,7 @@ Node.prototype._evaluateConditional = function (feature) { if (typeof test !== "boolean") { throw new RuntimeError( - `Conditional argument of conditional expression must be a boolean. Argument is ${test}.` + `Conditional argument of conditional expression must be a boolean. Argument is ${test}.`, ); } @@ -1744,7 +1744,7 @@ Node.prototype._evaluateRegExpTest = function (feature) { if (!(left instanceof RegExp && typeof right === "string")) { throw new RuntimeError( - `RegExp.test requires the first argument to be a RegExp and the second argument to be a string. Arguments are ${left} and ${right}.` + `RegExp.test requires the first argument to be a RegExp and the second argument to be a string. Arguments are ${left} and ${right}.`, ); } @@ -1762,7 +1762,7 @@ Node.prototype._evaluateRegExpMatch = function (feature) { } throw new RuntimeError( - `Operator "=~" requires one RegExp argument and one string argument. Arguments are ${left} and ${right}.` + `Operator "=~" requires one RegExp argument and one string argument. Arguments are ${left} and ${right}.`, ); }; @@ -1777,7 +1777,7 @@ Node.prototype._evaluateRegExpNotMatch = function (feature) { } throw new RuntimeError( - `Operator "!~" requires one RegExp argument and one string argument. Arguments are ${left} and ${right}.` + `Operator "!~" requires one RegExp argument and one string argument. Arguments are ${left} and ${right}.`, ); }; @@ -1787,7 +1787,7 @@ Node.prototype._evaluateRegExpExec = function (feature) { if (!(left instanceof RegExp && typeof right === "string")) { throw new RuntimeError( - `RegExp.exec requires the first argument to be a RegExp and the second argument to be a string. Arguments are ${left} and ${right}.` + `RegExp.exec requires the first argument to be a RegExp and the second argument to be a string. Arguments are ${left} and ${right}.`, ); } @@ -1875,7 +1875,7 @@ function getExpressionArray( array, variableSubstitutionMap, shaderState, - parent + parent, ) { const length = array.length; const expressions = new Array(length); @@ -1883,7 +1883,7 @@ function getExpressionArray( expressions[i] = array[i].getShaderExpression( variableSubstitutionMap, shaderState, - parent + parent, ); } return expressions; @@ -1905,7 +1905,7 @@ Expression.NULL_SENTINEL = "czm_infinity"; // null just needs to be some sentine Node.prototype.getShaderExpression = function ( variableSubstitutionMap, shaderState, - parent + parent, ) { let color; let left; @@ -1922,13 +1922,13 @@ Node.prototype.getShaderExpression = function ( this._left, variableSubstitutionMap, shaderState, - this + this, ); } else { left = this._left.getShaderExpression( variableSubstitutionMap, shaderState, - this + this, ); } } @@ -1937,7 +1937,7 @@ Node.prototype.getShaderExpression = function ( right = this._right.getShaderExpression( variableSubstitutionMap, shaderState, - this + this, ); } @@ -1945,7 +1945,7 @@ Node.prototype.getShaderExpression = function ( test = this._test.getShaderExpression( variableSubstitutionMap, shaderState, - this + this, ); } @@ -1955,7 +1955,7 @@ Node.prototype.getShaderExpression = function ( this._value, variableSubstitutionMap, shaderState, - this + this, ); } @@ -1991,7 +1991,7 @@ Node.prototype.getShaderExpression = function ( value === "getExactClassName" ) { throw new RuntimeError( - `Error generating style shader: "${value}" is not supported.` + `Error generating style shader: "${value}" is not supported.`, ); } return value + left; @@ -2034,7 +2034,7 @@ Node.prototype.getShaderExpression = function ( return `${left}[int(${right})]`; case ExpressionNodeType.FUNCTION_CALL: throw new RuntimeError( - `Error generating style shader: "${value}" is not supported.` + `Error generating style shader: "${value}" is not supported.`, ); case ExpressionNodeType.ARRAY: if (value.length === 4) { @@ -2045,15 +2045,15 @@ Node.prototype.getShaderExpression = function ( return `vec2(${value[0]}, ${value[1]})`; } throw new RuntimeError( - "Error generating style shader: Invalid array length. Array length should be 2, 3, or 4." + "Error generating style shader: Invalid array length. Array length should be 2, 3, or 4.", ); case ExpressionNodeType.REGEX: throw new RuntimeError( - "Error generating style shader: Regular expressions are not supported." + "Error generating style shader: Regular expressions are not supported.", ); case ExpressionNodeType.VARIABLE_IN_STRING: throw new RuntimeError( - "Error generating style shader: Converting a variable to a string is not supported." + "Error generating style shader: Converting a variable to a string is not supported.", ); case ExpressionNodeType.LITERAL_NULL: return Expression.NULL_SENTINEL; @@ -2083,7 +2083,7 @@ Node.prototype.getShaderExpression = function ( return colorToVec3(color); } throw new RuntimeError( - "Error generating style shader: String literals are not supported." + "Error generating style shader: String literals are not supported.", ); case ExpressionNodeType.LITERAL_COLOR: args = left; @@ -2138,7 +2138,7 @@ Node.prototype.getShaderExpression = function ( //>>includeStart('debug', pragmas.debug); if (!defined(left)) { throw new DeveloperError( - "left should always be defined for type ExpressionNodeType.LITERAL_VECTOR" + "left should always be defined for type ExpressionNodeType.LITERAL_VECTOR", ); } //>>includeEnd('debug'); @@ -2154,7 +2154,7 @@ Node.prototype.getShaderExpression = function ( return vectorExpression; case ExpressionNodeType.LITERAL_REGEX: throw new RuntimeError( - "Error generating style shader: Regular expressions are not supported." + "Error generating style shader: Regular expressions are not supported.", ); case ExpressionNodeType.LITERAL_UNDEFINED: return Expression.NULL_SENTINEL; diff --git a/packages/engine/Source/Scene/Fog.js b/packages/engine/Source/Scene/Fog.js index 877d45b44f05..9dd4e4e6899a 100644 --- a/packages/engine/Source/Scene/Fog.js +++ b/packages/engine/Source/Scene/Fog.js @@ -54,48 +54,15 @@ function Fog() { // These values were found by sampling the density at certain views and finding at what point culled tiles impacted the view at the horizon. const heightsTable = [ - 359.393, - 800.749, - 1275.6501, - 2151.1192, - 3141.7763, - 4777.5198, - 6281.2493, - 12364.307, - 15900.765, - 49889.0549, - 78026.8259, - 99260.7344, - 120036.3873, - 151011.0158, - 156091.1953, - 203849.3112, - 274866.9803, - 319916.3149, - 493552.0528, + 359.393, 800.749, 1275.6501, 2151.1192, 3141.7763, 4777.5198, 6281.2493, + 12364.307, 15900.765, 49889.0549, 78026.8259, 99260.7344, 120036.3873, + 151011.0158, 156091.1953, 203849.3112, 274866.9803, 319916.3149, 493552.0528, 628733.5874, ]; const densityTable = [ - 2.0e-5, - 2.0e-4, - 1.0e-4, - 7.0e-5, - 5.0e-5, - 4.0e-5, - 3.0e-5, - 1.9e-5, - 1.0e-5, - 8.5e-6, - 6.2e-6, - 5.8e-6, - 5.3e-6, - 5.2e-6, - 5.1e-6, - 4.2e-6, - 4.0e-6, - 3.4e-6, - 2.6e-6, - 2.2e-6, + 2.0e-5, 2.0e-4, 1.0e-4, 7.0e-5, 5.0e-5, 4.0e-5, 3.0e-5, 1.9e-5, 1.0e-5, + 8.5e-6, 6.2e-6, 5.8e-6, 5.3e-6, 5.2e-6, 5.1e-6, 4.2e-6, 4.0e-6, 3.4e-6, + 2.6e-6, 2.2e-6, ]; // Scale densities by 1e6 to bring lowest value to ~1. Prevents divide by zero. @@ -182,7 +149,7 @@ Fog.prototype.update = function (frameState) { const t = CesiumMath.clamp( (height - heightsTable[i]) / (heightsTable[i + 1] - heightsTable[i]), 0.0, - 1.0 + 1.0, ); let density = CesiumMath.lerp(densityTable[i], densityTable[i + 1], t); @@ -194,7 +161,7 @@ Fog.prototype.update = function (frameState) { // Fade fog in as the camera tilts toward the horizon. const positionNormal = Cartesian3.normalize( camera.positionWC, - scratchPositionNormal + scratchPositionNormal, ); const dot = Math.abs(Cartesian3.dot(camera.directionWC, positionNormal)); density *= 1.0 - dot; diff --git a/packages/engine/Source/Scene/FrameRateMonitor.js b/packages/engine/Source/Scene/FrameRateMonitor.js index 68169a6c24f6..3e7f3ae5053a 100644 --- a/packages/engine/Source/Scene/FrameRateMonitor.js +++ b/packages/engine/Source/Scene/FrameRateMonitor.js @@ -44,7 +44,7 @@ function FrameRateMonitor(options) { */ this.samplingWindow = defaultValue( options.samplingWindow, - FrameRateMonitor.defaultSettings.samplingWindow + FrameRateMonitor.defaultSettings.samplingWindow, ); /** @@ -54,7 +54,7 @@ function FrameRateMonitor(options) { */ this.quietPeriod = defaultValue( options.quietPeriod, - FrameRateMonitor.defaultSettings.quietPeriod + FrameRateMonitor.defaultSettings.quietPeriod, ); /** @@ -64,7 +64,7 @@ function FrameRateMonitor(options) { */ this.warmupPeriod = defaultValue( options.warmupPeriod, - FrameRateMonitor.defaultSettings.warmupPeriod + FrameRateMonitor.defaultSettings.warmupPeriod, ); /** @@ -75,7 +75,7 @@ function FrameRateMonitor(options) { */ this.minimumFrameRateDuringWarmup = defaultValue( options.minimumFrameRateDuringWarmup, - FrameRateMonitor.defaultSettings.minimumFrameRateDuringWarmup + FrameRateMonitor.defaultSettings.minimumFrameRateDuringWarmup, ); /** @@ -86,7 +86,7 @@ function FrameRateMonitor(options) { */ this.minimumFrameRateAfterWarmup = defaultValue( options.minimumFrameRateAfterWarmup, - FrameRateMonitor.defaultSettings.minimumFrameRateAfterWarmup + FrameRateMonitor.defaultSettings.minimumFrameRateAfterWarmup, ); this._lowFrameRate = new Event(); @@ -104,30 +104,30 @@ function FrameRateMonitor(options) { this._preUpdateRemoveListener = this._scene.preUpdate.addEventListener( function (scene, time) { update(that, time); - } + }, ); this._hiddenPropertyName = document.hidden !== undefined ? "hidden" : document.mozHidden !== undefined - ? "mozHidden" - : document.msHidden !== undefined - ? "msHidden" - : document.webkitHidden !== undefined - ? "webkitHidden" - : undefined; + ? "mozHidden" + : document.msHidden !== undefined + ? "msHidden" + : document.webkitHidden !== undefined + ? "webkitHidden" + : undefined; const visibilityChangeEventName = document.hidden !== undefined ? "visibilitychange" : document.mozHidden !== undefined - ? "mozvisibilitychange" - : document.msHidden !== undefined - ? "msvisibilitychange" - : document.webkitHidden !== undefined - ? "webkitvisibilitychange" - : undefined; + ? "mozvisibilitychange" + : document.msHidden !== undefined + ? "msvisibilitychange" + : document.webkitHidden !== undefined + ? "webkitvisibilitychange" + : undefined; function visibilityChangeListener() { visibilityChanged(that); @@ -138,14 +138,14 @@ function FrameRateMonitor(options) { document.addEventListener( visibilityChangeEventName, visibilityChangeListener, - false + false, ); this._visibilityChangeRemoveListener = function () { document.removeEventListener( visibilityChangeEventName, visibilityChangeListener, - false + false, ); }; } @@ -359,7 +359,7 @@ function update(monitor, time) { monitor._needsQuietPeriod = true; monitor.lowFrameRate.raiseEvent( monitor.scene, - monitor._lastFramesPerSecond + monitor._lastFramesPerSecond, ); } } else if (monitor._frameRateIsLow) { @@ -367,7 +367,7 @@ function update(monitor, time) { monitor._needsQuietPeriod = true; monitor.nominalFrameRate.raiseEvent( monitor.scene, - monitor._lastFramesPerSecond + monitor._lastFramesPerSecond, ); } } diff --git a/packages/engine/Source/Scene/Geometry3DTileContent.js b/packages/engine/Source/Scene/Geometry3DTileContent.js index d89a278eb4b1..17685da7cd65 100644 --- a/packages/engine/Source/Scene/Geometry3DTileContent.js +++ b/packages/engine/Source/Scene/Geometry3DTileContent.js @@ -24,7 +24,7 @@ function Geometry3DTileContent( tile, resource, arrayBuffer, - byteOffset + byteOffset, ) { this._tileset = tileset; this._tile = tile; @@ -175,7 +175,7 @@ function getBatchIds(featureTableJson, featureTableBinary) { const numberOfCylinders = defaultValue(featureTableJson.CYLINDERS_LENGTH, 0); const numberOfEllipsoids = defaultValue( featureTableJson.ELLIPSOIDS_LENGTH, - 0 + 0, ); const numberOfSpheres = defaultValue(featureTableJson.SPHERES_LENGTH, 0); @@ -185,7 +185,7 @@ function getBatchIds(featureTableJson, featureTableBinary) { boxBatchIds = new Uint16Array( featureTableBinary.buffer, boxBatchIdsByteOffset, - numberOfBoxes + numberOfBoxes, ); } @@ -196,7 +196,7 @@ function getBatchIds(featureTableJson, featureTableBinary) { cylinderBatchIds = new Uint16Array( featureTableBinary.buffer, cylinderBatchIdsByteOffset, - numberOfCylinders + numberOfCylinders, ); } @@ -207,7 +207,7 @@ function getBatchIds(featureTableJson, featureTableBinary) { ellipsoidBatchIds = new Uint16Array( featureTableBinary.buffer, ellipsoidBatchIdsByteOffset, - numberOfEllipsoids + numberOfEllipsoids, ); } @@ -218,7 +218,7 @@ function getBatchIds(featureTableJson, featureTableBinary) { sphereBatchIds = new Uint16Array( featureTableBinary.buffer, sphereBatchIdsByteOffset, - numberOfSpheres + numberOfSpheres, ); } @@ -235,7 +235,7 @@ function getBatchIds(featureTableJson, featureTableBinary) { if (atLeastOneDefined && atLeastOneUndefined) { throw new RuntimeError( - "If one group of batch ids is defined, then all batch ids must be defined" + "If one group of batch ids is defined, then all batch ids must be defined", ); } @@ -292,7 +292,7 @@ function initialize(content, arrayBuffer, byteOffset) { const version = view.getUint32(byteOffset, true); if (version !== 1) { throw new RuntimeError( - `Only Geometry tile version 1 is supported. Version ${version} is not.` + `Only Geometry tile version 1 is supported. Version ${version} is not.`, ); } byteOffset += sizeOfUint32; @@ -310,7 +310,7 @@ function initialize(content, arrayBuffer, byteOffset) { if (featureTableJSONByteLength === 0) { throw new RuntimeError( - "Feature table must have a byte length greater than zero" + "Feature table must have a byte length greater than zero", ); } @@ -324,14 +324,14 @@ function initialize(content, arrayBuffer, byteOffset) { const featureTableJson = getJsonFromTypedArray( uint8Array, byteOffset, - featureTableJSONByteLength + featureTableJSONByteLength, ); byteOffset += featureTableJSONByteLength; const featureTableBinary = new Uint8Array( arrayBuffer, byteOffset, - featureTableBinaryByteLength + featureTableBinaryByteLength, ); byteOffset += featureTableBinaryByteLength; @@ -346,7 +346,7 @@ function initialize(content, arrayBuffer, byteOffset) { batchTableJson = getJsonFromTypedArray( uint8Array, byteOffset, - batchTableJSONByteLength + batchTableJSONByteLength, ); byteOffset += batchTableJSONByteLength; @@ -355,7 +355,7 @@ function initialize(content, arrayBuffer, byteOffset) { batchTableBinary = new Uint8Array( arrayBuffer, byteOffset, - batchTableBinaryByteLength + batchTableBinaryByteLength, ); // Copy the batchTableBinary section and let the underlying ArrayBuffer be freed batchTableBinary = new Uint8Array(batchTableBinary); @@ -366,7 +366,7 @@ function initialize(content, arrayBuffer, byteOffset) { const numberOfCylinders = defaultValue(featureTableJson.CYLINDERS_LENGTH, 0); const numberOfEllipsoids = defaultValue( featureTableJson.ELLIPSOIDS_LENGTH, - 0 + 0, ); const numberOfSpheres = defaultValue(featureTableJson.SPHERES_LENGTH, 0); @@ -378,7 +378,7 @@ function initialize(content, arrayBuffer, byteOffset) { totalPrimitives, batchTableJson, batchTableBinary, - createColorChangedCallback(content) + createColorChangedCallback(content), ); content._batchTable = batchTable; @@ -413,7 +413,7 @@ function initialize(content, arrayBuffer, byteOffset) { boxes = new Float32Array( featureTableBinary.buffer, boxesByteOffset, - Vector3DTileGeometry.packedBoxLength * numberOfBoxes + Vector3DTileGeometry.packedBoxLength * numberOfBoxes, ); } @@ -423,7 +423,7 @@ function initialize(content, arrayBuffer, byteOffset) { cylinders = new Float32Array( featureTableBinary.buffer, cylindersByteOffset, - Vector3DTileGeometry.packedCylinderLength * numberOfCylinders + Vector3DTileGeometry.packedCylinderLength * numberOfCylinders, ); } @@ -433,7 +433,7 @@ function initialize(content, arrayBuffer, byteOffset) { ellipsoids = new Float32Array( featureTableBinary.buffer, ellipsoidsByteOffset, - Vector3DTileGeometry.packedEllipsoidLength * numberOfEllipsoids + Vector3DTileGeometry.packedEllipsoidLength * numberOfEllipsoids, ); } @@ -443,7 +443,7 @@ function initialize(content, arrayBuffer, byteOffset) { spheres = new Float32Array( featureTableBinary.buffer, spheresByteOffset, - Vector3DTileGeometry.packedSphereLength * numberOfSpheres + Vector3DTileGeometry.packedSphereLength * numberOfSpheres, ); } @@ -490,7 +490,7 @@ Geometry3DTileContent.prototype.getFeature = function (batchId) { throw new DeveloperError( `batchId is required and between zero and featuresLength - 1 (${ featuresLength - 1 - }).` + }).`, ); } //>>includeEnd('debug'); diff --git a/packages/engine/Source/Scene/GetFeatureInfoFormat.js b/packages/engine/Source/Scene/GetFeatureInfoFormat.js index 6150c25d6cd3..0d9b392bae22 100644 --- a/packages/engine/Source/Scene/GetFeatureInfoFormat.js +++ b/packages/engine/Source/Scene/GetFeatureInfoFormat.js @@ -41,7 +41,7 @@ function GetFeatureInfoFormat(type, format, callback) { //>>includeStart('debug', pragmas.debug); else { throw new DeveloperError( - 'format is required when type is not "json", "xml", "html", or "text".' + 'format is required when type is not "json", "xml", "html", or "text".', ); } //>>includeEnd('debug'); @@ -62,7 +62,7 @@ function GetFeatureInfoFormat(type, format, callback) { //>>includeStart('debug', pragmas.debug); else { throw new DeveloperError( - 'callback is required when type is not "json", "xml", "html", or "text".' + 'callback is required when type is not "json", "xml", "html", or "text".', ); } //>>includeEnd('debug'); @@ -125,7 +125,7 @@ function xmlToFeatureInfo(xml) { } else if (documentElement.localName === "ServiceExceptionReport") { // This looks like a WMS server error, so no features picked. throw new RuntimeError( - new XMLSerializer().serializeToString(documentElement) + new XMLSerializer().serializeToString(documentElement), ); } else if (documentElement.localName === "msGMLOutput") { return msGmlToFeatureInfo(xml); @@ -142,7 +142,7 @@ function mapInfoXmlToFeatureInfo(xml) { const features = multiFeatureCollection.getElementsByTagNameNS( mapInfoMxpNamespace, - "Feature" + "Feature", ); for (let featureIndex = 0; featureIndex < features.length; ++featureIndex) { const feature = features[featureIndex]; @@ -151,7 +151,7 @@ function mapInfoXmlToFeatureInfo(xml) { const propertyElements = feature.getElementsByTagNameNS( mapInfoMxpNamespace, - "Val" + "Val", ); for ( let propertyIndex = 0; @@ -201,14 +201,14 @@ function esriXmlToFeatureInfo(xml) { } result.push( - imageryLayerFeatureInfoFromDataAndProperties(feature, properties) + imageryLayerFeatureInfoFromDataAndProperties(feature, properties), ); } } else { // Thredds format -- looks like esri, but instead of containing FIELDS, contains FeatureInfo element const featureInfoElements = featureInfoResponse.getElementsByTagNameNS( "*", - "FeatureInfo" + "FeatureInfo", ); for ( let featureInfoElementIndex = 0; @@ -235,8 +235,8 @@ function esriXmlToFeatureInfo(xml) { result.push( imageryLayerFeatureInfoFromDataAndProperties( featureInfoElement, - properties - ) + properties, + ), ); } } @@ -251,7 +251,7 @@ function gmlToFeatureInfo(xml) { const featureMembers = featureCollection.getElementsByTagNameNS( gmlNamespace, - "featureMember" + "featureMember", ); for ( let featureIndex = 0; @@ -263,7 +263,7 @@ function gmlToFeatureInfo(xml) { const properties = {}; getGmlPropertiesRecursively(featureMember, properties); result.push( - imageryLayerFeatureInfoFromDataAndProperties(featureMember, properties) + imageryLayerFeatureInfoFromDataAndProperties(featureMember, properties), ); } @@ -288,7 +288,7 @@ function msGmlToFeatureInfo(xml) { } if (!defined(layer)) { throw new RuntimeError( - "Unable to find first child of the feature info xml document" + "Unable to find first child of the feature info xml document", ); } const featureMembers = layer.childNodes; @@ -302,7 +302,7 @@ function msGmlToFeatureInfo(xml) { const properties = {}; getGmlPropertiesRecursively(featureMember, properties); result.push( - imageryLayerFeatureInfoFromDataAndProperties(featureMember, properties) + imageryLayerFeatureInfoFromDataAndProperties(featureMember, properties), ); } } @@ -364,7 +364,8 @@ function unknownXmlToFeatureInfo(xml) { } const emptyBodyRegex = /\s*<\/body>/im; -const wmsServiceExceptionReportRegex = //im; +const wmsServiceExceptionReportRegex = + //im; const titleRegex = /([\s\S]*)<\/title>/im; function textToFeatureInfo(text) { diff --git a/packages/engine/Source/Scene/Globe.js b/packages/engine/Source/Scene/Globe.js index db02bc0f922f..ca2c6a611be9 100644 --- a/packages/engine/Source/Scene/Globe.js +++ b/packages/engine/Source/Scene/Globe.js @@ -69,7 +69,7 @@ function Globe(ellipsoid) { ellipsoid.maximumRadius / 1000.0, 0.0, ellipsoid.maximumRadius / 5.0, - 1.0 + 1.0, ); this._translucency = new GlobeTranslucency(); @@ -612,13 +612,13 @@ Object.defineProperties(Globe.prototype, { //>>includeStart('debug', pragmas.debug); if (defined(value) && value.far < value.near) { throw new DeveloperError( - "far distance must be greater than near distance." + "far distance must be greater than near distance.", ); } //>>includeEnd('debug'); this._undergroundColorAlphaByDistance = NearFarScalar.clone( value, - this._undergroundColorAlphaByDistance + this._undergroundColorAlphaByDistance, ); }, }, @@ -673,11 +673,11 @@ function createComparePickTileFunction(rayOrigin) { return function (a, b) { const aDist = BoundingSphere.distanceSquaredTo( a.pickBoundingSphere, - rayOrigin + rayOrigin, ); const bDist = BoundingSphere.distanceSquaredTo( b.pickBoundingSphere, - rayOrigin + rayOrigin, ); return aDist - bDist; @@ -705,7 +705,7 @@ Globe.prototype.pickWorldCoordinates = function ( ray, scene, cullBackFaces, - result + result, ) { //>>includeStart('debug', pragmas.debug); if (!defined(ray)) { @@ -740,23 +740,24 @@ Globe.prototype.pickWorldCoordinates = function ( let boundingVolume = surfaceTile.pickBoundingSphere; if (mode !== SceneMode.SCENE3D) { - surfaceTile.pickBoundingSphere = boundingVolume = BoundingSphere.fromRectangleWithHeights2D( - tile.rectangle, - projection, - surfaceTile.tileBoundingRegion.minimumHeight, - surfaceTile.tileBoundingRegion.maximumHeight, - boundingVolume - ); + surfaceTile.pickBoundingSphere = boundingVolume = + BoundingSphere.fromRectangleWithHeights2D( + tile.rectangle, + projection, + surfaceTile.tileBoundingRegion.minimumHeight, + surfaceTile.tileBoundingRegion.maximumHeight, + boundingVolume, + ); Cartesian3.fromElements( boundingVolume.center.z, boundingVolume.center.x, boundingVolume.center.y, - boundingVolume.center + boundingVolume.center, ); } else if (defined(surfaceTile.renderedMesh)) { BoundingSphere.clone( surfaceTile.tileBoundingRegion.boundingSphere, - boundingVolume + boundingVolume, ); } else { // So wait how did we render this thing then? It shouldn't be possible to get here. @@ -766,7 +767,7 @@ Globe.prototype.pickWorldCoordinates = function ( const boundingSphereIntersection = IntersectionTests.raySphere( ray, boundingVolume, - scratchSphereIntersectionResult + scratchSphereIntersectionResult, ); if (defined(boundingSphereIntersection)) { sphereIntersections.push(surfaceTile); @@ -783,7 +784,7 @@ Globe.prototype.pickWorldCoordinates = function ( scene.mode, scene.mapProjection, cullBackFaces, - result + result, ); if (defined(intersection)) { break; @@ -905,13 +906,13 @@ Globe.prototype.getHeight = function (cartographic) { cartographic.latitude, 0.0, ellipsoid, - scratchGetHeightCartesian + scratchGetHeightCartesian, ); const ray = scratchGetHeightRay; const surfaceNormal = ellipsoid.geodeticSurfaceNormal( cartesian, - ray.direction + ray.direction, ); // Try to find the intersection point between the surface normal and z-axis. @@ -919,7 +920,7 @@ Globe.prototype.getHeight = function (cartographic) { const rayOrigin = ellipsoid.getSurfaceNormalIntersectionWithZAxis( cartesian, 11500.0, - ray.origin + ray.origin, ); // Theoretically, not with Earth datums, the intersection point can be outside the ellipsoid @@ -936,7 +937,7 @@ Globe.prototype.getHeight = function (cartographic) { const vectorToMinimumPoint = Cartesian3.multiplyByScalar( surfaceNormal, Math.abs(magnitude) + 1, - scratchGetHeightIntersection + scratchGetHeightIntersection, ); Cartesian3.subtract(cartesian, vectorToMinimumPoint, ray.origin); } @@ -946,7 +947,7 @@ Globe.prototype.getHeight = function (cartographic) { undefined, projection, false, - scratchGetHeightIntersection + scratchGetHeightIntersection, ); if (!defined(intersection)) { return undefined; @@ -954,7 +955,7 @@ Globe.prototype.getHeight = function (cartographic) { return ellipsoid.cartesianToCartographic( intersection, - scratchGetHeightCartographic + scratchGetHeightCartographic, ).height; }; @@ -1037,12 +1038,15 @@ Globe.prototype.beginFrame = function (frameState) { tileProvider.oceanNormalMap = this._oceanNormalMap; tileProvider.enableLighting = this.enableLighting; tileProvider.dynamicAtmosphereLighting = this.dynamicAtmosphereLighting; - tileProvider.dynamicAtmosphereLightingFromSun = this.dynamicAtmosphereLightingFromSun; + tileProvider.dynamicAtmosphereLightingFromSun = + this.dynamicAtmosphereLightingFromSun; tileProvider.showGroundAtmosphere = this.showGroundAtmosphere; tileProvider.atmosphereLightIntensity = this.atmosphereLightIntensity; - tileProvider.atmosphereRayleighCoefficient = this.atmosphereRayleighCoefficient; + tileProvider.atmosphereRayleighCoefficient = + this.atmosphereRayleighCoefficient; tileProvider.atmosphereMieCoefficient = this.atmosphereMieCoefficient; - tileProvider.atmosphereRayleighScaleHeight = this.atmosphereRayleighScaleHeight; + tileProvider.atmosphereRayleighScaleHeight = + this.atmosphereRayleighScaleHeight; tileProvider.atmosphereMieScaleHeight = this.atmosphereMieScaleHeight; tileProvider.atmosphereMieAnisotropy = this.atmosphereMieAnisotropy; tileProvider.shadows = this.shadows; @@ -1054,7 +1058,8 @@ Globe.prototype.beginFrame = function (frameState) { tileProvider.backFaceCulling = this.backFaceCulling; tileProvider.vertexShadowDarkness = this.vertexShadowDarkness; tileProvider.undergroundColor = this._undergroundColor; - tileProvider.undergroundColorAlphaByDistance = this._undergroundColorAlphaByDistance; + tileProvider.undergroundColorAlphaByDistance = + this._undergroundColorAlphaByDistance; tileProvider.lambertDiffuseMultiplier = this.lambertDiffuseMultiplier; surface.beginFrame(frameState); diff --git a/packages/engine/Source/Scene/GlobeDepth.js b/packages/engine/Source/Scene/GlobeDepth.js index 5b2508f5832f..6058642edb36 100644 --- a/packages/engine/Source/Scene/GlobeDepth.js +++ b/packages/engine/Source/Scene/GlobeDepth.js @@ -93,7 +93,7 @@ function updateCopyCommands(globeDepth, context, width, height, passState) { const useScissorTest = !BoundingRectangle.equals( viewport, - passState.viewport + passState.viewport, ); let updateScissor = useScissorTest !== globeDepth._useScissorTest; globeDepth._useScissorTest = useScissorTest; @@ -103,7 +103,7 @@ function updateCopyCommands(globeDepth, context, width, height, passState) { ) { globeDepth._scissorRectangle = BoundingRectangle.clone( passState.viewport, - globeDepth._scissorRectangle + globeDepth._scissorRectangle, ); updateScissor = true; } @@ -161,7 +161,7 @@ function updateCopyCommands(globeDepth, context, width, height, passState) { }, }, owner: globeDepth, - } + }, ); } @@ -179,7 +179,7 @@ function updateCopyCommands(globeDepth, context, width, height, passState) { }, }, owner: globeDepth, - } + }, ); } @@ -195,7 +195,7 @@ function updateCopyCommands(globeDepth, context, width, height, passState) { }, }, owner: globeDepth, - } + }, ); } @@ -213,7 +213,7 @@ function updateCopyCommands(globeDepth, context, width, height, passState) { }, }, owner: globeDepth, - } + }, ); } @@ -250,7 +250,7 @@ GlobeDepth.prototype.update = function ( viewport, numSamples, hdr, - clearGlobeDepth + clearGlobeDepth, ) { const { width, height } = viewport; @@ -268,7 +268,7 @@ GlobeDepth.prototype.update = function ( width, height, numSamples, - pixelDatatype + pixelDatatype, ); } this._copyDepthFramebuffer.update(context, width, height); @@ -296,7 +296,8 @@ GlobeDepth.prototype.executeCopyDepth = function (context, passState) { if (defined(this._copyDepthCommand)) { this.prepareColorTextures(context); this._copyDepthCommand.execute(context, passState); - context.uniformState.globeDepthTexture = this._copyDepthFramebuffer.getColorTexture(); + context.uniformState.globeDepthTexture = + this._copyDepthFramebuffer.getColorTexture(); } }; @@ -310,7 +311,7 @@ GlobeDepth.prototype.executeCopyDepth = function (context, passState) { GlobeDepth.prototype.executeUpdateDepth = function ( context, passState, - depthTexture + depthTexture, ) { const depthTextureToCopy = defined(depthTexture) ? depthTexture @@ -382,19 +383,23 @@ GlobeDepth.prototype.destroy = function () { this._updateDepthFramebuffer.destroy(); if (defined(this._copyColorCommand)) { - this._copyColorCommand.shaderProgram = this._copyColorCommand.shaderProgram.destroy(); + this._copyColorCommand.shaderProgram = + this._copyColorCommand.shaderProgram.destroy(); } if (defined(this._copyDepthCommand)) { - this._copyDepthCommand.shaderProgram = this._copyDepthCommand.shaderProgram.destroy(); + this._copyDepthCommand.shaderProgram = + this._copyDepthCommand.shaderProgram.destroy(); } if (defined(this._tempCopyDepthCommand)) { - this._tempCopyDepthCommand.shaderProgram = this._tempCopyDepthCommand.shaderProgram.destroy(); + this._tempCopyDepthCommand.shaderProgram = + this._tempCopyDepthCommand.shaderProgram.destroy(); } if (defined(this._updateDepthCommand)) { - this._updateDepthCommand.shaderProgram = this._updateDepthCommand.shaderProgram.destroy(); + this._updateDepthCommand.shaderProgram = + this._updateDepthCommand.shaderProgram.destroy(); } return destroyObject(this); diff --git a/packages/engine/Source/Scene/GlobeSurfaceShaderSet.js b/packages/engine/Source/Scene/GlobeSurfaceShaderSet.js index b0c4b8063b4f..c89625c50ffa 100644 --- a/packages/engine/Source/Scene/GlobeSurfaceShaderSet.js +++ b/packages/engine/Source/Scene/GlobeSurfaceShaderSet.js @@ -11,7 +11,7 @@ function GlobeSurfaceShader( material, shaderProgram, clippingShaderState, - clippingPolygonShaderState + clippingPolygonShaderState, ) { this.numberOfDayTextures = numberOfDayTextures; this.flags = flags; @@ -243,7 +243,7 @@ GlobeSurfaceShaderSet.prototype.getShaderProgram = function (options) { // Need to go before GlobeFS if (currentClippingShaderState !== 0) { fs.sources.unshift( - getClippingFunction(clippingPlanes, frameState.context) + getClippingFunction(clippingPlanes, frameState.context), ); } @@ -257,7 +257,7 @@ GlobeSurfaceShaderSet.prototype.getShaderProgram = function (options) { fs.defines.push( `TEXTURE_UNITS ${numberOfDayTextures}`, cartographicLimitRectangleDefine, - imageryCutoutDefine + imageryCutoutDefine, ); if (applyBrightness) { @@ -355,10 +355,10 @@ GlobeSurfaceShaderSet.prototype.getShaderProgram = function (options) { } fs.defines.push( - `CLIPPING_POLYGON_REGIONS_LENGTH ${clippingPolygons.extentsCount}` + `CLIPPING_POLYGON_REGIONS_LENGTH ${clippingPolygons.extentsCount}`, ); vs.defines.push( - `CLIPPING_POLYGON_REGIONS_LENGTH ${clippingPolygons.extentsCount}` + `CLIPPING_POLYGON_REGIONS_LENGTH ${clippingPolygons.extentsCount}`, ); } @@ -398,7 +398,8 @@ GlobeSurfaceShaderSet.prototype.getShaderProgram = function (options) { texelUnclipped = v_textureCoordinates.x < cutoutAndColorResult.x || cutoutAndColorResult.z < v_textureCoordinates.x || v_textureCoordinates.y < cutoutAndColorResult.y || cutoutAndColorResult.w < v_textureCoordinates.y;\n\ cutoutAndColorResult = sampleAndBlend(\n`; } else { - computeDayColor += "\ + computeDayColor += + "\ color = sampleAndBlend(\n"; } computeDayColor += `\ @@ -409,8 +410,8 @@ GlobeSurfaceShaderSet.prototype.getShaderProgram = function (options) { u_dayTextureTranslationAndScale[${i}],\n\ ${applyAlpha ? `u_dayTextureAlpha[${i}]` : "1.0"},\n\ ${applyDayNightAlpha ? `u_dayTextureNightAlpha[${i}]` : "1.0"},\n${ - applyDayNightAlpha ? `u_dayTextureDayAlpha[${i}]` : "1.0" - },\n${applyBrightness ? `u_dayTextureBrightness[${i}]` : "0.0"},\n\ + applyDayNightAlpha ? `u_dayTextureDayAlpha[${i}]` : "1.0" + },\n${applyBrightness ? `u_dayTextureBrightness[${i}]` : "0.0"},\n\ ${applyContrast ? `u_dayTextureContrast[${i}]` : "0.0"},\n\ ${applyHue ? `u_dayTextureHue[${i}]` : "0.0"},\n\ ${applySaturation ? `u_dayTextureSaturation[${i}]` : "0.0"},\n\ @@ -426,7 +427,8 @@ GlobeSurfaceShaderSet.prototype.getShaderProgram = function (options) { } } - computeDayColor += "\ + computeDayColor += + "\ return color;\n\ }"; @@ -448,7 +450,7 @@ GlobeSurfaceShaderSet.prototype.getShaderProgram = function (options) { this.material, shader, currentClippingShaderState, - currentClippingPolygonsShaderState + currentClippingPolygonsShaderState, ); } diff --git a/packages/engine/Source/Scene/GlobeSurfaceTile.js b/packages/engine/Source/Scene/GlobeSurfaceTile.js index c1c7ab20dd52..0571b9e363ee 100644 --- a/packages/engine/Source/Scene/GlobeSurfaceTile.js +++ b/packages/engine/Source/Scene/GlobeSurfaceTile.js @@ -135,14 +135,14 @@ function getPosition(encoding, mode, projection, vertices, index, result) { const ellipsoid = projection.ellipsoid; const positionCartographic = ellipsoid.cartesianToCartographic( position, - scratchCartographic + scratchCartographic, ); position = projection.project(positionCartographic, result); position = Cartesian3.fromElements( position.z, position.x, position.y, - result + result, ); } @@ -158,7 +158,7 @@ GlobeSurfaceTile.prototype.pick = function ( mode, projection, cullBackFaces, - result + result, ) { const mesh = this.renderedMesh; if (!defined(mesh)) { @@ -186,7 +186,7 @@ GlobeSurfaceTile.prototype.pick = function ( v0, v1, v2, - cullBackFaces + cullBackFaces, ); if (defined(t) && t < minT && t >= 0.0) { minT = t; @@ -232,7 +232,7 @@ GlobeSurfaceTile.prototype.freeVertexArray = function () { GlobeSurfaceTile.initialize = function ( tile, terrainProvider, - imageryLayerCollection + imageryLayerCollection, ) { let surfaceTile = tile.data; if (!defined(surfaceTile)) { @@ -252,7 +252,7 @@ GlobeSurfaceTile.processStateMachine = function ( imageryLayerCollection, quadtree, vertexArraysToDestroy, - terrainOnly + terrainOnly, ) { GlobeSurfaceTile.initialize(tile, terrainProvider, imageryLayerCollection); @@ -265,7 +265,7 @@ GlobeSurfaceTile.processStateMachine = function ( terrainProvider, imageryLayerCollection, quadtree, - vertexArraysToDestroy + vertexArraysToDestroy, ); } @@ -295,7 +295,7 @@ GlobeSurfaceTile.processStateMachine = function ( const isImageryDoneLoading = surfaceTile.processImagery( tile, terrainProvider, - frameState + frameState, ); if (isTerrainDoneLoading && isImageryDoneLoading) { @@ -326,7 +326,7 @@ GlobeSurfaceTile.prototype.processImagery = function ( tile, terrainProvider, frameState, - skipLoading + skipLoading, ) { const surfaceTile = tile.data; let isUpsampledOnly = tile.upsampledFromParent; @@ -362,7 +362,7 @@ GlobeSurfaceTile.prototype.processImagery = function ( const thisTileDoneLoading = tileImagery.processStateMachine( tile, frameState, - skipLoading + skipLoading, ); isDoneLoading = isDoneLoading && thisTileDoneLoading; @@ -391,7 +391,7 @@ function toggleGeodeticSurfaceNormals( surfaceTile, enabled, ellipsoid, - frameState + frameState, ) { const renderedMesh = surfaceTile.renderedMesh; const vertexBuffer = renderedMesh.vertices; @@ -410,7 +410,7 @@ function toggleGeodeticSurfaceNormals( encoding.addGeodeticSurfaceNormals( vertexBuffer, newVertexBuffer, - ellipsoid + ellipsoid, ); } else { encoding.removeGeodeticSurfaceNormals(vertexBuffer, newVertexBuffer); @@ -425,13 +425,13 @@ function toggleGeodeticSurfaceNormals( GlobeSurfaceTile._freeVertexArray(surfaceTile.fill.vertexArray); surfaceTile.fill.vertexArray = GlobeSurfaceTile._createVertexArrayForMesh( frameState.context, - renderedMesh + renderedMesh, ); } else { GlobeSurfaceTile._freeVertexArray(surfaceTile.vertexArray); surfaceTile.vertexArray = GlobeSurfaceTile._createVertexArrayForMesh( frameState.context, - renderedMesh + renderedMesh, ); } GlobeSurfaceTile._freeVertexArray(surfaceTile.wireframeVertexArray); @@ -440,13 +440,13 @@ function toggleGeodeticSurfaceNormals( GlobeSurfaceTile.prototype.addGeodeticSurfaceNormals = function ( ellipsoid, - frameState + frameState, ) { toggleGeodeticSurfaceNormals(this, true, ellipsoid, frameState); }; GlobeSurfaceTile.prototype.removeGeodeticSurfaceNormals = function ( - frameState + frameState, ) { toggleGeodeticSurfaceNormals(this, false, undefined, frameState); }; @@ -454,7 +454,7 @@ GlobeSurfaceTile.prototype.removeGeodeticSurfaceNormals = function ( GlobeSurfaceTile.prototype.updateExaggeration = function ( tile, frameState, - quadtree + quadtree, ) { const surfaceTile = this; const mesh = surfaceTile.renderedMesh; @@ -507,7 +507,7 @@ function prepareNewTile(tile, terrainProvider, imageryLayerCollection) { let available = terrainProvider.getTileDataAvailable( tile.x, tile.y, - tile.level + tile.level, ); if (!defined(available) && defined(tile.parent)) { @@ -519,7 +519,7 @@ function prepareNewTile(tile, terrainProvider, imageryLayerCollection) { parent.x, parent.y, tile.x, - tile.y + tile.y, ); } } @@ -544,7 +544,7 @@ function processTerrainStateMachine( terrainProvider, imageryLayerCollection, quadtree, - vertexArraysToDestroy + vertexArraysToDestroy, ) { const surfaceTile = tile.data; @@ -567,7 +567,7 @@ function processTerrainStateMachine( imageryLayerCollection, quadtree, vertexArraysToDestroy, - true + true, ); } } @@ -580,7 +580,7 @@ function processTerrainStateMachine( terrainProvider, tile.x, tile.y, - tile.level + tile.level, ); } @@ -590,7 +590,7 @@ function processTerrainStateMachine( terrainProvider, tile.x, tile.y, - tile.level + tile.level, ); } @@ -601,7 +601,7 @@ function processTerrainStateMachine( terrainProvider, tile.x, tile.y, - tile.level + tile.level, ); } @@ -613,7 +613,7 @@ function processTerrainStateMachine( tile.x, tile.y, tile.level, - vertexArraysToDestroy + vertexArraysToDestroy, ); // Update the tile's exaggeration in case the globe's exaggeration changed while the tile was being processed @@ -636,7 +636,7 @@ function processTerrainStateMachine( surfaceTile._computeWaterMaskTranslationAndScale( tile, sourceTile, - surfaceTile.waterMaskTranslationAndScale + surfaceTile.waterMaskTranslationAndScale, ); } } @@ -668,7 +668,7 @@ function upsample(surfaceTile, tile, frameState, terrainProvider, x, y, level) { sourceLevel, x, y, - level + level, ); if (!defined(terrainDataPromise)) { // The upsample request has been deferred - try again later. @@ -728,7 +728,7 @@ function requestTileGeometry(surfaceTile, terrainProvider, x, y, level) { message, x, y, - level + level, ); if (terrainProvider._requestError.retry) { doRequest(); @@ -748,7 +748,7 @@ function requestTileGeometry(surfaceTile, terrainProvider, x, y, level) { x, y, level, - request + request, ); // If the request method returns undefined (instead of a promise), the request @@ -877,11 +877,11 @@ function createResources( x, y, level, - vertexArraysToDestroy + vertexArraysToDestroy, ) { surfaceTile.vertexArray = GlobeSurfaceTile._createVertexArrayForMesh( context, - surfaceTile.mesh + surfaceTile.mesh, ); surfaceTile.terrainState = TerrainState.READY; surfaceTile.fill = @@ -966,7 +966,7 @@ function createWaterMaskTextureIfNeeded(context, surfaceTile) { 0.0, 1.0, 1.0, - surfaceTile.waterMaskTranslationAndScale + surfaceTile.waterMaskTranslationAndScale, ); } @@ -988,7 +988,7 @@ GlobeSurfaceTile.prototype._findAncestorTileWithTerrainData = function (tile) { GlobeSurfaceTile.prototype._computeWaterMaskTranslationAndScale = function ( tile, sourceTile, - result + result, ) { const sourceTileRectangle = sourceTile.rectangle; const tileRectangle = tile.rectangle; diff --git a/packages/engine/Source/Scene/GlobeSurfaceTileProvider.js b/packages/engine/Source/Scene/GlobeSurfaceTileProvider.js index 385a602b7307..6aeab65e44af 100644 --- a/packages/engine/Source/Scene/GlobeSurfaceTileProvider.js +++ b/packages/engine/Source/Scene/GlobeSurfaceTileProvider.js @@ -129,22 +129,26 @@ function GlobeSurfaceTileProvider(options) { this._errorEvent = new Event(); - this._removeLayerAddedListener = this._imageryLayers.layerAdded.addEventListener( - GlobeSurfaceTileProvider.prototype._onLayerAdded, - this - ); - this._removeLayerRemovedListener = this._imageryLayers.layerRemoved.addEventListener( - GlobeSurfaceTileProvider.prototype._onLayerRemoved, - this - ); - this._removeLayerMovedListener = this._imageryLayers.layerMoved.addEventListener( - GlobeSurfaceTileProvider.prototype._onLayerMoved, - this - ); - this._removeLayerShownListener = this._imageryLayers.layerShownOrHidden.addEventListener( - GlobeSurfaceTileProvider.prototype._onLayerShownOrHidden, - this - ); + this._removeLayerAddedListener = + this._imageryLayers.layerAdded.addEventListener( + GlobeSurfaceTileProvider.prototype._onLayerAdded, + this, + ); + this._removeLayerRemovedListener = + this._imageryLayers.layerRemoved.addEventListener( + GlobeSurfaceTileProvider.prototype._onLayerRemoved, + this, + ); + this._removeLayerMovedListener = + this._imageryLayers.layerMoved.addEventListener( + GlobeSurfaceTileProvider.prototype._onLayerMoved, + this, + ); + this._removeLayerShownListener = + this._imageryLayers.layerShownOrHidden.addEventListener( + GlobeSurfaceTileProvider.prototype._onLayerShownOrHidden, + this, + ); this._imageryLayersUpdatedEvent = new Event(); this._layerOrderChanged = false; @@ -212,7 +216,7 @@ Object.defineProperties(GlobeSurfaceTileProvider.prototype, { this._baseColor = value; this._firstPassInitialColor = Cartesian4.fromColor( value, - this._firstPassInitialColor + this._firstPassInitialColor, ); }, }, @@ -477,7 +481,7 @@ GlobeSurfaceTileProvider.prototype.endUpdate = function (frameState) { this, this._quadtree._tilesToRender, frameState, - this._vertexArraysToDestroy + this._vertexArraysToDestroy, ); } @@ -534,7 +538,7 @@ GlobeSurfaceTileProvider.prototype.endUpdate = function (frameState) { addDrawCommandsForTile(this, tile, frameState); frameState.minimumTerrainHeight = Math.min( frameState.minimumTerrainHeight, - tileBoundingRegion.minimumHeight + tileBoundingRegion.minimumHeight, ); } } @@ -547,7 +551,7 @@ function pushCommand(command, frameState) { globeTranslucencyState.pushDerivedCommands( command, isBlendCommand, - frameState + frameState, ); } else { frameState.commandList.push(command); @@ -581,7 +585,7 @@ GlobeSurfaceTileProvider.prototype.cancelReprojections = function () { * @returns {number} The maximum geometric error in meters. */ GlobeSurfaceTileProvider.prototype.getLevelMaximumGeometricError = function ( - level + level, ) { if (!defined(this._terrainProvider)) { return 0; @@ -621,7 +625,7 @@ GlobeSurfaceTileProvider.prototype.loadTile = function (frameState, tile) { this._imageryLayers, this.quadtree, this._vertexArraysToDestroy, - terrainOnly + terrainOnly, ); surfaceTile = tile.data; @@ -643,7 +647,7 @@ GlobeSurfaceTileProvider.prototype.loadTile = function (frameState, tile) { this._imageryLayers, this.quadtree, this._vertexArraysToDestroy, - terrainOnly + terrainOnly, ); } } @@ -661,7 +665,7 @@ function clipRectangleAntimeridian(tileRectangle, cartographicLimitRectangle) { } const splitRectangle = Rectangle.clone( cartographicLimitRectangle, - splitCartographicLimitRectangleScratch + splitCartographicLimitRectangleScratch, ); const tileCenter = Rectangle.center(tileRectangle, rectangleCenterScratch); if (tileCenter.longitude > 0.0) { @@ -698,7 +702,7 @@ function isUndergroundVisible(tileProvider, frameState) { if ( !Rectangle.equals( tileProvider.cartographicLimitRectangle, - Rectangle.MAX_VALUE + Rectangle.MAX_VALUE, ) ) { return true; @@ -723,7 +727,7 @@ function isUndergroundVisible(tileProvider, frameState) { GlobeSurfaceTileProvider.prototype.computeTileVisibility = function ( tile, frameState, - occluders + occluders, ) { const distance = this.computeDistanceToTile(tile, frameState); tile._distance = distance; @@ -756,12 +760,12 @@ GlobeSurfaceTileProvider.prototype.computeTileVisibility = function ( surfaceTile.clippedByBoundaries = false; const clippedCartographicLimitRectangle = clipRectangleAntimeridian( tile.rectangle, - this.cartographicLimitRectangle + this.cartographicLimitRectangle, ); const areaLimitIntersection = Rectangle.simpleIntersection( clippedCartographicLimitRectangle, tile.rectangle, - rectangleIntersectionScratch + rectangleIntersectionScratch, ); if (!defined(areaLimitIntersection)) { return Visibility.NONE; @@ -777,13 +781,13 @@ GlobeSurfaceTileProvider.prototype.computeTileVisibility = function ( frameState.mapProjection, tileBoundingRegion.minimumHeight, tileBoundingRegion.maximumHeight, - boundingVolume + boundingVolume, ); Cartesian3.fromElements( boundingVolume.center.z, boundingVolume.center.x, boundingVolume.center.y, - boundingVolume.center + boundingVolume.center, ); if ( @@ -793,7 +797,7 @@ GlobeSurfaceTileProvider.prototype.computeTileVisibility = function ( boundingVolume = BoundingSphere.union( tileBoundingRegion.boundingSphere, boundingVolume, - boundingVolume + boundingVolume, ); } } @@ -804,9 +808,8 @@ GlobeSurfaceTileProvider.prototype.computeTileVisibility = function ( const clippingPlanes = this._clippingPlanes; if (defined(clippingPlanes) && clippingPlanes.enabled) { - const planeIntersection = clippingPlanes.computeIntersectionWithBoundingVolume( - boundingVolume - ); + const planeIntersection = + clippingPlanes.computeIntersectionWithBoundingVolume(boundingVolume); tile.isClipped = planeIntersection !== Intersect.INSIDE; if (planeIntersection === Intersect.OUTSIDE) { return Visibility.NONE; @@ -815,9 +818,10 @@ GlobeSurfaceTileProvider.prototype.computeTileVisibility = function ( const clippingPolygons = this._clippingPolygons; if (defined(clippingPolygons) && clippingPolygons.enabled) { - const polygonIntersection = clippingPolygons.computeIntersectionWithBoundingVolume( - tileBoundingRegion - ); + const polygonIntersection = + clippingPolygons.computeIntersectionWithBoundingVolume( + tileBoundingRegion, + ); tile.isClipped = polygonIntersection !== Intersect.OUTSIDE; // Polygon clipping intersections are determined by outer rectangles, therefore we cannot // preemptively determine if a tile is completely clipped or not here. @@ -855,7 +859,7 @@ GlobeSurfaceTileProvider.prototype.computeTileVisibility = function ( if ( occluders.ellipsoid.isScaledSpacePointVisiblePossiblyUnderEllipsoid( occludeePointInScaledSpace, - tileBoundingRegion.minimumHeight + tileBoundingRegion.minimumHeight, ) ) { return visibility; @@ -883,7 +887,7 @@ GlobeSurfaceTileProvider.prototype.canRefine = function (tile) { const childAvailable = this.terrainProvider.getTileDataAvailable( tile.x * 2, tile.y * 2, - tile.level + 1 + tile.level + 1, ); return childAvailable !== undefined; }; @@ -901,7 +905,7 @@ const canRenderTraversalStack = []; */ GlobeSurfaceTileProvider.prototype.canRenderWithoutLosingDetail = function ( tile, - frameState + frameState, ) { const surfaceTile = tile.data; @@ -957,7 +961,7 @@ GlobeSurfaceTileProvider.prototype.canRenderWithoutLosingDetail = function ( tile.southwestChild, tile.southeastChild, tile.northwestChild, - tile.northeastChild + tile.northeastChild, ); while (stack.length > 0) { @@ -1007,7 +1011,7 @@ GlobeSurfaceTileProvider.prototype.canRenderWithoutLosingDetail = function ( descendant.southwestChild, descendant.southeastChild, descendant.northwestChild, - descendant.northeastChild + descendant.northeastChild, ); } } @@ -1025,7 +1029,7 @@ const tileDirectionScratch = new Cartesian3(); */ GlobeSurfaceTileProvider.prototype.computeTileLoadPriority = function ( tile, - frameState + frameState, ) { const surfaceTile = tile.data; if (surfaceTile === undefined) { @@ -1042,7 +1046,7 @@ GlobeSurfaceTileProvider.prototype.computeTileLoadPriority = function ( const tileDirection = Cartesian3.subtract( obb.center, cameraPosition, - tileDirectionScratch + tileDirectionScratch, ); const magnitude = Cartesian3.magnitude(tileDirection); if (magnitude < CesiumMath.EPSILON5) { @@ -1074,7 +1078,7 @@ const northeastScratch = new Cartesian3(); */ GlobeSurfaceTileProvider.prototype.showTileThisFrame = function ( tile, - frameState + frameState, ) { let readyTextureCount = 0; const tileImageryCollection = tile.data.imagery; @@ -1121,7 +1125,7 @@ function computeOccludeePoint( rectangle, minimumHeight, maximumHeight, - result + result, ) { const ellipsoidalOccluder = tileProvider.quadtree._occluders.ellipsoid; const ellipsoid = ellipsoidalOccluder.ellipsoid; @@ -1132,35 +1136,35 @@ function computeOccludeePoint( rectangle.south, maximumHeight, ellipsoid, - cornerPositions[0] + cornerPositions[0], ); Cartesian3.fromRadians( rectangle.east, rectangle.south, maximumHeight, ellipsoid, - cornerPositions[1] + cornerPositions[1], ); Cartesian3.fromRadians( rectangle.west, rectangle.north, maximumHeight, ellipsoid, - cornerPositions[2] + cornerPositions[2], ); Cartesian3.fromRadians( rectangle.east, rectangle.north, maximumHeight, ellipsoid, - cornerPositions[3] + cornerPositions[3], ); return ellipsoidalOccluder.computeHorizonCullingPointPossiblyUnderEllipsoid( center, cornerPositions, minimumHeight, - result + result, ); } @@ -1174,7 +1178,7 @@ function computeOccludeePoint( */ GlobeSurfaceTileProvider.prototype.computeDistanceToTile = function ( tile, - frameState + frameState, ) { // The distance should be: // 1. the actual distance to the tight-fitting bounding volume, or @@ -1315,12 +1319,12 @@ function updateTileBoundingRegion(tile, tileProvider, frameState) { tileBoundingRegion.minimumHeight = VerticalExaggeration.getHeight( tileBoundingRegion.minimumHeight, exaggeration, - exaggerationRelativeHeight + exaggerationRelativeHeight, ); tileBoundingRegion.maximumHeight = VerticalExaggeration.getHeight( tileBoundingRegion.maximumHeight, exaggeration, - exaggerationRelativeHeight + exaggerationRelativeHeight, ); } @@ -1328,15 +1332,15 @@ function updateTileBoundingRegion(tile, tileProvider, frameState) { if (!surfaceTile.boundingVolumeIsFromMesh) { tileBoundingRegion._orientedBoundingBox = OrientedBoundingBox.clone( mesh.orientedBoundingBox, - tileBoundingRegion._orientedBoundingBox + tileBoundingRegion._orientedBoundingBox, ); tileBoundingRegion._boundingSphere = BoundingSphere.clone( mesh.boundingSphere3D, - tileBoundingRegion._boundingSphere + tileBoundingRegion._boundingSphere, ); surfaceTile.occludeePointInScaledSpace = Cartesian3.clone( mesh.occludeePointInScaledSpace, - surfaceTile.occludeePointInScaledSpace + surfaceTile.occludeePointInScaledSpace, ); // If the occludee point is not defined, fallback to calculating it from the OBB @@ -1347,7 +1351,7 @@ function updateTileBoundingRegion(tile, tileProvider, frameState) { tile.rectangle, tileBoundingRegion.minimumHeight, tileBoundingRegion.maximumHeight, - surfaceTile.occludeePointInScaledSpace + surfaceTile.occludeePointInScaledSpace, ); } } @@ -1367,7 +1371,7 @@ function updateTileBoundingRegion(tile, tileProvider, frameState) { tile.rectangle, tileBoundingRegion.minimumHeight, tileBoundingRegion.maximumHeight, - surfaceTile.occludeePointInScaledSpace + surfaceTile.occludeePointInScaledSpace, ); } } @@ -1438,7 +1442,7 @@ function getTileReadyCallback(tileImageriesToFree, layer, terrainProvider) { tileImagery = tileImageryCollection[i]; imagery = defaultValue( tileImagery.readyImagery, - tileImagery.loadingImagery + tileImagery.loadingImagery, ); if (imagery.imageryLayer === layer) { startIndex = i; @@ -1458,7 +1462,7 @@ function getTileReadyCallback(tileImageriesToFree, layer, terrainProvider) { return !layer._createTileImagerySkeletons( tile, terrainProvider, - endIndex + endIndex, ); } @@ -1504,7 +1508,7 @@ GlobeSurfaceTileProvider.prototype._onLayerAdded = function (layer, index) { const tileImagery = tileImageryCollection[i]; const imagery = defaultValue( tileImagery.readyImagery, - tileImagery.loadingImagery + tileImagery.loadingImagery, ); if (imagery.imageryLayer === layer) { if (startIndex === -1) { @@ -1530,14 +1534,14 @@ GlobeSurfaceTileProvider.prototype._onLayerAdded = function (layer, index) { layer._createTileImagerySkeletons( tile, terrainProvider, - insertionPoint + insertionPoint, ) ) { // Add callback to remove old TileImageries when the new TileImageries are ready tile._loadedCallbacks[layer._layerIndex] = getTileReadyCallback( tileImageriesToFree, layer, - terrainProvider + terrainProvider, ); tile.state = QuadtreeTileLoadState.LOADING; @@ -1614,7 +1618,7 @@ GlobeSurfaceTileProvider.prototype._onLayerRemoved = function (layer, index) { GlobeSurfaceTileProvider.prototype._onLayerMoved = function ( layer, newIndex, - oldIndex + oldIndex, ) { this._layerOrderChanged = true; this._imageryLayersUpdatedEvent.raiseEvent(); @@ -1623,7 +1627,7 @@ GlobeSurfaceTileProvider.prototype._onLayerMoved = function ( GlobeSurfaceTileProvider.prototype._onLayerShownOrHidden = function ( layer, index, - show + show, ) { if (show) { this._onLayerAdded(layer, index); @@ -1686,7 +1690,7 @@ function createTileUniformMap(frameState, globeSurfaceTileProvider) { const centerEye = Matrix4.multiplyByPoint( viewMatrix, this.properties.rtc, - centerEyeScratch + centerEyeScratch, ); Matrix4.setTranslation(viewMatrix, centerEye, modifiedModelViewScratch); return modifiedModelViewScratch; @@ -1697,17 +1701,17 @@ function createTileUniformMap(frameState, globeSurfaceTileProvider) { const centerEye = Matrix4.multiplyByPoint( viewMatrix, this.properties.rtc, - centerEyeScratch + centerEyeScratch, ); Matrix4.setTranslation( viewMatrix, centerEye, - modifiedModelViewProjectionScratch + modifiedModelViewProjectionScratch, ); Matrix4.multiply( projectionMatrix, modifiedModelViewProjectionScratch, - modifiedModelViewProjectionScratch + modifiedModelViewProjectionScratch, ); return modifiedModelViewProjectionScratch; }, @@ -1791,13 +1795,13 @@ function createTileUniformMap(frameState, globeSurfaceTileProvider) { ? Matrix4.multiply( frameState.context.uniformState.view, clippingPlanes.modelMatrix, - scratchClippingPlanesMatrix + scratchClippingPlanesMatrix, ) : Matrix4.IDENTITY; return Matrix4.inverseTranspose( transform, - scratchInverseTransposeClippingPlanesMatrix + scratchInverseTransposeClippingPlanesMatrix, ); }, u_clippingPlanesEdgeStyle: function () { @@ -1955,7 +1959,7 @@ function createWireframeVertexArrayIfNecessary(context, provider, tile) { surfaceTile.wireframeVertexArray = createWireframeVertexArray( context, vertexArray, - mesh + mesh, ); surfaceTile.wireframeVertexArray.mesh = mesh; } @@ -1987,7 +1991,7 @@ function createWireframeVertexArray(context, vertexArray, terrainMesh) { typedArray: wireframeIndices, usage: BufferUsage.STATIC_DRAW, indexDatatype: IndexDatatype.fromSizeInBytes( - wireframeIndices.BYTES_PER_ELEMENT + wireframeIndices.BYTES_PER_ELEMENT, ), }); return new VertexArray({ @@ -2035,13 +2039,12 @@ let debugDestroyPrimitive; modelMatrix = Matrix4.fromRotationTranslation( obb.halfAxes, obb.center, - modelMatrix + modelMatrix, ); instanceOBB.modelMatrix = modelMatrix; - instanceOBB.attributes.color = ColorGeometryInstanceAttribute.fromColor( - color - ); + instanceOBB.attributes.color = + ColorGeometryInstanceAttribute.fromColor(color); primitive = createDebugPrimitive(instanceOBB); return primitive; @@ -2058,13 +2061,12 @@ let debugDestroyPrimitive; modelMatrix = Matrix4.multiplyByUniformScale( modelMatrix, sphere.radius, - modelMatrix + modelMatrix, ); instanceSphere.modelMatrix = modelMatrix; - instanceSphere.attributes.color = ColorGeometryInstanceAttribute.fromColor( - color - ); + instanceSphere.attributes.color = + ColorGeometryInstanceAttribute.fromColor(color); primitive = createDebugPrimitive(instanceSphere); return primitive; @@ -2166,11 +2168,11 @@ function addDrawCommandsForTile(tileProvider, tile, frameState) { const undergroundColor = defaultValue( tileProvider.undergroundColor, - defaultUndergroundColor + defaultUndergroundColor, ); const undergroundColorAlphaByDistance = defaultValue( tileProvider.undergroundColorAlphaByDistance, - defaultUndergroundColorAlphaByDistance + defaultUndergroundColorAlphaByDistance, ); const showUndergroundColor = isUndergroundVisible(tileProvider, frameState) && @@ -2269,11 +2271,11 @@ function addDrawCommandsForTile(tileProvider, tile, frameState) { const projection = frameState.mapProjection; const southwest = projection.project( Rectangle.southwest(tile.rectangle), - southwestScratch + southwestScratch, ); const northeast = projection.project( Rectangle.northeast(tile.rectangle), - northeastScratch + northeastScratch, ); tileRectangle.x = southwest.x; @@ -2314,9 +2316,8 @@ function addDrawCommandsForTile(tileProvider, tile, frameState) { southLatitude = tile.rectangle.south; northLatitude = tile.rectangle.north; - southMercatorY = WebMercatorProjection.geodeticLatitudeToMercatorAngle( - southLatitude - ); + southMercatorY = + WebMercatorProjection.geodeticLatitudeToMercatorAngle(southLatitude); oneOverMercatorHeight = 1.0 / @@ -2351,7 +2352,8 @@ function addDrawCommandsForTile(tileProvider, tile, frameState) { tileProvider.atmosphereMieScaleHeight; surfaceShaderSetOptions.atmosphereMieAnisotropy = tileProvider.atmosphereMieAnisotropy; - surfaceShaderSetOptions.perFragmentGroundAtmosphere = perFragmentGroundAtmosphere; + surfaceShaderSetOptions.perFragmentGroundAtmosphere = + perFragmentGroundAtmosphere; surfaceShaderSetOptions.hasVertexNormals = hasVertexNormals; surfaceShaderSetOptions.useWebMercatorProjection = useWebMercatorProjection; surfaceShaderSetOptions.clippedByBoundaries = surfaceTile.clippedByBoundaries; @@ -2390,7 +2392,7 @@ function addDrawCommandsForTile(tileProvider, tile, frameState) { for (let i = 0; i < drawCommandsLength; ++i) { tileProvider._uniformMaps[i] = createTileUniformMap( frameState, - tileProvider + tileProvider, ); } } @@ -2472,14 +2474,14 @@ function addDrawCommandsForTile(tileProvider, tile, frameState) { frontFaceAlphaByDistanceFinal.nearValue, frontFaceAlphaByDistanceFinal.far, frontFaceAlphaByDistanceFinal.farValue, - uniformMapProperties.frontFaceAlphaByDistance + uniformMapProperties.frontFaceAlphaByDistance, ); Cartesian4.fromElements( backFaceAlphaByDistanceFinal.near, backFaceAlphaByDistanceFinal.nearValue, backFaceAlphaByDistanceFinal.far, backFaceAlphaByDistanceFinal.farValue, - uniformMapProperties.backFaceAlphaByDistance + uniformMapProperties.backFaceAlphaByDistance, ); } @@ -2488,7 +2490,7 @@ function addDrawCommandsForTile(tileProvider, tile, frameState) { undergroundColorAlphaByDistance.nearValue, undergroundColorAlphaByDistance.far, undergroundColorAlphaByDistance.farValue, - uniformMapProperties.undergroundColorAlphaByDistance + uniformMapProperties.undergroundColorAlphaByDistance, ); Color.clone(undergroundColor, uniformMapProperties.undergroundColor); @@ -2502,12 +2504,13 @@ function addDrawCommandsForTile(tileProvider, tile, frameState) { if (highlightFillTile) { Color.clone( tileProvider.fillHighlightColor, - uniformMapProperties.fillHighlightColor + uniformMapProperties.fillHighlightColor, ); } uniformMapProperties.verticalExaggerationAndRelativeHeight.x = exaggeration; - uniformMapProperties.verticalExaggerationAndRelativeHeight.y = exaggerationRelativeHeight; + uniformMapProperties.verticalExaggerationAndRelativeHeight.y = + exaggerationRelativeHeight; uniformMapProperties.center3D = mesh.center; Cartesian3.clone(rtc, uniformMapProperties.rtc); @@ -2516,26 +2519,29 @@ function addDrawCommandsForTile(tileProvider, tile, frameState) { uniformMapProperties.southAndNorthLatitude.x = southLatitude; uniformMapProperties.southAndNorthLatitude.y = northLatitude; uniformMapProperties.southMercatorYAndOneOverHeight.x = southMercatorY; - uniformMapProperties.southMercatorYAndOneOverHeight.y = oneOverMercatorHeight; + uniformMapProperties.southMercatorYAndOneOverHeight.y = + oneOverMercatorHeight; // Convert tile limiter rectangle from cartographic to texture space using the tileRectangle. - const localizedCartographicLimitRectangle = localizedCartographicLimitRectangleScratch; + const localizedCartographicLimitRectangle = + localizedCartographicLimitRectangleScratch; const cartographicLimitRectangle = clipRectangleAntimeridian( tile.rectangle, - tileProvider.cartographicLimitRectangle + tileProvider.cartographicLimitRectangle, ); - const localizedTranslucencyRectangle = localizedTranslucencyRectangleScratch; + const localizedTranslucencyRectangle = + localizedTranslucencyRectangleScratch; const clippedTranslucencyRectangle = clipRectangleAntimeridian( tile.rectangle, - translucencyRectangle + translucencyRectangle, ); Cartesian3.fromElements( hueShift, saturationShift, brightnessShift, - uniformMapProperties.hsbShift + uniformMapProperties.hsbShift, ); const cartographicTileRectangle = tile.rectangle; @@ -2556,7 +2562,7 @@ function addDrawCommandsForTile(tileProvider, tile, frameState) { Cartesian4.clone( localizedCartographicLimitRectangle, - uniformMapProperties.localizedCartographicLimitRectangle + uniformMapProperties.localizedCartographicLimitRectangle, ); localizedTranslucencyRectangle.x = @@ -2574,7 +2580,7 @@ function addDrawCommandsForTile(tileProvider, tile, frameState) { Cartesian4.clone( localizedTranslucencyRectangle, - uniformMapProperties.localizedTranslucencyRectangle + uniformMapProperties.localizedTranslucencyRectangle, ); // For performance, render fog only when fog is enabled and the effect of @@ -2629,10 +2635,8 @@ function addDrawCommandsForTile(tileProvider, tile, frameState) { const imageryLayer = imagery.imageryLayer; if (!defined(tileImagery.textureTranslationAndScale)) { - tileImagery.textureTranslationAndScale = imageryLayer._calculateTextureTranslationAndScale( - tile, - tileImagery - ); + tileImagery.textureTranslationAndScale = + imageryLayer._calculateTextureTranslationAndScale(tile, tileImagery); } uniformMapProperties.dayTextures[numberOfDayTextures] = texture; @@ -2706,21 +2710,21 @@ function addDrawCommandsForTile(tileProvider, tile, frameState) { let dayTextureCutoutRectangle = uniformMapProperties.dayTextureCutoutRectangles[numberOfDayTextures]; if (!defined(dayTextureCutoutRectangle)) { - dayTextureCutoutRectangle = uniformMapProperties.dayTextureCutoutRectangles[ - numberOfDayTextures - ] = new Cartesian4(); + dayTextureCutoutRectangle = + uniformMapProperties.dayTextureCutoutRectangles[numberOfDayTextures] = + new Cartesian4(); } Cartesian4.clone(Cartesian4.ZERO, dayTextureCutoutRectangle); if (defined(imageryLayer.cutoutRectangle)) { const cutoutRectangle = clipRectangleAntimeridian( cartographicTileRectangle, - imageryLayer.cutoutRectangle + imageryLayer.cutoutRectangle, ); const intersection = Rectangle.simpleIntersection( cutoutRectangle, cartographicTileRectangle, - rectangleIntersectionScratch + rectangleIntersectionScratch, ); applyCutout = defined(intersection) || applyCutout; @@ -2742,9 +2746,8 @@ function addDrawCommandsForTile(tileProvider, tile, frameState) { let colorToAlpha = uniformMapProperties.colorsToAlpha[numberOfDayTextures]; if (!defined(colorToAlpha)) { - colorToAlpha = uniformMapProperties.colorsToAlpha[ - numberOfDayTextures - ] = new Cartesian4(); + colorToAlpha = uniformMapProperties.colorsToAlpha[numberOfDayTextures] = + new Cartesian4(); } const hasColorToAlpha = @@ -2782,7 +2785,7 @@ function addDrawCommandsForTile(tileProvider, tile, frameState) { uniformMapProperties.waterMask = waterMaskTexture; Cartesian4.clone( waterMaskTranslationAndScale, - uniformMapProperties.waterMaskTranslationAndScale + uniformMapProperties.waterMaskTranslationAndScale, ); uniformMapProperties.minMaxHeight.x = encoding.minimumHeight; @@ -2796,7 +2799,7 @@ function addDrawCommandsForTile(tileProvider, tile, frameState) { if (clippingPlanesEnabled) { uniformMapProperties.clippingPlanesEdgeColor = Color.clone( clippingPlanes.edgeColor, - uniformMapProperties.clippingPlanesEdgeColor + uniformMapProperties.clippingPlanesEdgeColor, ); uniformMapProperties.clippingPlanesEdgeWidth = clippingPlanes.edgeWidth; } @@ -2833,7 +2836,7 @@ function addDrawCommandsForTile(tileProvider, tile, frameState) { } command.shaderProgram = tileProvider._surfaceShaderSet.getShaderProgram( - surfaceShaderSetOptions + surfaceShaderSetOptions, ); command.castShadows = castShadows; command.receiveShadows = receiveShadows; @@ -2863,30 +2866,30 @@ function addDrawCommandsForTile(tileProvider, tile, frameState) { frameState.mapProjection, tileBoundingRegion.minimumHeight, tileBoundingRegion.maximumHeight, - boundingVolume + boundingVolume, ); Cartesian3.fromElements( boundingVolume.center.z, boundingVolume.center.x, boundingVolume.center.y, - boundingVolume.center + boundingVolume.center, ); if (frameState.mode === SceneMode.MORPHING) { boundingVolume = BoundingSphere.union( tileBoundingRegion.boundingSphere, boundingVolume, - boundingVolume + boundingVolume, ); } } else { command.boundingVolume = BoundingSphere.clone( tileBoundingRegion.boundingSphere, - boundingVolume + boundingVolume, ); command.orientedBoundingBox = OrientedBoundingBox.clone( tileBoundingRegion.boundingVolume, - orientedBoundingBox + orientedBoundingBox, ); } diff --git a/packages/engine/Source/Scene/GlobeTranslucency.js b/packages/engine/Source/Scene/GlobeTranslucency.js index d81eca651829..1b31e1768eb4 100644 --- a/packages/engine/Source/Scene/GlobeTranslucency.js +++ b/packages/engine/Source/Scene/GlobeTranslucency.js @@ -123,13 +123,13 @@ Object.defineProperties(GlobeTranslucency.prototype, { //>>includeStart('debug', pragmas.debug); if (defined(value) && value.far < value.near) { throw new DeveloperError( - "far distance must be greater than near distance." + "far distance must be greater than near distance.", ); } //>>includeEnd('debug'); this._frontFaceAlphaByDistance = NearFarScalar.clone( value, - this._frontFaceAlphaByDistance + this._frontFaceAlphaByDistance, ); }, }, @@ -203,13 +203,13 @@ Object.defineProperties(GlobeTranslucency.prototype, { //>>includeStart('debug', pragmas.debug); if (defined(value) && value.far < value.near) { throw new DeveloperError( - "far distance must be greater than near distance." + "far distance must be greater than near distance.", ); } //>>includeEnd('debug'); this._backFaceAlphaByDistance = NearFarScalar.clone( value, - this._backFaceAlphaByDistance + this._backFaceAlphaByDistance, ); }, }, diff --git a/packages/engine/Source/Scene/GlobeTranslucencyFramebuffer.js b/packages/engine/Source/Scene/GlobeTranslucencyFramebuffer.js index 7aea7f86d402..c97297854ab7 100644 --- a/packages/engine/Source/Scene/GlobeTranslucencyFramebuffer.js +++ b/packages/engine/Source/Scene/GlobeTranslucencyFramebuffer.js @@ -81,7 +81,7 @@ function updateResources(globeTranslucency, context, width, height, hdr) { width, height, 1, - pixelDatatype + pixelDatatype, ); globeTranslucency._packedDepthFramebuffer.update(context, width, height); } @@ -92,7 +92,7 @@ function updateCommands(globeTranslucency, context, width, height, passState) { const useScissorTest = !BoundingRectangle.equals( globeTranslucency._viewport, - passState.viewport + passState.viewport, ); let updateScissor = useScissorTest !== globeTranslucency._useScissorTest; globeTranslucency._useScissorTest = useScissorTest; @@ -100,12 +100,12 @@ function updateCommands(globeTranslucency, context, width, height, passState) { if ( !BoundingRectangle.equals( globeTranslucency._scissorRectangle, - passState.viewport + passState.viewport, ) ) { globeTranslucency._scissorRectangle = BoundingRectangle.clone( passState.viewport, - globeTranslucency._scissorRectangle + globeTranslucency._scissorRectangle, ); updateScissor = true; } @@ -114,7 +114,7 @@ function updateCommands(globeTranslucency, context, width, height, passState) { !defined(globeTranslucency._renderState) || !BoundingRectangle.equals( globeTranslucency._viewport, - globeTranslucency._renderState.viewport + globeTranslucency._renderState.viewport, ) || updateScissor ) { @@ -137,7 +137,7 @@ function updateCommands(globeTranslucency, context, width, height, passState) { }, }, owner: globeTranslucency, - } + }, ); } @@ -163,7 +163,7 @@ GlobeTranslucencyFramebuffer.prototype.updateAndClear = function ( hdr, viewport, context, - passState + passState, ) { const width = viewport.width; const height = viewport.height; @@ -176,14 +176,14 @@ GlobeTranslucencyFramebuffer.prototype.updateAndClear = function ( GlobeTranslucencyFramebuffer.prototype.clearClassification = function ( context, - passState + passState, ) { this._clearCommand.execute(context, passState); }; GlobeTranslucencyFramebuffer.prototype.packDepth = function ( context, - passState + passState, ) { this._packedDepthCommand.execute(context, passState); return this.packedDepthTexture; diff --git a/packages/engine/Source/Scene/GlobeTranslucencyState.js b/packages/engine/Source/Scene/GlobeTranslucencyState.js index b855ae167092..c26d7da41d68 100644 --- a/packages/engine/Source/Scene/GlobeTranslucencyState.js +++ b/packages/engine/Source/Scene/GlobeTranslucencyState.js @@ -133,24 +133,24 @@ GlobeTranslucencyState.prototype.update = function (scene) { globe.translucency.enabled, globe.translucency.frontFaceAlpha, globe.translucency.frontFaceAlphaByDistance, - this._frontFaceAlphaByDistance + this._frontFaceAlphaByDistance, ); this._backFaceAlphaByDistance = updateAlphaByDistance( globe.translucency.enabled, globe.translucency.backFaceAlpha, globe.translucency.backFaceAlphaByDistance, - this._backFaceAlphaByDistance + this._backFaceAlphaByDistance, ); this._frontFaceTranslucent = isFaceTranslucent( globe.translucency.enabled, this._frontFaceAlphaByDistance, - globe + globe, ); this._backFaceTranslucent = isFaceTranslucent( globe.translucency.enabled, this._backFaceAlphaByDistance, - globe + globe, ); this._requiresManualDepthTest = requiresManualDepthTest(this, scene, globe); @@ -162,7 +162,7 @@ GlobeTranslucencyState.prototype.update = function (scene) { this._rectangle = Rectangle.clone( globe.translucency.rectangle, - this._rectangle + this._rectangle, ); gatherDerivedCommandRequirements(this, scene); @@ -244,7 +244,7 @@ function gatherDerivedCommandRequirements(state, scene) { scene, false, false, - state._derivedCommandTypes + state._derivedCommandTypes, ); state._derivedBlendCommandsLength = getDerivedCommandTypes( @@ -252,7 +252,7 @@ function gatherDerivedCommandRequirements(state, scene) { scene, true, false, - state._derivedBlendCommandTypes + state._derivedBlendCommandTypes, ); state._derivedPickCommandsLength = getDerivedCommandTypes( @@ -260,7 +260,7 @@ function gatherDerivedCommandRequirements(state, scene) { scene, false, true, - state._derivedPickCommandTypes + state._derivedPickCommandTypes, ); let i; @@ -298,7 +298,7 @@ function getDerivedCommandTypes( scene, isBlendCommand, isPickCommand, - types + types, ) { let length = 0; @@ -316,14 +316,14 @@ function getDerivedCommandTypes( const translucentFrontFaceCommandType = isPickCommand ? DerivedCommandType.PICK_FRONT_FACE : requiresManualDepthTest - ? DerivedCommandType.TRANSLUCENT_FRONT_FACE_MANUAL_DEPTH_TEST - : DerivedCommandType.TRANSLUCENT_FRONT_FACE; + ? DerivedCommandType.TRANSLUCENT_FRONT_FACE_MANUAL_DEPTH_TEST + : DerivedCommandType.TRANSLUCENT_FRONT_FACE; const translucentBackFaceCommandType = isPickCommand ? DerivedCommandType.PICK_BACK_FACE : requiresManualDepthTest - ? DerivedCommandType.TRANSLUCENT_BACK_FACE_MANUAL_DEPTH_TEST - : DerivedCommandType.TRANSLUCENT_BACK_FACE; + ? DerivedCommandType.TRANSLUCENT_BACK_FACE_MANUAL_DEPTH_TEST + : DerivedCommandType.TRANSLUCENT_BACK_FACE; if (scene.mode === SceneMode.SCENE2D) { types[length++] = DerivedCommandType.DEPTH_ONLY_FRONT_FACE; @@ -412,7 +412,7 @@ function getTranslucentShaderProgram(vs, fs) { for (let i = 0; i < length; ++i) { sources[i] = ShaderSource.replaceMain( sources[i], - "czm_globe_translucency_main" + "czm_globe_translucency_main", ); } @@ -490,7 +490,7 @@ function getDerivedShaderProgram( derivedShaderProgram, shaderProgramDirty, getShaderProgramFunction, - cacheName + cacheName, ) { if (!defined(getShaderProgramFunction)) { return shaderProgram; @@ -502,7 +502,7 @@ function getDerivedShaderProgram( let shader = context.shaderCache.getDerivedShaderProgram( shaderProgram, - cacheName + cacheName, ); if (!defined(shader)) { const attributeLocations = shaderProgram._attributeLocations; @@ -520,7 +520,7 @@ function getDerivedShaderProgram( vertexShaderSource: vs, fragmentShaderSource: fs, attributeLocations: attributeLocations, - } + }, ); } @@ -600,7 +600,7 @@ function getDerivedRenderState( derivedRenderState, renderStateDirty, getRenderStateFunction, - cache + cache, ) { if (!defined(getRenderStateFunction)) { return renderState; @@ -634,7 +634,7 @@ function getDerivedUniformMap( uniformMap, derivedUniformMap, uniformMapDirty, - getDerivedUniformMapFunction + getDerivedUniformMapFunction, ) { if (!defined(getDerivedUniformMapFunction)) { return uniformMap; @@ -718,7 +718,8 @@ function createDerivedCommandPacks() { new DerivedCommandPack({ pass: Pass.TRANSLUCENT, pickOnly: false, - getShaderProgramFunction: getTranslucentFrontFaceManualDepthTestShaderProgram, + getShaderProgramFunction: + getTranslucentFrontFaceManualDepthTestShaderProgram, getRenderStateFunction: getTranslucentFrontFaceRenderState, getUniformMapFunction: getTranslucencyUniformMap, }), @@ -726,7 +727,8 @@ function createDerivedCommandPacks() { new DerivedCommandPack({ pass: Pass.TRANSLUCENT, pickOnly: false, - getShaderProgramFunction: getTranslucentBackFaceManualDepthTestShaderProgram, + getShaderProgramFunction: + getTranslucentBackFaceManualDepthTestShaderProgram, getRenderStateFunction: getTranslucentBackFaceRenderState, getUniformMapFunction: getTranslucencyUniformMap, }), @@ -754,7 +756,7 @@ const derivedCommandPacks = new Array(derivedCommandsMaximumLength); GlobeTranslucencyState.prototype.updateDerivedCommands = function ( command, - frameState + frameState, ) { const derivedCommandTypes = this._derivedCommandTypesToUpdate; const derivedCommandsLength = this._derivedCommandsToUpdateLength; @@ -775,7 +777,7 @@ GlobeTranslucencyState.prototype.updateDerivedCommands = function ( derivedCommandTypes, derivedCommandNames, derivedCommandPacks, - frameState + frameState, ); }; @@ -786,7 +788,7 @@ function updateDerivedCommands( derivedCommandTypes, derivedCommandNames, derivedCommandPacks, - frameState + frameState, ) { let derivedCommandsObject = command.derivedCommands.globeTranslucency; const derivedCommandsDirty = state._derivedCommandsDirty; @@ -807,15 +809,15 @@ function updateDerivedCommands( const uniformMapDirtyFrame = defaultValue( derivedCommandsObject.uniformMapDirtyFrame, - 0 + 0, ); const shaderProgramDirtyFrame = defaultValue( derivedCommandsObject.shaderProgramDirtyFrame, - 0 + 0, ); const renderStateDirtyFrame = defaultValue( derivedCommandsObject.renderStateDirtyFrame, - 0 + 0, ); const uniformMapDirty = @@ -866,15 +868,15 @@ function updateDerivedCommands( const derivedUniformMapDirtyFrame = defaultValue( derivedCommand.derivedCommands.uniformMapDirtyFrame, - 0 + 0, ); const derivedShaderProgramDirtyFrame = defaultValue( derivedCommand.derivedCommands.shaderProgramDirtyFrame, - 0 + 0, ); const derivedRenderStateDirtyFrame = defaultValue( derivedCommand.derivedCommands.renderStateDirtyFrame, - 0 + 0, ); const derivedUniformMapDirty = @@ -904,7 +906,7 @@ function updateDerivedCommands( command.uniformMap, derivedUniformMap, derivedUniformMapDirty, - derivedCommandPack.getUniformMapFunction + derivedCommandPack.getUniformMapFunction, ); derivedCommand.shaderProgram = getDerivedShaderProgram( frameState.context, @@ -912,14 +914,14 @@ function updateDerivedCommands( derivedShaderProgram, derivedShaderProgramDirty, derivedCommandPack.getShaderProgramFunction, - derivedCommandName + derivedCommandName, ); derivedCommand.renderState = getDerivedRenderState( command.renderState, derivedRenderState, derivedRenderStateDirty, derivedCommandPack.getRenderStateFunction, - derivedCommandPack.renderStateCache + derivedCommandPack.renderStateCache, ); } } @@ -928,7 +930,7 @@ function updateDerivedCommands( GlobeTranslucencyState.prototype.pushDerivedCommands = function ( command, isBlendCommand, - frameState + frameState, ) { const picking = frameState.passes.pick || frameState.passes.pickVoxel; if (picking && isBlendCommand) { @@ -967,7 +969,7 @@ function executeCommandsMatchingType( executeCommandFunction, scene, passState, - types + types, ) { for (let i = 0; i < commandsLength; ++i) { const command = commands[i]; @@ -983,7 +985,7 @@ function executeCommands( commandsLength, executeCommandFunction, scene, - passState + passState, ) { for (let i = 0; i < commandsLength; ++i) { executeCommandFunction(commands[i], scene, passState); @@ -1005,7 +1007,7 @@ GlobeTranslucencyState.prototype.executeGlobeCommands = function ( executeCommandFunction, globeTranslucencyFramebuffer, scene, - passState + passState, ) { const context = scene.context; const globeCommands = frustumCommands.commands[Pass.GLOBE]; @@ -1025,7 +1027,7 @@ GlobeTranslucencyState.prototype.executeGlobeCommands = function ( executeCommandFunction, scene, passState, - opaqueTypes + opaqueTypes, ); }; @@ -1034,7 +1036,7 @@ GlobeTranslucencyState.prototype.executeGlobeClassificationCommands = function ( executeCommandFunction, globeTranslucencyFramebuffer, scene, - passState + passState, ) { const { context } = scene; const { uniformState } = context; @@ -1060,7 +1062,7 @@ GlobeTranslucencyState.prototype.executeGlobeClassificationCommands = function ( classificationCommandsLength, executeCommandFunction, scene, - passState + passState, ); } @@ -1084,14 +1086,14 @@ GlobeTranslucencyState.prototype.executeGlobeClassificationCommands = function ( executeCommandFunction, scene, passState, - depthOnlyTypes + depthOnlyTypes, ); if (context.depthTexture) { // Pack depth into separate texture for ground polylines and textured ground primitives const packedDepthTexture = globeTranslucencyFramebuffer.packDepth( context, - passState + passState, ); uniformState.globeDepthTexture = packedDepthTexture; } @@ -1102,7 +1104,7 @@ GlobeTranslucencyState.prototype.executeGlobeClassificationCommands = function ( classificationCommandsLength, executeCommandFunction, scene, - passState + passState, ); // Unset temporary state diff --git a/packages/engine/Source/Scene/GltfBufferViewLoader.js b/packages/engine/Source/Scene/GltfBufferViewLoader.js index 714d3166bae7..b53275b5e3c0 100644 --- a/packages/engine/Source/Scene/GltfBufferViewLoader.js +++ b/packages/engine/Source/Scene/GltfBufferViewLoader.js @@ -139,7 +139,7 @@ async function loadResources(loader) { const bufferViewTypedArray = new Uint8Array( bufferTypedArray.buffer, bufferTypedArray.byteOffset + loader._byteOffset, - loader._byteLength + loader._byteLength, ); // Unload the buffer @@ -156,7 +156,7 @@ async function loadResources(loader) { byteStride, loader._typedArray, loader._meshoptMode, - loader._meshoptFilter + loader._meshoptFilter, ); loader._typedArray = result; } diff --git a/packages/engine/Source/Scene/GltfIndexBufferLoader.js b/packages/engine/Source/Scene/GltfIndexBufferLoader.js index de52c8412095..5a46f8e43535 100644 --- a/packages/engine/Source/Scene/GltfIndexBufferLoader.js +++ b/packages/engine/Source/Scene/GltfIndexBufferLoader.js @@ -55,7 +55,7 @@ function GltfIndexBufferLoader(options) { Check.typeOf.object("options.baseResource", baseResource); if (!loadBuffer && !loadTypedArray) { throw new DeveloperError( - "At least one of loadBuffer and loadTypedArray must be true." + "At least one of loadBuffer and loadTypedArray must be true.", ); } //>>includeEnd('debug'); @@ -222,7 +222,7 @@ async function loadFromBufferView(indexBufferLoader) { const bufferViewTypedArray = bufferViewLoader.typedArray; indexBufferLoader._typedArray = createIndicesTypedArray( indexBufferLoader, - bufferViewTypedArray + bufferViewTypedArray, ); indexBufferLoader._state = ResourceLoaderState.PROCESSING; return indexBufferLoader; @@ -254,7 +254,7 @@ function createIndicesTypedArray(indexBufferLoader, bufferViewTypedArray) { byteOffset = 0; deprecationWarning( "index-buffer-unaligned", - `The index array is not aligned to a ${indexSize}-byte boundary.` + `The index array is not aligned to a ${indexSize}-byte boundary.`, ); } @@ -287,7 +287,7 @@ function CreateIndexBufferJob() { CreateIndexBufferJob.prototype.set = function ( typedArray, indexDatatype, - context + context, ) { this.typedArray = typedArray; this.indexDatatype = indexDatatype; @@ -298,7 +298,7 @@ CreateIndexBufferJob.prototype.execute = function () { this.buffer = createIndexBuffer( this.typedArray, this.indexDatatype, - this.context + this.context, ); }; diff --git a/packages/engine/Source/Scene/GltfJsonLoader.js b/packages/engine/Source/Scene/GltfJsonLoader.js index 97405b1dedaa..5f6b7dc3daac 100644 --- a/packages/engine/Source/Scene/GltfJsonLoader.js +++ b/packages/engine/Source/Scene/GltfJsonLoader.js @@ -187,7 +187,7 @@ async function upgradeVersion(gltfJsonLoader, gltf) { } buffer.extras._pipeline.source = bufferLoader.typedArray; - }) + }), ); } }); @@ -209,7 +209,7 @@ function decodeDataUris(gltf) { promises.push( Resource.fetchArrayBuffer(bufferUri).then(function (arrayBuffer) { buffer.extras._pipeline.source = new Uint8Array(arrayBuffer); - }) + }), ); } }); diff --git a/packages/engine/Source/Scene/GltfLoader.js b/packages/engine/Source/Scene/GltfLoader.js index b3485f565aeb..234e5d97e876 100644 --- a/packages/engine/Source/Scene/GltfLoader.js +++ b/packages/engine/Source/Scene/GltfLoader.js @@ -1950,6 +1950,9 @@ function loadPrimitive(loader, gltfPrimitive, hasInstances, frameState) { if (loader._loadGaussianSplatting && defined(gaussianSplattingExtension)) { needsPostProcessing = true; primitivePlan.needsGaussianSplats = true; + primitivePlan.gaussianSplatScalingMatrix = loader.gltfJson.nodes[0].matrix; + // loader.gltfJson.nodes[0].matrix[6] = loader.gltfJson.nodes[0].matrix[0]; + // loader.gltfJson.nodes[0].matrix[10] = loader.gltfJson.nodes[0].matrix[0]; } const loadForClassification = loader._loadForClassification; diff --git a/packages/engine/Source/Scene/GltfStructuralMetadataLoader.js b/packages/engine/Source/Scene/GltfStructuralMetadataLoader.js index b8b2d0b0b45b..964b000760be 100644 --- a/packages/engine/Source/Scene/GltfStructuralMetadataLoader.js +++ b/packages/engine/Source/Scene/GltfStructuralMetadataLoader.js @@ -55,7 +55,7 @@ function GltfStructuralMetadataLoader(options) { if (!defined(options.extension) && !defined(options.extensionLegacy)) { throw new DeveloperError( - "One of options.extension or options.extensionLegacy must be specified" + "One of options.extension or options.extensionLegacy must be specified", ); } //>>includeEnd('debug'); @@ -81,9 +81,10 @@ function GltfStructuralMetadataLoader(options) { if (defined(Object.create)) { GltfStructuralMetadataLoader.prototype = Object.create( - ResourceLoader.prototype + ResourceLoader.prototype, ); - GltfStructuralMetadataLoader.prototype.constructor = GltfStructuralMetadataLoader; + GltfStructuralMetadataLoader.prototype.constructor = + GltfStructuralMetadataLoader; } Object.defineProperties(GltfStructuralMetadataLoader.prototype, { @@ -212,7 +213,7 @@ function gatherUsedBufferViewIds(extension) { const propertyTable = propertyTables[i]; gatherBufferViewIdsFromProperties( propertyTable.properties, - bufferViewIdSet + bufferViewIdSet, ); } } @@ -241,11 +242,11 @@ async function loadBufferViews(structuralMetadataLoader) { let bufferViewIds; if (defined(structuralMetadataLoader._extension)) { bufferViewIds = gatherUsedBufferViewIds( - structuralMetadataLoader._extension + structuralMetadataLoader._extension, ); } else { bufferViewIds = gatherUsedBufferViewIdsLegacy( - structuralMetadataLoader._extensionLegacy + structuralMetadataLoader._extensionLegacy, ); } @@ -331,7 +332,7 @@ function loadTextures(structuralMetadataLoader) { textureIds = gatherUsedTextureIds(structuralMetadataLoader._extension); } else { textureIds = gatherUsedTextureIdsLegacy( - structuralMetadataLoader._extensionLegacy + structuralMetadataLoader._extensionLegacy, ); } @@ -367,7 +368,7 @@ function loadTextures(structuralMetadataLoader) { async function loadSchema(structuralMetadataLoader) { const extension = defaultValue( structuralMetadataLoader._extension, - structuralMetadataLoader._extensionLegacy + structuralMetadataLoader._extensionLegacy, ); let schemaLoader; diff --git a/packages/engine/Source/Scene/GltfTextureLoader.js b/packages/engine/Source/Scene/GltfTextureLoader.js index ce02ace44958..4c07eef67629 100644 --- a/packages/engine/Source/Scene/GltfTextureLoader.js +++ b/packages/engine/Source/Scene/GltfTextureLoader.js @@ -180,7 +180,7 @@ CreateTextureJob.prototype.set = function ( textureInfo, image, mipLevels, - context + context, ) { this.gltf = gltf; this.textureInfo = textureInfo; @@ -195,7 +195,7 @@ CreateTextureJob.prototype.execute = function () { this.textureInfo, this.image, this.mipLevels, - this.context + this.context, ); }; @@ -256,7 +256,7 @@ function createTexture(gltf, textureInfo, image, mipLevels, context) { requiresPowerOfTwo ) { console.warn( - "Compressed texture uses REPEAT or MIRRORED_REPEAT texture wrap mode and dimensions are not powers of two. The texture may be rendered incorrectly." + "Compressed texture uses REPEAT or MIRRORED_REPEAT texture wrap mode and dimensions are not powers of two. The texture may be rendered incorrectly.", ); } @@ -334,7 +334,7 @@ GltfTextureLoader.prototype.process = function (frameState) { this._textureInfo, this._image, this._mipLevels, - frameState.context + frameState.context, ); const jobScheduler = frameState.jobScheduler; if (!jobScheduler.execute(textureJob, JobType.TEXTURE)) { @@ -348,7 +348,7 @@ GltfTextureLoader.prototype.process = function (frameState) { this._textureInfo, this._image, this._mipLevels, - frameState.context + frameState.context, ); } diff --git a/packages/engine/Source/Scene/GltfVertexBufferLoader.js b/packages/engine/Source/Scene/GltfVertexBufferLoader.js index 52cc5e5dc4a8..52f77e4505e1 100644 --- a/packages/engine/Source/Scene/GltfVertexBufferLoader.js +++ b/packages/engine/Source/Scene/GltfVertexBufferLoader.js @@ -62,7 +62,7 @@ function GltfVertexBufferLoader(options) { Check.typeOf.object("options.baseResource", baseResource); if (!loadBuffer && !loadTypedArray) { throw new DeveloperError( - "At least one of loadBuffer and loadTypedArray must be true." + "At least one of loadBuffer and loadTypedArray must be true.", ); } @@ -73,19 +73,19 @@ function GltfVertexBufferLoader(options) { if (hasBufferViewId === hasDraco) { throw new DeveloperError( - "One of options.bufferViewId and options.draco must be defined." + "One of options.bufferViewId and options.draco must be defined.", ); } if (hasDraco && !hasAttributeSemantic) { throw new DeveloperError( - "When options.draco is defined options.attributeSemantic must also be defined." + "When options.draco is defined options.attributeSemantic must also be defined.", ); } if (hasDraco && !hasAccessorId) { throw new DeveloperError( - "When options.draco is defined options.accessorId must also be defined." + "When options.draco is defined options.accessorId must also be defined.", ); } @@ -212,7 +212,7 @@ function getQuantizationInformation( dracoQuantization, componentDatatype, componentCount, - type + type, ) { const quantizationBits = dracoQuantization.quantizationBits; const normalizationRange = (1 << quantizationBits) - 1; @@ -237,17 +237,16 @@ function getQuantizationInformation( quantization.quantizedVolumeStepSize = dimensions * normalizationDivisor; } else { quantization.quantizedVolumeOffset = MathType.unpack( - dracoQuantization.minValues + dracoQuantization.minValues, ); quantization.normalizationRange = MathType.unpack( - new Array(componentCount).fill(normalizationRange) + new Array(componentCount).fill(normalizationRange), ); const packedDimensions = new Array(componentCount).fill( - dracoQuantization.range - ); - quantization.quantizedVolumeDimensions = MathType.unpack( - packedDimensions + dracoQuantization.range, ); + quantization.quantizedVolumeDimensions = + MathType.unpack(packedDimensions); // Computing the step size const packedSteps = packedDimensions.map(function (dimension) { @@ -307,14 +306,14 @@ function processDraco(vertexBufferLoader) { dracoQuantization, dracoAttribute.data.componentDatatype, dracoAttribute.data.componentsPerAttribute, - type + type, ); } vertexBufferLoader._typedArray = new Uint8Array( typedArray.buffer, typedArray.byteOffset, - typedArray.byteLength + typedArray.byteLength, ); } diff --git a/packages/engine/Source/Scene/GoogleEarthEnterpriseImageryProvider.js b/packages/engine/Source/Scene/GoogleEarthEnterpriseImageryProvider.js index 0d591ed2f649..60ee4a4d69f5 100644 --- a/packages/engine/Source/Scene/GoogleEarthEnterpriseImageryProvider.js +++ b/packages/engine/Source/Scene/GoogleEarthEnterpriseImageryProvider.js @@ -35,7 +35,7 @@ GoogleEarthEnterpriseDiscardPolicy.prototype.isReady = function () { * @returns {boolean} True if the image should be discarded; otherwise, false. */ GoogleEarthEnterpriseDiscardPolicy.prototype.shouldDiscardImage = function ( - image + image, ) { return image === this._image; }; @@ -107,7 +107,7 @@ function GoogleEarthEnterpriseImageryProvider(options) { -CesiumMath.PI, -CesiumMath.PI, CesiumMath.PI, - CesiumMath.PI + CesiumMath.PI, ), ellipsoid: options.ellipsoid, }); @@ -299,7 +299,7 @@ Object.defineProperties(GoogleEarthEnterpriseImageryProvider.prototype, { */ GoogleEarthEnterpriseImageryProvider.fromMetadata = function ( metadata, - options + options, ) { //>>includeStart('debug', pragmas.debug); Check.defined("metadata", metadata); @@ -325,7 +325,7 @@ GoogleEarthEnterpriseImageryProvider.fromMetadata = function ( GoogleEarthEnterpriseImageryProvider.prototype.getTileCredits = function ( x, y, - level + level, ) { const metadata = this._metadata; const info = metadata.getTileInformation(x, y, level); @@ -353,7 +353,7 @@ GoogleEarthEnterpriseImageryProvider.prototype.requestImage = function ( x, y, level, - request + request, ) { const invalidImage = this._tileDiscardPolicy._image; // Empty image or undefined depending on discard policy const metadata = this._metadata; @@ -383,7 +383,7 @@ GoogleEarthEnterpriseImageryProvider.prototype.requestImage = function ( x, y, level, - request + request, ).fetchArrayBuffer(); if (!defined(promise)) { return undefined; // Throttled @@ -433,7 +433,7 @@ GoogleEarthEnterpriseImageryProvider.prototype.pickFeatures = function ( y, level, longitude, - latitude + latitude, ) { return undefined; }; @@ -529,7 +529,7 @@ function decodeEarthImageryPacket(data) { break; default: throw new RuntimeError( - "GoogleEarthEnterpriseImageryProvider: Unsupported image type." + "GoogleEarthEnterpriseImageryProvider: Unsupported image type.", ); } } @@ -537,7 +537,7 @@ function decodeEarthImageryPacket(data) { const alphaType = message.alphaType; if (defined(alphaType) && alphaType !== 0) { console.log( - "GoogleEarthEnterpriseImageryProvider: External alpha not supported." + "GoogleEarthEnterpriseImageryProvider: External alpha not supported.", ); delete message.alphaType; delete message.imageAlpha; diff --git a/packages/engine/Source/Scene/GoogleEarthEnterpriseMapsProvider.js b/packages/engine/Source/Scene/GoogleEarthEnterpriseMapsProvider.js index 427b851014c6..c5d078f72421 100644 --- a/packages/engine/Source/Scene/GoogleEarthEnterpriseMapsProvider.js +++ b/packages/engine/Source/Scene/GoogleEarthEnterpriseMapsProvider.js @@ -82,7 +82,7 @@ function metadataSuccess(text, imageryProviderBuilder) { } catch (e) { // Quote object strings manually, then try parsing again data = JSON.parse( - text.replace(/([\[\{,])[\n\r ]*([A-Za-z0-9]+)[\n\r ]*:/g, '$1"$2":') + text.replace(/([\[\{,])[\n\r ]*([A-Za-z0-9]+)[\n\r ]*:/g, '$1"$2":'), ); } @@ -138,7 +138,7 @@ function metadataFailure(error, metadataResource, provider) { undefined, provider, defined(provider) ? provider._errorEvent : undefined, - message + message, ); throw new RuntimeError(message); @@ -147,7 +147,7 @@ function metadataFailure(error, metadataResource, provider) { async function requestMetadata( metadataResource, imageryProviderBuilder, - provider + provider, ) { try { const text = await metadataResource.fetchText(); @@ -218,7 +218,7 @@ function GoogleEarthEnterpriseMapsProvider(options) { this._channel = options.channel; this._requestType = "ImageryMaps"; this._credit = new Credit( - `<a href="http://www.google.com/enterprise/mapsearth/products/earthenterprise.html"><img src="${GoogleEarthEnterpriseMapsProvider.logoUrl}" title="Google Imagery"/></a>` + `<a href="http://www.google.com/enterprise/mapsearth/products/earthenterprise.html"><img src="${GoogleEarthEnterpriseMapsProvider.logoUrl}" title="Google Imagery"/></a>`, ); this._tilingScheme = undefined; @@ -451,7 +451,7 @@ Object.defineProperties(GoogleEarthEnterpriseMapsProvider.prototype, { GoogleEarthEnterpriseMapsProvider.fromUrl = async function ( url, channel, - options + options, ) { //>>includeStart('debug', pragmas.debug); Check.defined("url", url); @@ -503,7 +503,7 @@ GoogleEarthEnterpriseMapsProvider.fromUrl = async function ( GoogleEarthEnterpriseMapsProvider.prototype.getTileCredits = function ( x, y, - level + level, ) { return undefined; }; @@ -522,7 +522,7 @@ GoogleEarthEnterpriseMapsProvider.prototype.requestImage = function ( x, y, level, - request + request, ) { const resource = this._resource.getDerivedResource({ url: "query", @@ -556,7 +556,7 @@ GoogleEarthEnterpriseMapsProvider.prototype.pickFeatures = function ( y, level, longitude, - latitude + latitude, ) { return undefined; }; @@ -573,7 +573,7 @@ Object.defineProperties(GoogleEarthEnterpriseMapsProvider, { get: function () { if (!defined(GoogleEarthEnterpriseMapsProvider._logoUrl)) { GoogleEarthEnterpriseMapsProvider._logoUrl = buildModuleUrl( - "Assets/Images/google_earth_credit.png" + "Assets/Images/google_earth_credit.png", ); } return GoogleEarthEnterpriseMapsProvider._logoUrl; diff --git a/packages/engine/Source/Scene/GridImageryProvider.js b/packages/engine/Source/Scene/GridImageryProvider.js index f079d4681aae..e3f6fd4497b0 100644 --- a/packages/engine/Source/Scene/GridImageryProvider.js +++ b/packages/engine/Source/Scene/GridImageryProvider.js @@ -59,7 +59,7 @@ function GridImageryProvider(options) { this._glowWidth = defaultValue(options.glowWidth, 6); this._backgroundColor = defaultValue( options.backgroundColor, - defaultBackgroundColor + defaultBackgroundColor, ); this._errorEvent = new Event(); @@ -318,7 +318,7 @@ GridImageryProvider.prototype.pickFeatures = function ( y, level, longitude, - latitude + latitude, ) { return undefined; }; diff --git a/packages/engine/Source/Scene/GroundPolylinePrimitive.js b/packages/engine/Source/Scene/GroundPolylinePrimitive.js index 2c1515751ac4..c82b9e1dd263 100644 --- a/packages/engine/Source/Scene/GroundPolylinePrimitive.js +++ b/packages/engine/Source/Scene/GroundPolylinePrimitive.js @@ -147,7 +147,7 @@ function GroundPolylinePrimitive(options) { */ this.classificationType = defaultValue( options.classificationType, - ClassificationType.BOTH + ClassificationType.BOTH, ); /** @@ -162,13 +162,13 @@ function GroundPolylinePrimitive(options) { */ this.debugShowBoundingVolume = defaultValue( options.debugShowBoundingVolume, - false + false, ); // Shadow volume is shown by removing a discard in the shader, so this isn't toggleable. this._debugShowShadowVolume = defaultValue( options.debugShowShadowVolume, - false + false, ); this._primitiveOptions = { @@ -178,7 +178,7 @@ function GroundPolylinePrimitive(options) { interleave: defaultValue(options.interleave, false), releaseGeometryInstances: defaultValue( options.releaseGeometryInstances, - true + true, ), allowPicking: defaultValue(options.allowPicking, true), asynchronous: defaultValue(options.asynchronous, true), @@ -331,39 +331,39 @@ function createShaderProgram(groundPolylinePrimitive, frameState, appearance) { const attributeLocations = primitive._attributeLocations; let vs = primitive._batchTable.getVertexShaderCallback()( - PolylineShadowVolumeVS + PolylineShadowVolumeVS, ); vs = Primitive._appendShowToShader(primitive, vs); vs = Primitive._appendDistanceDisplayConditionToShader(primitive, vs); vs = Primitive._modifyShaderPosition( groundPolylinePrimitive, vs, - frameState.scene3DOnly + frameState.scene3DOnly, ); let vsMorph = primitive._batchTable.getVertexShaderCallback()( - PolylineShadowVolumeMorphVS + PolylineShadowVolumeMorphVS, ); vsMorph = Primitive._appendShowToShader(primitive, vsMorph); vsMorph = Primitive._appendDistanceDisplayConditionToShader( primitive, - vsMorph + vsMorph, ); vsMorph = Primitive._modifyShaderPosition( groundPolylinePrimitive, vsMorph, - frameState.scene3DOnly + frameState.scene3DOnly, ); // Access pick color from fragment shader. // Helps with varying budget. let fs = primitive._batchTable.getVertexShaderCallback()( - PolylineShadowVolumeFS + PolylineShadowVolumeFS, ); const vsDefines = [ `GLOBE_MINIMUM_ALTITUDE ${frameState.mapProjection.ellipsoid.minimumRadius.toFixed( - 1 + 1, )}`, ]; let colorDefine = ""; @@ -409,7 +409,7 @@ function createShaderProgram(groundPolylinePrimitive, frameState, appearance) { // Derive 2D/CV let colorProgram2D = context.shaderCache.getDerivedShaderProgram( groundPolylinePrimitive._sp, - "2dColor" + "2dColor", ); if (!defined(colorProgram2D)) { const vsColor2D = new ShaderSource({ @@ -425,7 +425,7 @@ function createShaderProgram(groundPolylinePrimitive, frameState, appearance) { vertexShaderSource: vsColor2D, fragmentShaderSource: fsColor3D, attributeLocations: attributeLocations, - } + }, ); } groundPolylinePrimitive._sp2D = colorProgram2D; @@ -433,20 +433,20 @@ function createShaderProgram(groundPolylinePrimitive, frameState, appearance) { // Derive Morph let colorProgramMorph = context.shaderCache.getDerivedShaderProgram( groundPolylinePrimitive._sp, - "MorphColor" + "MorphColor", ); if (!defined(colorProgramMorph)) { const vsColorMorph = new ShaderSource({ defines: vsDefines.concat([ `MAX_TERRAIN_HEIGHT ${ApproximateTerrainHeights._defaultMaxTerrainHeight.toFixed( - 1 + 1, )}`, ]), sources: [vsMorph], }); fs = primitive._batchTable.getVertexShaderCallback()( - PolylineShadowVolumeMorphFS + PolylineShadowVolumeMorphFS, ); const fsColorMorph = new ShaderSource({ defines: fsDefines, @@ -461,7 +461,7 @@ function createShaderProgram(groundPolylinePrimitive, frameState, appearance) { vertexShaderSource: vsColorMorph, fragmentShaderSource: fsColorMorph, attributeLocations: attributeLocations, - } + }, ); } groundPolylinePrimitive._spMorph = colorProgramMorph; @@ -500,7 +500,7 @@ function createCommands( material, translucent, colorCommands, - pickCommands + pickCommands, ) { const primitive = groundPolylinePrimitive._primitive; const length = primitive._va.length; @@ -511,9 +511,8 @@ function createCommands( appearance instanceof PolylineColorAppearance; const materialUniforms = isPolylineColorAppearance ? {} : material._uniforms; - const uniformMap = primitive._batchTable.getUniformMapCallback()( - materialUniforms - ); + const uniformMap = + primitive._batchTable.getUniformMapCallback()(materialUniforms); for (let i = 0; i < length; i++) { const vertexArray = primitive._va[i]; @@ -535,7 +534,7 @@ function createCommands( const derivedTilesetCommand = DrawCommand.shallowClone( command, - command.derivedCommands.tileset + command.derivedCommands.tileset, ); derivedTilesetCommand.renderState = groundPolylinePrimitive._renderState3DTiles; @@ -545,14 +544,14 @@ function createCommands( // derive for 2D const derived2DCommand = DrawCommand.shallowClone( command, - command.derivedCommands.color2D + command.derivedCommands.color2D, ); derived2DCommand.shaderProgram = groundPolylinePrimitive._sp2D; command.derivedCommands.color2D = derived2DCommand; const derived2DTilesetCommand = DrawCommand.shallowClone( derivedTilesetCommand, - derivedTilesetCommand.derivedCommands.color2D + derivedTilesetCommand.derivedCommands.color2D, ); derived2DTilesetCommand.shaderProgram = groundPolylinePrimitive._sp2D; derivedTilesetCommand.derivedCommands.color2D = derived2DTilesetCommand; @@ -560,7 +559,7 @@ function createCommands( // derive for Morph const derivedMorphCommand = DrawCommand.shallowClone( command, - command.derivedCommands.colorMorph + command.derivedCommands.colorMorph, ); derivedMorphCommand.renderState = groundPolylinePrimitive._renderStateMorph; derivedMorphCommand.shaderProgram = groundPolylinePrimitive._spMorph; @@ -576,7 +575,7 @@ function updateAndQueueCommand( modelMatrix, cull, boundingVolume, - debugShowBoundingVolume + debugShowBoundingVolume, ) { // Use derived appearance command for morph and 2D if (frameState.mode === SceneMode.MORPHING) { @@ -599,7 +598,7 @@ function updateAndQueueCommands( pickCommands, modelMatrix, cull, - debugShowBoundingVolume + debugShowBoundingVolume, ) { const primitive = groundPolylinePrimitive._primitive; @@ -641,7 +640,7 @@ function updateAndQueueCommands( modelMatrix, cull, boundingVolume, - debugShowBoundingVolume + debugShowBoundingVolume, ); } if (queue3DTilesCommands) { @@ -653,7 +652,7 @@ function updateAndQueueCommands( modelMatrix, cull, boundingVolume, - debugShowBoundingVolume + debugShowBoundingVolume, ); } } @@ -680,7 +679,7 @@ GroundPolylinePrimitive.prototype.update = function (frameState) { //>>includeStart('debug', pragmas.debug); if (!this.asynchronous) { throw new DeveloperError( - "For synchronous GroundPolylinePrimitives, you must call GroundPolylinePrimitives.initializeTerrainHeights() and wait for the returned promise to resolve." + "For synchronous GroundPolylinePrimitives, you must call GroundPolylinePrimitives.initializeTerrainHeights() and wait for the returned promise to resolve.", ); } //>>includeEnd('debug'); @@ -734,7 +733,7 @@ GroundPolylinePrimitive.prototype.update = function (frameState) { geometryInstance.geometry._scene3DOnly = frameState.scene3DOnly; GroundPolylineGeometry.setProjectionAndEllipsoid( geometryInstance.geometry, - frameState.mapProjection + frameState.mapProjection, ); groundInstances[i] = new GeometryInstance({ @@ -751,7 +750,7 @@ GroundPolylinePrimitive.prototype.update = function (frameState) { primitiveOptions._createShaderProgramFunction = function ( primitive, frameState, - appearance + appearance, ) { createShaderProgram(that, frameState, appearance); }; @@ -762,7 +761,7 @@ GroundPolylinePrimitive.prototype.update = function (frameState) { translucent, twoPasses, colorCommands, - pickCommands + pickCommands, ) { createCommands( that, @@ -770,7 +769,7 @@ GroundPolylinePrimitive.prototype.update = function (frameState) { material, translucent, colorCommands, - pickCommands + pickCommands, ); }; primitiveOptions._updateAndQueueCommandsFunction = function ( @@ -781,7 +780,7 @@ GroundPolylinePrimitive.prototype.update = function (frameState) { modelMatrix, cull, debugShowBoundingVolume, - twoPasses + twoPasses, ) { updateAndQueueCommands( that, @@ -790,7 +789,7 @@ GroundPolylinePrimitive.prototype.update = function (frameState) { pickCommands, modelMatrix, cull, - debugShowBoundingVolume + debugShowBoundingVolume, ); }; @@ -802,7 +801,7 @@ GroundPolylinePrimitive.prototype.update = function (frameState) { !this._hasPerInstanceColors ) { throw new DeveloperError( - "All GeometryInstances must have color attributes to use PolylineColorAppearance with GroundPolylinePrimitive." + "All GeometryInstances must have color attributes to use PolylineColorAppearance with GroundPolylinePrimitive.", ); } @@ -835,12 +834,12 @@ GroundPolylinePrimitive.prototype.update = function (frameState) { * attributes.show = Cesium.ShowGeometryInstanceAttribute.toValue(true); */ GroundPolylinePrimitive.prototype.getGeometryInstanceAttributes = function ( - id + id, ) { //>>includeStart('debug', pragmas.debug); if (!defined(this._primitive)) { throw new DeveloperError( - "must call update before calling getGeometryInstanceAttributes" + "must call update before calling getGeometryInstanceAttributes", ); } //>>includeEnd('debug'); diff --git a/packages/engine/Source/Scene/GroundPrimitive.js b/packages/engine/Source/Scene/GroundPrimitive.js index f67249322b8a..5a3d5ab85393 100644 --- a/packages/engine/Source/Scene/GroundPrimitive.js +++ b/packages/engine/Source/Scene/GroundPrimitive.js @@ -175,7 +175,7 @@ function GroundPrimitive(options) { */ this.classificationType = defaultValue( options.classificationType, - ClassificationType.BOTH + ClassificationType.BOTH, ); /** * This property is for debugging only; it is not for production use nor is it optimized. @@ -189,7 +189,7 @@ function GroundPrimitive(options) { */ this.debugShowBoundingVolume = defaultValue( options.debugShowBoundingVolume, - false + false, ); /** @@ -204,7 +204,7 @@ function GroundPrimitive(options) { */ this.debugShowShadowVolume = defaultValue( options.debugShowShadowVolume, - false + false, ); this._boundingVolumes = []; @@ -234,7 +234,7 @@ function GroundPrimitive(options) { interleave: defaultValue(options.interleave, false), releaseGeometryInstances: defaultValue( options.releaseGeometryInstances, - true + true, ), allowPicking: defaultValue(options.allowPicking, true), asynchronous: defaultValue(options.asynchronous, true), @@ -417,22 +417,22 @@ function getRectangle(frameState, geometry) { const highPosition = Cartesian3.unpack( highPositions, i, - scratchBVCartesianHigh + scratchBVCartesianHigh, ); const lowPosition = Cartesian3.unpack( lowPositions, i, - scratchBVCartesianLow + scratchBVCartesianLow, ); const position = Cartesian3.add( highPosition, lowPosition, - scratchBVCartesian + scratchBVCartesian, ); const cartographic = ellipsoid.cartesianToCartographic( position, - scratchBVCartographic + scratchBVCartographic, ); const latitude = cartographic.latitude; @@ -456,7 +456,7 @@ function getRectangle(frameState, geometry) { function setMinMaxTerrainHeights(primitive, rectangle, ellipsoid) { const result = ApproximateTerrainHeights.getMinimumMaximumHeights( rectangle, - ellipsoid + ellipsoid, ); primitive._minTerrainHeight = result.minimumTerrainHeight; @@ -471,7 +471,7 @@ function createBoundingVolume(groundPrimitive, frameState, geometry) { rectangle, groundPrimitive._minHeight, groundPrimitive._maxHeight, - ellipsoid + ellipsoid, ); groundPrimitive._boundingVolumes.push(obb); @@ -481,13 +481,13 @@ function createBoundingVolume(groundPrimitive, frameState, geometry) { rectangle, projection, groundPrimitive._maxHeight, - groundPrimitive._minHeight + groundPrimitive._minHeight, ); Cartesian3.fromElements( boundingVolume.center.z, boundingVolume.center.x, boundingVolume.center.y, - boundingVolume.center + boundingVolume.center, ); groundPrimitive._boundingVolumes2D.push(boundingVolume); @@ -505,7 +505,7 @@ function updateAndQueueRenderCommand( modelMatrix, cull, boundingVolume, - debugShowBoundingVolume + debugShowBoundingVolume, ) { // Use derived appearance command for 2D if needed const classificationPrimitive = groundPrimitive._primitive; @@ -532,7 +532,7 @@ function updateAndQueuePickCommand( frameState, modelMatrix, cull, - boundingVolume + boundingVolume, ) { // Use derived pick command for 2D if needed const classificationPrimitive = groundPrimitive._primitive; @@ -560,7 +560,7 @@ function updateAndQueueCommands( modelMatrix, cull, debugShowBoundingVolume, - twoPasses + twoPasses, ) { let boundingVolumes; if (frameState.mode === SceneMode.SCENE3D) { @@ -596,7 +596,7 @@ function updateAndQueueCommands( modelMatrix, cull, boundingVolume, - debugShowBoundingVolume + debugShowBoundingVolume, ); } if (queue3DTilesCommands) { @@ -608,7 +608,7 @@ function updateAndQueueCommands( modelMatrix, cull, boundingVolume, - debugShowBoundingVolume + debugShowBoundingVolume, ); } } @@ -626,7 +626,7 @@ function updateAndQueueCommands( modelMatrix, cull, boundingVolume, - debugShowBoundingVolume + debugShowBoundingVolume, ); } } @@ -654,7 +654,7 @@ function updateAndQueueCommands( frameState, modelMatrix, cull, - boundingVolume + boundingVolume, ); } if (queue3DTilesCommands) { @@ -665,7 +665,7 @@ function updateAndQueueCommands( frameState, modelMatrix, cull, - boundingVolume + boundingVolume, ); } } @@ -704,7 +704,7 @@ GroundPrimitive.prototype.update = function (frameState) { //>>includeStart('debug', pragmas.debug); if (!this.asynchronous) { throw new DeveloperError( - "For synchronous GroundPrimitives, you must call GroundPrimitive.initializeTerrainHeights() and wait for the returned promise to resolve." + "For synchronous GroundPrimitives, you must call GroundPrimitive.initializeTerrainHeights() and wait for the returned promise to resolve.", ); } //>>includeEnd('debug'); @@ -745,7 +745,7 @@ GroundPrimitive.prototype.update = function (frameState) { if (defined(id) && defined(instanceRectangle)) { const boundingSphere = ApproximateTerrainHeights.getBoundingSphere( instanceRectangle, - ellipsoid + ellipsoid, ); this._boundingSpheresKeys.push(id); this._boundingSpheres.push(boundingSphere); @@ -755,7 +755,7 @@ GroundPrimitive.prototype.update = function (frameState) { if (!defined(instanceType) || !defined(instanceType.createShadowVolume)) { //>>includeStart('debug', pragmas.debug); throw new DeveloperError( - "Not all of the geometry instances have GroundPrimitive support." + "Not all of the geometry instances have GroundPrimitive support.", ); //>>includeEnd('debug'); } @@ -769,16 +769,16 @@ GroundPrimitive.prototype.update = function (frameState) { this._minHeight = VerticalExaggeration.getHeight( this._minTerrainHeight, exaggeration, - exaggerationRelativeHeight + exaggerationRelativeHeight, ); this._maxHeight = VerticalExaggeration.getHeight( this._maxTerrainHeight, exaggeration, - exaggerationRelativeHeight + exaggerationRelativeHeight, ); const useFragmentCulling = GroundPrimitive._supportsMaterials( - frameState.context + frameState.context, ); this._useFragmentCulling = useFragmentCulling; @@ -807,20 +807,22 @@ GroundPrimitive.prototype.update = function (frameState) { geometry.textureCoordinateRotationPoints; if (usePlanarExtents) { - attributes = ShadowVolumeAppearance.getPlanarTextureCoordinateAttributes( - boundingRectangle, - textureCoordinateRotationPoints, - ellipsoid, - frameState.mapProjection, - this._maxHeight - ); + attributes = + ShadowVolumeAppearance.getPlanarTextureCoordinateAttributes( + boundingRectangle, + textureCoordinateRotationPoints, + ellipsoid, + frameState.mapProjection, + this._maxHeight, + ); } else { - attributes = ShadowVolumeAppearance.getSphericalExtentGeometryInstanceAttributes( - boundingRectangle, - textureCoordinateRotationPoints, - ellipsoid, - frameState.mapProjection - ); + attributes = + ShadowVolumeAppearance.getSphericalExtentGeometryInstanceAttributes( + boundingRectangle, + textureCoordinateRotationPoints, + ellipsoid, + frameState.mapProjection, + ); } const instanceAttributes = instance.attributes; @@ -834,7 +836,7 @@ GroundPrimitive.prototype.update = function (frameState) { geometry: instanceType.createShadowVolume( geometry, getComputeMinimumHeightFunction(this), - getComputeMaximumHeightFunction(this) + getComputeMaximumHeightFunction(this), ), attributes: attributes, id: instance.id, @@ -850,7 +852,7 @@ GroundPrimitive.prototype.update = function (frameState) { geometry: instanceType.createShadowVolume( geometry, getComputeMinimumHeightFunction(this), - getComputeMaximumHeightFunction(this) + getComputeMaximumHeightFunction(this), ), attributes: instance.attributes, id: instance.id, @@ -863,7 +865,7 @@ GroundPrimitive.prototype.update = function (frameState) { primitiveOptions._createBoundingVolumeFunction = function ( frameState, - geometry + geometry, ) { createBoundingVolume(that, frameState, geometry); }; @@ -875,7 +877,7 @@ GroundPrimitive.prototype.update = function (frameState) { modelMatrix, cull, debugShowBoundingVolume, - twoPasses + twoPasses, ) { updateAndQueueCommands( that, @@ -885,7 +887,7 @@ GroundPrimitive.prototype.update = function (frameState) { modelMatrix, cull, debugShowBoundingVolume, - twoPasses + twoPasses, ); }; @@ -938,7 +940,7 @@ GroundPrimitive.prototype.getGeometryInstanceAttributes = function (id) { //>>includeStart('debug', pragmas.debug); if (!defined(this._primitive)) { throw new DeveloperError( - "must call update before calling getGeometryInstanceAttributes" + "must call update before calling getGeometryInstanceAttributes", ); } //>>includeEnd('debug'); diff --git a/packages/engine/Source/Scene/GroupMetadata.js b/packages/engine/Source/Scene/GroupMetadata.js index 66b2e3c3d8ff..5308fdbc2435 100644 --- a/packages/engine/Source/Scene/GroupMetadata.js +++ b/packages/engine/Source/Scene/GroupMetadata.js @@ -119,7 +119,7 @@ GroupMetadata.prototype.hasPropertyBySemantic = function (semantic) { return MetadataEntity.hasPropertyBySemantic( semantic, this._properties, - this._class + this._class, ); }; @@ -164,7 +164,7 @@ GroupMetadata.prototype.setProperty = function (propertyId, value) { propertyId, value, this._properties, - this._class + this._class, ); }; @@ -179,7 +179,7 @@ GroupMetadata.prototype.getPropertyBySemantic = function (semantic) { return MetadataEntity.getPropertyBySemantic( semantic, this._properties, - this._class + this._class, ); }; @@ -196,7 +196,7 @@ GroupMetadata.prototype.setPropertyBySemantic = function (semantic, value) { semantic, value, this._properties, - this._class + this._class, ); }; diff --git a/packages/engine/Source/Scene/I3SDataProvider.js b/packages/engine/Source/Scene/I3SDataProvider.js index c4cd9cd4578e..89e87643ef26 100644 --- a/packages/engine/Source/Scene/I3SDataProvider.js +++ b/packages/engine/Source/Scene/I3SDataProvider.js @@ -149,14 +149,14 @@ function I3SDataProvider(options) { this._showFeatures = defaultValue(options.showFeatures, false); this._adjustMaterialAlphaMode = defaultValue( options.adjustMaterialAlphaMode, - false + false, ); this._applySymbology = defaultValue(options.applySymbology, false); this._calculateNormals = defaultValue(options.calculateNormals, false); this._cesium3dTilesetOptions = defaultValue( options.cesium3dTilesetOptions, - defaultValue.EMPTY_OBJECT + defaultValue.EMPTY_OBJECT, ); this._layers = []; @@ -452,7 +452,7 @@ async function addLayers(provider, data, options) { provider, buildingLayerUrl, data.sublayers[i], - provider + provider, ); promises.push(promise); } @@ -476,7 +476,7 @@ async function addLayers(provider, data, options) { data.fullExtent.xmin, data.fullExtent.ymin, data.fullExtent.xmax, - data.fullExtent.ymax + data.fullExtent.ymax, ); provider._layersExtent.push(extent); } @@ -500,7 +500,7 @@ async function addLayers(provider, data, options) { } else { // Filter other scene layer types out console.log( - `${data.layerType} layer ${data.name} is skipped as not supported.` + `${data.layerType} layer ${data.name} is skipped as not supported.`, ); } } @@ -571,7 +571,7 @@ I3SDataProvider.fromUrl = async function (url, options) { const layerPromises = []; for (let i = 0; i < provider._layers.length; i++) { layerPromises.push( - provider._layers[i].load(options.cesium3dTilesetOptions) + provider._layers[i].load(options.cesium3dTilesetOptions), ); } @@ -646,7 +646,7 @@ I3SDataProvider.prototype._binarizeGltf = function (rawGltf) { chunkData: new Uint8Array( binaryGltfData.buffer, 20, - rawGltfData.byteLength + rawGltfData.byteLength, ), }; @@ -680,7 +680,7 @@ function getCoveredTiles(terrainProvider, extent) { const minCornerXY = tilingScheme.positionToTileXY(topLeftCorner, maxLevel); const maxCornerXY = tilingScheme.positionToTileXY( bottomRightCorner, - maxLevel + maxLevel, ); // Get all the tiles in between @@ -711,7 +711,7 @@ function getCoveredTiles(terrainProvider, extent) { const requestPromise = tileRequest.terrainProvider.requestTileGeometry( tileRequest.x, tileRequest.y, - tileRequest.level + tileRequest.level, ); tilePromises.push(requestPromise); @@ -739,7 +739,7 @@ function getCoveredTiles(terrainProvider, extent) { nativeExtent: tilingScheme.tileXYToNativeRectangle( options.x, options.y, - options.level + options.level, ), height: heightMap._height, width: heightMap._width, @@ -772,12 +772,12 @@ async function loadGeoidData(provider) { try { const heightMaps = await getCoveredTiles( geoidTerrainProvider, - provider._extent + provider._extent, ); provider._geoidDataList = heightMaps; } catch (error) { console.log( - "Error retrieving Geoid Terrain tiles - no geoid conversion will be performed." + "Error retrieving Geoid Terrain tiles - no geoid conversion will be performed.", ); } } diff --git a/packages/engine/Source/Scene/I3SDecoder.js b/packages/engine/Source/Scene/I3SDecoder.js index 97cc846e792c..d72218c43a33 100644 --- a/packages/engine/Source/Scene/I3SDecoder.js +++ b/packages/engine/Source/Scene/I3SDecoder.js @@ -18,12 +18,12 @@ function I3SDecoder() {} // Maximum concurrency to use when decoding draco models I3SDecoder._maxDecodingConcurrency = Math.max( FeatureDetection.hardwareConcurrency - 1, - 1 + 1, ); I3SDecoder._decodeTaskProcessor = new TaskProcessor( "decodeI3S", - I3SDecoder._maxDecodingConcurrency + I3SDecoder._maxDecodingConcurrency, ); I3SDecoder._promise = undefined; @@ -55,7 +55,7 @@ I3SDecoder.decode = async function ( defaultGeometrySchema, geometryData, featureData, - symbologyData + symbologyData, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.string("url", url); @@ -93,18 +93,17 @@ I3SDecoder.decode = async function ( Matrix3.multiply( axisFlipRotation, parentRotationInverseMatrix, - parentRotation + parentRotation, ); const cartographicCenter = Cartographic.fromDegrees( longitude, latitude, - height + height, ); - const cartesianCenter = Ellipsoid.WGS84.cartographicToCartesian( - cartographicCenter - ); + const cartesianCenter = + Ellipsoid.WGS84.cartographicToCartesian(cartographicCenter); const payload = { binaryData: geometryData._data, diff --git a/packages/engine/Source/Scene/I3SField.js b/packages/engine/Source/Scene/I3SField.js index dc4550e333fe..33c040c23b95 100644 --- a/packages/engine/Source/Scene/I3SField.js +++ b/packages/engine/Source/Scene/I3SField.js @@ -234,7 +234,7 @@ I3SField.prototype._parseBody = function (dataView, offset) { const parsedValue = this._parseValue( dataView, desc.valueType, - offset + offset, ); this._values[item].push(parsedValue.value); offset = parsedValue.offset; @@ -245,7 +245,7 @@ I3SField.prototype._parseBody = function (dataView, offset) { const curParsedValue = this._parseValue( dataView, desc.valueType, - offset + offset, ); if (curParsedValue.value.charCodeAt(0) !== 0) { stringContent += curParsedValue.value; @@ -292,7 +292,7 @@ I3SField.prototype._validateHeader = function (dataView) { } if (dataView.byteLength < headerSize) { throw new RuntimeError( - `Invalid attribute buffer size (field: ${this.name}, header: ${headerSize}, actual: ${dataView.byteLength})` + `Invalid attribute buffer size (field: ${this.name}, header: ${headerSize}, actual: ${dataView.byteLength})`, ); } }; @@ -303,7 +303,7 @@ I3SField.prototype._validateHeader = function (dataView) { I3SField.prototype._validateBody = function (dataView, offset) { if (!defined(this._header.count)) { throw new RuntimeError( - `Invalid attribute buffer (field: ${this.name}, count is missing)` + `Invalid attribute buffer (field: ${this.name}, count is missing)`, ); } let attributeByteCountsOffset; @@ -327,7 +327,7 @@ I3SField.prototype._validateBody = function (dataView, offset) { } else { if (!defined(attributeByteCountsOffset)) { throw new RuntimeError( - `Invalid attribute buffer (field: ${this.name}, attributeByteCounts is missing)` + `Invalid attribute buffer (field: ${this.name}, attributeByteCounts is missing)`, ); } for ( @@ -338,7 +338,7 @@ I3SField.prototype._validateBody = function (dataView, offset) { const parsedValue = this._parseValue( dataView, this._storageInfo.attributeByteCounts.valueType, - attributeByteCountsOffset + attributeByteCountsOffset, ); offset += parsedValue.value; attributeByteCountsOffset = parsedValue.offset; @@ -346,13 +346,13 @@ I3SField.prototype._validateBody = function (dataView, offset) { } } else { throw new RuntimeError( - `Invalid attribute buffer (field: ${this.name}, ${item} is missing)` + `Invalid attribute buffer (field: ${this.name}, ${item} is missing)`, ); } } if (dataView.byteLength < offset) { throw new RuntimeError( - `Invalid attribute buffer size (field: ${this.name}, expected: ${offset}, actual: ${dataView.byteLength})` + `Invalid attribute buffer size (field: ${this.name}, expected: ${offset}, actual: ${dataView.byteLength})`, ); } }; diff --git a/packages/engine/Source/Scene/I3SGeometry.js b/packages/engine/Source/Scene/I3SGeometry.js index 2d6e11210f14..f68e4fc7c5b5 100644 --- a/packages/engine/Source/Scene/I3SGeometry.js +++ b/packages/engine/Source/Scene/I3SGeometry.js @@ -98,12 +98,12 @@ function sameSide(p1, p2, a, b) { const cp1 = Cartesian3.cross( ab, Cartesian3.subtract(p1, a, scratchAp1), - scratchCp1 + scratchCp1, ); const cp2 = Cartesian3.cross( ab, Cartesian3.subtract(p2, a, scratchAp2), - scratchCp2 + scratchCp2, ); return Cartesian3.dot(cp1, cp2) >= 0; } @@ -146,7 +146,7 @@ I3SGeometry.prototype.getClosestPointIndexOnTriangle = function (px, py, pz) { Matrix3.multiplyByVector( this._customAttributes.parentRotation, position, - position + position, ); let bestTriDist = Number.MAX_VALUE; @@ -183,19 +183,19 @@ I3SGeometry.prototype.getClosestPointIndexOnTriangle = function (px, py, pz) { positions[i0 * 3], positions[i0 * 3 + 1], positions[i0 * 3 + 2], - scratchV0 + scratchV0, ); const v1 = Cartesian3.fromElements( positions[i1 * 3], positions[i1 * 3 + 1], positions[i1 * 3 + 2], - scratchV1 + scratchV1, ); const v2 = new Cartesian3( positions[i2 * 3], positions[i2 * 3 + 1], positions[i2 * 3 + 2], - scratchV2 + scratchV2, ); // Check how the point is positioned relative to the triangle. @@ -226,13 +226,13 @@ I3SGeometry.prototype.getClosestPointIndexOnTriangle = function (px, py, pz) { // Found a triangle, return the index of the closest point const d0 = Cartesian3.magnitudeSquared( - Cartesian3.subtract(position, v0, v0p) + Cartesian3.subtract(position, v0, v0p), ); const d1 = Cartesian3.magnitudeSquared( - Cartesian3.subtract(position, v1, scratchV1p) + Cartesian3.subtract(position, v1, scratchV1p), ); const d2 = Cartesian3.magnitudeSquared( - Cartesian3.subtract(position, v2, scratchV2p) + Cartesian3.subtract(position, v2, scratchV2p), ); if (d0 < d1 && d0 < d2) { bestIndex = i0; @@ -293,7 +293,7 @@ I3SGeometry.prototype._generateGltf = function ( bufferViews, accessors, extensions, - extensionsUsed + extensionsUsed, ) { // Get the material definition let gltfMaterial = { @@ -336,8 +336,8 @@ I3SGeometry.prototype._generateGltf = function ( defIndex < this._layer._data.textureSetDefinitions.length; defIndex++ ) { - const textureSetDefinition = this._layer._data - .textureSetDefinitions[defIndex]; + const textureSetDefinition = + this._layer._data.textureSetDefinitions[defIndex]; for ( let formatIndex = 0; formatIndex < textureSetDefinition.formats.length; @@ -368,12 +368,12 @@ I3SGeometry.prototype._generateGltf = function ( defined(gltfMaterial.pbrMetallicRoughness.baseColorFactor) ) { gltfMaterial.pbrMetallicRoughness.baseColorFactor = convertColorFactor( - gltfMaterial.pbrMetallicRoughness.baseColorFactor + gltfMaterial.pbrMetallicRoughness.baseColorFactor, ); } if (defined(gltfMaterial.emissiveFactor)) { gltfMaterial.emissiveFactor = convertColorFactor( - gltfMaterial.emissiveFactor + gltfMaterial.emissiveFactor, ); } } diff --git a/packages/engine/Source/Scene/I3SLayer.js b/packages/engine/Source/Scene/I3SLayer.js index 59378e374db5..0ae1061ea9b5 100644 --- a/packages/engine/Source/Scene/I3SLayer.js +++ b/packages/engine/Source/Scene/I3SLayer.js @@ -48,7 +48,7 @@ function I3SLayer(dataProvider, layerData, parent) { this._resource = new Resource({ url: tilesetUrl }); this._resource.setQueryParameters( - this._dataProvider.resource.queryParameters + this._dataProvider.resource.queryParameters, ); this._resource.appendForwardSlash(); this._data = layerData; @@ -179,7 +179,7 @@ Object.defineProperties(I3SLayer.prototype, { I3SLayer.prototype.load = async function (cesium3dTilesetOptions) { if (this._data.spatialReference.wkid !== 4326) { throw new RuntimeError( - `Unsupported spatial reference: ${this._data.spatialReference.wkid}` + `Unsupported spatial reference: ${this._data.spatialReference.wkid}`, ); } @@ -214,8 +214,8 @@ I3SLayer.prototype._computeGeometryDefinitions = function (useCompression) { defIndex++ ) { const geometryBuffersInfo = []; - const geometryBuffers = this._data.geometryDefinitions[defIndex] - .geometryBuffers; + const geometryBuffers = + this._data.geometryDefinitions[defIndex].geometryBuffers; for (let bufIndex = 0; bufIndex < geometryBuffers.length; bufIndex++) { const geometryBuffer = geometryBuffers[bufIndex]; @@ -264,7 +264,7 @@ I3SLayer.prototype._computeGeometryDefinitions = function (useCompression) { */ I3SLayer.prototype._findBestGeometryBuffers = function ( definition, - attributes + attributes, ) { // find the most appropriate geometry definition // based on the required attributes, and by favouring @@ -348,16 +348,16 @@ I3SLayer.prototype._loadNodePage = function (page) { const nodePageResource = this.resource.getDerivedResource({ url: `nodepages/${page}/`, }); - const fetchPromise = I3SLayer._fetchJson(nodePageResource).then(function ( - data - ) { - if (defined(data.error) && data.error.code !== 200) { - return Promise.reject(data.error); - } + const fetchPromise = I3SLayer._fetchJson(nodePageResource).then( + function (data) { + if (defined(data.error) && data.error.code !== 200) { + return Promise.reject(data.error); + } - that._nodePages[page] = data.nodes; - return data; - }); + that._nodePages[page] = data.nodes; + return data; + }, + ); this._nodePageFetches[page] = fetchPromise; } @@ -374,14 +374,14 @@ I3SLayer.prototype._computeExtent = function () { this._data.fullExtent.xmin, this._data.fullExtent.ymin, this._data.fullExtent.xmax, - this._data.fullExtent.ymax + this._data.fullExtent.ymax, ); } else if (defined(this._data.store.extent)) { this._extent = Rectangle.fromDegrees( this._data.store.extent[0], this._data.store.extent[1], this._data.store.extent[2], - this._data.store.extent[3] + this._data.store.extent[3], ); } }; @@ -409,12 +409,12 @@ I3SLayer.prototype._create3DTileset = async function (cesium3dTilesetOptions) { ? clone(cesium3dTilesetOptions) : {}; cesium3dTilesetOptions.outlineColor = Color.fromCartesian4( - Cartesian4.fromArray(outlineColor) + Cartesian4.fromArray(outlineColor), ); } this._tileset = await Cesium3DTileset.fromUrl( tilesetUrl, - cesium3dTilesetOptions + cesium3dTilesetOptions, ); this._tileset.show = this._parent.show; this._tileset._isI3STileSet = true; diff --git a/packages/engine/Source/Scene/I3SNode.js b/packages/engine/Source/Scene/I3SNode.js index fb8c548c0fb3..d3311e42b031 100644 --- a/packages/engine/Source/Scene/I3SNode.js +++ b/packages/engine/Source/Scene/I3SNode.js @@ -195,7 +195,7 @@ I3SNode.prototype.load = async function () { that._layer._tileset, that._dataProvider.resource, tileDefinition, - that._parent._tile + that._parent._tile, ); that._tile._i3sNode = that; @@ -298,7 +298,7 @@ I3SNode.prototype.getFieldsForPickedPosition = function (pickedPosition) { const location = geometry.getClosestPointIndexOnTriangle( pickedPosition.x, pickedPosition.y, - pickedPosition.z + pickedPosition.z, ); if ( @@ -351,7 +351,7 @@ I3SNode.prototype._loadChildren = function () { const newChild = new I3SNode( that, defaultValue(child.href, child), - false + false, ); that._children.push(newChild); childPromises.push(newChild.load()); @@ -383,7 +383,7 @@ I3SNode.prototype._loadGeometryData = function () { ) { const curGeometryData = new I3SGeometry( this, - this._data.geometryData[geomIndex].href + this._data.geometryData[geomIndex].href, ); this._geometryData.push(curGeometryData); geometryPromises.push(curGeometryData.load()); @@ -391,7 +391,7 @@ I3SNode.prototype._loadGeometryData = function () { } else if (defined(this._data.mesh)) { const geometryDefinition = this._layer._findBestGeometryBuffers( this._data.mesh.geometry.definition, - ["position", "uv0"] + ["position", "uv0"], ); const geometryURI = `./geometries/${geometryDefinition.bufferIndex}/`; @@ -421,7 +421,7 @@ I3SNode.prototype._loadFeatureData = function () { ) { const newFeatureData = new I3SFeature( this, - this._data.featureData[featureIndex].href + this._data.featureData[featureIndex].href, ); this._featureData.push(newFeatureData); featurePromises.push(newFeatureData.load()); @@ -456,7 +456,7 @@ I3SNode.prototype._create3DTileDefinition = function () { geoPosition = Cartographic.fromDegrees( obb.center[0], obb.center[1], - obb.center[2] + obb.center[2], ); } else { geoPosition = Cartographic.fromDegrees(mbs[0], mbs[1], mbs[2]); @@ -501,7 +501,7 @@ I3SNode.prototype._create3DTileDefinition = function () { }; span = Math.max( Math.max(this._data.obb.halfSize[0], this._data.obb.halfSize[1]), - this._data.obb.halfSize[2] + this._data.obb.halfSize[2], ); position = Ellipsoid.WGS84.cartographicToCartesian(geoPosition); } else { @@ -522,7 +522,7 @@ I3SNode.prototype._create3DTileDefinition = function () { "maxScreenThresholdSQ" ) { const maxScreenThreshold = Math.sqrt( - this._data.lodThreshold / (Math.PI * 0.25) + this._data.lodThreshold / (Math.PI * 0.25), ); metersPerPixel = span / maxScreenThreshold; } else if ( @@ -565,7 +565,7 @@ I3SNode.prototype._create3DTileDefinition = function () { this._data.obb.quaternion[0], this._data.obb.quaternion[1], this._data.obb.quaternion[2], - this._data.obb.quaternion[3] + this._data.obb.quaternion[3], ); } @@ -588,12 +588,12 @@ I3SNode.prototype._create3DTileDefinition = function () { position.x, position.y, position.z, - 1 + 1, ); const inverseGlobalTransform = Matrix4.inverse( globalTransform, - new Matrix4() + new Matrix4(), ); const localTransform = Matrix4.clone(globalTransform); @@ -602,7 +602,7 @@ I3SNode.prototype._create3DTileDefinition = function () { Matrix4.multiply( globalTransform, this._parent._inverseGlobalTransform, - localTransform + localTransform, ); } @@ -614,7 +614,7 @@ I3SNode.prototype._create3DTileDefinition = function () { const childrenDefinition = []; for (let childIndex = 0; childIndex < this._children.length; childIndex++) { childrenDefinition.push( - this._children[childIndex]._create3DTileDefinition() + this._children[childIndex]._create3DTileDefinition(), ); } @@ -709,7 +709,7 @@ I3SNode.prototype._createContentURL = async function () { geometrySchema, geometryData, this._featureData[0], - this._symbologyData + this._symbologyData, ); if (!defined(result)) { // Postponed @@ -724,7 +724,7 @@ I3SNode.prototype._createContentURL = async function () { result.meshData.bufferViews, result.meshData.accessors, result.meshData.rootExtensions, - result.meshData.extensionsUsed + result.meshData.extensionsUsed, ); this._geometryData[0]._customAttributes = result.meshData._customAttributes; diff --git a/packages/engine/Source/Scene/I3SSublayer.js b/packages/engine/Source/Scene/I3SSublayer.js index 6d33f74514bd..129d7ee3032d 100644 --- a/packages/engine/Source/Scene/I3SSublayer.js +++ b/packages/engine/Source/Scene/I3SSublayer.js @@ -129,7 +129,7 @@ I3SSublayer._fromData = async function ( dataProvider, buildingLayerUrl, sublayerData, - parent + parent, ) { const sublayer = new I3SSublayer(dataProvider, parent, sublayerData); if (sublayer._data.layerType === "group") { @@ -141,7 +141,7 @@ I3SSublayer._fromData = async function ( dataProvider, buildingLayerUrl, sublayers[i], - sublayer + sublayer, ); promises.push(promise); } @@ -154,7 +154,7 @@ I3SSublayer._fromData = async function ( } } else if (sublayer._data.layerType === "3DObject") { const sublayerUrl = buildingLayerUrl.concat( - `/sublayers/${sublayer._data.id}` + `/sublayers/${sublayer._data.id}`, ); const resource = new Resource({ url: sublayerUrl }); resource.setQueryParameters(dataProvider.resource.queryParameters); @@ -167,7 +167,7 @@ I3SSublayer._fromData = async function ( } else { // Filter other scene layer types out console.log( - `${sublayer._data.layerType} layer ${sublayer._data.name} is skipped as not supported.` + `${sublayer._data.layerType} layer ${sublayer._data.name} is skipped as not supported.`, ); } return sublayer; diff --git a/packages/engine/Source/Scene/I3SSymbology.js b/packages/engine/Source/Scene/I3SSymbology.js index 21783b9e4afc..5b4a802dbc66 100644 --- a/packages/engine/Source/Scene/I3SSymbology.js +++ b/packages/engine/Source/Scene/I3SSymbology.js @@ -73,7 +73,7 @@ function parseSymbol(symbol, isColorCaptured) { if (defined(edges.color)) { symbology.edges.color = convertColor( edges.color, - edges.transparency + edges.transparency, ); } } else if (defined(outline)) { @@ -81,7 +81,7 @@ function parseSymbol(symbol, isColorCaptured) { if (defined(outline.color)) { symbology.edges.color = convertColor( outline.color, - outline.transparency + outline.transparency, ); } } @@ -95,7 +95,7 @@ function parseSymbol(symbol, isColorCaptured) { if (defined(material.color)) { symbology.material.color = convertColor( material.color, - material.transparency + material.transparency, ); } } @@ -120,7 +120,7 @@ function buildUniqueValueHash(renderer, isColorCaptured) { for (let classIndex = 0; classIndex < classes.length; classIndex++) { const classSymbology = parseSymbol( classes[classIndex].symbol, - isColorCaptured + isColorCaptured, ); const values = classes[classIndex].values; for (let valueIndex = 0; valueIndex < values.length; valueIndex++) { @@ -222,7 +222,7 @@ I3SSymbology.prototype._parseLayerSymbology = function () { } else if (renderer.type === "uniqueValue") { this._defaultSymbology = parseSymbol( renderer.defaultSymbol, - isColorCaptured + isColorCaptured, ); this._valueFields.push(renderer.field1); if (defined(renderer.field2)) { @@ -235,7 +235,7 @@ I3SSymbology.prototype._parseLayerSymbology = function () { } else if (renderer.type === "classBreaks") { this._defaultSymbology = parseSymbol( renderer.defaultSymbol, - isColorCaptured + isColorCaptured, ); this._valueFields.push(renderer.field); this._classBreaksHash = buildClassBreaksHash(renderer, isColorCaptured); @@ -305,14 +305,14 @@ I3SSymbology.prototype._getSymbology = async function (node) { this._uniqueValueHash, fieldsValues, 0, - featureIndex + featureIndex, ); } else if (defined(this._classBreaksHash)) { featureHashFn = (featureIndex) => findHashForClassBreaks( this._classBreaksHash, fieldsValues[0], - featureIndex + featureIndex, ); } diff --git a/packages/engine/Source/Scene/I3dmParser.js b/packages/engine/Source/Scene/I3dmParser.js index 90056bd425dd..22bb7a1cb856 100644 --- a/packages/engine/Source/Scene/I3dmParser.js +++ b/packages/engine/Source/Scene/I3dmParser.js @@ -39,7 +39,7 @@ I3dmParser.parse = function (arrayBuffer, byteOffset) { const version = view.getUint32(byteOffset, true); if (version !== 1) { throw new RuntimeError( - `Only Instanced 3D Model version 1 is supported. Version ${version} is not.` + `Only Instanced 3D Model version 1 is supported. Version ${version} is not.`, ); } byteOffset += sizeOfUint32; @@ -50,7 +50,7 @@ I3dmParser.parse = function (arrayBuffer, byteOffset) { const featureTableJsonByteLength = view.getUint32(byteOffset, true); if (featureTableJsonByteLength === 0) { throw new RuntimeError( - "featureTableJsonByteLength is zero, the feature table must be defined." + "featureTableJsonByteLength is zero, the feature table must be defined.", ); } byteOffset += sizeOfUint32; @@ -67,7 +67,7 @@ I3dmParser.parse = function (arrayBuffer, byteOffset) { const gltfFormat = view.getUint32(byteOffset, true); if (gltfFormat !== 1 && gltfFormat !== 0) { throw new RuntimeError( - `Only glTF format 0 (uri) or 1 (embedded) are supported. Format ${gltfFormat} is not.` + `Only glTF format 0 (uri) or 1 (embedded) are supported. Format ${gltfFormat} is not.`, ); } byteOffset += sizeOfUint32; @@ -75,14 +75,14 @@ I3dmParser.parse = function (arrayBuffer, byteOffset) { const featureTableJson = getJsonFromTypedArray( uint8Array, byteOffset, - featureTableJsonByteLength + featureTableJsonByteLength, ); byteOffset += featureTableJsonByteLength; const featureTableBinary = new Uint8Array( arrayBuffer, byteOffset, - featureTableBinaryByteLength + featureTableBinaryByteLength, ); byteOffset += featureTableBinaryByteLength; @@ -92,7 +92,7 @@ I3dmParser.parse = function (arrayBuffer, byteOffset) { batchTableJson = getJsonFromTypedArray( uint8Array, byteOffset, - batchTableJsonByteLength + batchTableJsonByteLength, ); byteOffset += batchTableJsonByteLength; @@ -101,7 +101,7 @@ I3dmParser.parse = function (arrayBuffer, byteOffset) { batchTableBinary = new Uint8Array( arrayBuffer, byteOffset, - batchTableBinaryByteLength + batchTableBinaryByteLength, ); // Copy the batchTableBinary section and let the underlying ArrayBuffer be freed batchTableBinary = new Uint8Array(batchTableBinary); @@ -121,10 +121,10 @@ I3dmParser.parse = function (arrayBuffer, byteOffset) { // Create a copy of the glb so that it is 4-byte aligned I3dmParser._deprecationWarning( "i3dm-glb-unaligned", - "The embedded glb is not aligned to a 4-byte boundary." + "The embedded glb is not aligned to a 4-byte boundary.", ); gltfView = new Uint8Array( - uint8Array.subarray(byteOffset, byteOffset + gltfByteLength) + uint8Array.subarray(byteOffset, byteOffset + gltfByteLength), ); } diff --git a/packages/engine/Source/Scene/ImageBasedLighting.js b/packages/engine/Source/Scene/ImageBasedLighting.js index bd0226a03429..f0b20cb95fe2 100644 --- a/packages/engine/Source/Scene/ImageBasedLighting.js +++ b/packages/engine/Source/Scene/ImageBasedLighting.js @@ -33,27 +33,27 @@ function ImageBasedLighting(options) { //>>includeStart('debug', pragmas.debug); Check.typeOf.object( "options.imageBasedLightingFactor", - imageBasedLightingFactor + imageBasedLightingFactor, ); Check.typeOf.number.greaterThanOrEquals( "options.imageBasedLightingFactor.x", imageBasedLightingFactor.x, - 0.0 + 0.0, ); Check.typeOf.number.lessThanOrEquals( "options.imageBasedLightingFactor.x", imageBasedLightingFactor.x, - 1.0 + 1.0, ); Check.typeOf.number.greaterThanOrEquals( "options.imageBasedLightingFactor.y", imageBasedLightingFactor.y, - 0.0 + 0.0, ); Check.typeOf.number.lessThanOrEquals( "options.imageBasedLightingFactor.y", imageBasedLightingFactor.y, - 1.0 + 1.0, ); //>>includeEnd('debug'); @@ -76,7 +76,7 @@ function ImageBasedLighting(options) { sphericalHarmonicCoefficients.length !== 9) ) { throw new DeveloperError( - "options.sphericalHarmonicCoefficients must be an array of 9 Cartesian3 values." + "options.sphericalHarmonicCoefficients must be an array of 9 Cartesian3 values.", ); } //>>includeEnd('debug'); @@ -98,7 +98,7 @@ function ImageBasedLighting(options) { // Keeps track of the last values for use during update logic this._previousImageBasedLightingFactor = Cartesian2.clone( - imageBasedLightingFactor + imageBasedLightingFactor, ); this._previousLuminanceAtZenith = luminanceAtZenith; this._previousSphericalHarmonicCoefficients = sphericalHarmonicCoefficients; @@ -127,31 +127,31 @@ Object.defineProperties(ImageBasedLighting.prototype, { Check.typeOf.number.greaterThanOrEquals( "imageBasedLightingFactor.x", value.x, - 0.0 + 0.0, ); Check.typeOf.number.lessThanOrEquals( "imageBasedLightingFactor.x", value.x, - 1.0 + 1.0, ); Check.typeOf.number.greaterThanOrEquals( "imageBasedLightingFactor.y", value.y, - 0.0 + 0.0, ); Check.typeOf.number.lessThanOrEquals( "imageBasedLightingFactor.y", value.y, - 1.0 + 1.0, ); //>>includeEnd('debug'); this._previousImageBasedLightingFactor = Cartesian2.clone( this._imageBasedLightingFactor, - this._previousImageBasedLightingFactor + this._previousImageBasedLightingFactor, ); this._imageBasedLightingFactor = Cartesian2.clone( value, - this._imageBasedLightingFactor + this._imageBasedLightingFactor, ); }, }, @@ -203,11 +203,12 @@ Object.defineProperties(ImageBasedLighting.prototype, { //>>includeStart('debug', pragmas.debug); if (defined(value) && (!Array.isArray(value) || value.length !== 9)) { throw new DeveloperError( - "sphericalHarmonicCoefficients must be an array of 9 Cartesian3 values." + "sphericalHarmonicCoefficients must be an array of 9 Cartesian3 values.", ); } //>>includeEnd('debug'); - this._previousSphericalHarmonicCoefficients = this._sphericalHarmonicCoefficients; + this._previousSphericalHarmonicCoefficients = + this._sphericalHarmonicCoefficients; this._sphericalHarmonicCoefficients = value; }, }, @@ -356,15 +357,14 @@ function createSpecularEnvironmentCubeMap(imageBasedLighting, context) { if (defined(imageBasedLighting._specularEnvironmentMaps)) { const cubeMap = new SpecularEnvironmentCubeMap( - imageBasedLighting._specularEnvironmentMaps + imageBasedLighting._specularEnvironmentMaps, ); imageBasedLighting._specularEnvironmentCubeMap = cubeMap; - imageBasedLighting._removeErrorListener = cubeMap.errorEvent.addEventListener( - (error) => { + imageBasedLighting._removeErrorListener = + cubeMap.errorEvent.addEventListener((error) => { console.error(`Error loading specularEnvironmentMaps: ${error}`); - } - ); + }); } // Regenerate shaders so they do not use an environment map. @@ -396,7 +396,7 @@ ImageBasedLighting.prototype.update = function (frameState) { this._previousImageBasedLightingFactor = Cartesian2.clone( this._imageBasedLightingFactor, - this._previousImageBasedLightingFactor + this._previousImageBasedLightingFactor, ); } @@ -418,7 +418,8 @@ ImageBasedLighting.prototype.update = function (frameState) { defined(this._previousSphericalHarmonicCoefficients) !== defined(this._sphericalHarmonicCoefficients); - this._previousSphericalHarmonicCoefficients = this._sphericalHarmonicCoefficients; + this._previousSphericalHarmonicCoefficients = + this._sphericalHarmonicCoefficients; } this._shouldRegenerateShaders = @@ -426,7 +427,8 @@ ImageBasedLighting.prototype.update = function (frameState) { this._previousSpecularEnvironmentMapLoaded !== this._specularEnvironmentMapLoaded; - this._previousSpecularEnvironmentMapLoaded = this._specularEnvironmentMapLoaded; + this._previousSpecularEnvironmentMapLoaded = + this._specularEnvironmentMapLoaded; if (this._specularEnvironmentCubeMapDirty) { createSpecularEnvironmentCubeMap(this, context); diff --git a/packages/engine/Source/Scene/Imagery.js b/packages/engine/Source/Scene/Imagery.js index c7ed8fb4fd0c..c71c37261072 100644 --- a/packages/engine/Source/Scene/Imagery.js +++ b/packages/engine/Source/Scene/Imagery.js @@ -22,7 +22,7 @@ function Imagery(imageryLayer, x, y, level, rectangle) { this.parent = imageryLayer.getImageryFromCache( parentX, parentY, - parentLevel + parentLevel, ); } @@ -88,7 +88,7 @@ Imagery.prototype.releaseReference = function () { Imagery.prototype.processStateMachine = function ( frameState, needGeographicProjection, - skipLoading + skipLoading, ) { if (this.state === ImageryState.UNLOADED && !skipLoading) { this.state = ImageryState.TRANSITIONING; @@ -113,7 +113,7 @@ Imagery.prototype.processStateMachine = function ( this.imageryLayer._reprojectTexture( frameState, this, - needGeographicProjection + needGeographicProjection, ); } }; diff --git a/packages/engine/Source/Scene/ImageryLayer.js b/packages/engine/Source/Scene/ImageryLayer.js index a6579f3ee4e6..897686064402 100644 --- a/packages/engine/Source/Scene/ImageryLayer.js +++ b/packages/engine/Source/Scene/ImageryLayer.js @@ -174,7 +174,7 @@ function ImageryLayer(imageryProvider, options) { */ this.alpha = defaultValue( options.alpha, - defaultValue(imageryProvider._defaultAlpha, 1.0) + defaultValue(imageryProvider._defaultAlpha, 1.0), ); /** @@ -186,7 +186,7 @@ function ImageryLayer(imageryProvider, options) { */ this.nightAlpha = defaultValue( options.nightAlpha, - defaultValue(imageryProvider._defaultNightAlpha, 1.0) + defaultValue(imageryProvider._defaultNightAlpha, 1.0), ); /** @@ -198,7 +198,7 @@ function ImageryLayer(imageryProvider, options) { */ this.dayAlpha = defaultValue( options.dayAlpha, - defaultValue(imageryProvider._defaultDayAlpha, 1.0) + defaultValue(imageryProvider._defaultDayAlpha, 1.0), ); /** @@ -212,8 +212,8 @@ function ImageryLayer(imageryProvider, options) { options.brightness, defaultValue( imageryProvider._defaultBrightness, - ImageryLayer.DEFAULT_BRIGHTNESS - ) + ImageryLayer.DEFAULT_BRIGHTNESS, + ), ); /** @@ -227,8 +227,8 @@ function ImageryLayer(imageryProvider, options) { options.contrast, defaultValue( imageryProvider._defaultContrast, - ImageryLayer.DEFAULT_CONTRAST - ) + ImageryLayer.DEFAULT_CONTRAST, + ), ); /** @@ -239,7 +239,7 @@ function ImageryLayer(imageryProvider, options) { */ this.hue = defaultValue( options.hue, - defaultValue(imageryProvider._defaultHue, ImageryLayer.DEFAULT_HUE) + defaultValue(imageryProvider._defaultHue, ImageryLayer.DEFAULT_HUE), ); /** @@ -253,8 +253,8 @@ function ImageryLayer(imageryProvider, options) { options.saturation, defaultValue( imageryProvider._defaultSaturation, - ImageryLayer.DEFAULT_SATURATION - ) + ImageryLayer.DEFAULT_SATURATION, + ), ); /** @@ -265,7 +265,7 @@ function ImageryLayer(imageryProvider, options) { */ this.gamma = defaultValue( options.gamma, - defaultValue(imageryProvider._defaultGamma, ImageryLayer.DEFAULT_GAMMA) + defaultValue(imageryProvider._defaultGamma, ImageryLayer.DEFAULT_GAMMA), ); /** @@ -276,7 +276,7 @@ function ImageryLayer(imageryProvider, options) { */ this.splitDirection = defaultValue( options.splitDirection, - ImageryLayer.DEFAULT_SPLIT + ImageryLayer.DEFAULT_SPLIT, ); /** @@ -294,8 +294,8 @@ function ImageryLayer(imageryProvider, options) { options.minificationFilter, defaultValue( imageryProvider._defaultMinificationFilter, - ImageryLayer.DEFAULT_MINIFICATION_FILTER - ) + ImageryLayer.DEFAULT_MINIFICATION_FILTER, + ), ); /** @@ -313,8 +313,8 @@ function ImageryLayer(imageryProvider, options) { options.magnificationFilter, defaultValue( imageryProvider._defaultMagnificationFilter, - ImageryLayer.DEFAULT_MAGNIFICATION_FILTER - ) + ImageryLayer.DEFAULT_MAGNIFICATION_FILTER, + ), ); /** @@ -369,7 +369,7 @@ function ImageryLayer(imageryProvider, options) { */ this.colorToAlphaThreshold = defaultValue( options.colorToAlphaThreshold, - ImageryLayer.DEFAULT_APPLY_COLOR_TO_ALPHA_THRESHOLD + ImageryLayer.DEFAULT_APPLY_COLOR_TO_ALPHA_THRESHOLD, ); } @@ -610,7 +610,7 @@ ImageryLayer.fromWorldImagery = function (options) { createWorldImageryAsync({ style: options.style, }), - options + options, ); }; @@ -700,7 +700,7 @@ ImageryLayer.prototype.getImageryRectangle = function () { ImageryLayer.prototype._createTileImagerySkeletons = function ( tile, terrainProvider, - insertionPoint + insertionPoint, ) { const surfaceTile = tile.data; @@ -747,12 +747,12 @@ ImageryLayer.prototype._createTileImagerySkeletons = function ( const imageryBounds = Rectangle.intersection( imageryProvider.rectangle, this._rectangle, - imageryBoundsScratch + imageryBoundsScratch, ); let rectangle = Rectangle.intersection( tile.rectangle, imageryBounds, - tileImageryBoundsScratch + tileImageryBoundsScratch, ); if (!defined(rectangle)) { @@ -774,11 +774,11 @@ ImageryLayer.prototype._createTileImagerySkeletons = function ( } else { rectangle.south = Math.max( baseTerrainRectangle.south, - baseImageryRectangle.south + baseImageryRectangle.south, ); rectangle.north = Math.min( baseTerrainRectangle.north, - baseImageryRectangle.north + baseImageryRectangle.north, ); } @@ -789,11 +789,11 @@ ImageryLayer.prototype._createTileImagerySkeletons = function ( } else { rectangle.west = Math.max( baseTerrainRectangle.west, - baseImageryRectangle.west + baseImageryRectangle.west, ); rectangle.east = Math.min( baseTerrainRectangle.east, - baseImageryRectangle.east + baseImageryRectangle.east, ); } } @@ -815,7 +815,7 @@ ImageryLayer.prototype._createTileImagerySkeletons = function ( let imageryLevel = getLevelWithMaximumTexelSpacing( this, targetGeometricError, - latitudeClosestToEquator + latitudeClosestToEquator, ); imageryLevel = Math.max(0, imageryLevel); const maximumLevel = imageryProvider.maximumLevel; @@ -833,11 +833,11 @@ ImageryLayer.prototype._createTileImagerySkeletons = function ( const imageryTilingScheme = imageryProvider.tilingScheme; const northwestTileCoordinates = imageryTilingScheme.positionToTileXY( Rectangle.northwest(rectangle), - imageryLevel + imageryLevel, ); const southeastTileCoordinates = imageryTilingScheme.positionToTileXY( Rectangle.southeast(rectangle), - imageryLevel + imageryLevel, ); // If the southeast corner of the rectangle lies very close to the north or west side @@ -853,7 +853,7 @@ ImageryLayer.prototype._createTileImagerySkeletons = function ( const northwestTileRectangle = imageryTilingScheme.tileXYToRectangle( northwestTileCoordinates.x, northwestTileCoordinates.y, - imageryLevel + imageryLevel, ); if ( Math.abs(northwestTileRectangle.south - tile.rectangle.north) < @@ -872,7 +872,7 @@ ImageryLayer.prototype._createTileImagerySkeletons = function ( const southeastTileRectangle = imageryTilingScheme.tileXYToRectangle( southeastTileCoordinates.x, southeastTileCoordinates.y, - imageryLevel + imageryLevel, ); if ( Math.abs(southeastTileRectangle.north - tile.rectangle.south) < @@ -893,46 +893,44 @@ ImageryLayer.prototype._createTileImagerySkeletons = function ( const terrainRectangle = Rectangle.clone( tile.rectangle, - terrainRectangleScratch + terrainRectangleScratch, ); let imageryRectangle = imageryTilingScheme.tileXYToRectangle( northwestTileCoordinates.x, northwestTileCoordinates.y, - imageryLevel + imageryLevel, ); let clippedImageryRectangle = Rectangle.intersection( imageryRectangle, imageryBounds, - clippedRectangleScratch + clippedRectangleScratch, ); let imageryTileXYToRectangle; if (useWebMercatorT) { imageryTilingScheme.rectangleToNativeRectangle( terrainRectangle, - terrainRectangle + terrainRectangle, ); imageryTilingScheme.rectangleToNativeRectangle( imageryRectangle, - imageryRectangle + imageryRectangle, ); imageryTilingScheme.rectangleToNativeRectangle( clippedImageryRectangle, - clippedImageryRectangle + clippedImageryRectangle, ); imageryTilingScheme.rectangleToNativeRectangle( imageryBounds, - imageryBounds - ); - imageryTileXYToRectangle = imageryTilingScheme.tileXYToNativeRectangle.bind( - imageryTilingScheme + imageryBounds, ); + imageryTileXYToRectangle = + imageryTilingScheme.tileXYToNativeRectangle.bind(imageryTilingScheme); veryCloseX = terrainRectangle.width / 512.0; veryCloseY = terrainRectangle.height / 512.0; } else { - imageryTileXYToRectangle = imageryTilingScheme.tileXYToRectangle.bind( - imageryTilingScheme - ); + imageryTileXYToRectangle = + imageryTilingScheme.tileXYToRectangle.bind(imageryTilingScheme); } let minU; @@ -951,7 +949,7 @@ ImageryLayer.prototype._createTileImagerySkeletons = function ( maxU = Math.min( 1.0, (clippedImageryRectangle.west - terrainRectangle.west) / - terrainRectangle.width + terrainRectangle.width, ); } @@ -963,7 +961,7 @@ ImageryLayer.prototype._createTileImagerySkeletons = function ( minV = Math.max( 0.0, (clippedImageryRectangle.north - terrainRectangle.south) / - terrainRectangle.height + terrainRectangle.height, ); } @@ -979,12 +977,12 @@ ImageryLayer.prototype._createTileImagerySkeletons = function ( imageryRectangle = imageryTileXYToRectangle( i, northwestTileCoordinates.y, - imageryLevel + imageryLevel, ); clippedImageryRectangle = Rectangle.simpleIntersection( imageryRectangle, imageryBounds, - clippedRectangleScratch + clippedRectangleScratch, ); if (!defined(clippedImageryRectangle)) { @@ -994,7 +992,7 @@ ImageryLayer.prototype._createTileImagerySkeletons = function ( maxU = Math.min( 1.0, (clippedImageryRectangle.east - terrainRectangle.west) / - terrainRectangle.width + terrainRectangle.width, ); // If this is the eastern-most imagery tile mapped to this terrain tile, @@ -1023,7 +1021,7 @@ ImageryLayer.prototype._createTileImagerySkeletons = function ( clippedImageryRectangle = Rectangle.simpleIntersection( imageryRectangle, imageryBounds, - clippedRectangleScratch + clippedRectangleScratch, ); if (!defined(clippedImageryRectangle)) { @@ -1033,7 +1031,7 @@ ImageryLayer.prototype._createTileImagerySkeletons = function ( minV = Math.max( 0.0, (clippedImageryRectangle.south - terrainRectangle.south) / - terrainRectangle.height + terrainRectangle.height, ); // If this is the southern-most imagery tile mapped to this terrain tile, @@ -1054,7 +1052,7 @@ ImageryLayer.prototype._createTileImagerySkeletons = function ( surfaceTile.imagery.splice( insertionPoint, 0, - new TileImagery(imagery, texCoordsRectangle, useWebMercatorT) + new TileImagery(imagery, texCoordsRectangle, useWebMercatorT), ); ++insertionPoint; } @@ -1076,7 +1074,7 @@ ImageryLayer.prototype._createTileImagerySkeletons = function ( */ ImageryLayer.prototype._calculateTextureTranslationAndScale = function ( tile, - tileImagery + tileImagery, ) { let imageryRectangle = tileImagery.readyImagery.rectangle; let terrainRectangle = tile.rectangle; @@ -1086,11 +1084,11 @@ ImageryLayer.prototype._calculateTextureTranslationAndScale = function ( tileImagery.readyImagery.imageryLayer.imageryProvider.tilingScheme; imageryRectangle = tilingScheme.rectangleToNativeRectangle( imageryRectangle, - imageryBoundsScratch + imageryBoundsScratch, ); terrainRectangle = tilingScheme.rectangleToNativeRectangle( terrainRectangle, - terrainRectangleScratch + terrainRectangleScratch, ); } @@ -1104,7 +1102,7 @@ ImageryLayer.prototype._calculateTextureTranslationAndScale = function ( (scaleY * (terrainRectangle.south - imageryRectangle.south)) / terrainHeight, scaleX, - scaleY + scaleY, ); }; @@ -1155,7 +1153,7 @@ ImageryLayer.prototype._requestImagery = function (imagery) { imagery.x, imagery.y, imagery.level, - e + e, ); if (that._requestImageError.retry) { doRequest(); @@ -1174,7 +1172,7 @@ ImageryLayer.prototype._requestImagery = function (imagery) { imagery.x, imagery.y, imagery.level, - request + request, ); if (!defined(imagePromise)) { @@ -1188,7 +1186,7 @@ ImageryLayer.prototype._requestImagery = function (imagery) { imagery.credits = imageryProvider.getTileCredits( imagery.x, imagery.y, - imagery.level + imagery.level, ); } @@ -1272,7 +1270,7 @@ ImageryLayer.prototype._createTexture = function (context, imagery) { this.minificationFilter !== TextureMinificationFilter.LINEAR ) { throw new DeveloperError( - "ImageryLayer minification filter must be NEAREST or LINEAR" + "ImageryLayer minification filter must be NEAREST or LINEAR", ); } //>>includeEnd('debug'); @@ -1294,7 +1292,7 @@ ImageryLayer.prototype._createTexture = function (context, imagery) { function getSamplerKey( minificationFilter, magnificationFilter, - maximumAnisotropy + maximumAnisotropy, ) { return `${minificationFilter}:${magnificationFilter}:${maximumAnisotropy}`; } @@ -1318,12 +1316,12 @@ ImageryLayer.prototype._finalizeReprojectTexture = function (context, texture) { ContextLimits.maximumTextureFilterAnisotropy; const maximumAnisotropy = Math.min( maximumSupportedAnisotropy, - defaultValue(this._maximumAnisotropy, maximumSupportedAnisotropy) + defaultValue(this._maximumAnisotropy, maximumSupportedAnisotropy), ); const mipmapSamplerKey = getSamplerKey( minificationFilter, magnificationFilter, - maximumAnisotropy + maximumAnisotropy, ); let mipmapSamplers = context.cache.imageryLayerMipmapSamplers; if (!defined(mipmapSamplers)) { @@ -1346,7 +1344,7 @@ ImageryLayer.prototype._finalizeReprojectTexture = function (context, texture) { const nonMipmapSamplerKey = getSamplerKey( minificationFilter, magnificationFilter, - 0 + 0, ); let nonMipmapSamplers = context.cache.imageryLayerNonMipmapSamplers; if (!defined(nonMipmapSamplers)) { @@ -1379,7 +1377,7 @@ ImageryLayer.prototype._finalizeReprojectTexture = function (context, texture) { ImageryLayer.prototype._reprojectTexture = function ( frameState, imagery, - needGeographicProjection + needGeographicProjection, ) { const texture = imagery.textureWebMercator || imagery.texture; const rectangle = imagery.rectangle; @@ -1464,7 +1462,7 @@ ImageryLayer.prototype.getImageryFromCache = function ( x, y, level, - imageryRectangle + imageryRectangle, ) { const cacheKey = getImageryCacheKey(x, y, level); let imagery = this._imageryCache[cacheKey]; @@ -1698,7 +1696,7 @@ function reprojectToGeographic(command, context, texture, rectangle) { function getLevelWithMaximumTexelSpacing( layer, texelSpacing, - latitudeClosestToEquator + latitudeClosestToEquator, ) { // PERFORMANCE_IDEA: factor out the stuff that doesn't change. const imageryProvider = layer._imageryProvider; diff --git a/packages/engine/Source/Scene/ImageryLayerCollection.js b/packages/engine/Source/Scene/ImageryLayerCollection.js index bb3604729695..3c2769810b2c 100644 --- a/packages/engine/Source/Scene/ImageryLayerCollection.js +++ b/packages/engine/Source/Scene/ImageryLayerCollection.js @@ -97,7 +97,7 @@ ImageryLayerCollection.prototype.add = function (layer, index) { throw new DeveloperError("index must be greater than or equal to zero."); } else if (index > this._layers.length) { throw new DeveloperError( - "index must be less than or equal to the number of layers." + "index must be less than or equal to the number of layers.", ); } } @@ -136,7 +136,7 @@ ImageryLayerCollection.prototype.add = function (layer, index) { */ ImageryLayerCollection.prototype.addImageryProvider = function ( imageryProvider, - index + index, ) { //>>includeStart('debug', pragmas.debug); if (!defined(imageryProvider)) { @@ -393,22 +393,22 @@ function pickImageryHelper(scene, pickedLocation, pickFeatures, callback) { applicableRectangle.west = CesiumMath.lerp( pickedTile.rectangle.west, pickedTile.rectangle.east, - terrainImagery.textureCoordinateRectangle.x - epsilon + terrainImagery.textureCoordinateRectangle.x - epsilon, ); applicableRectangle.east = CesiumMath.lerp( pickedTile.rectangle.west, pickedTile.rectangle.east, - terrainImagery.textureCoordinateRectangle.z + epsilon + terrainImagery.textureCoordinateRectangle.z + epsilon, ); applicableRectangle.south = CesiumMath.lerp( pickedTile.rectangle.south, pickedTile.rectangle.north, - terrainImagery.textureCoordinateRectangle.y - epsilon + terrainImagery.textureCoordinateRectangle.y - epsilon, ); applicableRectangle.north = CesiumMath.lerp( pickedTile.rectangle.south, pickedTile.rectangle.north, - terrainImagery.textureCoordinateRectangle.w + epsilon + terrainImagery.textureCoordinateRectangle.w + epsilon, ); if (!Rectangle.contains(applicableRectangle, pickedLocation)) { continue; @@ -436,9 +436,8 @@ ImageryLayerCollection.prototype.pickImageryLayers = function (ray, scene) { return; } - const pickedLocation = scene.ellipsoid.cartesianToCartographic( - pickedPosition - ); + const pickedLocation = + scene.ellipsoid.cartesianToCartographic(pickedPosition); const imageryLayers = []; @@ -483,7 +482,7 @@ ImageryLayerCollection.prototype.pickImageryLayers = function (ray, scene) { */ ImageryLayerCollection.prototype.pickImageryLayerFeatures = function ( ray, - scene + scene, ) { // Find the picked location on the globe. const pickedPosition = scene.globe.pick(ray, scene); @@ -491,9 +490,8 @@ ImageryLayerCollection.prototype.pickImageryLayerFeatures = function ( return; } - const pickedLocation = scene.ellipsoid.cartesianToCartographic( - pickedPosition - ); + const pickedLocation = + scene.ellipsoid.cartesianToCartographic(pickedPosition); const promises = []; const imageryLayers = []; @@ -508,7 +506,7 @@ ImageryLayerCollection.prototype.pickImageryLayerFeatures = function ( imagery.y, imagery.level, pickedLocation.longitude, - pickedLocation.latitude + pickedLocation.latitude, ); if (defined(promise)) { promises.push(promise); @@ -552,7 +550,7 @@ ImageryLayerCollection.prototype.pickImageryLayerFeatures = function ( * @param {FrameState} frameState The frameState. */ ImageryLayerCollection.prototype.queueReprojectionCommands = function ( - frameState + frameState, ) { const layers = this._layers; for (let i = 0, len = layers.length; i < len; ++i) { diff --git a/packages/engine/Source/Scene/ImageryLayerFeatureInfo.js b/packages/engine/Source/Scene/ImageryLayerFeatureInfo.js index 0ce99360f846..99980d2ab054 100644 --- a/packages/engine/Source/Scene/ImageryLayerFeatureInfo.js +++ b/packages/engine/Source/Scene/ImageryLayerFeatureInfo.js @@ -50,7 +50,7 @@ function ImageryLayerFeatureInfo() { * @param {object} properties An object literal containing the properties of the feature. */ ImageryLayerFeatureInfo.prototype.configureNameFromProperties = function ( - properties + properties, ) { let namePropertyPrecedence = 10; let nameProperty; @@ -85,28 +85,27 @@ ImageryLayerFeatureInfo.prototype.configureNameFromProperties = function ( * * @param {object} properties An object literal containing the properties of the feature. */ -ImageryLayerFeatureInfo.prototype.configureDescriptionFromProperties = function ( - properties -) { - function describe(properties) { - let html = '<table class="cesium-infoBox-defaultTable">'; - for (const key in properties) { - if (properties.hasOwnProperty(key)) { - const value = properties[key]; - if (defined(value)) { - if (typeof value === "object") { - html += `<tr><td>${key}</td><td>${describe(value)}</td></tr>`; - } else { - html += `<tr><td>${key}</td><td>${value}</td></tr>`; +ImageryLayerFeatureInfo.prototype.configureDescriptionFromProperties = + function (properties) { + function describe(properties) { + let html = '<table class="cesium-infoBox-defaultTable">'; + for (const key in properties) { + if (properties.hasOwnProperty(key)) { + const value = properties[key]; + if (defined(value)) { + if (typeof value === "object") { + html += `<tr><td>${key}</td><td>${describe(value)}</td></tr>`; + } else { + html += `<tr><td>${key}</td><td>${value}</td></tr>`; + } } } } - } - html += "</table>"; + html += "</table>"; - return html; - } + return html; + } - this.description = describe(properties); -}; + this.description = describe(properties); + }; export default ImageryLayerFeatureInfo; diff --git a/packages/engine/Source/Scene/ImageryProvider.js b/packages/engine/Source/Scene/ImageryProvider.js index 0169ffdf0d44..0a5d626732aa 100644 --- a/packages/engine/Source/Scene/ImageryProvider.js +++ b/packages/engine/Source/Scene/ImageryProvider.js @@ -220,7 +220,7 @@ ImageryProvider.prototype.pickFeatures = function ( y, level, longitude, - latitude + latitude, ) { DeveloperError.throwInstantiationError(); }; diff --git a/packages/engine/Source/Scene/Implicit3DTileContent.js b/packages/engine/Source/Scene/Implicit3DTileContent.js index 96eebae51c1d..9cd00a69a94b 100644 --- a/packages/engine/Source/Scene/Implicit3DTileContent.js +++ b/packages/engine/Source/Scene/Implicit3DTileContent.js @@ -68,7 +68,7 @@ function Implicit3DTileContent(tileset, tile, resource) { const subtreeResource = implicitTileset.subtreeUriTemplate.getDerivedResource( { templateValues: templateValues, - } + }, ); this._url = subtreeResource.getUrlComponent(true); @@ -206,7 +206,7 @@ Implicit3DTileContent.fromSubtreeJson = async function ( resource, json, arrayBuffer, - byteOffset + byteOffset, ) { //>>includeStart('debug', pragmas.debug); Check.defined("tile.implicitTileset", tile.implicitTileset); @@ -230,7 +230,7 @@ Implicit3DTileContent.fromSubtreeJson = async function ( json, uint8Array, implicitTileset, - implicitCoordinates + implicitCoordinates, ); const content = new Implicit3DTileContent(tileset, tile, resource); @@ -261,7 +261,7 @@ function expandSubtree(content, subtree) { content, subtree, placeholderTile, - childIndex + childIndex, ); const statistics = content._tileset.statistics; @@ -278,7 +278,7 @@ function expandSubtree(content, subtree) { const implicitChildTile = makePlaceholderChildSubtree( content, leafTile, - subtreeLocator.childIndex + subtreeLocator.childIndex, ); leafTile.children.push(implicitChildTile); statistics.numberOfTilesTotal++; @@ -356,7 +356,7 @@ function transcodeSubtreeTiles(content, subtree, placeholderTile, childIndex) { placeholderTile, childIndex, rootBitIndex, - rootParentIsPlaceholder + rootParentIsPlaceholder, ); const statistics = content._tileset.statistics; @@ -392,7 +392,7 @@ function transcodeSubtreeTiles(content, subtree, placeholderTile, childIndex) { subtree, parentTile, childChildIndex, - childBitIndex + childBitIndex, ); parentTile.children.push(childTile); statistics.numberOfTilesTotal++; @@ -445,16 +445,15 @@ function deriveChildTile( parentTile, childIndex, childBitIndex, - parentIsPlaceholderTile + parentIsPlaceholderTile, ) { const implicitTileset = implicitContent._implicitTileset; let implicitCoordinates; if (defaultValue(parentIsPlaceholderTile, false)) { implicitCoordinates = parentTile.implicitCoordinates; } else { - implicitCoordinates = parentTile.implicitCoordinates.getChildCoordinates( - childIndex - ); + implicitCoordinates = + parentTile.implicitCoordinates.getChildCoordinates(childIndex); } // Parse metadata and bounding volume semantics at the beginning @@ -465,9 +464,8 @@ function deriveChildTile( if (defined(subtree.tilePropertyTableJson)) { tileMetadata = subtree.getTileMetadataView(implicitCoordinates); - const boundingVolumeSemantics = BoundingVolumeSemantics.parseAllBoundingVolumeSemantics( - tileMetadata - ); + const boundingVolumeSemantics = + BoundingVolumeSemantics.parseAllBoundingVolumeSemantics(tileMetadata); tileBounds = boundingVolumeSemantics.tile; contentBounds = boundingVolumeSemantics.content; } @@ -489,7 +487,7 @@ function deriveChildTile( childIndex, parentIsPlaceholderTile, parentTile, - tileBounds + tileBounds, ); const contentJsons = []; @@ -507,7 +505,7 @@ function deriveChildTile( const contentBoundingVolume = getContentBoundingVolume( boundingVolume, - contentBounds + contentBounds, ); if (defined(contentBoundingVolume)) { @@ -522,7 +520,7 @@ function deriveChildTile( const childGeometricError = getGeometricError( tileMetadata, implicitTileset, - implicitCoordinates + implicitCoordinates, ); const tileJson = { @@ -557,7 +555,7 @@ function deriveChildTile( implicitContent, implicitTileset.baseResource, combinedTileJson, - parentTile + parentTile, ); childTile.implicitCoordinates = implicitCoordinates; @@ -613,13 +611,13 @@ function updateHeights(boundingVolume, tileBounds) { updateS2CellHeights( boundingVolume.extensions["3DTILES_bounding_volume_S2"], tileBounds.minimumHeight, - tileBounds.maximumHeight + tileBounds.maximumHeight, ); } else if (defined(boundingVolume.region)) { updateRegionHeights( boundingVolume.region, tileBounds.minimumHeight, - tileBounds.maximumHeight + tileBounds.maximumHeight, ); } } @@ -706,7 +704,7 @@ function getTileBoundingVolume( childIndex, parentIsPlaceholderTile, parentTile, - tileBounds + tileBounds, ) { let boundingVolume; @@ -721,7 +719,7 @@ function getTileBoundingVolume( implicitCoordinates, childIndex, defaultValue(parentIsPlaceholderTile, false), - parentTile + parentTile, ); } else { boundingVolume = tileBounds.boundingVolume; @@ -794,7 +792,7 @@ function deriveBoundingVolume( implicitCoordinates, childIndex, parentIsPlaceholderTile, - parentTile + parentTile, ) { const rootBoundingVolume = implicitTileset.boundingVolume; @@ -806,7 +804,7 @@ function deriveBoundingVolume( implicitCoordinates.level, implicitCoordinates.x, implicitCoordinates.y, - implicitCoordinates.z + implicitCoordinates.z, ); } @@ -816,7 +814,7 @@ function deriveBoundingVolume( implicitCoordinates.level, implicitCoordinates.x, implicitCoordinates.y, - implicitCoordinates.z + implicitCoordinates.z, ); return { @@ -829,7 +827,7 @@ function deriveBoundingVolume( implicitCoordinates.level, implicitCoordinates.x, implicitCoordinates.y, - implicitCoordinates.z + implicitCoordinates.z, ); return { @@ -865,7 +863,7 @@ function deriveBoundingVolumeS2( level, x, y, - z + z, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.bool("parentIsPlaceholderTile", parentIsPlaceholderTile); @@ -984,7 +982,7 @@ function deriveBoundingBox(rootBox, level, x, y, z) { tileScale, tileScale, 1, - scratchScaleFactors + scratchScaleFactors, ); if (defined(z)) { @@ -996,7 +994,7 @@ function deriveBoundingBox(rootBox, level, x, y, z) { modelSpaceX, modelSpaceY, modelSpaceZ, - scratchCenter + scratchCenter, ); center = Matrix3.multiplyByVector(rootHalfAxes, center, scratchCenter); center = Cartesian3.add(center, rootCenter, scratchCenter); @@ -1086,16 +1084,15 @@ function deriveBoundingRegion(rootRegion, level, x, y, z) { */ function makePlaceholderChildSubtree(content, parentTile, childIndex) { const implicitTileset = content._implicitTileset; - const implicitCoordinates = parentTile.implicitCoordinates.getChildCoordinates( - childIndex - ); + const implicitCoordinates = + parentTile.implicitCoordinates.getChildCoordinates(childIndex); const childBoundingVolume = deriveBoundingVolume( implicitTileset, implicitCoordinates, childIndex, false, - parentTile + parentTile, ); // Ignore tile metadata when computing geometric error for the placeholder tile @@ -1104,13 +1101,13 @@ function makePlaceholderChildSubtree(content, parentTile, childIndex) { const childGeometricError = getGeometricError( undefined, implicitTileset, - implicitCoordinates + implicitCoordinates, ); const childContentUri = implicitTileset.subtreeUriTemplate.getDerivedResource( { templateValues: implicitCoordinates.getTemplateValues(), - } + }, ).url; const tileJson = { boundingVolume: childBoundingVolume, @@ -1127,7 +1124,7 @@ function makePlaceholderChildSubtree(content, parentTile, childIndex) { content, implicitTileset.baseResource, tileJson, - parentTile + parentTile, ); tile.implicitTileset = implicitTileset; tile.implicitCoordinates = implicitCoordinates; @@ -1170,7 +1167,7 @@ Implicit3DTileContent.prototype.getFeature = function (batchId) { Implicit3DTileContent.prototype.applyDebugSettings = function ( enabled, - color + color, ) {}; Implicit3DTileContent.prototype.applyStyle = function (style) {}; diff --git a/packages/engine/Source/Scene/ImplicitAvailabilityBitstream.js b/packages/engine/Source/Scene/ImplicitAvailabilityBitstream.js index 9c664f9a5259..c9af8ca5c6ae 100644 --- a/packages/engine/Source/Scene/ImplicitAvailabilityBitstream.js +++ b/packages/engine/Source/Scene/ImplicitAvailabilityBitstream.js @@ -38,7 +38,7 @@ function ImplicitAvailabilityBitstream(options) { const expectedLength = Math.ceil(lengthBits / 8); if (bitstream.length !== expectedLength) { throw new RuntimeError( - `Availability bitstream must be exactly ${expectedLength} bytes long to store ${lengthBits} bits. Actual bitstream was ${bitstream.length} bytes long.` + `Availability bitstream must be exactly ${expectedLength} bytes long to store ${lengthBits} bits. Actual bitstream was ${bitstream.length} bytes long.`, ); } @@ -46,7 +46,7 @@ function ImplicitAvailabilityBitstream(options) { // over the bitstream. const computeAvailableCountEnabled = defaultValue( options.computeAvailableCountEnabled, - false + false, ); if (!defined(availableCount) && computeAvailableCountEnabled) { availableCount = count1Bits(bitstream, lengthBits); diff --git a/packages/engine/Source/Scene/ImplicitMetadataView.js b/packages/engine/Source/Scene/ImplicitMetadataView.js index d00801aee969..98e8456fab24 100644 --- a/packages/engine/Source/Scene/ImplicitMetadataView.js +++ b/packages/engine/Source/Scene/ImplicitMetadataView.js @@ -166,12 +166,12 @@ ImplicitMetadataView.prototype.getPropertyBySemantic = function (semantic) { ImplicitMetadataView.prototype.setPropertyBySemantic = function ( semantic, - value + value, ) { return this._metadataTable.setPropertyBySemantic( this._entityId, semantic, - value + value, ); }; diff --git a/packages/engine/Source/Scene/ImplicitSubtree.js b/packages/engine/Source/Scene/ImplicitSubtree.js index 97133ffa17db..d59d4516e34a 100644 --- a/packages/engine/Source/Scene/ImplicitSubtree.js +++ b/packages/engine/Source/Scene/ImplicitSubtree.js @@ -189,7 +189,7 @@ ImplicitSubtree.prototype.tileIsAvailableAtIndex = function (index) { * @private */ ImplicitSubtree.prototype.tileIsAvailableAtCoordinates = function ( - implicitCoordinates + implicitCoordinates, ) { const index = this.getTileIndex(implicitCoordinates); return this.tileIsAvailableAtIndex(index); @@ -205,7 +205,7 @@ ImplicitSubtree.prototype.tileIsAvailableAtCoordinates = function ( */ ImplicitSubtree.prototype.contentIsAvailableAtIndex = function ( index, - contentIndex + contentIndex, ) { contentIndex = defaultValue(contentIndex, 0); //>>includeStart('debug', pragmas.debug); @@ -230,7 +230,7 @@ ImplicitSubtree.prototype.contentIsAvailableAtIndex = function ( */ ImplicitSubtree.prototype.contentIsAvailableAtCoordinates = function ( implicitCoordinates, - contentIndex + contentIndex, ) { const index = this.getTileIndex(implicitCoordinates); return this.contentIsAvailableAtIndex(index, contentIndex); @@ -255,7 +255,7 @@ ImplicitSubtree.prototype.childSubtreeIsAvailableAtIndex = function (index) { * @private */ ImplicitSubtree.prototype.childSubtreeIsAvailableAtCoordinates = function ( - implicitCoordinates + implicitCoordinates, ) { const index = this.getChildSubtreeIndex(implicitCoordinates); return this.childSubtreeIsAvailableAtIndex(index); @@ -316,7 +316,7 @@ ImplicitSubtree.fromSubtreeJson = async function ( json, subtreeView, implicitTileset, - implicitCoordinates + implicitCoordinates, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.object("resource", resource); @@ -330,7 +330,7 @@ ImplicitSubtree.fromSubtreeJson = async function ( const subtree = new ImplicitSubtree( resource, implicitTileset, - implicitCoordinates + implicitCoordinates, ); let chunks; @@ -360,7 +360,7 @@ ImplicitSubtree.fromSubtreeJson = async function ( for (let i = 0; i < length; i++) { const propertyTableIndex = subtreeJson.contentMetadata[i]; contentPropertyTableJsons.push( - subtreeJson.propertyTables[propertyTableIndex] + subtreeJson.propertyTables[propertyTableIndex], ); } } @@ -401,14 +401,14 @@ ImplicitSubtree.fromSubtreeJson = async function ( subtreeJson.contentAvailabilityHeaders = subtreeJson.contentAvailability; } else { subtreeJson.contentAvailabilityHeaders.push( - defaultValue(subtreeJson.contentAvailability, defaultContentAvailability) + defaultValue(subtreeJson.contentAvailability, defaultContentAvailability), ); } const bufferHeaders = preprocessBuffers(subtreeJson.buffers); const bufferViewHeaders = preprocessBufferViews( subtreeJson.bufferViews, - bufferHeaders + bufferHeaders, ); // Buffers and buffer views are inactive until explicitly marked active. @@ -426,7 +426,7 @@ ImplicitSubtree.fromSubtreeJson = async function ( const buffersU8 = await requestActiveBuffers( subtree, bufferHeaders, - chunks.binary + chunks.binary, ); const bufferViewsU8 = parseActiveBufferViews(bufferViewHeaders, buffersU8); parseAvailability(subtree, subtreeJson, implicitTileset, bufferViewsU8); @@ -464,7 +464,7 @@ function parseSubtreeChunks(subtreeView) { const littleEndian = true; const subtreeReader = new DataView( subtreeView.buffer, - subtreeView.byteOffset + subtreeView.byteOffset, ); // Skip to the chunk lengths let byteOffset = 8; @@ -480,12 +480,12 @@ function parseSubtreeChunks(subtreeView) { const subtreeJson = getJsonFromTypedArray( subtreeView, byteOffset, - jsonByteLength + jsonByteLength, ); byteOffset += jsonByteLength; const subtreeBinary = subtreeView.subarray( byteOffset, - byteOffset + binaryByteLength + byteOffset + binaryByteLength, ); return { @@ -646,7 +646,7 @@ function markActiveMetadataBufferViews(propertyTableJson, bufferViewHeaders) { // An older spec used bufferView const valuesBufferView = defaultValue( metadataHeader.values, - metadataHeader.bufferView + metadataHeader.bufferView, ); header = bufferViewHeaders[valuesBufferView]; header.isActive = true; @@ -655,7 +655,7 @@ function markActiveMetadataBufferViews(propertyTableJson, bufferViewHeaders) { // An older spec used stringOffsetBufferView const stringOffsetBufferView = defaultValue( metadataHeader.stringOffsets, - metadataHeader.stringOffsetBufferView + metadataHeader.stringOffsetBufferView, ); if (defined(stringOffsetBufferView)) { header = bufferViewHeaders[stringOffsetBufferView]; @@ -666,7 +666,7 @@ function markActiveMetadataBufferViews(propertyTableJson, bufferViewHeaders) { // an older spec used arrayOffsetBufferView const arrayOffsetBufferView = defaultValue( metadataHeader.arrayOffsets, - metadataHeader.arrayOffsetBufferView + metadataHeader.arrayOffsetBufferView, ); if (defined(arrayOffsetBufferView)) { header = bufferViewHeaders[arrayOffsetBufferView]; @@ -785,7 +785,7 @@ function parseAvailability( subtree, subtreeJson, implicitTileset, - bufferViewsU8 + bufferViewsU8, ) { const branchingFactor = implicitTileset.branchingFactor; const subtreeLevels = implicitTileset.subtreeLevels; @@ -803,7 +803,7 @@ function parseAvailability( subtreeJson.tileAvailability, bufferViewsU8, tileAvailabilityBits, - computeAvailableCountEnabled + computeAvailableCountEnabled, ); const hasContentMetadata = subtree._contentPropertyTableJsons.length > 0; @@ -816,7 +816,7 @@ function parseAvailability( bufferViewsU8, // content availability has the same length as tile availability. tileAvailabilityBits, - computeAvailableCountEnabled + computeAvailableCountEnabled, ); subtree._contentAvailabilityBitstreams.push(bitstream); } @@ -824,7 +824,7 @@ function parseAvailability( subtree._childSubtreeAvailability = parseAvailabilityBitstream( subtreeJson.childSubtreeAvailability, bufferViewsU8, - childSubtreeBits + childSubtreeBits, ); } @@ -844,7 +844,7 @@ function parseAvailabilityBitstream( availabilityJson, bufferViewsU8, lengthBits, - computeAvailableCountEnabled + computeAvailableCountEnabled, ) { if (defined(availabilityJson.constant)) { return new ImplicitAvailabilityBitstream({ @@ -1011,9 +1011,8 @@ ImplicitSubtree.prototype.getTileIndex = function (implicitCoordinates) { } const subtreeCoordinates = implicitCoordinates.getSubtreeCoordinates(); - const offsetCoordinates = subtreeCoordinates.getOffsetCoordinates( - implicitCoordinates - ); + const offsetCoordinates = + subtreeCoordinates.getOffsetCoordinates(implicitCoordinates); const index = offsetCoordinates.tileIndex; return index; }; @@ -1026,7 +1025,7 @@ ImplicitSubtree.prototype.getTileIndex = function (implicitCoordinates) { * @private */ ImplicitSubtree.prototype.getChildSubtreeIndex = function ( - implicitCoordinates + implicitCoordinates, ) { const localLevel = implicitCoordinates.level - this._implicitCoordinates.level; @@ -1037,10 +1036,10 @@ ImplicitSubtree.prototype.getChildSubtreeIndex = function ( // Call getParentSubtreeCoordinates instead of getSubtreeCoordinates because the // child subtree is by definition the root of its own subtree, so we need to find // the parent subtree. - const parentSubtreeCoordinates = implicitCoordinates.getParentSubtreeCoordinates(); - const offsetCoordinates = parentSubtreeCoordinates.getOffsetCoordinates( - implicitCoordinates - ); + const parentSubtreeCoordinates = + implicitCoordinates.getParentSubtreeCoordinates(); + const offsetCoordinates = + parentSubtreeCoordinates.getOffsetCoordinates(implicitCoordinates); const index = offsetCoordinates.mortonIndex; return index; }; @@ -1128,7 +1127,7 @@ ImplicitSubtree.prototype.getTileMetadataView = function (implicitCoordinates) { */ ImplicitSubtree.prototype.getContentMetadataView = function ( implicitCoordinates, - contentIndex + contentIndex, ) { const entityId = getContentEntityId(this, implicitCoordinates, contentIndex); if (!defined(entityId)) { diff --git a/packages/engine/Source/Scene/ImplicitSubtreeCache.js b/packages/engine/Source/Scene/ImplicitSubtreeCache.js index 252594d37bb8..584cf6f362da 100644 --- a/packages/engine/Source/Scene/ImplicitSubtreeCache.js +++ b/packages/engine/Source/Scene/ImplicitSubtreeCache.js @@ -42,7 +42,7 @@ function ImplicitSubtreeCache(options) { ImplicitSubtreeCache.prototype.addSubtree = function (subtree) { const cacheNode = new ImplicitSubtreeCacheNode( subtree, - this._subtreeRequestCounter + this._subtreeRequestCounter, ); this._subtreeRequestCounter++; this._queue.insert(cacheNode); diff --git a/packages/engine/Source/Scene/ImplicitSubtreeMetadata.js b/packages/engine/Source/Scene/ImplicitSubtreeMetadata.js index 7814babf3cbc..887eea3d88a2 100644 --- a/packages/engine/Source/Scene/ImplicitSubtreeMetadata.js +++ b/packages/engine/Source/Scene/ImplicitSubtreeMetadata.js @@ -104,7 +104,7 @@ ImplicitSubtreeMetadata.prototype.hasPropertyBySemantic = function (semantic) { return MetadataEntity.hasPropertyBySemantic( semantic, this._properties, - this._class + this._class, ); }; @@ -149,7 +149,7 @@ ImplicitSubtreeMetadata.prototype.setProperty = function (propertyId, value) { propertyId, value, this._properties, - this._class + this._class, ); }; @@ -164,7 +164,7 @@ ImplicitSubtreeMetadata.prototype.getPropertyBySemantic = function (semantic) { return MetadataEntity.getPropertyBySemantic( semantic, this._properties, - this._class + this._class, ); }; @@ -178,13 +178,13 @@ ImplicitSubtreeMetadata.prototype.getPropertyBySemantic = function (semantic) { */ ImplicitSubtreeMetadata.prototype.setPropertyBySemantic = function ( semantic, - value + value, ) { return MetadataEntity.setPropertyBySemantic( semantic, value, this._properties, - this._class + this._class, ); }; diff --git a/packages/engine/Source/Scene/ImplicitTileCoordinates.js b/packages/engine/Source/Scene/ImplicitTileCoordinates.js index efcc652fe3d4..44376c2ab311 100644 --- a/packages/engine/Source/Scene/ImplicitTileCoordinates.js +++ b/packages/engine/Source/Scene/ImplicitTileCoordinates.js @@ -238,7 +238,7 @@ function checkMatchingSubtreeShape(a, b) { * @private */ ImplicitTileCoordinates.prototype.getDescendantCoordinates = function ( - offsetCoordinates + offsetCoordinates, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.object("offsetCoordinates", offsetCoordinates); @@ -281,7 +281,7 @@ ImplicitTileCoordinates.prototype.getDescendantCoordinates = function ( * @private */ ImplicitTileCoordinates.prototype.getAncestorCoordinates = function ( - offsetLevels + offsetLevels, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.number("offsetLevels", offsetLevels); @@ -328,7 +328,7 @@ ImplicitTileCoordinates.prototype.getAncestorCoordinates = function ( * @returns {ImplicitTileCoordinates} The offset between the ancestor and the descendant */ ImplicitTileCoordinates.prototype.getOffsetCoordinates = function ( - descendantCoordinates + descendantCoordinates, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.object("descendantCoordinates", descendantCoordinates); @@ -382,11 +382,11 @@ ImplicitTileCoordinates.prototype.getChildCoordinates = function (childIndex) { //>>includeStart('debug', pragmas.debug); Check.typeOf.number("childIndex", childIndex); const branchingFactor = ImplicitSubdivisionScheme.getBranchingFactor( - this.subdivisionScheme + this.subdivisionScheme, ); if (childIndex < 0 || branchingFactor <= childIndex) { throw new DeveloperError( - `childIndex must be at least 0 and less than ${branchingFactor}` + `childIndex must be at least 0 and less than ${branchingFactor}`, ); } //>>includeEnd('debug'); @@ -436,7 +436,7 @@ ImplicitTileCoordinates.prototype.getSubtreeCoordinates = function () { */ ImplicitTileCoordinates.prototype.getParentSubtreeCoordinates = function () { return this.getAncestorCoordinates( - (this.level % this.subtreeLevels) + this.subtreeLevels + (this.level % this.subtreeLevels) + this.subtreeLevels, ); }; @@ -448,7 +448,7 @@ ImplicitTileCoordinates.prototype.getParentSubtreeCoordinates = function () { * @private */ ImplicitTileCoordinates.prototype.isAncestor = function ( - descendantCoordinates + descendantCoordinates, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.object("descendantCoordinates", descendantCoordinates); @@ -565,13 +565,13 @@ ImplicitTileCoordinates.fromMortonIndex = function ( subdivisionScheme, subtreeLevels, level, - mortonIndex + mortonIndex, ) { let coordinatesArray; if (subdivisionScheme === ImplicitSubdivisionScheme.OCTREE) { coordinatesArray = MortonOrder.decode3D( mortonIndex, - scratchCoordinatesArray + scratchCoordinatesArray, ); return new ImplicitTileCoordinates({ subdivisionScheme: subdivisionScheme, @@ -606,7 +606,7 @@ ImplicitTileCoordinates.fromMortonIndex = function ( ImplicitTileCoordinates.fromTileIndex = function ( subdivisionScheme, subtreeLevels, - tileIndex + tileIndex, ) { let level; let levelOffset; @@ -640,7 +640,7 @@ ImplicitTileCoordinates.fromTileIndex = function ( subdivisionScheme, subtreeLevels, level, - mortonIndex + mortonIndex, ); }; diff --git a/packages/engine/Source/Scene/ImplicitTileset.js b/packages/engine/Source/Scene/ImplicitTileset.js index ce2e1fa187ef..e34f56b9c1e6 100644 --- a/packages/engine/Source/Scene/ImplicitTileset.js +++ b/packages/engine/Source/Scene/ImplicitTileset.js @@ -73,7 +73,7 @@ function ImplicitTileset(baseResource, tileJson, metadataSchema) { !hasExtension(boundingVolume, "3DTILES_bounding_volume_cylinder") ) { throw new RuntimeError( - "Only box, region, 3DTILES_bounding_volume_S2, and 3DTILES_bounding_volume_cylinder are supported for implicit tiling" + "Only box, region, 3DTILES_bounding_volume_S2, and 3DTILES_bounding_volume_cylinder are supported for implicit tiling", ); } @@ -190,7 +190,7 @@ function ImplicitTileset(baseResource, tileJson, metadataSchema) { * @private */ this.branchingFactor = ImplicitSubdivisionScheme.getBranchingFactor( - this.subdivisionScheme + this.subdivisionScheme, ); /** diff --git a/packages/engine/Source/Scene/InvertClassification.js b/packages/engine/Source/Scene/InvertClassification.js index 92922920a8ec..b8d7da84e455 100644 --- a/packages/engine/Source/Scene/InvertClassification.js +++ b/packages/engine/Source/Scene/InvertClassification.js @@ -175,7 +175,7 @@ const opaqueFS = InvertClassification.prototype.update = function ( context, numSamples, - globeFramebuffer + globeFramebuffer, ) { const texture = this._fbo.getColorTexture(); const previousFramebufferChanged = @@ -283,7 +283,7 @@ InvertClassification.prototype.update = function ( : this._rsDefault, uniformMap: this._uniformMap, owner: this, - } + }, ); this._classifiedCommand = context.createViewportQuadCommand( classifiedFSSource, @@ -293,7 +293,7 @@ InvertClassification.prototype.update = function ( : this._rsDefault, uniformMap: this._uniformMap, owner: this, - } + }, ); if (defined(this._translucentCommand)) { @@ -308,7 +308,7 @@ InvertClassification.prototype.update = function ( renderState: this._rsUnclassified, uniformMap: this._uniformMap, owner: this, - } + }, ); } } @@ -316,7 +316,7 @@ InvertClassification.prototype.update = function ( InvertClassification.prototype.prepareTextures = function ( context, - blitStencil + blitStencil, ) { if (this._fbo._numSamples > 1) { this._fbo.prepareTextures(context, blitStencil); @@ -334,7 +334,7 @@ InvertClassification.prototype.clear = function (context, passState) { InvertClassification.prototype.executeClassified = function ( context, - passState + passState, ) { if (!defined(this._previousFramebuffer)) { const framebuffer = passState.framebuffer; @@ -350,7 +350,7 @@ InvertClassification.prototype.executeClassified = function ( InvertClassification.prototype.executeUnclassified = function ( context, - passState + passState, ) { this._unclassifiedCommand.execute(context, passState); }; diff --git a/packages/engine/Source/Scene/IonImageryProvider.js b/packages/engine/Source/Scene/IonImageryProvider.js index 5b1361bb734e..730bb803839e 100644 --- a/packages/engine/Source/Scene/IonImageryProvider.js +++ b/packages/engine/Source/Scene/IonImageryProvider.js @@ -269,7 +269,7 @@ IonImageryProvider.fromAssetId = async function (assetId, options) { options = defaultValue(options, defaultValue.EMPTY_OBJECT); const endpointResource = IonResource._createEndpointResource( assetId, - options + options, ); // A simple cache to avoid making repeated requests to ion for endpoints we've @@ -286,7 +286,7 @@ IonImageryProvider.fromAssetId = async function (assetId, options) { const endpoint = await promise; if (endpoint.type !== "IMAGERY") { throw new RuntimeError( - `Cesium ion asset ${assetId} is not an imagery asset.` + `Cesium ion asset ${assetId} is not an imagery asset.`, ); } @@ -294,14 +294,14 @@ IonImageryProvider.fromAssetId = async function (assetId, options) { const externalType = endpoint.externalType; if (!defined(externalType)) { imageryProvider = await TileMapServiceImageryProvider.fromUrl( - new IonResource(endpoint, endpointResource) + new IonResource(endpoint, endpointResource), ); } else { const factory = ImageryProviderAsyncMapping[externalType]; if (!defined(factory)) { throw new RuntimeError( - `Unrecognized Cesium ion imagery type: ${externalType}` + `Unrecognized Cesium ion imagery type: ${externalType}`, ); } // Make a copy before editing since this object reference is cached; @@ -322,7 +322,7 @@ IonImageryProvider.fromAssetId = async function (assetId, options) { provider._tileCredits = IonResource.getCreditsFromEndpoint( endpoint, - endpointResource + endpointResource, ); provider._imageryProvider = imageryProvider; @@ -384,7 +384,7 @@ IonImageryProvider.prototype.pickFeatures = function ( y, level, longitude, - latitude + latitude, ) { return this._imageryProvider.pickFeatures(x, y, level, longitude, latitude); }; diff --git a/packages/engine/Source/Scene/JobScheduler.js b/packages/engine/Source/Scene/JobScheduler.js index 210b48ecbfd7..e9c03ef4b479 100644 --- a/packages/engine/Source/Scene/JobScheduler.js +++ b/packages/engine/Source/Scene/JobScheduler.js @@ -68,7 +68,7 @@ function JobScheduler(budgets) { //>>includeStart('debug', pragmas.debug); if (defined(budgets) && budgets.length !== JobType.NUMBER_OF_JOB_TYPES) { throw new DeveloperError( - "A budget must be specified for each job type; budgets.length should equal JobType.NUMBER_OF_JOB_TYPES." + "A budget must be specified for each job type; budgets.length should equal JobType.NUMBER_OF_JOB_TYPES.", ); } //>>includeEnd('debug'); @@ -76,14 +76,14 @@ function JobScheduler(budgets) { // Total for defaults is half of of one frame at 10 fps const jobBudgets = new Array(JobType.NUMBER_OF_JOB_TYPES); jobBudgets[JobType.TEXTURE] = new JobTypeBudget( - defined(budgets) ? budgets[JobType.TEXTURE] : 10.0 + defined(budgets) ? budgets[JobType.TEXTURE] : 10.0, ); // On cache miss, this most likely only allows one shader compile per frame jobBudgets[JobType.PROGRAM] = new JobTypeBudget( - defined(budgets) ? budgets[JobType.PROGRAM] : 10.0 + defined(budgets) ? budgets[JobType.PROGRAM] : 10.0, ); jobBudgets[JobType.BUFFER] = new JobTypeBudget( - defined(budgets) ? budgets[JobType.BUFFER] : 30.0 + defined(budgets) ? budgets[JobType.BUFFER] : 30.0, ); const length = jobBudgets.length; diff --git a/packages/engine/Source/Scene/Label.js b/packages/engine/Source/Scene/Label.js index 4c43e93824a4..7196877768a9 100644 --- a/packages/engine/Source/Scene/Label.js +++ b/packages/engine/Source/Scene/Label.js @@ -145,7 +145,7 @@ function Label(options, labelCollection) { options.disableDepthTestDistance < 0.0 ) { throw new DeveloperError( - "disableDepthTestDistance must be greater than 0.0." + "disableDepthTestDistance must be greater than 0.0.", ); } //>>includeEnd('debug'); @@ -158,7 +158,7 @@ function Label(options, labelCollection) { //>>includeStart('debug', pragmas.debug); if (translucencyByDistance.far <= translucencyByDistance.near) { throw new DeveloperError( - "translucencyByDistance.far must be greater than translucencyByDistance.near." + "translucencyByDistance.far must be greater than translucencyByDistance.near.", ); } //>>includeEnd('debug'); @@ -168,19 +168,19 @@ function Label(options, labelCollection) { //>>includeStart('debug', pragmas.debug); if (pixelOffsetScaleByDistance.far <= pixelOffsetScaleByDistance.near) { throw new DeveloperError( - "pixelOffsetScaleByDistance.far must be greater than pixelOffsetScaleByDistance.near." + "pixelOffsetScaleByDistance.far must be greater than pixelOffsetScaleByDistance.near.", ); } //>>includeEnd('debug'); pixelOffsetScaleByDistance = NearFarScalar.clone( - pixelOffsetScaleByDistance + pixelOffsetScaleByDistance, ); } if (defined(scaleByDistance)) { //>>includeStart('debug', pragmas.debug); if (scaleByDistance.far <= scaleByDistance.near) { throw new DeveloperError( - "scaleByDistance.far must be greater than scaleByDistance.near." + "scaleByDistance.far must be greater than scaleByDistance.near.", ); } //>>includeEnd('debug'); @@ -190,12 +190,12 @@ function Label(options, labelCollection) { //>>includeStart('debug', pragmas.debug); if (distanceDisplayCondition.far <= distanceDisplayCondition.near) { throw new DeveloperError( - "distanceDisplayCondition.far must be greater than distanceDisplayCondition.near." + "distanceDisplayCondition.far must be greater than distanceDisplayCondition.near.", ); } //>>includeEnd('debug'); distanceDisplayCondition = DistanceDisplayCondition.clone( - distanceDisplayCondition + distanceDisplayCondition, ); } @@ -205,33 +205,33 @@ function Label(options, labelCollection) { this._font = defaultValue(options.font, "30px sans-serif"); this._fillColor = Color.clone(defaultValue(options.fillColor, Color.WHITE)); this._outlineColor = Color.clone( - defaultValue(options.outlineColor, Color.BLACK) + defaultValue(options.outlineColor, Color.BLACK), ); this._outlineWidth = defaultValue(options.outlineWidth, 1.0); this._showBackground = defaultValue(options.showBackground, false); this._backgroundColor = Color.clone( - defaultValue(options.backgroundColor, defaultBackgroundColor) + defaultValue(options.backgroundColor, defaultBackgroundColor), ); this._backgroundPadding = Cartesian2.clone( - defaultValue(options.backgroundPadding, defaultBackgroundPadding) + defaultValue(options.backgroundPadding, defaultBackgroundPadding), ); this._style = defaultValue(options.style, LabelStyle.FILL); this._verticalOrigin = defaultValue( options.verticalOrigin, - VerticalOrigin.BASELINE + VerticalOrigin.BASELINE, ); this._horizontalOrigin = defaultValue( options.horizontalOrigin, - HorizontalOrigin.LEFT + HorizontalOrigin.LEFT, ); this._pixelOffset = Cartesian2.clone( - defaultValue(options.pixelOffset, Cartesian2.ZERO) + defaultValue(options.pixelOffset, Cartesian2.ZERO), ); this._eyeOffset = Cartesian3.clone( - defaultValue(options.eyeOffset, Cartesian3.ZERO) + defaultValue(options.eyeOffset, Cartesian3.ZERO), ); this._position = Cartesian3.clone( - defaultValue(options.position, Cartesian3.ZERO) + defaultValue(options.position, Cartesian3.ZERO), ); this._scale = defaultValue(options.scale, 1.0); this._id = options.id; @@ -240,7 +240,7 @@ function Label(options, labelCollection) { this._scaleByDistance = scaleByDistance; this._heightReference = defaultValue( options.heightReference, - HeightReference.NONE + HeightReference.NONE, ); this._distanceDisplayCondition = distanceDisplayCondition; this._disableDepthTestDistance = options.disableDepthTestDistance; @@ -693,7 +693,7 @@ Object.defineProperties(Label.prototype, { //>>includeStart('debug', pragmas.debug); if (defined(value) && value.far <= value.near) { throw new DeveloperError( - "far distance must be greater than near distance." + "far distance must be greater than near distance.", ); } //>>includeEnd('debug'); @@ -702,7 +702,7 @@ Object.defineProperties(Label.prototype, { if (!NearFarScalar.equals(translucencyByDistance, value)) { this._translucencyByDistance = NearFarScalar.clone( value, - translucencyByDistance + translucencyByDistance, ); const glyphs = this._glyphs; @@ -751,7 +751,7 @@ Object.defineProperties(Label.prototype, { //>>includeStart('debug', pragmas.debug); if (defined(value) && value.far <= value.near) { throw new DeveloperError( - "far distance must be greater than near distance." + "far distance must be greater than near distance.", ); } //>>includeEnd('debug'); @@ -760,7 +760,7 @@ Object.defineProperties(Label.prototype, { if (!NearFarScalar.equals(pixelOffsetScaleByDistance, value)) { this._pixelOffsetScaleByDistance = NearFarScalar.clone( value, - pixelOffsetScaleByDistance + pixelOffsetScaleByDistance, ); const glyphs = this._glyphs; @@ -808,7 +808,7 @@ Object.defineProperties(Label.prototype, { //>>includeStart('debug', pragmas.debug); if (defined(value) && value.far <= value.near) { throw new DeveloperError( - "far distance must be greater than near distance." + "far distance must be greater than near distance.", ); } //>>includeEnd('debug'); @@ -1047,7 +1047,7 @@ Object.defineProperties(Label.prototype, { ) { this._distanceDisplayCondition = DistanceDisplayCondition.clone( value, - this._distanceDisplayCondition + this._distanceDisplayCondition, ); const glyphs = this._glyphs; @@ -1080,7 +1080,7 @@ Object.defineProperties(Label.prototype, { //>>includeStart('debug', pragmas.debug); if (defined(value) && value < 0.0) { throw new DeveloperError( - "disableDepthTestDistance must be greater than 0.0." + "disableDepthTestDistance must be greater than 0.0.", ); } //>>includeEnd('debug'); @@ -1154,7 +1154,7 @@ Object.defineProperties(Label.prototype, { set: function (value) { this._actualClampedPosition = Cartesian3.clone( value, - this._actualClampedPosition + this._actualClampedPosition, ); const glyphs = this._glyphs; @@ -1247,7 +1247,7 @@ Label.prototype.computeScreenSpacePosition = function (scene, result) { this._eyeOffset, this._pixelOffset, scene, - result + result, ); return windowCoordinates; }; @@ -1264,7 +1264,7 @@ Label.prototype.computeScreenSpacePosition = function (scene, result) { Label.getScreenSpaceBoundingBox = function ( label, screenSpacePosition, - result + result, ) { let x = 0; let y = 0; @@ -1376,16 +1376,16 @@ Label.prototype.equals = function (other) { Cartesian3.equals(this._eyeOffset, other._eyeOffset) && NearFarScalar.equals( this._translucencyByDistance, - other._translucencyByDistance + other._translucencyByDistance, ) && NearFarScalar.equals( this._pixelOffsetScaleByDistance, - other._pixelOffsetScaleByDistance + other._pixelOffsetScaleByDistance, ) && NearFarScalar.equals(this._scaleByDistance, other._scaleByDistance) && DistanceDisplayCondition.equals( this._distanceDisplayCondition, - other._distanceDisplayCondition + other._distanceDisplayCondition, ) && this._disableDepthTestDistance === other._disableDepthTestDistance && this._id === other._id) diff --git a/packages/engine/Source/Scene/LabelCollection.js b/packages/engine/Source/Scene/LabelCollection.js index 1a723f3cf454..6bd01bac9ee8 100644 --- a/packages/engine/Source/Scene/LabelCollection.js +++ b/packages/engine/Source/Scene/LabelCollection.js @@ -68,7 +68,7 @@ function createGlyphCanvas( outlineColor, outlineWidth, style, - verticalOrigin + verticalOrigin, ) { writeTextToCanvasParameters.font = font; writeTextToCanvasParameters.fillColor = fillColor; @@ -211,13 +211,13 @@ function rebindAllGlyphs(labelCollection, label) { Color.WHITE, 0.0, LabelStyle.FILL, - verticalOrigin + verticalOrigin, ); glyphTextureInfo = new GlyphTextureInfo( labelCollection, -1, - canvas.dimensions + canvas.dimensions, ); glyphTextureCache[id] = glyphTextureInfo; @@ -249,7 +249,7 @@ function rebindAllGlyphs(labelCollection, label) { labelCollection._textureAtlas, id, canvas, - glyphTextureInfo + glyphTextureInfo, ); } } @@ -360,7 +360,7 @@ function repositionAllGlyphs(label) { const backgroundBillboard = label._backgroundBillboard; const backgroundPadding = Cartesian2.clone( defined(backgroundBillboard) ? label._backgroundPadding : Cartesian2.ZERO, - scratchBackgroundPadding + scratchBackgroundPadding, ); // We need to scale the background padding, which is specified in pixels by the inverse of the relative size so it is scaled properly. @@ -397,7 +397,7 @@ function repositionAllGlyphs(label) { let widthOffset = calculateWidthOffset( lineWidth, horizontalOrigin, - backgroundPadding + backgroundPadding, ); const lineSpacing = (defined(label._lineHeight) @@ -427,7 +427,7 @@ function repositionAllGlyphs(label) { widthOffset = calculateWidthOffset( lineWidth, horizontalOrigin, - backgroundPadding + backgroundPadding, ); glyphPixelOffset.x = widthOffset * scale; firstCharOfLine = true; @@ -506,7 +506,7 @@ function repositionAllGlyphs(label) { backgroundBillboard._setTranslate(glyphPixelOffset); backgroundBillboard._labelTranslate = Cartesian2.clone( glyphPixelOffset, - backgroundBillboard._labelTranslate + backgroundBillboard._labelTranslate, ); } @@ -517,7 +517,7 @@ function repositionAllGlyphs(label) { if (defined(billboard)) { billboard._labelTranslate = Cartesian2.clone( glyphPixelOffset, - billboard._labelTranslate + billboard._labelTranslate, ); } } @@ -531,7 +531,7 @@ function destroyLabel(labelCollection, label) { } if (defined(label._backgroundBillboard)) { labelCollection._backgroundBillboardCollection.remove( - label._backgroundBillboard + label._backgroundBillboard, ); label._backgroundBillboard = undefined; } @@ -659,7 +659,7 @@ function LabelCollection(options) { * }); */ this.modelMatrix = Matrix4.clone( - defaultValue(options.modelMatrix, Matrix4.IDENTITY) + defaultValue(options.modelMatrix, Matrix4.IDENTITY), ); /** @@ -674,7 +674,7 @@ function LabelCollection(options) { */ this.debugShowBoundingVolume = defaultValue( options.debugShowBoundingVolume, - false + false, ); /** @@ -687,7 +687,7 @@ function LabelCollection(options) { */ this.blendOption = defaultValue( options.blendOption, - BlendOption.OPAQUE_AND_TRANSLUCENT + BlendOption.OPAQUE_AND_TRANSLUCENT, ); } @@ -896,7 +896,8 @@ LabelCollection.prototype.update = function (frameState) { billboardCollection.modelMatrix = this.modelMatrix; billboardCollection.debugShowBoundingVolume = this.debugShowBoundingVolume; backgroundBillboardCollection.modelMatrix = this.modelMatrix; - backgroundBillboardCollection.debugShowBoundingVolume = this.debugShowBoundingVolume; + backgroundBillboardCollection.debugShowBoundingVolume = + this.debugShowBoundingVolume; const context = frameState.context; @@ -990,7 +991,8 @@ LabelCollection.prototype.destroy = function () { this.removeAll(); this._billboardCollection = this._billboardCollection.destroy(); this._textureAtlas = this._textureAtlas && this._textureAtlas.destroy(); - this._backgroundBillboardCollection = this._backgroundBillboardCollection.destroy(); + this._backgroundBillboardCollection = + this._backgroundBillboardCollection.destroy(); this._backgroundTextureAtlas = this._backgroundTextureAtlas && this._backgroundTextureAtlas.destroy(); diff --git a/packages/engine/Source/Scene/MapboxImageryProvider.js b/packages/engine/Source/Scene/MapboxImageryProvider.js index 23deb6d0880f..2a86db331cfc 100644 --- a/packages/engine/Source/Scene/MapboxImageryProvider.js +++ b/packages/engine/Source/Scene/MapboxImageryProvider.js @@ -7,7 +7,7 @@ import UrlTemplateImageryProvider from "./UrlTemplateImageryProvider.js"; const trailingSlashRegex = /\/$/; const defaultCredit = new Credit( - '© <a href="https://www.mapbox.com/about/maps/">Mapbox</a> © <a href="http://www.openstreetmap.org/copyright">OpenStreetMap</a> <strong><a href="https://www.mapbox.com/map-feedback/">Improve this map</a></strong>' + '© <a href="https://www.mapbox.com/about/maps/">Mapbox</a> © <a href="http://www.openstreetmap.org/copyright">OpenStreetMap</a> <strong><a href="https://www.mapbox.com/map-feedback/">Improve this map</a></strong>', ); /** @@ -74,7 +74,7 @@ function MapboxImageryProvider(options) { this._defaultMagnificationFilter = undefined; const resource = Resource.createIfNeeded( - defaultValue(options.url, "https://{s}.tiles.mapbox.com/v4/") + defaultValue(options.url, "https://{s}.tiles.mapbox.com/v4/"), ); this._mapId = mapId; @@ -322,7 +322,7 @@ MapboxImageryProvider.prototype.pickFeatures = function ( y, level, longitude, - latitude + latitude, ) { return this._imageryProvider.pickFeatures(x, y, level, longitude, latitude); }; diff --git a/packages/engine/Source/Scene/MapboxStyleImageryProvider.js b/packages/engine/Source/Scene/MapboxStyleImageryProvider.js index 9cc2d9d24838..6eb2d07d5f3b 100644 --- a/packages/engine/Source/Scene/MapboxStyleImageryProvider.js +++ b/packages/engine/Source/Scene/MapboxStyleImageryProvider.js @@ -7,7 +7,7 @@ import UrlTemplateImageryProvider from "./UrlTemplateImageryProvider.js"; const trailingSlashRegex = /\/$/; const defaultCredit = new Credit( - '© <a href="https://www.mapbox.com/about/maps/">Mapbox</a> © <a href="http://www.openstreetmap.org/copyright">OpenStreetMap</a> <strong><a href="https://www.mapbox.com/map-feedback/">Improve this map</a></strong>' + '© <a href="https://www.mapbox.com/about/maps/">Mapbox</a> © <a href="http://www.openstreetmap.org/copyright">OpenStreetMap</a> <strong><a href="https://www.mapbox.com/map-feedback/">Improve this map</a></strong>', ); /** @@ -76,7 +76,7 @@ function MapboxStyleImageryProvider(options) { this._defaultMagnificationFilter = undefined; const resource = Resource.createIfNeeded( - defaultValue(options.url, "https://api.mapbox.com/styles/v1/") + defaultValue(options.url, "https://api.mapbox.com/styles/v1/"), ); this._styleId = styleId; @@ -307,7 +307,7 @@ MapboxStyleImageryProvider.prototype.requestImage = function ( x, y, level, - request + request, ) { return this._imageryProvider.requestImage(x, y, level, request); }; @@ -332,7 +332,7 @@ MapboxStyleImageryProvider.prototype.pickFeatures = function ( y, level, longitude, - latitude + latitude, ) { return this._imageryProvider.pickFeatures(x, y, level, longitude, latitude); }; diff --git a/packages/engine/Source/Scene/Material.js b/packages/engine/Source/Scene/Material.js index ba0e598d3c53..8673b8a1b06f 100644 --- a/packages/engine/Source/Scene/Material.js +++ b/packages/engine/Source/Scene/Material.js @@ -310,11 +310,11 @@ function Material(options) { this._minificationFilter = defaultValue( options.minificationFilter, - TextureMinificationFilter.LINEAR + TextureMinificationFilter.LINEAR, ); this._magnificationFilter = defaultValue( options.magnificationFilter, - TextureMagnificationFilter.LINEAR + TextureMagnificationFilter.LINEAR, ); this._strict = undefined; @@ -590,13 +590,13 @@ function initializeMaterial(options, result) { result._strict = defaultValue(options.strict, false); result._count = defaultValue(options.count, 0); result._template = clone( - defaultValue(options.fabric, defaultValue.EMPTY_OBJECT) + defaultValue(options.fabric, defaultValue.EMPTY_OBJECT), ); result._template.uniforms = clone( - defaultValue(result._template.uniforms, defaultValue.EMPTY_OBJECT) + defaultValue(result._template.uniforms, defaultValue.EMPTY_OBJECT), ); result._template.materials = clone( - defaultValue(result._template.materials, defaultValue.EMPTY_OBJECT) + defaultValue(result._template.materials, defaultValue.EMPTY_OBJECT), ); result.type = defined(result._template.type) @@ -709,7 +709,7 @@ function checkForTemplateErrors(material) { //>>includeStart('debug', pragmas.debug); if (defined(components) && defined(template.source)) { throw new DeveloperError( - "fabric: cannot have source and components in the same template." + "fabric: cannot have source and components in the same template.", ); } //>>includeEnd('debug'); @@ -720,7 +720,7 @@ function checkForTemplateErrors(material) { components, componentProperties, invalidNameError, - true + true, ); // Make sure uniforms and materials do not share any of the same names. @@ -1006,7 +1006,7 @@ function createUniform(material, uniformId) { //>>includeStart('debug', pragmas.debug); if (!defined(uniformType)) { throw new DeveloperError( - `fabric: uniform '${uniformId}' has invalid type.` + `fabric: uniform '${uniformId}' has invalid type.`, ); } //>>includeEnd('debug'); @@ -1017,7 +1017,7 @@ function createUniform(material, uniformId) { //>>includeStart('debug', pragmas.debug); if (replacedTokenCount === 0 && strict) { throw new DeveloperError( - `strict: shader source does not use channels '${uniformId}'.` + `strict: shader source does not use channels '${uniformId}'.`, ); } //>>includeEnd('debug'); @@ -1038,7 +1038,7 @@ function createUniform(material, uniformId) { // Add uniform declaration to source code. const uniformDeclarationRegex = new RegExp( - `uniform\\s+${uniformType}\\s+${uniformId}\\s*;` + `uniform\\s+${uniformType}\\s+${uniformId}\\s*;`, ); if (!uniformDeclarationRegex.test(material.shaderSource)) { const uniformDeclaration = `uniform ${uniformType} ${uniformId};`; @@ -1050,7 +1050,7 @@ function createUniform(material, uniformId) { //>>includeStart('debug', pragmas.debug); if (replacedTokenCount === 1 && strict) { throw new DeveloperError( - `strict: shader source does not use uniform '${uniformId}'.` + `strict: shader source does not use uniform '${uniformId}'.`, ); } //>>includeEnd('debug'); @@ -1073,7 +1073,7 @@ function createUniform(material, uniformId) { material._uniforms[newUniformId] = function () { return matrixMap[uniformType].fromColumnMajorArray( material.uniforms[uniformId], - scratchMatrix + scratchMatrix, ); }; } else { @@ -1150,11 +1150,11 @@ function createSubMaterials(material) { material._uniforms = combine( material._uniforms, subMaterial._uniforms, - true + true, ); material.materials[subMaterialId] = subMaterial; material._translucentFunctions = material._translucentFunctions.concat( - subMaterial._translucentFunctions + subMaterial._translucentFunctions, ); // Make the material's czm_getMaterial unique by appending the sub-material type. @@ -1168,12 +1168,12 @@ function createSubMaterials(material) { const tokensReplacedCount = replaceToken( material, subMaterialId, - materialMethodCall + materialMethodCall, ); //>>includeStart('debug', pragmas.debug); if (tokensReplacedCount === 0 && strict) { throw new DeveloperError( - `strict: shader source does not use material '${subMaterialId}'.` + `strict: shader source does not use material '${subMaterialId}'.`, ); } //>>includeEnd('debug'); @@ -1190,17 +1190,16 @@ function replaceToken(material, token, newToken, excludePeriod) { const suffixChars = "([\\w])?"; const prefixChars = `([\\w${excludePeriod ? "." : ""}])?`; const regExp = new RegExp(prefixChars + token + suffixChars, "g"); - material.shaderSource = material.shaderSource.replace(regExp, function ( - $0, - $1, - $2 - ) { - if ($1 || $2) { - return $0; - } - count += 1; - return newToken; - }); + material.shaderSource = material.shaderSource.replace( + regExp, + function ($0, $1, $2) { + if ($1 || $2) { + return $0; + } + count += 1; + return newToken; + }, + ); return count; } diff --git a/packages/engine/Source/Scene/MaterialAppearance.js b/packages/engine/Source/Scene/MaterialAppearance.js index 06280407f4f7..9dba04b55122 100644 --- a/packages/engine/Source/Scene/MaterialAppearance.js +++ b/packages/engine/Source/Scene/MaterialAppearance.js @@ -53,7 +53,7 @@ function MaterialAppearance(options) { const closed = defaultValue(options.closed, false); const materialSupport = defaultValue( options.materialSupport, - MaterialAppearance.MaterialSupport.TEXTURED + MaterialAppearance.MaterialSupport.TEXTURED, ); /** @@ -81,16 +81,16 @@ function MaterialAppearance(options) { this._vertexShaderSource = defaultValue( options.vertexShaderSource, - materialSupport.vertexShaderSource + materialSupport.vertexShaderSource, ); this._fragmentShaderSource = defaultValue( options.fragmentShaderSource, - materialSupport.fragmentShaderSource + materialSupport.fragmentShaderSource, ); this._renderState = Appearance.getDefaultRenderState( translucent, closed, - options.renderState + options.renderState, ); this._closed = closed; diff --git a/packages/engine/Source/Scene/Megatexture.js b/packages/engine/Source/Scene/Megatexture.js index 5173d98b3911..f91828ef0d3f 100644 --- a/packages/engine/Source/Scene/Megatexture.js +++ b/packages/engine/Source/Scene/Megatexture.js @@ -34,7 +34,7 @@ function Megatexture( dimensions, channelCount, componentType, - textureMemoryByteLength + textureMemoryByteLength, ) { // TODO there are a lot of texture packing rules, see https://github.com/CesiumGS/cesium/issues/9572 // Unsigned short textures not allowed in webgl 1, so treat as float @@ -76,18 +76,17 @@ function Megatexture( const defaultTextureMemoryByteLength = 128 * 1024 * 1024; textureMemoryByteLength = Math.min( defaultValue(textureMemoryByteLength, defaultTextureMemoryByteLength), - maximumTextureMemoryByteLength + maximumTextureMemoryByteLength, ); const maximumTextureDimensionContext = ContextLimits.maximumTextureSize; - const componentTypeByteLength = MetadataComponentType.getSizeInBytes( - componentType - ); + const componentTypeByteLength = + MetadataComponentType.getSizeInBytes(componentType); const texelCount = Math.floor( - textureMemoryByteLength / (channelCount * componentTypeByteLength) + textureMemoryByteLength / (channelCount * componentTypeByteLength), ); const textureDimension = Math.min( maximumTextureDimensionContext, - CesiumMath.previousPowerOfTwo(Math.floor(Math.sqrt(texelCount))) + CesiumMath.previousPowerOfTwo(Math.floor(Math.sqrt(texelCount))), ); const sliceCountPerRegionX = Math.ceil(Math.sqrt(dimensions.x)); @@ -95,10 +94,10 @@ function Megatexture( const voxelCountPerRegionX = sliceCountPerRegionX * dimensions.x; const voxelCountPerRegionY = sliceCountPerRegionY * dimensions.y; const regionCountPerMegatextureX = Math.floor( - textureDimension / voxelCountPerRegionX + textureDimension / voxelCountPerRegionX, ); const regionCountPerMegatextureY = Math.floor( - textureDimension / voxelCountPerRegionY + textureDimension / voxelCountPerRegionY, ); if (regionCountPerMegatextureX === 0 || regionCountPerMegatextureY === 0) { @@ -136,7 +135,7 @@ function Megatexture( */ this.regionCountPerMegatexture = new Cartesian2( regionCountPerMegatextureX, - regionCountPerMegatextureY + regionCountPerMegatextureY, ); /** @@ -145,7 +144,7 @@ function Megatexture( */ this.voxelCountPerRegion = new Cartesian2( voxelCountPerRegionX, - voxelCountPerRegionY + voxelCountPerRegionY, ); /** @@ -154,7 +153,7 @@ function Megatexture( */ this.sliceCountPerRegion = new Cartesian2( sliceCountPerRegionX, - sliceCountPerRegionY + sliceCountPerRegionY, ); /** @@ -163,7 +162,7 @@ function Megatexture( */ this.voxelSizeUv = new Cartesian2( 1.0 / textureDimension, - 1.0 / textureDimension + 1.0 / textureDimension, ); /** @@ -172,7 +171,7 @@ function Megatexture( */ this.sliceSizeUv = new Cartesian2( dimensions.x / textureDimension, - dimensions.y / textureDimension + dimensions.y / textureDimension, ); /** @@ -181,7 +180,7 @@ function Megatexture( */ this.regionSizeUv = new Cartesian2( voxelCountPerRegionX / textureDimension, - voxelCountPerRegionY / textureDimension + voxelCountPerRegionY / textureDimension, ); /** @@ -203,16 +202,15 @@ function Megatexture( }), }); - const componentDatatype = MetadataComponentType.toComponentDatatype( - componentType - ); + const componentDatatype = + MetadataComponentType.toComponentDatatype(componentType); /** * @type {Array} */ this.tileVoxelDataTemp = ComponentDatatype.createTypedArray( componentDatatype, - voxelCountPerRegionX * voxelCountPerRegionY * channelCount + voxelCountPerRegionX * voxelCountPerRegionY * channelCount, ); /** @@ -351,7 +349,7 @@ Megatexture.getApproximateTextureMemoryByteLength = function ( tileCount, dimensions, channelCount, - componentType + componentType, ) { // TODO there's a lot of code duplicate with Megatexture constructor @@ -360,9 +358,8 @@ Megatexture.getApproximateTextureMemoryByteLength = function ( componentType = MetadataComponentType.FLOAT32; } - const datatypeSizeInBytes = MetadataComponentType.getSizeInBytes( - componentType - ); + const datatypeSizeInBytes = + MetadataComponentType.getSizeInBytes(componentType); const voxelCountTotal = tileCount * dimensions.x * dimensions.y * dimensions.z; @@ -374,7 +371,7 @@ Megatexture.getApproximateTextureMemoryByteLength = function ( // Find the power of two that can fit all tile data, accounting for slices. // There's probably a non-iterative solution for this, but this is good enough for now. let textureDimension = CesiumMath.previousPowerOfTwo( - Math.floor(Math.sqrt(voxelCountTotal)) + Math.floor(Math.sqrt(voxelCountTotal)), ); for (;;) { const regionCountX = Math.floor(textureDimension / voxelCountPerRegionX); diff --git a/packages/engine/Source/Scene/MetadataClassProperty.js b/packages/engine/Source/Scene/MetadataClassProperty.js index b21c05c06fd7..dec9b1393e3e 100644 --- a/packages/engine/Source/Scene/MetadataClassProperty.js +++ b/packages/engine/Source/Scene/MetadataClassProperty.js @@ -81,7 +81,7 @@ function MetadataClassProperty(options) { this._isArray = defaultValue(options.isArray, false); this._isVariableLengthArray = defaultValue( options.isVariableLengthArray, - false + false, ); this._arrayLength = options.arrayLength; @@ -668,7 +668,7 @@ function parseType(property, enums) { //>>includeStart('debug', pragmas.debug); throw new DeveloperError( - `unknown metadata type {type: ${type}, componentType: ${componentType})` + `unknown metadata type {type: ${type}, componentType: ${componentType})`, ); //>>includeEnd('debug'); } @@ -702,7 +702,7 @@ MetadataClassProperty.prototype.normalize = function (value) { return normalizeInPlace( value, this._valueType, - MetadataComponentType.normalize + MetadataComponentType.normalize, ); }; @@ -735,7 +735,7 @@ MetadataClassProperty.prototype.unnormalize = function (value) { return normalizeInPlace( value, this._valueType, - MetadataComponentType.unnormalize + MetadataComponentType.unnormalize, ); }; @@ -753,7 +753,7 @@ MetadataClassProperty.prototype.applyValueTransform = function (value) { value, this._offset, this._scale, - MetadataComponentType.applyValueTransform + MetadataComponentType.applyValueTransform, ); }; @@ -771,7 +771,7 @@ MetadataClassProperty.prototype.unapplyValueTransform = function (value) { value, this._offset, this._scale, - MetadataComponentType.unapplyValueTransform + MetadataComponentType.unapplyValueTransform, ); }; @@ -780,7 +780,7 @@ MetadataClassProperty.prototype.unapplyValueTransform = function (value) { */ MetadataClassProperty.prototype.expandConstant = function ( constant, - enableNestedArrays + enableNestedArrays, ) { enableNestedArrays = defaultValue(enableNestedArrays, false); const isArray = this._isArray; @@ -871,7 +871,7 @@ function arrayEquals(left, right) { */ MetadataClassProperty.prototype.unpackVectorAndMatrixTypes = function ( value, - enableNestedArrays + enableNestedArrays, ) { enableNestedArrays = defaultValue(enableNestedArrays, false); const MathType = MetadataType.getMathType(this._type); @@ -910,7 +910,7 @@ MetadataClassProperty.prototype.unpackVectorAndMatrixTypes = function ( */ MetadataClassProperty.prototype.packVectorAndMatrixTypes = function ( value, - enableNestedArrays + enableNestedArrays, ) { enableNestedArrays = defaultValue(enableNestedArrays, false); const MathType = MetadataType.getMathType(this._type); @@ -1145,7 +1145,7 @@ MetadataClassProperty.valueTransformInPlace = function ( values, offsets, scales, - transformationFunction + transformationFunction, ) { if (!Array.isArray(values)) { // transform a single value @@ -1158,7 +1158,7 @@ MetadataClassProperty.valueTransformInPlace = function ( values[i], offsets[i], scales[i], - transformationFunction + transformationFunction, ); } diff --git a/packages/engine/Source/Scene/MetadataComponentType.js b/packages/engine/Source/Scene/MetadataComponentType.js index ad7ab3afdfcd..97dfa8ab4a11 100644 --- a/packages/engine/Source/Scene/MetadataComponentType.js +++ b/packages/engine/Source/Scene/MetadataComponentType.js @@ -295,7 +295,7 @@ MetadataComponentType.normalize = function (value, type) { return Math.max( Number(value) / Number(MetadataComponentType.getMaximum(type)), - -1.0 + -1.0, ); }; diff --git a/packages/engine/Source/Scene/MetadataEntity.js b/packages/engine/Source/Scene/MetadataEntity.js index 750ab53dedb6..af5188f6955a 100644 --- a/packages/engine/Source/Scene/MetadataEntity.js +++ b/packages/engine/Source/Scene/MetadataEntity.js @@ -135,7 +135,7 @@ MetadataEntity.prototype.setPropertyBySemantic = function (semantic, value) { MetadataEntity.hasProperty = function ( propertyId, properties, - classDefinition + classDefinition, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.string("propertyId", propertyId); @@ -173,7 +173,7 @@ MetadataEntity.hasProperty = function ( MetadataEntity.hasPropertyBySemantic = function ( semantic, properties, - classDefinition + classDefinition, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.string("semantic", semantic); @@ -203,7 +203,7 @@ MetadataEntity.hasPropertyBySemantic = function ( MetadataEntity.getPropertyIds = function ( properties, classDefinition, - results + results, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.object("properties", properties); @@ -256,7 +256,7 @@ MetadataEntity.getPropertyIds = function ( MetadataEntity.getProperty = function ( propertyId, properties, - classDefinition + classDefinition, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.string("propertyId", propertyId); @@ -313,7 +313,7 @@ MetadataEntity.setProperty = function ( propertyId, value, properties, - classDefinition + classDefinition, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.string("propertyId", propertyId); @@ -361,7 +361,7 @@ MetadataEntity.setProperty = function ( MetadataEntity.getPropertyBySemantic = function ( semantic, properties, - classDefinition + classDefinition, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.string("semantic", semantic); @@ -395,7 +395,7 @@ MetadataEntity.setPropertyBySemantic = function ( semantic, value, properties, - classDefinition + classDefinition, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.string("semantic", semantic); @@ -415,7 +415,7 @@ MetadataEntity.setPropertyBySemantic = function ( property.id, value, properties, - classDefinition + classDefinition, ); } diff --git a/packages/engine/Source/Scene/MetadataEnum.js b/packages/engine/Source/Scene/MetadataEnum.js index ed23d940cd4e..d4816ad6a9d1 100644 --- a/packages/engine/Source/Scene/MetadataEnum.js +++ b/packages/engine/Source/Scene/MetadataEnum.js @@ -45,7 +45,7 @@ function MetadataEnum(options) { const valueType = defaultValue( options.valueType, - MetadataComponentType.UINT16 + MetadataComponentType.UINT16, ); this._values = values; diff --git a/packages/engine/Source/Scene/MetadataPicking.js b/packages/engine/Source/Scene/MetadataPicking.js index 2af63b0af015..87f33a91d7d3 100644 --- a/packages/engine/Source/Scene/MetadataPicking.js +++ b/packages/engine/Source/Scene/MetadataPicking.js @@ -35,7 +35,7 @@ const MetadataPicking = {}; MetadataPicking.decodeRawMetadataValue = function ( componentType, dataView, - index + index, ) { switch (componentType) { case MetadataComponentType.INT8: @@ -81,13 +81,13 @@ MetadataPicking.decodeRawMetadataValue = function ( MetadataPicking.decodeRawMetadataValueComponent = function ( classProperty, dataView, - dataViewOffset + dataViewOffset, ) { const componentType = classProperty.componentType; const component = MetadataPicking.decodeRawMetadataValue( componentType, dataView, - dataViewOffset + dataViewOffset, ); if (classProperty.normalized) { return MetadataComponentType.normalize(component, componentType); @@ -118,12 +118,11 @@ MetadataPicking.decodeRawMetadataValueComponent = function ( MetadataPicking.decodeRawMetadataValueElement = function ( classProperty, dataView, - elementIndex + elementIndex, ) { const componentType = classProperty.componentType; - const componentSizeInBytes = MetadataComponentType.getSizeInBytes( - componentType - ); + const componentSizeInBytes = + MetadataComponentType.getSizeInBytes(componentType); const type = classProperty.type; const componentCount = MetadataType.getComponentCount(type); const elementSizeInBytes = componentSizeInBytes * componentCount; @@ -135,7 +134,7 @@ MetadataPicking.decodeRawMetadataValueElement = function ( const component = MetadataPicking.decodeRawMetadataValueComponent( classProperty, dataView, - offset + offset, ); result[i] = component; } @@ -145,7 +144,7 @@ MetadataPicking.decodeRawMetadataValueElement = function ( const result = MetadataPicking.decodeRawMetadataValueComponent( classProperty, dataView, - offset + offset, ); return result; }; @@ -189,12 +188,12 @@ MetadataPicking.decodeRawMetadataValueElement = function ( */ MetadataPicking.decodeRawMetadataValues = function ( classProperty, - rawPixelValues + rawPixelValues, ) { const dataView = new DataView( rawPixelValues.buffer, rawPixelValues.byteOffset, - rawPixelValues.byteLength + rawPixelValues.byteLength, ); if (classProperty.isArray) { const arrayLength = classProperty.arrayLength; @@ -203,7 +202,7 @@ MetadataPicking.decodeRawMetadataValues = function ( const element = MetadataPicking.decodeRawMetadataValueElement( classProperty, dataView, - i + i, ); result[i] = element; } @@ -212,7 +211,7 @@ MetadataPicking.decodeRawMetadataValues = function ( const result = MetadataPicking.decodeRawMetadataValueElement( classProperty, dataView, - 0 + 0, ); return result; }; @@ -277,11 +276,11 @@ MetadataPicking.convertToObjectType = function (type, value) { */ MetadataPicking.decodeMetadataValues = function ( classProperty, - rawPixelValues + rawPixelValues, ) { const arrayBasedResult = MetadataPicking.decodeRawMetadataValues( classProperty, - rawPixelValues + rawPixelValues, ); if (classProperty.isArray) { const arrayLength = classProperty.arrayLength; @@ -290,7 +289,7 @@ MetadataPicking.decodeMetadataValues = function ( const arrayBasedValue = arrayBasedResult[i]; const objectBasedValue = MetadataPicking.convertToObjectType( classProperty.type, - arrayBasedValue + arrayBasedValue, ); result[i] = objectBasedValue; } @@ -298,7 +297,7 @@ MetadataPicking.decodeMetadataValues = function ( } const result = MetadataPicking.convertToObjectType( classProperty.type, - arrayBasedResult + arrayBasedResult, ); return result; }; diff --git a/packages/engine/Source/Scene/MetadataSchemaLoader.js b/packages/engine/Source/Scene/MetadataSchemaLoader.js index f4a674920336..fd09000df38b 100644 --- a/packages/engine/Source/Scene/MetadataSchemaLoader.js +++ b/packages/engine/Source/Scene/MetadataSchemaLoader.js @@ -34,7 +34,7 @@ function MetadataSchemaLoader(options) { //>>includeStart('debug', pragmas.debug); if (defined(schema) === defined(resource)) { throw new DeveloperError( - "One of options.schema and options.resource must be defined." + "One of options.schema and options.resource must be defined.", ); } //>>includeEnd('debug'); diff --git a/packages/engine/Source/Scene/MetadataTable.js b/packages/engine/Source/Scene/MetadataTable.js index 9a3857716060..b6113c96b63f 100644 --- a/packages/engine/Source/Scene/MetadataTable.js +++ b/packages/engine/Source/Scene/MetadataTable.js @@ -124,7 +124,7 @@ MetadataTable.prototype.hasPropertyBySemantic = function (semantic) { return MetadataEntity.hasPropertyBySemantic( semantic, this._properties, - this._class + this._class, ); }; @@ -270,7 +270,7 @@ MetadataTable.prototype.getPropertyBySemantic = function (index, semantic) { MetadataTable.prototype.setPropertyBySemantic = function ( index, semantic, - value + value, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.string("semantic", semantic); diff --git a/packages/engine/Source/Scene/MetadataTableProperty.js b/packages/engine/Source/Scene/MetadataTableProperty.js index 8198e0151652..3af58b4f559e 100644 --- a/packages/engine/Source/Scene/MetadataTableProperty.js +++ b/packages/engine/Source/Scene/MetadataTableProperty.js @@ -63,23 +63,23 @@ function MetadataTableProperty(options) { // EXT_feature_metadata uses offsetType for both arrays and strings let arrayOffsetType = defaultValue( property.arrayOffsetType, - property.offsetType + property.offsetType, ); arrayOffsetType = defaultValue( MetadataComponentType[arrayOffsetType], - MetadataComponentType.UINT32 + MetadataComponentType.UINT32, ); // EXT_structural_metadata uses arrayOffsets. // EXT_feature_metadata uses arrayOffsetBufferView const arrayOffsetBufferView = defaultValue( property.arrayOffsets, - property.arrayOffsetBufferView + property.arrayOffsetBufferView, ); arrayOffsets = new BufferView( bufferViews[arrayOffsetBufferView], arrayOffsetType, - count + 1 + count + 1, ); byteLength += arrayOffsets.typedArray.byteLength; @@ -103,23 +103,23 @@ function MetadataTableProperty(options) { // EXT_structural_metadata uses stringOffsetType, EXT_feature_metadata uses offsetType for both arrays and strings let stringOffsetType = defaultValue( property.stringOffsetType, - property.offsetType + property.offsetType, ); stringOffsetType = defaultValue( MetadataComponentType[stringOffsetType], - MetadataComponentType.UINT32 + MetadataComponentType.UINT32, ); // EXT_structural_metadata uses stringOffsets. // EXT_feature_metadata uses stringOffsetBufferView const stringOffsetBufferView = defaultValue( property.stringOffsets, - property.stringOffsetBufferView + property.stringOffsetBufferView, ); stringOffsets = new BufferView( bufferViews[stringOffsetBufferView], stringOffsetType, - componentCount + 1 + componentCount + 1, ); byteLength += stringOffsets.typedArray.byteLength; @@ -145,7 +145,7 @@ function MetadataTableProperty(options) { const values = new BufferView( bufferViews[valuesBufferView], valueType, - valueCount + valueCount, ); byteLength += values.typedArray.byteLength; @@ -402,7 +402,7 @@ function checkIndex(table, index) { if (!defined(index) || index < 0 || index >= count) { const maximumIndex = count - 1; throw new DeveloperError( - `index is required and between zero and count - 1. Actual value: ${maximumIndex}` + `index is required and between zero and count - 1. Actual value: ${maximumIndex}`, ); } } @@ -510,7 +510,7 @@ function getString(index, values, stringOffsets) { return getStringFromTypedArray( values.typedArray, stringByteOffset, - stringByteLength + stringByteLength, ); } @@ -734,7 +734,7 @@ function applyValueTransform(property, value) { value, property._offset, property._scale, - MetadataComponentType.applyValueTransform + MetadataComponentType.applyValueTransform, ); } @@ -749,7 +749,7 @@ function unapplyValueTransform(property, value) { value, property._offset, property._scale, - MetadataComponentType.unapplyValueTransform + MetadataComponentType.unapplyValueTransform, ); } @@ -763,12 +763,12 @@ function BufferView(bufferView, componentType, length) { if (componentType === MetadataComponentType.INT64) { if (!FeatureDetection.supportsBigInt()) { oneTimeWarning( - "INT64 type is not fully supported on this platform. Values greater than 2^53 - 1 or less than -(2^53 - 1) may lose precision when read." + "INT64 type is not fully supported on this platform. Values greater than 2^53 - 1 or less than -(2^53 - 1) may lose precision when read.", ); typedArray = new Uint8Array( bufferView.buffer, bufferView.byteOffset, - length * 8 + length * 8, ); getFunction = function (index) { return getInt64NumberFallback(index, that); @@ -777,7 +777,7 @@ function BufferView(bufferView, componentType, length) { typedArray = new Uint8Array( bufferView.buffer, bufferView.byteOffset, - length * 8 + length * 8, ); getFunction = function (index) { return getInt64BigIntFallback(index, that); @@ -787,7 +787,7 @@ function BufferView(bufferView, componentType, length) { typedArray = new BigInt64Array( bufferView.buffer, bufferView.byteOffset, - length + length, ); setFunction = function (index, value) { // Convert the number to a BigInt before setting the value in the typed array @@ -797,12 +797,12 @@ function BufferView(bufferView, componentType, length) { } else if (componentType === MetadataComponentType.UINT64) { if (!FeatureDetection.supportsBigInt()) { oneTimeWarning( - "UINT64 type is not fully supported on this platform. Values greater than 2^53 - 1 may lose precision when read." + "UINT64 type is not fully supported on this platform. Values greater than 2^53 - 1 may lose precision when read.", ); typedArray = new Uint8Array( bufferView.buffer, bufferView.byteOffset, - length * 8 + length * 8, ); getFunction = function (index) { return getUint64NumberFallback(index, that); @@ -811,7 +811,7 @@ function BufferView(bufferView, componentType, length) { typedArray = new Uint8Array( bufferView.buffer, bufferView.byteOffset, - length * 8 + length * 8, ); getFunction = function (index) { return getUint64BigIntFallback(index, that); @@ -821,7 +821,7 @@ function BufferView(bufferView, componentType, length) { typedArray = new BigUint64Array( bufferView.buffer, bufferView.byteOffset, - length + length, ); setFunction = function (index, value) { // Convert the number to a BigInt before setting the value in the typed array @@ -834,7 +834,7 @@ function BufferView(bufferView, componentType, length) { componentDatatype, bufferView.buffer, bufferView.byteOffset, - length + length, ); setFunction = function (index, value) { that.typedArray[index] = value; diff --git a/packages/engine/Source/Scene/Model/AlphaPipelineStage.js b/packages/engine/Source/Scene/Model/AlphaPipelineStage.js index 2f1d542f6d6f..c21113304ac4 100644 --- a/packages/engine/Source/Scene/Model/AlphaPipelineStage.js +++ b/packages/engine/Source/Scene/Model/AlphaPipelineStage.js @@ -36,12 +36,12 @@ AlphaPipelineStage.process = function (renderResources, primitive, frameState) { shaderBuilder.addDefine( "ALPHA_MODE_MASK", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addUniform( "float", "u_alphaCutoff", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); uniformMap.u_alphaCutoff = function () { return alphaOptions.alphaCutoff; diff --git a/packages/engine/Source/Scene/Model/AtmospherePipelineStage.js b/packages/engine/Source/Scene/Model/AtmospherePipelineStage.js index 46b9e97debda..11128da39bd7 100644 --- a/packages/engine/Source/Scene/Model/AtmospherePipelineStage.js +++ b/packages/engine/Source/Scene/Model/AtmospherePipelineStage.js @@ -19,7 +19,7 @@ const AtmospherePipelineStage = { AtmospherePipelineStage.process = function ( renderResources, model, - frameState + frameState, ) { const shaderBuilder = renderResources.shaderBuilder; @@ -27,7 +27,7 @@ AtmospherePipelineStage.process = function ( shaderBuilder.addDefine( "COMPUTE_POSITION_WC_ATMOSPHERE", undefined, - ShaderDestination.BOTH + ShaderDestination.BOTH, ); shaderBuilder.addVarying("vec3", "v_atmosphereRayleighColor"); @@ -47,7 +47,7 @@ AtmospherePipelineStage.process = function ( // from the camera to the bounding sphere center. const distance = Cartesian3.distance( frameState.camera.positionWC, - model.boundingSphere.center + model.boundingSphere.center, ); return ( diff --git a/packages/engine/Source/Scene/Model/B3dmLoader.js b/packages/engine/Source/Scene/Model/B3dmLoader.js index 600221ac4dde..c9bb0feff45a 100644 --- a/packages/engine/Source/Scene/Model/B3dmLoader.js +++ b/packages/engine/Source/Scene/Model/B3dmLoader.js @@ -66,24 +66,24 @@ function B3dmLoader(options) { const asynchronous = defaultValue(options.asynchronous, true); const incrementallyLoadTextures = defaultValue( options.incrementallyLoadTextures, - true + true, ); const upAxis = defaultValue(options.upAxis, Axis.Y); const forwardAxis = defaultValue(options.forwardAxis, Axis.X); const loadAttributesAsTypedArray = defaultValue( options.loadAttributesAsTypedArray, - false + false, ); const loadAttributesFor2D = defaultValue(options.loadAttributesFor2D, false); const enablePick = defaultValue(options.enablePick, false); const loadIndicesForWireframe = defaultValue( options.loadIndicesForWireframe, - false + false, ); const loadPrimitiveOutline = defaultValue(options.loadPrimitiveOutline, true); const loadForClassification = defaultValue( options.loadForClassification, - false + false, ); //>>includeStart('debug', pragmas.debug); @@ -196,7 +196,7 @@ B3dmLoader.prototype.load = function () { const featureTable = new Cesium3DTileFeatureTable( featureTableJson, - featureTableBinary + featureTableBinary, ); batchLength = featureTable.getGlobalProperty("BATCH_LENGTH"); // Set batch length. @@ -205,7 +205,7 @@ B3dmLoader.prototype.load = function () { const rtcCenter = featureTable.getGlobalProperty( "RTC_CENTER", ComponentDatatype.FLOAT, - 3 + 3, ); if (defined(rtcCenter)) { this._transform = Matrix4.fromTranslation(Cartesian3.fromArray(rtcCenter)); @@ -293,7 +293,7 @@ B3dmLoader.prototype.process = function (frameState) { components.transform = Matrix4.multiplyTransformation( this._transform, components.transform, - components.transform + components.transform, ); createStructuralMetadata(this, components); this._components = components; @@ -354,7 +354,7 @@ function processNode(node) { const primitive = node.primitives[i]; const featureIdVertexAttribute = ModelUtility.getAttributeBySemantic( primitive, - VertexAttributeSemantic.FEATURE_ID + VertexAttributeSemantic.FEATURE_ID, ); if (defined(featureIdVertexAttribute)) { featureIdVertexAttribute.setIndex = 0; diff --git a/packages/engine/Source/Scene/Model/BatchTexturePipelineStage.js b/packages/engine/Source/Scene/Model/BatchTexturePipelineStage.js index 07c0f16718de..fd9047de3473 100644 --- a/packages/engine/Source/Scene/Model/BatchTexturePipelineStage.js +++ b/packages/engine/Source/Scene/Model/BatchTexturePipelineStage.js @@ -25,7 +25,7 @@ const BatchTexturePipelineStage = { BatchTexturePipelineStage.process = function ( renderResources, primitive, - frameState + frameState, ) { const shaderBuilder = renderResources.shaderBuilder; const batchTextureUniforms = {}; @@ -65,7 +65,7 @@ BatchTexturePipelineStage.process = function ( renderResources.uniformMap = combine( batchTextureUniforms, - renderResources.uniformMap + renderResources.uniformMap, ); }; diff --git a/packages/engine/Source/Scene/Model/CPUStylingPipelineStage.js b/packages/engine/Source/Scene/Model/CPUStylingPipelineStage.js index 339f6492126c..92497c0271a7 100644 --- a/packages/engine/Source/Scene/Model/CPUStylingPipelineStage.js +++ b/packages/engine/Source/Scene/Model/CPUStylingPipelineStage.js @@ -35,7 +35,7 @@ const CPUStylingPipelineStage = { CPUStylingPipelineStage.process = function ( renderResources, primitive, - frameState + frameState, ) { const model = renderResources.model; const shaderBuilder = renderResources.shaderBuilder; @@ -50,14 +50,14 @@ CPUStylingPipelineStage.process = function ( shaderBuilder.addUniform( "float", ModelColorPipelineStage.COLOR_BLEND_UNIFORM_NAME, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); renderResources.uniformMap[ ModelColorPipelineStage.COLOR_BLEND_UNIFORM_NAME ] = function () { return ColorBlendMode.getColorBlend( model.colorBlendMode, - model.colorBlendAmount + model.colorBlendAmount, ); }; } @@ -65,7 +65,7 @@ CPUStylingPipelineStage.process = function ( shaderBuilder.addUniform( "bool", "model_commandTranslucent", - ShaderDestination.BOTH + ShaderDestination.BOTH, ); renderResources.uniformMap.model_commandTranslucent = function () { // Always check the current value, because custom shaders may diff --git a/packages/engine/Source/Scene/Model/ClassificationModelDrawCommand.js b/packages/engine/Source/Scene/Model/ClassificationModelDrawCommand.js index 4d23fa50ef79..1b8c3c91115c 100644 --- a/packages/engine/Source/Scene/Model/ClassificationModelDrawCommand.js +++ b/packages/engine/Source/Scene/Model/ClassificationModelDrawCommand.js @@ -173,7 +173,7 @@ function initialize(drawCommand) { drawCommand._commandListDebugWireframe = createBatchCommands( drawCommand, derivedCommands, - drawCommand._commandListDebugWireframe + drawCommand._commandListDebugWireframe, ); const commandList = drawCommand._commandListDebugWireframe; @@ -204,14 +204,14 @@ function initialize(drawCommand) { drawCommand._commandListTerrain = createBatchCommands( drawCommand, derivedCommands, - drawCommand._commandListTerrain + drawCommand._commandListTerrain, ); if (allowPicking) { drawCommand._commandListTerrainPicking = createPickCommands( drawCommand, derivedCommands, - drawCommand._commandListTerrainPicking + drawCommand._commandListTerrainPicking, ); } } @@ -227,14 +227,14 @@ function initialize(drawCommand) { drawCommand._commandList3DTiles = createBatchCommands( drawCommand, derivedCommands, - drawCommand._commandList3DTiles + drawCommand._commandList3DTiles, ); if (allowPicking) { drawCommand._commandList3DTilesPicking = createPickCommands( drawCommand, derivedCommands, - drawCommand._commandList3DTilesPicking + drawCommand._commandList3DTilesPicking, ); } } @@ -423,7 +423,7 @@ Object.defineProperties(ClassificationModelDrawCommand.prototype, { this._boundingVolume = BoundingSphere.transform( boundingSphere, this._modelMatrix, - this._boundingVolume + this._boundingVolume, ); }, }, @@ -477,7 +477,7 @@ Object.defineProperties(ClassificationModelDrawCommand.prototype, { */ ClassificationModelDrawCommand.prototype.pushCommands = function ( frameState, - result + result, ) { const passes = frameState.passes; if (passes.render) { @@ -509,7 +509,7 @@ ClassificationModelDrawCommand.prototype.pushCommands = function ( this._commandListIgnoreShow = createBatchCommands( this, derivedCommands, - this._commandListIgnoreShow + this._commandListIgnoreShow, ); } diff --git a/packages/engine/Source/Scene/Model/ClassificationPipelineStage.js b/packages/engine/Source/Scene/Model/ClassificationPipelineStage.js index ae0e48d03f7e..35e476baf99f 100644 --- a/packages/engine/Source/Scene/Model/ClassificationPipelineStage.js +++ b/packages/engine/Source/Scene/Model/ClassificationPipelineStage.js @@ -37,14 +37,14 @@ const ClassificationPipelineStage = { ClassificationPipelineStage.process = function ( renderResources, primitive, - frameState + frameState, ) { const shaderBuilder = renderResources.shaderBuilder; shaderBuilder.addDefine( "HAS_CLASSIFICATION", undefined, - ShaderDestination.BOTH + ShaderDestination.BOTH, ); const runtimePrimitive = renderResources.runtimePrimitive; @@ -57,12 +57,12 @@ ClassificationPipelineStage.process = function ( function createClassificationBatches(primitive, runtimePrimitive) { const positionAttribute = ModelUtility.getAttributeBySemantic( primitive, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); if (!defined(positionAttribute)) { throw new RuntimeError( - "Primitives must have a position attribute to be used for classification." + "Primitives must have a position attribute to be used for classification.", ); } @@ -80,7 +80,7 @@ function createClassificationBatches(primitive, runtimePrimitive) { const featureIdAttribute = ModelUtility.getAttributeBySemantic( primitive, VertexAttributeSemantic.FEATURE_ID, - 0 + 0, ); // If there are no feature IDs, render the primitive in a single batch. diff --git a/packages/engine/Source/Scene/Model/CustomShader.js b/packages/engine/Source/Scene/Model/CustomShader.js index eef3163b7d63..d4ead8fbd3ba 100644 --- a/packages/engine/Source/Scene/Model/CustomShader.js +++ b/packages/engine/Source/Scene/Model/CustomShader.js @@ -180,7 +180,7 @@ function CustomShader(options) { */ this.translucencyMode = defaultValue( options.translucencyMode, - CustomShaderTranslucencyMode.INHERIT + CustomShaderTranslucencyMode.INHERIT, ); /** @@ -249,7 +249,7 @@ function buildUniformMap(customShader) { //>>includeStart('debug', pragmas.debug); if (type === UniformType.SAMPLER_CUBE) { throw new DeveloperError( - "CustomShader does not support samplerCube uniforms" + "CustomShader does not support samplerCube uniforms", ); } //>>includeEnd('debug'); @@ -258,12 +258,12 @@ function buildUniformMap(customShader) { customShader._textureManager.loadTexture2D(uniformName, uniform.value); uniformMap[uniformName] = createUniformTexture2DFunction( customShader, - uniformName + uniformName, ); } else { uniformMap[uniformName] = createUniformFunction( customShader, - uniformName + uniformName, ); } } @@ -275,7 +275,7 @@ function createUniformTexture2DFunction(customShader, uniformName) { return function () { return defaultValue( customShader._textureManager.getTexture(uniformName), - customShader._defaultTexture + customShader._defaultTexture, ); }; } @@ -357,13 +357,13 @@ function validateVariableUsage( variableSet, incorrectVariable, correctVariable, - vertexOrFragment + vertexOrFragment, ) { if (variableSet.hasOwnProperty(incorrectVariable)) { const message = `${expandCoordinateAbbreviations( - incorrectVariable + incorrectVariable, )} is not available in the ${vertexOrFragment} shader. Did you mean ${expandCoordinateAbbreviations( - correctVariable + correctVariable, )} instead?`; throw new DeveloperError(message); } @@ -413,7 +413,7 @@ CustomShader.prototype.setUniform = function (uniformName, value) { Check.defined("value", value); if (!defined(this.uniforms[uniformName])) { throw new DeveloperError( - `Uniform ${uniformName} must be declared in the CustomShader constructor.` + `Uniform ${uniformName} must be declared in the CustomShader constructor.`, ); } //>>includeEnd('debug'); diff --git a/packages/engine/Source/Scene/Model/CustomShaderPipelineStage.js b/packages/engine/Source/Scene/Model/CustomShaderPipelineStage.js index dc53af42acf1..400a31f4828f 100644 --- a/packages/engine/Source/Scene/Model/CustomShaderPipelineStage.js +++ b/packages/engine/Source/Scene/Model/CustomShaderPipelineStage.js @@ -69,7 +69,7 @@ const CustomShaderPipelineStage = { CustomShaderPipelineStage.process = function ( renderResources, primitive, - frameState + frameState, ) { const { shaderBuilder, model, alphaOptions } = renderResources; const { customShader } = model; @@ -109,7 +109,7 @@ CustomShaderPipelineStage.process = function ( shaderBuilder.addDefine( "COMPUTE_POSITION_WC_CUSTOM_SHADER", undefined, - ShaderDestination.BOTH + ShaderDestination.BOTH, ); } @@ -117,7 +117,7 @@ CustomShaderPipelineStage.process = function ( shaderBuilder.addDefine( "HAS_CUSTOM_VERTEX_SHADER", undefined, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); } @@ -125,7 +125,7 @@ CustomShaderPipelineStage.process = function ( shaderBuilder.addDefine( "HAS_CUSTOM_FRAGMENT_SHADER", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); // add defines like CUSTOM_SHADER_MODIFY_MATERIAL @@ -133,7 +133,7 @@ CustomShaderPipelineStage.process = function ( shaderBuilder.addDefine( shaderModeDefine, undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); } @@ -155,7 +155,7 @@ CustomShaderPipelineStage.process = function ( renderResources.uniformMap = combine( renderResources.uniformMap, - customShader.uniformMap + customShader.uniformMap, ); }; @@ -232,12 +232,12 @@ function generateVertexShaderLines(customShader, attributesByName) { const addToShader = getPrimitiveAttributesUsedInShader( attributesByName, primitiveAttributes, - false + false, ); const needsDefault = getAttributesNeedingDefaults( attributesByName, primitiveAttributes, - false + false, ); let vertexInitialization; @@ -264,7 +264,7 @@ function generateVertexShaderLines(customShader, attributesByName) { if (!defined(attributeDefaults)) { CustomShaderPipelineStage._oneTimeWarning( "CustomShaderPipelineStage.incompatiblePrimitiveVS", - `Primitive is missing attribute ${variableName}, disabling custom vertex shader` + `Primitive is missing attribute ${variableName}, disabling custom vertex shader`, ); // This primitive isn't compatible with the shader. Return early // to skip the vertex shader @@ -296,7 +296,7 @@ function generatePositionBuiltins(customShader) { if (usedVariables.hasOwnProperty("positionWC")) { attributeFields.push(["vec3", "positionWC"]); initializationLines.push( - "fsInput.attributes.positionWC = attributes.positionWC;" + "fsInput.attributes.positionWC = attributes.positionWC;", ); } @@ -304,7 +304,7 @@ function generatePositionBuiltins(customShader) { if (usedVariables.hasOwnProperty("positionEC")) { attributeFields.push(["vec3", "positionEC"]); initializationLines.push( - "fsInput.attributes.positionEC = attributes.positionEC;" + "fsInput.attributes.positionEC = attributes.positionEC;", ); } @@ -329,12 +329,12 @@ function generateFragmentShaderLines(customShader, attributesByName) { const addToShader = getPrimitiveAttributesUsedInShader( attributesByName, primitiveAttributes, - true + true, ); const needsDefault = getAttributesNeedingDefaults( attributesByName, primitiveAttributes, - true + true, ); let fragmentInitialization; @@ -362,7 +362,7 @@ function generateFragmentShaderLines(customShader, attributesByName) { if (!defined(attributeDefaults)) { CustomShaderPipelineStage._oneTimeWarning( "CustomShaderPipelineStage.incompatiblePrimitiveFS", - `Primitive is missing attribute ${variableName}, disabling custom fragment shader.` + `Primitive is missing attribute ${variableName}, disabling custom fragment shader.`, ); // This primitive isn't compatible with the shader. Return early @@ -381,9 +381,8 @@ function generateFragmentShaderLines(customShader, attributesByName) { return { enabled: true, attributeFields: attributeFields.concat(positionBuiltins.attributeFields), - initializationLines: positionBuiltins.initializationLines.concat( - initializationLines - ), + initializationLines: + positionBuiltins.initializationLines.concat(initializationLines), }; } @@ -406,7 +405,7 @@ const builtinAttributes = { function getPrimitiveAttributesUsedInShader( primitiveAttributes, shaderAttributeSet, - isFragmentShader + isFragmentShader, ) { const addToShader = {}; for (const attributeName in primitiveAttributes) { @@ -446,7 +445,7 @@ function getPrimitiveAttributesUsedInShader( function getAttributesNeedingDefaults( primitiveAttributes, shaderAttributeSet, - isFragmentShader + isFragmentShader, ) { const needDefaults = []; for (const attributeName in shaderAttributeSet) { @@ -487,7 +486,7 @@ function generateShaderLines(customShader, primitive) { const vertexLines = generateVertexShaderLines(customShader, attributesByName); const fragmentLines = generateFragmentShaderLines( customShader, - attributesByName + attributesByName, ); // positionWC must be computed in the vertex shader @@ -513,7 +512,7 @@ function addVertexLinesToShader(shaderBuilder, vertexLines) { shaderBuilder.addStruct( structId, CustomShaderPipelineStage.STRUCT_NAME_ATTRIBUTES, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); const { attributeFields, initializationLines } = vertexLines; @@ -528,36 +527,36 @@ function addVertexLinesToShader(shaderBuilder, vertexLines) { shaderBuilder.addStruct( structId, CustomShaderPipelineStage.STRUCT_NAME_VERTEX_INPUT, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); shaderBuilder.addStructField( structId, CustomShaderPipelineStage.STRUCT_NAME_ATTRIBUTES, - "attributes" + "attributes", ); // Add FeatureIds struct from the Feature ID stage shaderBuilder.addStructField( structId, FeatureIdPipelineStage.STRUCT_NAME_FEATURE_IDS, - "featureIds" + "featureIds", ); // Add Metadata struct from the metadata stage shaderBuilder.addStructField( structId, MetadataPipelineStage.STRUCT_NAME_METADATA, - "metadata" + "metadata", ); // Add MetadataClass struct from the metadata stage shaderBuilder.addStructField( structId, MetadataPipelineStage.STRUCT_NAME_METADATA_CLASS, - "metadataClass" + "metadataClass", ); // Add MetadataStatistics struct from the metadata stage shaderBuilder.addStructField( structId, MetadataPipelineStage.STRUCT_NAME_METADATA_STATISTICS, - "metadataStatistics" + "metadataStatistics", ); const functionId = @@ -565,7 +564,7 @@ function addVertexLinesToShader(shaderBuilder, vertexLines) { shaderBuilder.addFunction( functionId, CustomShaderPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_INPUT_STRUCT_VS, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); shaderBuilder.addFunctionLines(functionId, initializationLines); @@ -576,7 +575,7 @@ function addFragmentLinesToShader(shaderBuilder, fragmentLines) { shaderBuilder.addStruct( structId, CustomShaderPipelineStage.STRUCT_NAME_ATTRIBUTES, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); const { attributeFields, initializationLines } = fragmentLines; @@ -589,36 +588,36 @@ function addFragmentLinesToShader(shaderBuilder, fragmentLines) { shaderBuilder.addStruct( structId, CustomShaderPipelineStage.STRUCT_NAME_FRAGMENT_INPUT, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addStructField( structId, CustomShaderPipelineStage.STRUCT_NAME_ATTRIBUTES, - "attributes" + "attributes", ); // Add FeatureIds struct from the Feature ID stage shaderBuilder.addStructField( structId, FeatureIdPipelineStage.STRUCT_NAME_FEATURE_IDS, - "featureIds" + "featureIds", ); // Add Metadata struct from the metadata stage shaderBuilder.addStructField( structId, MetadataPipelineStage.STRUCT_NAME_METADATA, - "metadata" + "metadata", ); // Add MetadataClass struct from the metadata stage shaderBuilder.addStructField( structId, MetadataPipelineStage.STRUCT_NAME_METADATA_CLASS, - "metadataClass" + "metadataClass", ); // Add MetadataStatistics struct from the metadata stage shaderBuilder.addStructField( structId, MetadataPipelineStage.STRUCT_NAME_METADATA_STATISTICS, - "metadataStatistics" + "metadataStatistics", ); const functionId = @@ -626,7 +625,7 @@ function addFragmentLinesToShader(shaderBuilder, fragmentLines) { shaderBuilder.addFunction( functionId, CustomShaderPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_INPUT_STRUCT_FS, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addFunctionLines(functionId, initializationLines); @@ -645,7 +644,7 @@ function addLinesToShader(shaderBuilder, customShader, generatedCode) { shaderLines.push( "#line 0", customShader.vertexShaderText, - CustomShaderStageVS + CustomShaderStageVS, ); shaderBuilder.addVertexLines(shaderLines); @@ -658,7 +657,7 @@ function addLinesToShader(shaderBuilder, customShader, generatedCode) { shaderLines.push( "#line 0", customShader.fragmentShaderText, - CustomShaderStageFS + CustomShaderStageFS, ); shaderBuilder.addFragmentLines(shaderLines); diff --git a/packages/engine/Source/Scene/Model/DequantizationPipelineStage.js b/packages/engine/Source/Scene/Model/DequantizationPipelineStage.js index dc5a21317b05..def5ddbbb4e9 100644 --- a/packages/engine/Source/Scene/Model/DequantizationPipelineStage.js +++ b/packages/engine/Source/Scene/Model/DequantizationPipelineStage.js @@ -37,7 +37,7 @@ const DequantizationPipelineStage = { DequantizationPipelineStage.process = function ( renderResources, primitive, - frameState + frameState, ) { const shaderBuilder = renderResources.shaderBuilder; const model = renderResources.model; @@ -46,13 +46,13 @@ DequantizationPipelineStage.process = function ( shaderBuilder.addDefine( "USE_DEQUANTIZATION", undefined, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); shaderBuilder.addFunction( DequantizationPipelineStage.FUNCTION_ID_DEQUANTIZATION_STAGE_VS, DequantizationPipelineStage.FUNCTION_SIGNATURE_DEQUANTIZATION_STAGE_VS, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); const attributes = primitive.attributes; @@ -90,7 +90,7 @@ function addDequantizationUniforms(renderResources, attributeInfo) { shaderBuilder.addUniform( "float", normalizationRange, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); uniformMap[normalizationRange] = function () { return quantization.normalizationRange; @@ -145,7 +145,7 @@ function updateDequantizationFunction(shaderBuilder, attributeInfo) { shaderBuilder.addFunctionLines( DequantizationPipelineStage.FUNCTION_ID_DEQUANTIZATION_STAGE_VS, - [line] + [line], ); } diff --git a/packages/engine/Source/Scene/Model/Extensions/Gpm/GltfGpmLoader.js b/packages/engine/Source/Scene/Model/Extensions/Gpm/GltfGpmLoader.js index acb6c3fdc549..f3cd3e2dd2d7 100644 --- a/packages/engine/Source/Scene/Model/Extensions/Gpm/GltfGpmLoader.js +++ b/packages/engine/Source/Scene/Model/Extensions/Gpm/GltfGpmLoader.js @@ -41,7 +41,7 @@ function createCovarianceMatrixFromUpperTriangle(array) { array[4], array[3], array[4], - array[5] + array[5], ); return covarianceMatrix; } @@ -56,12 +56,12 @@ function createAnchorPointDirect(anchorPointDirectJson) { const position = Cartesian3.fromArray( anchorPointDirectJson.position, 0, - new Cartesian3() + new Cartesian3(), ); const adjustmentParams = Cartesian3.fromArray( anchorPointDirectJson.adjustmentParams, 0, - new Cartesian3() + new Cartesian3(), ); const anchorPointDirect = new AnchorPointDirect({ position: position, @@ -80,15 +80,15 @@ function createAnchorPointIndirect(anchorPointIndirectJson) { const position = Cartesian3.fromArray( anchorPointIndirectJson.position, 0, - new Cartesian3() + new Cartesian3(), ); const adjustmentParams = Cartesian3.fromArray( anchorPointIndirectJson.adjustmentParams, 0, - new Cartesian3() + new Cartesian3(), ); const covarianceMatrix = createCovarianceMatrixFromUpperTriangle( - anchorPointIndirectJson.covarianceMatrix + anchorPointIndirectJson.covarianceMatrix, ); const anchorPointIndirect = new AnchorPointIndirect({ position: position, @@ -109,7 +109,7 @@ function createCorrelationGroup(correlationGroupJson) { const rotationThetas = Cartesian3.fromArray( correlationGroupJson.rotationThetas, 0, - new Cartesian3() + new Cartesian3(), ); const params = []; for (const paramJson of correlationGroupJson.params) { @@ -151,7 +151,7 @@ GltfGpmLoader.load = function (gltfGpmLocalJson) { return GltfGpmLoader.loadIndirect(gltfGpmLocalJson); } throw new RuntimeError( - `Invalid storage type in NGA_gpm_local - expected 'Direct' or 'Indirect', but found ${storageType}` + `Invalid storage type in NGA_gpm_local - expected 'Direct' or 'Indirect', but found ${storageType}`, ); }; @@ -170,11 +170,11 @@ GltfGpmLoader.loadDirect = function (gltfGpmLocalJson) { Check.typeOf.object("gltfGpmLocalJson", gltfGpmLocalJson); Check.typeOf.object( "gltfGpmLocalJson.anchorPointsDirect", - gltfGpmLocalJson.anchorPointsDirect + gltfGpmLocalJson.anchorPointsDirect, ); Check.typeOf.object( "gltfGpmLocalJson.covarianceDirectUpperTriangle", - gltfGpmLocalJson.covarianceDirectUpperTriangle + gltfGpmLocalJson.covarianceDirectUpperTriangle, ); //>>includeEnd('debug'); @@ -185,7 +185,7 @@ GltfGpmLoader.loadDirect = function (gltfGpmLocalJson) { anchorPointsDirect.push(anchorPointDirect); } const covarianceDirect = createCovarianceMatrixFromUpperTriangle( - gltfGpmLocalJson.covarianceDirectUpperTriangle + gltfGpmLocalJson.covarianceDirectUpperTriangle, ); const gltfGpmLocal = new GltfGpmLocal({ @@ -211,11 +211,11 @@ GltfGpmLoader.loadIndirect = function (gltfGpmLocalJson) { Check.typeOf.object("gltfGpmLocalJson", gltfGpmLocalJson); Check.typeOf.object( "gltfGpmLocalJson.anchorPointsIndirect", - gltfGpmLocalJson.anchorPointsIndirect + gltfGpmLocalJson.anchorPointsIndirect, ); Check.typeOf.object( "gltfGpmLocalJson.intraTileCorrelationGroups", - gltfGpmLocalJson.intraTileCorrelationGroups + gltfGpmLocalJson.intraTileCorrelationGroups, ); //>>includeEnd('debug'); @@ -223,7 +223,7 @@ GltfGpmLoader.loadIndirect = function (gltfGpmLocalJson) { const anchorPointsIndirectJson = gltfGpmLocalJson.anchorPointsIndirect; for (const anchorPointIndirectJson of anchorPointsIndirectJson) { const anchorPointIndirect = createAnchorPointIndirect( - anchorPointIndirectJson + anchorPointIndirectJson, ); anchorPointsIndirect.push(anchorPointIndirect); } diff --git a/packages/engine/Source/Scene/Model/Extensions/Gpm/GltfGpmLocal.js b/packages/engine/Source/Scene/Model/Extensions/Gpm/GltfGpmLocal.js index 3d17a05044f9..80339c2247e6 100644 --- a/packages/engine/Source/Scene/Model/Extensions/Gpm/GltfGpmLocal.js +++ b/packages/engine/Source/Scene/Model/Extensions/Gpm/GltfGpmLocal.js @@ -64,44 +64,44 @@ function GltfGpmLocal(options) { if (this.storageType === StorageType.Indirect) { if (!defined(this.anchorPointsIndirect)) { throw new RuntimeError( - "The anchorPointsIndirect are required for 'Indirect' storage" + "The anchorPointsIndirect are required for 'Indirect' storage", ); } if (!defined(this.intraTileCorrelationGroups)) { throw new RuntimeError( - "The intraTileCorrelationGroups are required for 'Indirect' storage" + "The intraTileCorrelationGroups are required for 'Indirect' storage", ); } if (defined(this.anchorPointsDirect)) { throw new RuntimeError( - "The anchorPointsDirect must be omitted for 'Indirect' storage" + "The anchorPointsDirect must be omitted for 'Indirect' storage", ); } if (defined(this.covarianceDirect)) { throw new RuntimeError( - "The covarianceDirect must be omitted for 'Indirect' storage" + "The covarianceDirect must be omitted for 'Indirect' storage", ); } } else { // Direct storage if (!defined(this.anchorPointsDirect)) { throw new RuntimeError( - "The anchorPointsDirect are required for 'Direct' storage" + "The anchorPointsDirect are required for 'Direct' storage", ); } if (!defined(this.covarianceDirect)) { throw new RuntimeError( - "The covarianceDirect is required for 'Direct' storage" + "The covarianceDirect is required for 'Direct' storage", ); } if (defined(this.anchorPointsIndirect)) { throw new RuntimeError( - "The anchorPointsIndirect must be omitted for 'Direct' storage" + "The anchorPointsIndirect must be omitted for 'Direct' storage", ); } if (defined(this.intraTileCorrelationGroups)) { throw new RuntimeError( - "The intraTileCorrelationGroups must be omitted for 'Direct' storage" + "The intraTileCorrelationGroups must be omitted for 'Direct' storage", ); } } diff --git a/packages/engine/Source/Scene/Model/Extensions/Gpm/GltfMeshPrimitiveGpmLoader.js b/packages/engine/Source/Scene/Model/Extensions/Gpm/GltfMeshPrimitiveGpmLoader.js index 3d7f66679d65..7be022144311 100644 --- a/packages/engine/Source/Scene/Model/Extensions/Gpm/GltfMeshPrimitiveGpmLoader.js +++ b/packages/engine/Source/Scene/Model/Extensions/Gpm/GltfMeshPrimitiveGpmLoader.js @@ -76,7 +76,7 @@ function GltfMeshPrimitiveGpmLoader(options) { if (defined(Object.create)) { GltfMeshPrimitiveGpmLoader.prototype = Object.create( - ResourceLoader.prototype + ResourceLoader.prototype, ); GltfMeshPrimitiveGpmLoader.prototype.constructor = GltfMeshPrimitiveGpmLoader; } @@ -240,7 +240,7 @@ GltfMeshPrimitiveGpmLoader.ppeTexturesMetadataSchemaCache = new Map(); */ GltfMeshPrimitiveGpmLoader._createPpeTextureClassJson = function ( ppeTexture, - index + index, ) { const traits = ppeTexture.traits; const ppePropertyName = traits.source; @@ -301,15 +301,15 @@ GltfMeshPrimitiveGpmLoader._createPpeTextureClassJson = function ( * @returns The `MetadataSchema` */ GltfMeshPrimitiveGpmLoader._obtainPpeTexturesMetadataSchema = function ( - meshPrimitiveGpmLocal + meshPrimitiveGpmLocal, ) { - const ppeTexturePropertyIdentifiers = GltfMeshPrimitiveGpmLoader._collectPpeTexturePropertyIdentifiers( - meshPrimitiveGpmLocal - ); + const ppeTexturePropertyIdentifiers = + GltfMeshPrimitiveGpmLoader._collectPpeTexturePropertyIdentifiers( + meshPrimitiveGpmLocal, + ); const key = ppeTexturePropertyIdentifiers.toString(); - let ppeTexturesMetadataSchema = GltfMeshPrimitiveGpmLoader.ppeTexturesMetadataSchemaCache.get( - key - ); + let ppeTexturesMetadataSchema = + GltfMeshPrimitiveGpmLoader.ppeTexturesMetadataSchemaCache.get(key); if (defined(ppeTexturesMetadataSchema)) { return ppeTexturesMetadataSchema; } @@ -326,17 +326,17 @@ GltfMeshPrimitiveGpmLoader._obtainPpeTexturesMetadataSchema = function ( const classId = `ppeTexture_${i}`; const classJson = GltfMeshPrimitiveGpmLoader._createPpeTextureClassJson( ppeTexture, - i + i, ); ppeTexturesMetadataSchemaJson.classes[classId] = classJson; } ppeTexturesMetadataSchema = MetadataSchema.fromJson( - ppeTexturesMetadataSchemaJson + ppeTexturesMetadataSchemaJson, ); GltfMeshPrimitiveGpmLoader.ppeTexturesMetadataSchemaCache.set( key, - ppeTexturesMetadataSchema + ppeTexturesMetadataSchema, ); return ppeTexturesMetadataSchema; }; @@ -357,7 +357,7 @@ GltfMeshPrimitiveGpmLoader._obtainPpeTexturesMetadataSchema = function ( * @returns The identifiers */ GltfMeshPrimitiveGpmLoader._collectPpeTexturePropertyIdentifiers = function ( - meshPrimitiveGpmLocal + meshPrimitiveGpmLocal, ) { const ppeTexturePropertyIdentifiers = []; const ppeTextures = meshPrimitiveGpmLocal.ppeTextures; @@ -369,7 +369,7 @@ GltfMeshPrimitiveGpmLoader._collectPpeTexturePropertyIdentifiers = function ( // schema. const classJson = GltfMeshPrimitiveGpmLoader._createPpeTextureClassJson( ppeTexture, - i + i, ); const ppeTexturePropertyIdentifier = JSON.stringify(classJson); ppeTexturePropertyIdentifiers.push(ppeTexturePropertyIdentifier); @@ -391,12 +391,13 @@ GltfMeshPrimitiveGpmLoader._collectPpeTexturePropertyIdentifiers = function ( */ GltfMeshPrimitiveGpmLoader._convertToStructuralMetadata = function ( meshPrimitiveGpmLocal, - textures + textures, ) { const propertyTextures = []; - const ppeTexturesMetadataSchema = GltfMeshPrimitiveGpmLoader._obtainPpeTexturesMetadataSchema( - meshPrimitiveGpmLocal - ); + const ppeTexturesMetadataSchema = + GltfMeshPrimitiveGpmLoader._obtainPpeTexturesMetadataSchema( + meshPrimitiveGpmLocal, + ); const ppeTextures = meshPrimitiveGpmLocal.ppeTextures; for (let i = 0; i < ppeTextures.length; i++) { const ppeTexture = ppeTextures[i]; @@ -428,7 +429,7 @@ GltfMeshPrimitiveGpmLoader._convertToStructuralMetadata = function ( propertyTexture: ppeTextureAsPropertyTexture, class: metadataClass, textures: textures, - }) + }), ); } const structuralMetadata = new StructuralMetadata({ @@ -512,10 +513,11 @@ GltfMeshPrimitiveGpmLoader.prototype.process = function (frameState) { const meshPrimitiveGpmLocal = new MeshPrimitiveGpmLocal(ppeTextures); this._meshPrimitiveGpmLocal = meshPrimitiveGpmLocal; - const structuralMetadata = GltfMeshPrimitiveGpmLoader._convertToStructuralMetadata( - meshPrimitiveGpmLocal, - textures - ); + const structuralMetadata = + GltfMeshPrimitiveGpmLoader._convertToStructuralMetadata( + meshPrimitiveGpmLocal, + textures, + ); this._structuralMetadata = structuralMetadata; this._state = ResourceLoaderState.READY; diff --git a/packages/engine/Source/Scene/Model/FeatureIdPipelineStage.js b/packages/engine/Source/Scene/Model/FeatureIdPipelineStage.js index 5c3d8ef5c083..a4f25ff160f9 100644 --- a/packages/engine/Source/Scene/Model/FeatureIdPipelineStage.js +++ b/packages/engine/Source/Scene/Model/FeatureIdPipelineStage.js @@ -53,7 +53,7 @@ const FeatureIdPipelineStage = { FeatureIdPipelineStage.process = function ( renderResources, primitive, - frameState + frameState, ) { const shaderBuilder = renderResources.shaderBuilder; declareStructsAndFunctions(shaderBuilder); @@ -75,12 +75,12 @@ function declareStructsAndFunctions(shaderBuilder) { shaderBuilder.addStruct( FeatureIdPipelineStage.STRUCT_ID_FEATURE_IDS_VS, FeatureIdPipelineStage.STRUCT_NAME_FEATURE_IDS, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); shaderBuilder.addStruct( FeatureIdPipelineStage.STRUCT_ID_FEATURE_IDS_FS, FeatureIdPipelineStage.STRUCT_NAME_FEATURE_IDS, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); // declare the initializeFeatureIds() function. The details may differ @@ -88,12 +88,12 @@ function declareStructsAndFunctions(shaderBuilder) { shaderBuilder.addFunction( FeatureIdPipelineStage.FUNCTION_ID_INITIALIZE_FEATURE_IDS_VS, FeatureIdPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_FEATURE_IDS, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); shaderBuilder.addFunction( FeatureIdPipelineStage.FUNCTION_ID_INITIALIZE_FEATURE_IDS_FS, FeatureIdPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_FEATURE_IDS, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); // declare the initializeFeatureIdAliases() function. The details may differ @@ -101,19 +101,19 @@ function declareStructsAndFunctions(shaderBuilder) { shaderBuilder.addFunction( FeatureIdPipelineStage.FUNCTION_ID_INITIALIZE_FEATURE_ID_ALIASES_VS, FeatureIdPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_FEATURE_ID_ALIASES, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); shaderBuilder.addFunction( FeatureIdPipelineStage.FUNCTION_ID_INITIALIZE_FEATURE_ID_ALIASES_FS, FeatureIdPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_FEATURE_ID_ALIASES, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); // declare the setFeatureIdVaryings() function in the vertex shader only shaderBuilder.addFunction( FeatureIdPipelineStage.FUNCTION_ID_SET_FEATURE_ID_VARYINGS, FeatureIdPipelineStage.FUNCTION_SIGNATURE_SET_FEATURE_ID_VARYINGS, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); } @@ -135,7 +135,7 @@ function processInstanceFeatureIds(renderResources, instances, frameState) { variableName, count, instanceDivisor, - frameState + frameState, ); } @@ -150,7 +150,7 @@ function processPrimitiveFeatureIds(renderResources, primitive, frameState) { const featureIdsArray = primitive.featureIds; const positionAttribute = ModelUtility.getAttributeBySemantic( primitive, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); const count = positionAttribute.count; @@ -168,7 +168,7 @@ function processPrimitiveFeatureIds(renderResources, primitive, frameState) { variableName, count, undefined, - frameState + frameState, ); } else { processTexture(renderResources, featureIds, variableName, i, frameState); @@ -185,7 +185,7 @@ function processPrimitiveFeatureIds(renderResources, primitive, frameState) { function processInstanceAttribute( renderResources, featureIdAttribute, - variableName + variableName, ) { // Add a field to the FeatureIds struct. // Example: @@ -198,12 +198,12 @@ function processInstanceAttribute( shaderBuilder.addStructField( FeatureIdPipelineStage.STRUCT_ID_FEATURE_IDS_VS, "int", - variableName + variableName, ); shaderBuilder.addStructField( FeatureIdPipelineStage.STRUCT_ID_FEATURE_IDS_FS, "int", - variableName + variableName, ); // Initialize the field from the corresponding attribute. @@ -218,11 +218,11 @@ function processInstanceAttribute( shaderBuilder.addFunctionLines( FeatureIdPipelineStage.FUNCTION_ID_INITIALIZE_FEATURE_IDS_VS, - [vertexLine] + [vertexLine], ); shaderBuilder.addFunctionLines( FeatureIdPipelineStage.FUNCTION_ID_INITIALIZE_FEATURE_IDS_FS, - [fragmentLine] + [fragmentLine], ); // Instanced attributes don't normally need varyings, so add one here @@ -233,7 +233,7 @@ function processInstanceAttribute( // v_instanceFeatureId_n = a_instanceFeatureId_n; shaderBuilder.addFunctionLines( FeatureIdPipelineStage.FUNCTION_ID_SET_FEATURE_ID_VARYINGS, - [`${varyingName} = ${attributeName};`] + [`${varyingName} = ${attributeName};`], ); } @@ -249,12 +249,12 @@ function processAttribute(renderResources, featureIdAttribute, variableName) { shaderBuilder.addStructField( FeatureIdPipelineStage.STRUCT_ID_FEATURE_IDS_VS, "int", - variableName + variableName, ); shaderBuilder.addStructField( FeatureIdPipelineStage.STRUCT_ID_FEATURE_IDS_FS, "int", - variableName + variableName, ); // Initialize the field from the corresponding attribute. @@ -269,11 +269,11 @@ function processAttribute(renderResources, featureIdAttribute, variableName) { ]; shaderBuilder.addFunctionLines( FeatureIdPipelineStage.FUNCTION_ID_INITIALIZE_FEATURE_IDS_VS, - initializationLines + initializationLines, ); shaderBuilder.addFunctionLines( FeatureIdPipelineStage.FUNCTION_ID_INITIALIZE_FEATURE_IDS_FS, - initializationLines + initializationLines, ); } @@ -283,7 +283,7 @@ function processImplicitRange( variableName, count, instanceDivisor, - frameState + frameState, ) { // Generate a vertex attribute for the implicit IDs since WebGL 1 does not // support gl_VertexID @@ -292,7 +292,7 @@ function processImplicitRange( implicitFeatureIds, count, instanceDivisor, - frameState + frameState, ); // Declare the vertex attribute in the shader @@ -316,12 +316,12 @@ function processImplicitRange( shaderBuilder.addStructField( FeatureIdPipelineStage.STRUCT_ID_FEATURE_IDS_VS, "int", - variableName + variableName, ); shaderBuilder.addStructField( FeatureIdPipelineStage.STRUCT_ID_FEATURE_IDS_FS, "int", - variableName + variableName, ); // The varying needs initialization in the vertex shader @@ -329,7 +329,7 @@ function processImplicitRange( // v_implicit_featureId_n = a_implicit_featureId_n; shaderBuilder.addFunctionLines( FeatureIdPipelineStage.FUNCTION_ID_SET_FEATURE_ID_VARYINGS, - [`${implicitVaryingName} = ${implicitAttributeName};`] + [`${implicitVaryingName} = ${implicitAttributeName};`], ); // Initialize the field from the generated attribute/varying. @@ -338,11 +338,11 @@ function processImplicitRange( // featureIds.featureId_n = v_implicit_featureId_n; (FS) shaderBuilder.addFunctionLines( FeatureIdPipelineStage.FUNCTION_ID_INITIALIZE_FEATURE_IDS_VS, - [`featureIds.${variableName} = int(czm_round(${implicitAttributeName}));`] + [`featureIds.${variableName} = int(czm_round(${implicitAttributeName}));`], ); shaderBuilder.addFunctionLines( FeatureIdPipelineStage.FUNCTION_ID_INITIALIZE_FEATURE_IDS_FS, - [`featureIds.${variableName} = int(czm_round(${implicitVaryingName}));`] + [`featureIds.${variableName} = int(czm_round(${implicitVaryingName}));`], ); } @@ -351,7 +351,7 @@ function processTexture( featureIdTexture, variableName, index, - frameState + frameState, ) { // Create the feature ID texture uniform. The index matches the index from // the featureIds array, even if this is not consecutive. @@ -361,7 +361,7 @@ function processTexture( uniformMap[uniformName] = function () { return defaultValue( textureReader.texture, - frameState.context.defaultTexture + frameState.context.defaultTexture, ); }; @@ -378,14 +378,14 @@ function processTexture( shaderBuilder.addStructField( FeatureIdPipelineStage.STRUCT_ID_FEATURE_IDS_FS, "int", - variableName + variableName, ); // Declare the uniform in the fragment shader shaderBuilder.addUniform( "sampler2D", uniformName, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); // Get a GLSL expression for the texture coordinates @@ -401,7 +401,7 @@ function processTexture( shaderBuilder.addUniform( "mat3", transformUniformName, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); uniformMap[transformUniformName] = function () { return transform; @@ -421,7 +421,7 @@ function processTexture( shaderBuilder.addFunctionLines( FeatureIdPipelineStage.FUNCTION_ID_INITIALIZE_FEATURE_IDS_FS, - [initializationLine] + [initializationLine], ); } @@ -439,13 +439,13 @@ function addAlias(renderResources, variableName, alias, shaderDestination) { shaderBuilder.addStructField( FeatureIdPipelineStage.STRUCT_ID_FEATURE_IDS_VS, "int", - alias + alias, ); } shaderBuilder.addStructField( FeatureIdPipelineStage.STRUCT_ID_FEATURE_IDS_FS, "int", - alias + alias, ); // Initialize the field from the original variable @@ -456,12 +456,12 @@ function addAlias(renderResources, variableName, alias, shaderDestination) { if (updateVS) { shaderBuilder.addFunctionLines( FeatureIdPipelineStage.FUNCTION_ID_INITIALIZE_FEATURE_ID_ALIASES_VS, - initializationLines + initializationLines, ); } shaderBuilder.addFunctionLines( FeatureIdPipelineStage.FUNCTION_ID_INITIALIZE_FEATURE_ID_ALIASES_FS, - initializationLines + initializationLines, ); } @@ -470,7 +470,7 @@ function generateImplicitFeatureIdAttribute( implicitFeatureIds, count, instanceDivisor, - frameState + frameState, ) { const model = renderResources.model; let vertexBuffer; @@ -478,7 +478,7 @@ function generateImplicitFeatureIdAttribute( if (defined(implicitFeatureIds.repeat)) { const typedArray = generateImplicitFeatureIdTypedArray( implicitFeatureIds, - count + count, ); vertexBuffer = Buffer.createVertexBuffer({ context: frameState.context, diff --git a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js index 7fff231bff75..3d3e6a97b403 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js @@ -6,49 +6,36 @@ import PrimitiveType from "../../Core/PrimitiveType.js"; import BlendingState from "../BlendingState.js"; import Matrix4 from "../../Core/Matrix4.js"; -import __wbg_init, { - initSync, - radix_sort_gaussians_attrs, - radix_sort_simd, - GSplatData, -} from "cesiumjs-gsplat-utils"; - -import buildModuleUrl from "../../Core/buildModuleUrl.js"; - -let wasmInitialized = false; -let initPromise = null; -let wasmMod; - -class CesiumPerformanceTimer { - constructor() { - this.startTime = null; - this.endTime = null; - } - - start() { - this.startTime = performance.now(); - } - - end() { - this.endTime = performance.now(); - } - - getExecutionTime() { - if (!this.startTime || !this.endTime) { - throw new Error( - "Timer must be started and ended before getting execution time", - ); - } - return { - milliseconds: this.endTime - this.startTime, - }; - } - - reset() { - this.startTime = null; - this.endTime = null; - } -} +// class CesiumPerformanceTimer { +// constructor() { +// this.startTime = null; +// this.endTime = null; +// } + +// start() { +// this.startTime = performance.now(); +// } + +// end() { +// this.endTime = performance.now(); +// } + +// getExecutionTime() { +// if (!this.startTime || !this.endTime) { +// throw new Error( +// "Timer must be started and ended before getting execution time", +// ); +// } +// return { +// milliseconds: this.endTime - this.startTime, +// }; +// } + +// reset() { +// this.startTime = null; +// this.endTime = null; +// } +// } const GaussianSplatPipelineStage = { name: "GaussianSplatPipelineStage", @@ -84,10 +71,8 @@ GaussianSplatPipelineStage.process = function ( } shaderBuilder.addAttribute("vec2", "a_screenQuadPosition"); - shaderBuilder.addAttribute("float", "a_dummy"); - shaderBuilder.addAttribute("uvec3", "a_splatPosition"); + shaderBuilder.addAttribute("vec3", "a_splatPosition"); shaderBuilder.addAttribute("vec4", "a_splatColor"); - //shaderBuilder.addAttribute("float", "a_splatOpacity"); shaderBuilder.addVarying("vec4", "v_splatColor"); shaderBuilder.addVarying("vec2", "v_vertPos"); @@ -100,7 +85,6 @@ GaussianSplatPipelineStage.process = function ( shaderBuilder.addUniform("float", "u_focalX", ShaderDestination.VERTEX); shaderBuilder.addUniform("float", "u_focalY", ShaderDestination.VERTEX); shaderBuilder.addUniform("float", "u_splatScale", ShaderDestination.VERTEX); - shaderBuilder.addUniform("mat4", "u_scalingMatrix", ShaderDestination.VERTEX); const uniformMap = renderResources.uniformMap; @@ -137,8 +121,6 @@ GaussianSplatPipelineStage.process = function ( return renderResources.model.sceneGraph.components.nodes[0].matrix; }; - const timer = new CesiumPerformanceTimer(); - const radixSort = () => { const attributes = primitive.attributes; const modelView = new Matrix4(); @@ -164,7 +146,7 @@ GaussianSplatPipelineStage.process = function ( posArray[i * 3] * modelView[2] + posArray[i * 3 + 1] * modelView[6] + posArray[i * 3 + 2] * modelView[10]; - timer.start(); + const depthValues = new Int32Array(renderResources.count); let maxDepth = -Infinity; let minDepth = Infinity; @@ -242,147 +224,10 @@ GaussianSplatPipelineStage.process = function ( scaleAttr.typedArray = newScaleArray; rotAttr.typedArray = newRotArray; clrAttr.typedArray = newClrArray; - timer.end(); - - const rExecTime = timer.getExecutionTime(); - console.log(`RadixSort Execution time: ${rExecTime.milliseconds}ms`); - }; - - const radixWasmSimd = async () => { - async function ensureWasmInitialized() { - if (!initPromise) { - initPromise = await __wbg_init( - buildModuleUrl( - "ThirdParty/cesium-gsplat/cesiumjs_gsplat_utils_bg.wasm", - ), - ) - .then((wasm) => { - wasmInitialized = true; - initSync(wasm); - wasmMod = wasm; - }) - .catch((err) => { - console.error("Failed to initialize WASM module:", err); - throw err; - }); - } - return initPromise; - } - - if (!wasmMod) { - ensureWasmInitialized(); - } - - if (!wasmInitialized) { - return; - } - - const attributes = primitive.attributes; - const modelView = new Matrix4(); - const modelMat = renderResources.model.modelMatrix; - Matrix4.multiply(cam.viewMatrix, modelMat, modelView); - - const posAttr = attributes.find((a) => a.name === "POSITION"); - const scaleAttr = attributes.find((a) => a.name === "_SCALE"); - const rotAttr = attributes.find((a) => a.name === "_ROTATION"); - const clrAttr = attributes.find((a) => a.name === "COLOR_0"); - - initSync(wasmMod); - const gsData = GSplatData.fromFloat32Arrays( - posAttr.typedArray, - scaleAttr.typedArray, - rotAttr.typedArray, - clrAttr.typedArray, - modelView, - renderResources.count, - ); - - radix_sort_simd(gsData); - - posAttr.typedArray = gsData.getPositions(); - scaleAttr.typedArray = gsData.getScales(); - rotAttr.typedArray = gsData.getRotations(); - clrAttr.typedArray = gsData.getColors(); - }; - - const radixWasm = () => { - async function ensureWasmInitialized() { - if (!initPromise) { - initPromise = await __wbg_init( - buildModuleUrl("ThirdParty/cesiumjs_gsplat_utils_bg.wasm"), - ) - .then((wasm) => { - wasmInitialized = true; - initSync(wasm); - wasmMod = wasm; - }) - .catch((err) => { - console.error("Failed to initialize WASM module:", err); - throw err; - }); - } - return initPromise; - } - - if (!wasmMod) { - ensureWasmInitialized(); - } - - if (!wasmInitialized) { - return; - } - - const attributes = primitive.attributes; - const modelView = new Matrix4(); - const modelMat = renderResources.model.modelMatrix; - Matrix4.multiply(cam.viewMatrix, modelMat, modelView); - - const posAttr = attributes.find((a) => a.name === "POSITION"); - const scaleAttr = attributes.find((a) => a.name === "_SCALE"); - const rotAttr = attributes.find((a) => a.name === "_ROTATION"); - const clrAttr = attributes.find((a) => a.name === "COLOR_0"); - - const posArray = posAttr.typedArray; - const scaleArray = scaleAttr.typedArray; - const rotArray = rotAttr.typedArray; - const clrArray = clrAttr.typedArray; - - initSync(wasmMod); - - const [newPositions, newScales, newRotations, newColors] = - radix_sort_gaussians_attrs( - posArray, - scaleArray, - rotArray, - clrArray, - modelView, - renderResources.count, - ); - - posAttr.typedArray = newPositions; - scaleAttr.typedArray = newScales; - rotAttr.typedArray = newRotations; - clrAttr.typedArray = newColors; }; radixSort(); - const useWasm = false; - if (useWasm) { - timer.start(); - radixWasm(); - - timer.end(); - - timer.start(); - radixWasmSimd(); - - timer.end(); - } - - const rExecTime = timer.getExecutionTime(); - console.log(`RadixSort Execution time: ${rExecTime.milliseconds}ms`); - renderResources.instanceCount = renderResources.count; renderResources.count = 4; renderResources.primitiveType = PrimitiveType.TRIANGLE_STRIP; diff --git a/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js index 41d50c8c6383..a42491984b98 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js @@ -4,57 +4,6 @@ import GaussianSplatFS from "../../Shaders/Model/GaussianSplatFS.js"; import Pass from "../../Renderer/Pass.js"; import PrimitiveType from "../../Core/PrimitiveType.js"; import BlendingState from "../BlendingState.js"; -import Matrix4 from "../../Core/Matrix4.js"; -import __wbg_init, { - initSync, - radix_sort_gaussians_attrs, - radix_sort_simd, - GSplatData, -} from "cesiumjs-gsplat-utils"; - -import GaussianSplatTextureGenerator from "./GaussianSplatTextureGenerator.js"; - -import buildModuleUrl from "../../Core/buildModuleUrl.js"; - -import PixelFormat from "../../Core/PixelFormat.js"; -import PixelDatatype from "../../Renderer/PixelDatatype.js"; -import Sampler from "../../Renderer/Sampler.js"; -import Texture from "../../Renderer/Texture.js"; - -let wasmInitialized = false; -let initPromise = null; -let wasmMod; - -class CesiumPerformanceTimer { - constructor() { - this.startTime = null; - this.endTime = null; - } - - start() { - this.startTime = performance.now(); - } - - end() { - this.endTime = performance.now(); - } - - getExecutionTime() { - if (!this.startTime || !this.endTime) { - throw new Error( - "Timer must be started and ended before getting execution time", - ); - } - return { - milliseconds: this.endTime - this.startTime, - }; - } - - reset() { - this.startTime = null; - this.endTime = null; - } -} const GaussianSplatTexturePipelineStage = { name: "GaussianSplatTexturePipelineStage", @@ -65,9 +14,6 @@ GaussianSplatTexturePipelineStage.process = function ( primitive, frameState, ) { - if (GaussianSplatTextureGenerator.wasmInitialized === false) { - return; - } const { shaderBuilder } = renderResources; const renderStateOptions = renderResources.renderStateOptions; @@ -107,7 +53,7 @@ GaussianSplatTexturePipelineStage.process = function ( shaderBuilder.addUniform("float", "u_splatScale", ShaderDestination.VERTEX); const uniformMap = renderResources.uniformMap; - const cam = frameState.camera; + //const cam = frameState.camera; uniformMap.u_splatScale = function () { return renderResources.model?.style?.splatScale ?? 1.0; @@ -121,327 +67,6 @@ GaussianSplatTexturePipelineStage.process = function ( return renderResources.model.sceneGraph.components.nodes[0].matrix; }; - const timer = new CesiumPerformanceTimer(); - const radixWasmSimd = async () => { - async function ensureWasmInitialized() { - if (!initPromise) { - initPromise = await __wbg_init( - buildModuleUrl( - "ThirdParty/cesium-gsplat/cesiumjs_gsplat_utils_bg.wasm", - ), - ) - .then((wasm) => { - wasmInitialized = true; - initSync(wasm); - wasmMod = wasm; - }) - .catch((err) => { - console.error("Failed to initialize WASM module:", err); - throw err; - }); - } - return initPromise; - } - - if (!wasmMod) { - ensureWasmInitialized(); - } - - if (!wasmInitialized) { - return; - } - - const attributes = primitive.attributes; - const modelView = new Matrix4(); - const modelMat = renderResources.model.modelMatrix; - Matrix4.multiply(cam.viewMatrix, modelMat, modelView); - - const posAttr = attributes.find((a) => a.name === "POSITION"); - const scaleAttr = attributes.find((a) => a.name === "_SCALE"); - const rotAttr = attributes.find((a) => a.name === "_ROTATION"); - const clrAttr = attributes.find((a) => a.name === "COLOR_0"); - - initSync(wasmMod); - const gsData = GSplatData.fromFloat32Arrays( - posAttr.typedArray, - scaleAttr.typedArray, - rotAttr.typedArray, - clrAttr.typedArray, - modelView, - renderResources.count, - ); - - radix_sort_simd(gsData); - - posAttr.typedArray = gsData.getPositions(); - scaleAttr.typedArray = gsData.getScales(); - rotAttr.typedArray = gsData.getRotations(); - clrAttr.typedArray = gsData.getColors(); - }; - - const radixWasm = () => { - async function ensureWasmInitialized() { - if (!initPromise) { - initPromise = await __wbg_init( - buildModuleUrl("ThirdParty/cesiumjs_gsplat_utils_bg.wasm"), - ) - .then((wasm) => { - wasmInitialized = true; - initSync(wasm); - wasmMod = wasm; - }) - .catch((err) => { - console.error("Failed to initialize WASM module:", err); - throw err; - }); - } - return initPromise; - } - - if (!wasmMod) { - ensureWasmInitialized(); - } - - if (!wasmInitialized) { - return; - } - - const attributes = primitive.attributes; - const modelView = new Matrix4(); - const modelMat = renderResources.model.modelMatrix; - Matrix4.multiply(cam.viewMatrix, modelMat, modelView); - - const posAttr = attributes.find((a) => a.name === "POSITION"); - const scaleAttr = attributes.find((a) => a.name === "_SCALE"); - const rotAttr = attributes.find((a) => a.name === "_ROTATION"); - const clrAttr = attributes.find((a) => a.name === "COLOR_0"); - - const posArray = posAttr.typedArray; - const scaleArray = scaleAttr.typedArray; - const rotArray = rotAttr.typedArray; - const clrArray = clrAttr.typedArray; - - initSync(wasmMod); - timer.start(); - const [newPositions, newScales, newRotations, newColors] = - radix_sort_gaussians_attrs( - posArray, - scaleArray, - rotArray, - clrArray, - modelView, - renderResources.count, - ); - timer.end(); - - const rExecTime = timer.getExecutionTime(); - console.log(`RadixSort Execution time: ${rExecTime.milliseconds}ms`); - posAttr.typedArray = newPositions; - scaleAttr.typedArray = newScales; - rotAttr.typedArray = newRotations; - clrAttr.typedArray = newColors; - - GaussianSplatTextureGenerator.generateFromAttrs( - primitive.attributes, - primitive.attributes[0].count, - ).then((splatTextureData) => { - const splatTex = new Texture({ - context: frameState.context, - source: { - width: splatTextureData.width, - height: splatTextureData.height, - arrayBufferView: splatTextureData.data, - }, - preMultiplyAlpha: false, - skipColorSpaceConversion: true, - pixelFormat: PixelFormat.RGBA_INTEGER, - pixelDatatype: PixelDatatype.UNSIGNED_INT, - flipY: false, - sampler: Sampler.NEAREST, - }); - primitive.gaussianSplatTexture = splatTex; - primitive.hasGaussianSplatTexture = true; - }); - }; - - // const radixSortIndexes = () => { - // const modelView = new Matrix4(); - // const modelMat = renderResources.model.modelMatrix; - // Matrix4.multiply(cam.viewMatrix, modelMat, modelView); - - // const posAttr = primitive.attributes.find((a) => a.name === "POSITION"); - // const idxAttr = primitive.attributes.find((a) => a.name === "_SPLAT_INDEXES"); - - // const posArray = posAttr.typedArray; - - // const calcDepth = (i) => - // posArray[i * 3] * modelView[2] + - // posArray[i * 3 + 1] * modelView[6] + - // posArray[i * 3 + 2] * modelView[10]; - - // const depthValues = new Int32Array(renderResources.count); - // let maxDepth = -Infinity; - // let minDepth = Infinity; - - // for (let i = 0; i < renderResources.count; i++) { - // const depth = (calcDepth(i) * 4096) | 0; - // depthValues[i] = depth; - // maxDepth = Math.max(maxDepth, depth); - // minDepth = Math.min(minDepth, depth); - // } - - // const depthOffset = -minDepth; - // for (let i = 0; i < renderResources.count; i++) { - // depthValues[i] += depthOffset; - // } - - // const texWidth = 1024; - // const texHeight = Math.ceil(renderResources.count / texWidth); - // const paddedSize = texWidth * texHeight; - - // const indices = new Uint32Array(paddedSize); - // for (let i = 0; i < renderResources.count; i++) { - // indices[i] = i; - // } - - // for (let i = renderResources.count; i < paddedSize; i++) { - // indices[i] = renderResources.count - 1; - // } - - // const tempDepths = new Int32Array(renderResources.count); - // const tempIndices = new Uint32Array(renderResources.count); - - // for (let shift = 0; shift < 32; shift += 8) { - // const counts = new Uint32Array(256); - - // for (let i = 0; i < renderResources.count; i++) { - // const byte = (depthValues[i] >> shift) & 0xff; - // counts[byte]++; - // } - - // let total = 0; - // for (let i = 0; i < 256; i++) { - // const count = counts[i]; - // counts[i] = total; - // total += count; - // } - - // for (let i = 0; i < renderResources.count; i++) { - // const byte = (depthValues[i] >> shift) & 0xff; - // const pos = counts[byte]++; - - // tempDepths[pos] = depthValues[i]; - // tempIndices[pos] = indices[i]; - // } - - // depthValues.set(tempDepths); - // indices.set(tempIndices.subarray(0, renderResources.count)); - // } - // idxAttr.typedArray = indices; - // }; - - const radixSimdWasmTexture = () => { - async function ensureWasmInitialized() { - if (!initPromise) { - initPromise = await __wbg_init( - buildModuleUrl( - "ThirdParty/cesium-gsplat/cesiumjs_gsplat_utils_bg.wasm", - ), - ) - .then((wasm) => { - wasmInitialized = true; - initSync(wasm); - wasmMod = wasm; - }) - .catch((err) => { - console.error("Failed to initialize WASM module:", err); - throw err; - }); - } - return initPromise; - } - - if (!wasmMod) { - ensureWasmInitialized(); - } - - if (!wasmInitialized) { - return; - } - - const attributes = primitive.attributes; - const modelView = new Matrix4(); - const modelMat = renderResources.model.modelMatrix; - Matrix4.multiply(cam.viewMatrix, modelMat, modelView); - - initSync(wasmMod); - - const posAttr = attributes.find((a) => a.name === "POSITION"); - const scaleAttr = attributes.find((a) => a.name === "_SCALE"); - const rotAttr = attributes.find((a) => a.name === "_ROTATION"); - const clrAttr = attributes.find((a) => a.name === "COLOR_0"); - - timer.start(); - const gsData = GSplatData.fromFloat32Arrays( - posAttr.typedArray, - scaleAttr.typedArray, - rotAttr.typedArray, - clrAttr.typedArray, - modelView, - renderResources.count, - ); - - radix_sort_simd(gsData); - - posAttr.typedArray = gsData.getPositions(); - scaleAttr.typedArray = gsData.getScales(); - rotAttr.typedArray = gsData.getRotations(); - clrAttr.typedArray = gsData.getColors(); - timer.end(); - - const rExecTime = timer.getExecutionTime(); - console.log(`RadixSort Execution time: ${rExecTime.milliseconds}ms`); - - GaussianSplatTextureGenerator.generateFromAttrs( - primitive.attributes, - primitive.attributes[0].count, - ).then((splatTextureData) => { - const splatTex = new Texture({ - context: frameState.context, - source: { - width: splatTextureData.width, - height: splatTextureData.height, - arrayBufferView: splatTextureData.data, - }, - preMultiplyAlpha: false, - skipColorSpaceConversion: true, - pixelFormat: PixelFormat.RGBA_INTEGER, - pixelDatatype: PixelDatatype.UNSIGNED_INT, - flipY: false, - sampler: Sampler.NEAREST, - }); - primitive.gaussianSplatTexture = splatTex; - primitive.hasGaussianSplatTexture = true; - }); - }; - - const useWasm = false; - if (useWasm) { - timer.start(); - radixWasm(); - - timer.end(); - - timer.start(); - radixWasmSimd(); - - timer.end(); - - timer.start(); - radixSimdWasmTexture(); - timer.end(); - } - renderResources.instanceCount = renderResources.count; renderResources.count = 4; renderResources.primitiveType = PrimitiveType.TRIANGLE_STRIP; diff --git a/packages/engine/Source/Scene/Model/GeoJsonLoader.js b/packages/engine/Source/Scene/Model/GeoJsonLoader.js index 5bc67d5ec9ca..092962cd10f5 100644 --- a/packages/engine/Source/Scene/Model/GeoJsonLoader.js +++ b/packages/engine/Source/Scene/Model/GeoJsonLoader.js @@ -272,7 +272,7 @@ function createLinesPrimitive(features, toLocal, frameState) { const featureIdsTypedArray = new Float32Array(vertexCount); const indicesTypedArray = IndexDatatype.createTypedArray( vertexCount, - indexCount + indexCount, ); const indexDatatype = IndexDatatype.fromTypedArray(indicesTypedArray); @@ -280,13 +280,13 @@ function createLinesPrimitive(features, toLocal, frameState) { const localMin = new Cartesian3( Number.POSITIVE_INFINITY, Number.POSITIVE_INFINITY, - Number.POSITIVE_INFINITY + Number.POSITIVE_INFINITY, ); const localMax = new Cartesian3( Number.NEGATIVE_INFINITY, Number.NEGATIVE_INFINITY, - Number.NEGATIVE_INFINITY + Number.NEGATIVE_INFINITY, ); let vertexCounter = 0; @@ -310,12 +310,12 @@ function createLinesPrimitive(features, toLocal, frameState) { cartographic.y, cartographic.z, Ellipsoid.WGS84, - scratchCartesian + scratchCartesian, ); const localCartesian = Matrix4.multiplyByPoint( toLocal, globalCartesian, - scratchCartesian + scratchCartesian, ); Cartesian3.minimumByComponent(localMin, localCartesian, localMin); @@ -426,13 +426,13 @@ function createPointsPrimitive(features, toLocal, frameState) { const localMin = new Cartesian3( Number.POSITIVE_INFINITY, Number.POSITIVE_INFINITY, - Number.POSITIVE_INFINITY + Number.POSITIVE_INFINITY, ); const localMax = new Cartesian3( Number.NEGATIVE_INFINITY, Number.NEGATIVE_INFINITY, - Number.NEGATIVE_INFINITY + Number.NEGATIVE_INFINITY, ); let vertexCounter = 0; @@ -452,12 +452,12 @@ function createPointsPrimitive(features, toLocal, frameState) { cartographic.y, cartographic.z, Ellipsoid.WGS84, - scratchCartesian + scratchCartesian, ); const localCartesian = Matrix4.multiplyByPoint( toLocal, globalCartesian, - scratchCartesian + scratchCartesian, ); Cartesian3.minimumByComponent(localMin, localCartesian, localMin); @@ -548,7 +548,7 @@ function parse(geoJson, frameState) { const feature = features[i]; const featureProperties = defaultValue( feature.properties, - defaultValue.EMPTY_OBJECT + defaultValue.EMPTY_OBJECT, ); for (const propertyId in featureProperties) { if (featureProperties.hasOwnProperty(propertyId)) { @@ -593,13 +593,13 @@ function parse(geoJson, frameState) { const cartographicMin = new Cartesian3( Number.POSITIVE_INFINITY, Number.POSITIVE_INFINITY, - Number.POSITIVE_INFINITY + Number.POSITIVE_INFINITY, ); const cartographicMax = new Cartesian3( Number.NEGATIVE_INFINITY, Number.NEGATIVE_INFINITY, - Number.NEGATIVE_INFINITY + Number.NEGATIVE_INFINITY, ); let hasLines = false; @@ -617,12 +617,12 @@ function parse(geoJson, frameState) { Cartesian3.minimumByComponent( cartographicMin, line[k], - cartographicMin + cartographicMin, ); Cartesian3.maximumByComponent( cartographicMax, line[k], - cartographicMax + cartographicMax, ); } } @@ -643,19 +643,19 @@ function parse(geoJson, frameState) { const cartographicCenter = Cartesian3.midpoint( cartographicMin, cartographicMax, - new Cartesian3() + new Cartesian3(), ); const ecefCenter = Cartesian3.fromDegrees( cartographicCenter.x, cartographicCenter.y, cartographicCenter.z, Ellipsoid.WGS84, - new Cartesian3() + new Cartesian3(), ); const toGlobal = Transforms.eastNorthUpToFixedFrame( ecefCenter, Ellipsoid.WGS84, - new Matrix4() + new Matrix4(), ); const toLocal = Matrix4.inverseTransformation(toGlobal, new Matrix4()); diff --git a/packages/engine/Source/Scene/Model/GeometryPipelineStage.js b/packages/engine/Source/Scene/Model/GeometryPipelineStage.js index e90eb0cf68ed..44c51c673bd3 100644 --- a/packages/engine/Source/Scene/Model/GeometryPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GeometryPipelineStage.js @@ -140,7 +140,8 @@ GeometryPipelineStage.process = function ( (primitive?.isGaussianSplatPrimitive ?? false) && model.enableShowGaussianSplatting; if (gaussianSplatsEnabled) { - const showSplats = model.showGaussianSplatting; + const showSplats = + model?.style?.showGaussianSplatting ?? model.showGaussianSplatting; primitive.attributes.find((a) => a.name === "POSITION").instanceDivisor = showSplats ? 1 : 0; primitive.attributes.find((a) => a.name === "_SCALE").instanceDivisor = diff --git a/packages/engine/Source/Scene/Model/I3dmLoader.js b/packages/engine/Source/Scene/Model/I3dmLoader.js index 0f9457624419..8328628bd150 100644 --- a/packages/engine/Source/Scene/Model/I3dmLoader.js +++ b/packages/engine/Source/Scene/Model/I3dmLoader.js @@ -79,17 +79,17 @@ function I3dmLoader(options) { const asynchronous = defaultValue(options.asynchronous, true); const incrementallyLoadTextures = defaultValue( options.incrementallyLoadTextures, - true + true, ); const upAxis = defaultValue(options.upAxis, Axis.Y); const forwardAxis = defaultValue(options.forwardAxis, Axis.X); const loadAttributesAsTypedArray = defaultValue( options.loadAttributesAsTypedArray, - false + false, ); const loadIndicesForWireframe = defaultValue( options.loadIndicesForWireframe, - false + false, ); const loadPrimitiveOutline = defaultValue(options.loadPrimitiveOutline, true); const enablePick = defaultValue(options.enablePick, false); @@ -207,7 +207,7 @@ I3dmLoader.prototype.load = function () { // Generate the feature table. const featureTable = new Cesium3DTileFeatureTable( featureTableJson, - featureTableBinary + featureTableBinary, ); this._featureTable = featureTable; @@ -216,7 +216,7 @@ I3dmLoader.prototype.load = function () { featureTable.featuresLength = instancesLength; if (!defined(instancesLength)) { throw new RuntimeError( - "Feature table global property: INSTANCES_LENGTH must be defined" + "Feature table global property: INSTANCES_LENGTH must be defined", ); } this._instancesLength = instancesLength; @@ -225,7 +225,7 @@ I3dmLoader.prototype.load = function () { const rtcCenter = featureTable.getGlobalProperty( "RTC_CENTER", ComponentDatatype.FLOAT, - 3 + 3, ); if (defined(rtcCenter)) { this._transform = Matrix4.fromTranslation(Cartesian3.fromArray(rtcCenter)); @@ -324,7 +324,7 @@ I3dmLoader.prototype.process = function (frameState) { components.transform = Matrix4.multiplyTransformation( this._transform, components.transform, - components.transform + components.transform, ); createInstances(this, components, frameState); @@ -385,7 +385,7 @@ function createInstances(loader, components, frameState) { const rtcCenter = featureTable.getGlobalProperty( "RTC_CENTER", ComponentDatatype.FLOAT, - 3 + 3, ); const eastNorthUp = featureTable.getGlobalProperty("EAST_NORTH_UP"); @@ -438,7 +438,7 @@ function createInstances(loader, components, frameState) { Cartesian3.subtract( instancePositions[i], positionBoundingSphere.center, - positionScratch + positionScratch, ); translationTypedArray[3 * i + 0] = positionScratch.x; @@ -449,7 +449,7 @@ function createInstances(loader, components, frameState) { // Set the center of the bounding sphere as the RTC center transform. const centerTransform = Matrix4.fromTranslation( positionBoundingSphere.center, - transformScratch + transformScratch, ); // Combine the center transform and the CESIUM_RTC transform from the glTF. @@ -458,7 +458,7 @@ function createInstances(loader, components, frameState) { components.transform = Matrix4.multiplyTransformation( centerTransform, components.transform, - components.transform + components.transform, ); } @@ -470,7 +470,7 @@ function createInstances(loader, components, frameState) { Cartesian3.add( instancePosition, Cartesian3.unpack(rtcCenter), - instancePosition + instancePosition, ); } @@ -486,7 +486,7 @@ function createInstances(loader, components, frameState) { instanceNormalRight, instanceNormalForward, instanceRotation, - instanceTransform + instanceTransform, ); Quaternion.pack(instanceQuaternion, instanceQuaternionArray, 0); rotationTypedArray[4 * i + 0] = instanceQuaternionArray[0]; @@ -509,7 +509,7 @@ function createInstances(loader, components, frameState) { "BATCH_ID", ComponentDatatype.UNSIGNED_SHORT, 1, - i + i, ); if (!defined(batchId)) { // If BATCH_ID semantic is undefined, batchId is just the instance number @@ -676,35 +676,35 @@ function getPositions(featureTable, instancesLength) { return featureTable.getPropertyArray( "POSITION", ComponentDatatype.FLOAT, - 3 + 3, ); } else if (featureTable.hasProperty("POSITION_QUANTIZED")) { // Handle quantized positions. const quantizedPositions = featureTable.getPropertyArray( "POSITION_QUANTIZED", ComponentDatatype.UNSIGNED_SHORT, - 3 + 3, ); const quantizedVolumeOffset = featureTable.getGlobalProperty( "QUANTIZED_VOLUME_OFFSET", ComponentDatatype.FLOAT, - 3 + 3, ); if (!defined(quantizedVolumeOffset)) { throw new RuntimeError( - "Global property: QUANTIZED_VOLUME_OFFSET must be defined for quantized positions." + "Global property: QUANTIZED_VOLUME_OFFSET must be defined for quantized positions.", ); } const quantizedVolumeScale = featureTable.getGlobalProperty( "QUANTIZED_VOLUME_SCALE", ComponentDatatype.FLOAT, - 3 + 3, ); if (!defined(quantizedVolumeScale)) { throw new RuntimeError( - "Global property: QUANTIZED_VOLUME_SCALE must be defined for quantized positions." + "Global property: QUANTIZED_VOLUME_SCALE must be defined for quantized positions.", ); } @@ -723,7 +723,7 @@ function getPositions(featureTable, instancesLength) { // eslint-disable-next-line no-else-return } else { throw new RuntimeError( - "Either POSITION or POSITION_QUANTIZED must be defined for each instance." + "Either POSITION or POSITION_QUANTIZED must be defined for each instance.", ); } } @@ -739,7 +739,7 @@ function processRotation( instanceNormalRight, instanceNormalForward, instanceRotation, - instanceTransform + instanceTransform, ) { // Get the instance rotation const normalUp = featureTable.getProperty( @@ -747,20 +747,20 @@ function processRotation( ComponentDatatype.FLOAT, 3, i, - propertyScratch1 + propertyScratch1, ); const normalRight = featureTable.getProperty( "NORMAL_RIGHT", ComponentDatatype.FLOAT, 3, i, - propertyScratch2 + propertyScratch2, ); let hasCustomOrientation = false; if (defined(normalUp)) { if (!defined(normalRight)) { throw new RuntimeError( - "To define a custom orientation, both NORMAL_UP and NORMAL_RIGHT must be defined." + "To define a custom orientation, both NORMAL_UP and NORMAL_RIGHT must be defined.", ); } Cartesian3.unpack(normalUp, 0, instanceNormalUp); @@ -772,39 +772,39 @@ function processRotation( ComponentDatatype.UNSIGNED_SHORT, 2, i, - propertyScratch1 + propertyScratch1, ); const octNormalRight = featureTable.getProperty( "NORMAL_RIGHT_OCT32P", ComponentDatatype.UNSIGNED_SHORT, 2, i, - propertyScratch2 + propertyScratch2, ); if (defined(octNormalUp)) { if (!defined(octNormalRight)) { throw new RuntimeError( - "To define a custom orientation with oct-encoded vectors, both NORMAL_UP_OCT32P and NORMAL_RIGHT_OCT32P must be defined." + "To define a custom orientation with oct-encoded vectors, both NORMAL_UP_OCT32P and NORMAL_RIGHT_OCT32P must be defined.", ); } AttributeCompression.octDecodeInRange( octNormalUp[0], octNormalUp[1], 65535, - instanceNormalUp + instanceNormalUp, ); AttributeCompression.octDecodeInRange( octNormalRight[0], octNormalRight[1], 65535, - instanceNormalRight + instanceNormalRight, ); hasCustomOrientation = true; } else if (eastNorthUp) { Transforms.eastNorthUpToFixedFrame( instancePosition, Ellipsoid.WGS84, - instanceTransform + instanceTransform, ); Matrix4.getMatrix3(instanceTransform, instanceRotation); } else { @@ -815,21 +815,21 @@ function processRotation( Cartesian3.cross( instanceNormalRight, instanceNormalUp, - instanceNormalForward + instanceNormalForward, ); Cartesian3.normalize(instanceNormalForward, instanceNormalForward); Matrix3.setColumn( instanceRotation, 0, instanceNormalRight, - instanceRotation + instanceRotation, ); Matrix3.setColumn(instanceRotation, 1, instanceNormalUp, instanceRotation); Matrix3.setColumn( instanceRotation, 2, instanceNormalForward, - instanceRotation + instanceRotation, ); } Quaternion.fromRotationMatrix(instanceRotation, instanceQuaternion); @@ -841,7 +841,7 @@ function processScale(featureTable, i, instanceScale) { "SCALE", ComponentDatatype.FLOAT, 1, - i + i, ); if (defined(scale)) { Cartesian3.multiplyByScalar(instanceScale, scale, instanceScale); @@ -851,7 +851,7 @@ function processScale(featureTable, i, instanceScale) { ComponentDatatype.FLOAT, 3, i, - propertyScratch1 + propertyScratch1, ); if (defined(nonUniformScale)) { instanceScale.x *= nonUniformScale[0]; diff --git a/packages/engine/Source/Scene/Model/ImageBasedLightingPipelineStage.js b/packages/engine/Source/Scene/Model/ImageBasedLightingPipelineStage.js index 3b11475908d8..a1a6deb9a285 100644 --- a/packages/engine/Source/Scene/Model/ImageBasedLightingPipelineStage.js +++ b/packages/engine/Source/Scene/Model/ImageBasedLightingPipelineStage.js @@ -18,7 +18,7 @@ const ImageBasedLightingPipelineStage = { ImageBasedLightingPipelineStage.process = function ( renderResources, model, - frameState + frameState, ) { const imageBasedLighting = model.imageBasedLighting; const shaderBuilder = renderResources.shaderBuilder; @@ -26,12 +26,12 @@ ImageBasedLightingPipelineStage.process = function ( shaderBuilder.addDefine( "USE_IBL_LIGHTING", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addUniform( "vec2", "model_iblFactor", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); if (SpecularEnvironmentCubeMap.isSupported(frameState.context)) { @@ -43,7 +43,7 @@ ImageBasedLightingPipelineStage.process = function ( shaderBuilder.addUniform( "mat3", "model_iblReferenceFrameMatrix", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); } @@ -51,23 +51,23 @@ ImageBasedLightingPipelineStage.process = function ( shaderBuilder.addDefine( "DIFFUSE_IBL", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addDefine( "CUSTOM_SPHERICAL_HARMONICS", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addUniform( "vec3", "model_sphericalHarmonicCoefficients[9]", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); } else if (imageBasedLighting.useDefaultSphericalHarmonics) { shaderBuilder.addDefine( "DIFFUSE_IBL", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); } @@ -78,28 +78,28 @@ ImageBasedLightingPipelineStage.process = function ( shaderBuilder.addDefine( "SPECULAR_IBL", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addDefine( "CUSTOM_SPECULAR_IBL", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addUniform( "samplerCube", "model_specularEnvironmentMaps", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addUniform( "float", "model_specularEnvironmentMapsMaximumLOD", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); } else if (model.useDefaultSpecularMaps) { shaderBuilder.addDefine( "SPECULAR_IBL", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); } } @@ -108,12 +108,12 @@ ImageBasedLightingPipelineStage.process = function ( shaderBuilder.addDefine( "USE_SUN_LUMINANCE", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addUniform( "float", "model_luminanceAtZenith", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); } diff --git a/packages/engine/Source/Scene/Model/InstancingPipelineStage.js b/packages/engine/Source/Scene/Model/InstancingPipelineStage.js index f1c209285b93..682d2904cd5d 100644 --- a/packages/engine/Source/Scene/Model/InstancingPipelineStage.js +++ b/packages/engine/Source/Scene/Model/InstancingPipelineStage.js @@ -83,14 +83,14 @@ InstancingPipelineStage.process = function (renderResources, node, frameState) { instances, instancingVertexAttributes, use2D, - keepTypedArray + keepTypedArray, ); processFeatureIdAttributes( renderResources, frameState, instances, - instancingVertexAttributes + instancingVertexAttributes, ); const uniformMap = {}; @@ -99,17 +99,17 @@ InstancingPipelineStage.process = function (renderResources, node, frameState) { shaderBuilder.addDefine( "USE_LEGACY_INSTANCING", undefined, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); shaderBuilder.addUniform( "mat4", "u_instance_modifiedModelView", - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); shaderBuilder.addUniform( "mat4", "u_instance_nodeTransform", - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); // The i3dm format applies the instancing transforms in world space. @@ -132,7 +132,7 @@ InstancingPipelineStage.process = function (renderResources, node, frameState) { // For i3dm models, components.transform contains the RTC_CENTER // translation. sceneGraph.components.transform, - modelViewScratch + modelViewScratch, ); if (use2D) { @@ -144,7 +144,7 @@ InstancingPipelineStage.process = function (renderResources, node, frameState) { return Matrix4.multiplyTransformation( frameState.context.uniformState.view3D, modifiedModelMatrix, - modelViewScratch + modelViewScratch, ); } @@ -154,7 +154,7 @@ InstancingPipelineStage.process = function (renderResources, node, frameState) { modifiedModelMatrix = Transforms.basisTo2D( frameState.mapProjection, modifiedModelMatrix, - modelViewScratch + modelViewScratch, ); } @@ -162,7 +162,7 @@ InstancingPipelineStage.process = function (renderResources, node, frameState) { return Matrix4.multiplyTransformation( frameState.context.uniformState.view, modifiedModelMatrix, - modelViewScratch + modelViewScratch, ); }; @@ -174,7 +174,7 @@ InstancingPipelineStage.process = function (renderResources, node, frameState) { // This transforms from the node's coordinate system to the root // of the node hierarchy runtimeNode.computedTransform, - nodeTransformScratch + nodeTransformScratch, ); }; @@ -187,7 +187,7 @@ InstancingPipelineStage.process = function (renderResources, node, frameState) { shaderBuilder.addDefine( "USE_2D_INSTANCING", undefined, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); shaderBuilder.addUniform("mat4", "u_modelView2D", ShaderDestination.VERTEX); @@ -195,14 +195,14 @@ InstancingPipelineStage.process = function (renderResources, node, frameState) { const context = frameState.context; const modelMatrix2D = Matrix4.fromTranslation( runtimeNode.instancingReferencePoint2D, - new Matrix4() + new Matrix4(), ); uniformMap.u_modelView2D = function () { return Matrix4.multiplyTransformation( context.uniformState.view, modelMatrix2D, - modelView2DScratch + modelView2DScratch, ); }; } @@ -212,7 +212,7 @@ InstancingPipelineStage.process = function (renderResources, node, frameState) { renderResources.instanceCount = count; renderResources.attributes.push.apply( renderResources.attributes, - instancingVertexAttributes + instancingVertexAttributes, ); }; @@ -224,24 +224,24 @@ function projectTransformTo2D( modelMatrix, nodeTransform, frameState, - result + result, ) { let projectedTransform = Matrix4.multiplyTransformation( modelMatrix, transform, - projectedTransformScratch + projectedTransformScratch, ); projectedTransform = Matrix4.multiplyTransformation( projectedTransform, nodeTransform, - projectedTransformScratch + projectedTransformScratch, ); result = Transforms.basisTo2D( frameState.mapProjection, projectedTransform, - result + result, ); return result; @@ -252,34 +252,34 @@ function projectPositionTo2D( modelMatrix, nodeTransform, frameState, - result + result, ) { const translationMatrix = Matrix4.fromTranslation( position, - projectedTransformScratch + projectedTransformScratch, ); let projectedTransform = Matrix4.multiplyTransformation( modelMatrix, translationMatrix, - projectedTransformScratch + projectedTransformScratch, ); projectedTransform = Matrix4.multiplyTransformation( projectedTransform, nodeTransform, - projectedTransformScratch + projectedTransformScratch, ); const finalPosition = Matrix4.getTranslation( projectedTransform, - projectedPositionScratch + projectedPositionScratch, ); result = SceneTransforms.computeActualEllipsoidPosition( frameState, finalPosition, - result + result, ); return result; @@ -288,7 +288,7 @@ function projectPositionTo2D( function getModelMatrixAndNodeTransform( renderResources, modelMatrix, - nodeComputedTransform + nodeComputedTransform, ) { const model = renderResources.model; const sceneGraph = model.sceneGraph; @@ -299,13 +299,13 @@ function getModelMatrixAndNodeTransform( modelMatrix = Matrix4.multiplyTransformation( model.modelMatrix, sceneGraph.components.transform, - modelMatrix + modelMatrix, ); nodeComputedTransform = Matrix4.multiplyTransformation( sceneGraph.axisCorrectionMatrix, renderResources.runtimeNode.computedTransform, - nodeComputedTransform + nodeComputedTransform, ); } else { // The node transform should be pre-multiplied with the instancing transform. @@ -313,12 +313,12 @@ function getModelMatrixAndNodeTransform( modelMatrix = Matrix4.multiplyTransformation( modelMatrix, renderResources.runtimeNode.computedTransform, - modelMatrix + modelMatrix, ); nodeComputedTransform = Matrix4.clone( Matrix4.IDENTITY, - nodeComputedTransform + nodeComputedTransform, ); } } @@ -332,7 +332,7 @@ function projectTransformsTo2D( transforms, renderResources, frameState, - result + result, ) { const modelMatrix = modelMatrixScratch; const nodeComputedTransform = nodeComputedTransformScratch; @@ -340,7 +340,7 @@ function projectTransformsTo2D( getModelMatrixAndNodeTransform( renderResources, modelMatrix, - nodeComputedTransform + nodeComputedTransform, ); const runtimeNode = renderResources.runtimeNode; @@ -355,24 +355,24 @@ function projectTransformsTo2D( modelMatrix, nodeComputedTransform, frameState, - transformScratch + transformScratch, ); const position = Matrix4.getTranslation( projectedTransform, - positionScratch + positionScratch, ); const finalTranslation = Cartesian3.subtract( position, referencePoint, - position + position, ); result[i] = Matrix4.setTranslation( projectedTransform, finalTranslation, - result[i] + result[i], ); } @@ -383,7 +383,7 @@ function projectTranslationsTo2D( translations, renderResources, frameState, - result + result, ) { const modelMatrix = modelMatrixScratch; const nodeComputedTransform = nodeComputedTransformScratch; @@ -391,7 +391,7 @@ function projectTranslationsTo2D( getModelMatrixAndNodeTransform( renderResources, modelMatrix, - nodeComputedTransform + nodeComputedTransform, ); const runtimeNode = renderResources.runtimeNode; @@ -405,13 +405,13 @@ function projectTranslationsTo2D( modelMatrix, nodeComputedTransform, frameState, - translation + translation, ); result[i] = Cartesian3.subtract( projectedPosition, referencePoint, - result[i] + result[i], ); } @@ -429,32 +429,32 @@ function computeReferencePoint2D(renderResources, frameState) { const transformedPositionMin = Matrix4.multiplyByPoint( modelMatrix, runtimeNode.instancingTranslationMin, - scratchProjectedMin + scratchProjectedMin, ); const projectedMin = SceneTransforms.computeActualEllipsoidPosition( frameState, transformedPositionMin, - transformedPositionMin + transformedPositionMin, ); const transformedPositionMax = Matrix4.multiplyByPoint( modelMatrix, runtimeNode.instancingTranslationMax, - scratchProjectedMax + scratchProjectedMax, ); const projectedMax = SceneTransforms.computeActualEllipsoidPosition( frameState, transformedPositionMax, - transformedPositionMax + transformedPositionMax, ); runtimeNode.instancingReferencePoint2D = Cartesian3.lerp( projectedMin, projectedMax, 0.5, - new Cartesian3() + new Cartesian3(), ); } @@ -510,26 +510,26 @@ function getInstanceTransformsAsMatrices(instances, count, renderResources) { const translationAttribute = ModelUtility.getAttributeBySemantic( instances, - InstanceAttributeSemantic.TRANSLATION + InstanceAttributeSemantic.TRANSLATION, ); const rotationAttribute = ModelUtility.getAttributeBySemantic( instances, - InstanceAttributeSemantic.ROTATION + InstanceAttributeSemantic.ROTATION, ); const scaleAttribute = ModelUtility.getAttributeBySemantic( instances, - InstanceAttributeSemantic.SCALE + InstanceAttributeSemantic.SCALE, ); const instancingTranslationMax = new Cartesian3( -Number.MAX_VALUE, -Number.MAX_VALUE, - -Number.MAX_VALUE + -Number.MAX_VALUE, ); const instancingTranslationMin = new Cartesian3( Number.MAX_VALUE, Number.MAX_VALUE, - Number.MAX_VALUE + Number.MAX_VALUE, ); const hasTranslation = defined(translationAttribute); @@ -553,7 +553,7 @@ function getInstanceTransformsAsMatrices(instances, count, renderResources) { rotationTypedArray, rotationAttribute.componentDatatype, rotationAttribute.type, - count + count, ); } @@ -571,18 +571,18 @@ function getInstanceTransformsAsMatrices(instances, count, renderResources) { translationTypedArray[i * 3], translationTypedArray[i * 3 + 1], translationTypedArray[i * 3 + 2], - translationScratch + translationScratch, ); Cartesian3.maximumByComponent( instancingTranslationMax, translation, - instancingTranslationMax + instancingTranslationMax, ); Cartesian3.minimumByComponent( instancingTranslationMin, translation, - instancingTranslationMin + instancingTranslationMin, ); const rotation = new Quaternion( @@ -590,21 +590,21 @@ function getInstanceTransformsAsMatrices(instances, count, renderResources) { rotationTypedArray[i * 4 + 1], rotationTypedArray[i * 4 + 2], hasRotation ? rotationTypedArray[i * 4 + 3] : 1, - rotationScratch + rotationScratch, ); const scale = new Cartesian3( scaleTypedArray[i * 3], scaleTypedArray[i * 3 + 1], scaleTypedArray[i * 3 + 2], - scaleScratch + scaleScratch, ); const transform = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, scale, - new Matrix4() + new Matrix4(), ); transforms[i] = transform; @@ -632,7 +632,7 @@ function getInstanceTransformsAsMatrices(instances, count, renderResources) { function getInstanceTranslationsAsCartesian3s( translationAttribute, count, - renderResources + renderResources, ) { const instancingTranslations = new Array(count); const translationTypedArray = translationAttribute.typedArray; @@ -640,19 +640,19 @@ function getInstanceTranslationsAsCartesian3s( const instancingTranslationMin = new Cartesian3( Number.MAX_VALUE, Number.MAX_VALUE, - Number.MAX_VALUE + Number.MAX_VALUE, ); const instancingTranslationMax = new Cartesian3( -Number.MAX_VALUE, -Number.MAX_VALUE, - -Number.MAX_VALUE + -Number.MAX_VALUE, ); for (let i = 0; i < count; i++) { const translation = new Cartesian3( translationTypedArray[i * 3], translationTypedArray[i * 3 + 1], - translationTypedArray[i * 3 + 2] + translationTypedArray[i * 3 + 2], ); instancingTranslations[i] = translation; @@ -660,12 +660,12 @@ function getInstanceTranslationsAsCartesian3s( Cartesian3.minimumByComponent( instancingTranslationMin, translation, - instancingTranslationMin + instancingTranslationMin, ); Cartesian3.maximumByComponent( instancingTranslationMax, translation, - instancingTranslationMax + instancingTranslationMax, ); } @@ -700,11 +700,11 @@ function processTransformAttributes( instances, instancingVertexAttributes, use2D, - keepTypedArray + keepTypedArray, ) { const rotationAttribute = ModelUtility.getAttributeBySemantic( instances, - InstanceAttributeSemantic.ROTATION + InstanceAttributeSemantic.ROTATION, ); // Only use matrices for the transforms if the rotation attribute is defined. @@ -715,7 +715,7 @@ function processTransformAttributes( instancingVertexAttributes, frameState, use2D, - keepTypedArray + keepTypedArray, ); } else { processTransformVec3Attributes( @@ -723,7 +723,7 @@ function processTransformAttributes( instances, instancingVertexAttributes, frameState, - use2D + use2D, ); } } @@ -734,7 +734,7 @@ function processTransformMatrixAttributes( instancingVertexAttributes, frameState, use2D, - keepTypedArray + keepTypedArray, ) { const shaderBuilder = renderResources.shaderBuilder; const count = instances.attributes[0].count; @@ -753,7 +753,7 @@ function processTransformMatrixAttributes( transforms = getInstanceTransformsAsMatrices( instances, count, - renderResources + renderResources, ); const transformsTypedArray = transformsToTypedArray(transforms); @@ -771,7 +771,7 @@ function processTransformMatrixAttributes( renderResources, buffer, instancingVertexAttributes, - attributeString + attributeString, ); if (!use2D) { @@ -795,7 +795,7 @@ function processTransformMatrixAttributes( transforms, renderResources, frameStateCV, - transforms + transforms, ); const projectedTypedArray = transformsToTypedArray(projectedTransforms); @@ -812,7 +812,7 @@ function processTransformMatrixAttributes( renderResources, buffer2D, instancingVertexAttributes, - attributeString2D + attributeString2D, ); } @@ -822,17 +822,17 @@ function processTransformVec3Attributes( instancingVertexAttributes, frameState, use2D, - keepTypedArray + keepTypedArray, ) { const shaderBuilder = renderResources.shaderBuilder; const runtimeNode = renderResources.runtimeNode; const translationAttribute = ModelUtility.getAttributeBySemantic( instances, - InstanceAttributeSemantic.TRANSLATION + InstanceAttributeSemantic.TRANSLATION, ); const scaleAttribute = ModelUtility.getAttributeBySemantic( instances, - InstanceAttributeSemantic.SCALE + InstanceAttributeSemantic.SCALE, ); if (defined(scaleAttribute)) { @@ -846,7 +846,7 @@ function processTransformVec3Attributes( scaleAttribute.byteOffset, scaleAttribute.byteStride, instancingVertexAttributes, - attributeString + attributeString, ); } @@ -863,7 +863,7 @@ function processTransformVec3Attributes( instancingTranslations = getInstanceTranslationsAsCartesian3s( translationAttribute, translationAttribute.count, - renderResources + renderResources, ); } else if (!defined(runtimeNode.instancingTranslationMin)) { runtimeNode.instancingTranslationMin = translationAttribute.min; @@ -879,7 +879,7 @@ function processTransformVec3Attributes( translationAttribute.byteOffset, translationAttribute.byteStride, instancingVertexAttributes, - attributeString + attributeString, ); if (!use2D && !keepTypedArray) { @@ -904,7 +904,7 @@ function processTransformVec3Attributes( instancingTranslations, renderResources, frameStateCV, - instancingTranslations + instancingTranslations, ); const projectedTypedArray = translationsToTypedArray(projectedTranslations); @@ -934,7 +934,7 @@ function processTransformVec3Attributes( byteOffset, byteStride, instancingVertexAttributes, - attributeString2D + attributeString2D, ); } @@ -942,11 +942,11 @@ function processMatrixAttributes( renderResources, buffer, instancingVertexAttributes, - attributeString + attributeString, ) { const vertexSizeInFloats = 12; const componentByteSize = ComponentDatatype.getSizeInBytes( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); const strideInBytes = componentByteSize * vertexSizeInFloats; @@ -990,7 +990,7 @@ function processMatrixAttributes( instancingVertexAttributes.push.apply( instancingVertexAttributes, - matrixAttributes + matrixAttributes, ); } @@ -1000,7 +1000,7 @@ function processVec3Attribute( byteOffset, byteStride, instancingVertexAttributes, - attributeString + attributeString, ) { instancingVertexAttributes.push({ index: renderResources.attributeIndex++, @@ -1021,7 +1021,7 @@ function processFeatureIdAttributes( renderResources, frameState, instances, - instancingVertexAttributes + instancingVertexAttributes, ) { const attributes = instances.attributes; const shaderBuilder = renderResources.shaderBuilder; @@ -1042,7 +1042,7 @@ function processFeatureIdAttributes( index: renderResources.attributeIndex++, vertexBuffer: attribute.buffer, componentsPerAttribute: AttributeType.getNumberOfComponents( - attribute.type + attribute.type, ), componentDatatype: attribute.componentDatatype, normalize: false, @@ -1053,7 +1053,7 @@ function processFeatureIdAttributes( shaderBuilder.addAttribute( "float", - `a_instanceFeatureId_${attribute.setIndex}` + `a_instanceFeatureId_${attribute.setIndex}`, ); } } diff --git a/packages/engine/Source/Scene/Model/LightingPipelineStage.js b/packages/engine/Source/Scene/Model/LightingPipelineStage.js index a2f466484ee4..97a01eded645 100644 --- a/packages/engine/Source/Scene/Model/LightingPipelineStage.js +++ b/packages/engine/Source/Scene/Model/LightingPipelineStage.js @@ -34,13 +34,13 @@ LightingPipelineStage.process = function (renderResources, primitive) { shaderBuilder.addDefine( "USE_CUSTOM_LIGHT_COLOR", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addUniform( "vec3", "model_lightColorHdr", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); const uniformMap = renderResources.uniformMap; @@ -57,13 +57,13 @@ LightingPipelineStage.process = function (renderResources, primitive) { shaderBuilder.addDefine( "LIGHTING_PBR", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); } else { shaderBuilder.addDefine( "LIGHTING_UNLIT", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); } diff --git a/packages/engine/Source/Scene/Model/MaterialPipelineStage.js b/packages/engine/Source/Scene/Model/MaterialPipelineStage.js index f251f3851d4e..577741aae74b 100644 --- a/packages/engine/Source/Scene/Model/MaterialPipelineStage.js +++ b/packages/engine/Source/Scene/Model/MaterialPipelineStage.js @@ -12,13 +12,8 @@ import VertexAttributeSemantic from "../VertexAttributeSemantic.js"; import LightingModel from "./LightingModel.js"; import ModelUtility from "./ModelUtility.js"; -const { - Material, - MetallicRoughness, - SpecularGlossiness, - Specular, - Clearcoat, -} = ModelComponents; +const { Material, MetallicRoughness, SpecularGlossiness, Specular, Clearcoat } = + ModelComponents; /** * The material pipeline stage processes textures and other uniforms needed @@ -59,7 +54,7 @@ const MaterialPipelineStage = { MaterialPipelineStage.process = function ( renderResources, primitive, - frameState + frameState, ) { // gltf-pipeline automatically creates a default material so this will always // be defined. @@ -72,11 +67,8 @@ MaterialPipelineStage.process = function ( const disableTextures = hasClassification; // When textures are loaded incrementally, fall back to a default 1x1 texture - const { - defaultTexture, - defaultNormalTexture, - defaultEmissiveTexture, - } = frameState.context; + const { defaultTexture, defaultNormalTexture, defaultEmissiveTexture } = + frameState.context; processMaterialUniforms( material, @@ -85,7 +77,7 @@ MaterialPipelineStage.process = function ( defaultTexture, defaultNormalTexture, defaultEmissiveTexture, - disableTextures + disableTextures, ); if (defined(material.specularGlossiness)) { @@ -94,7 +86,7 @@ MaterialPipelineStage.process = function ( uniformMap, shaderBuilder, defaultTexture, - disableTextures + disableTextures, ); } else { if ( @@ -106,7 +98,7 @@ MaterialPipelineStage.process = function ( uniformMap, shaderBuilder, defaultTexture, - disableTextures + disableTextures, ); } if ( @@ -118,7 +110,7 @@ MaterialPipelineStage.process = function ( uniformMap, shaderBuilder, defaultTexture, - disableTextures + disableTextures, ); } if ( @@ -130,7 +122,7 @@ MaterialPipelineStage.process = function ( uniformMap, shaderBuilder, defaultTexture, - disableTextures + disableTextures, ); } processMetallicRoughnessUniforms( @@ -138,14 +130,14 @@ MaterialPipelineStage.process = function ( uniformMap, shaderBuilder, defaultTexture, - disableTextures + disableTextures, ); } // If the primitive does not have normals, fall back to unlit lighting. const hasNormals = ModelUtility.getAttributeBySemantic( primitive, - VertexAttributeSemantic.NORMAL + VertexAttributeSemantic.NORMAL, ); // Classification models will be rendered as unlit. @@ -173,7 +165,7 @@ MaterialPipelineStage.process = function ( shaderBuilder.addDefine( "HAS_DOUBLE_SIDED_MATERIAL", undefined, - ShaderDestination.BOTH + ShaderDestination.BOTH, ); } }; @@ -194,14 +186,14 @@ function processTextureTransform( uniformMap, textureReader, uniformName, - defineName + defineName, ) { // Add a define to enable the texture transformation code in the shader. const transformDefine = `HAS_${defineName}_TEXTURE_TRANSFORM`; shaderBuilder.addDefine( transformDefine, undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); // Add a uniform for the transformation matrix @@ -209,7 +201,7 @@ function processTextureTransform( shaderBuilder.addUniform( "mat3", transformUniformName, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); uniformMap[transformUniformName] = function () { return textureReader.transform; @@ -232,14 +224,14 @@ function processTextureScale( uniformMap, textureReader, uniformName, - defineName + defineName, ) { // Add a define to enable the texture transformation code in the shader. const transformDefine = `HAS_${defineName}_TEXTURE_SCALE`; shaderBuilder.addDefine( transformDefine, undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); // Add a uniform for the transformation matrix @@ -247,7 +239,7 @@ function processTextureScale( shaderBuilder.addUniform( "float", scaleUniformName, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); uniformMap[scaleUniformName] = function () { return textureReader.scale; @@ -271,13 +263,13 @@ function processTexture( textureReader, uniformName, defineName, - defaultTexture + defaultTexture, ) { // Add a uniform for the texture itself shaderBuilder.addUniform( "sampler2D", uniformName, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); uniformMap[uniformName] = function () { return defaultValue(textureReader.texture, defaultTexture); @@ -294,7 +286,7 @@ function processTexture( shaderBuilder.addDefine( texCoordDefine, texCoordVarying, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); // Some textures have matrix transforms (e.g. for texture atlases). Add those @@ -309,7 +301,7 @@ function processTexture( uniformMap, textureReader, uniformName, - defineName + defineName, ); } @@ -320,7 +312,7 @@ function processTexture( uniformMap, textureReader, uniformName, - defineName + defineName, ); } } @@ -332,14 +324,10 @@ function processMaterialUniforms( defaultTexture, defaultNormalTexture, defaultEmissiveTexture, - disableTextures + disableTextures, ) { - const { - emissiveFactor, - emissiveTexture, - normalTexture, - occlusionTexture, - } = material; + const { emissiveFactor, emissiveTexture, normalTexture, occlusionTexture } = + material; if ( defined(emissiveFactor) && @@ -348,7 +336,7 @@ function processMaterialUniforms( shaderBuilder.addUniform( "vec3", "u_emissiveFactor", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); uniformMap.u_emissiveFactor = function () { return material.emissiveFactor; @@ -356,7 +344,7 @@ function processMaterialUniforms( shaderBuilder.addDefine( "HAS_EMISSIVE_FACTOR", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); if (defined(emissiveTexture) && !disableTextures) { @@ -366,7 +354,7 @@ function processMaterialUniforms( emissiveTexture, "u_emissiveTexture", "EMISSIVE", - defaultEmissiveTexture + defaultEmissiveTexture, ); } } @@ -378,7 +366,7 @@ function processMaterialUniforms( normalTexture, "u_normalTexture", "NORMAL", - defaultNormalTexture + defaultNormalTexture, ); } @@ -389,7 +377,7 @@ function processMaterialUniforms( occlusionTexture, "u_occlusionTexture", "OCCLUSION", - defaultTexture + defaultTexture, ); } } @@ -409,7 +397,7 @@ function processSpecularGlossinessUniforms( uniformMap, shaderBuilder, defaultTexture, - disableTextures + disableTextures, ) { const { diffuseTexture, @@ -422,7 +410,7 @@ function processSpecularGlossinessUniforms( shaderBuilder.addDefine( "USE_SPECULAR_GLOSSINESS", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); if (defined(diffuseTexture) && !disableTextures) { @@ -432,7 +420,7 @@ function processSpecularGlossinessUniforms( diffuseTexture, "u_diffuseTexture", "DIFFUSE", - defaultTexture + defaultTexture, ); } @@ -443,7 +431,7 @@ function processSpecularGlossinessUniforms( shaderBuilder.addUniform( "vec4", "u_diffuseFactor", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); uniformMap.u_diffuseFactor = function () { return specularGlossiness.diffuseFactor; @@ -451,7 +439,7 @@ function processSpecularGlossinessUniforms( shaderBuilder.addDefine( "HAS_DIFFUSE_FACTOR", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); } @@ -462,7 +450,7 @@ function processSpecularGlossinessUniforms( specularGlossinessTexture, "u_specularGlossinessTexture", "SPECULAR_GLOSSINESS", - defaultTexture + defaultTexture, ); } @@ -470,13 +458,13 @@ function processSpecularGlossinessUniforms( defined(specularFactor) && !Cartesian3.equals( specularFactor, - SpecularGlossiness.DEFAULT_SPECULAR_FACTOR + SpecularGlossiness.DEFAULT_SPECULAR_FACTOR, ) ) { shaderBuilder.addUniform( "vec3", "u_legacySpecularFactor", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); uniformMap.u_legacySpecularFactor = function () { return specularGlossiness.specularFactor; @@ -484,7 +472,7 @@ function processSpecularGlossinessUniforms( shaderBuilder.addDefine( "HAS_LEGACY_SPECULAR_FACTOR", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); } @@ -495,7 +483,7 @@ function processSpecularGlossinessUniforms( shaderBuilder.addUniform( "float", "u_glossinessFactor", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); uniformMap.u_glossinessFactor = function () { return specularGlossiness.glossinessFactor; @@ -503,7 +491,7 @@ function processSpecularGlossinessUniforms( shaderBuilder.addDefine( "HAS_GLOSSINESS_FACTOR", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); } } @@ -523,7 +511,7 @@ function processSpecularUniforms( uniformMap, shaderBuilder, defaultTexture, - disableTextures + disableTextures, ) { const { specularTexture, @@ -535,7 +523,7 @@ function processSpecularUniforms( shaderBuilder.addDefine( "USE_SPECULAR", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); if (defined(specularTexture) && !disableTextures) { @@ -545,7 +533,7 @@ function processSpecularUniforms( specularTexture, "u_specularTexture", "SPECULAR", - defaultTexture + defaultTexture, ); } @@ -556,7 +544,7 @@ function processSpecularUniforms( shaderBuilder.addUniform( "float", "u_specularFactor", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); uniformMap.u_specularFactor = function () { return specular.specularFactor; @@ -564,7 +552,7 @@ function processSpecularUniforms( shaderBuilder.addDefine( "HAS_SPECULAR_FACTOR", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); } @@ -575,7 +563,7 @@ function processSpecularUniforms( specularColorTexture, "u_specularColorTexture", "SPECULAR_COLOR", - defaultTexture + defaultTexture, ); } @@ -583,13 +571,13 @@ function processSpecularUniforms( defined(specularColorFactor) && !Cartesian3.equals( specularColorFactor, - Specular.DEFAULT_SPECULAR_COLOR_FACTOR + Specular.DEFAULT_SPECULAR_COLOR_FACTOR, ) ) { shaderBuilder.addUniform( "vec3", "u_specularColorFactor", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); uniformMap.u_specularColorFactor = function () { return specular.specularColorFactor; @@ -597,7 +585,7 @@ function processSpecularUniforms( shaderBuilder.addDefine( "HAS_SPECULAR_COLOR_FACTOR", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); } } @@ -619,18 +607,15 @@ function processAnisotropyUniforms( uniformMap, shaderBuilder, defaultTexture, - disableTextures + disableTextures, ) { - const { - anisotropyStrength, - anisotropyRotation, - anisotropyTexture, - } = anisotropy; + const { anisotropyStrength, anisotropyRotation, anisotropyTexture } = + anisotropy; shaderBuilder.addDefine( "USE_ANISOTROPY", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); if (defined(anisotropyTexture) && !disableTextures) { @@ -640,7 +625,7 @@ function processAnisotropyUniforms( anisotropyTexture, "u_anisotropyTexture", "ANISOTROPY", - defaultTexture + defaultTexture, ); } @@ -654,7 +639,7 @@ function processAnisotropyUniforms( cosRotation, sinRotation, anisotropyStrength, - scratchAnisotropy + scratchAnisotropy, ); }; } @@ -674,7 +659,7 @@ function processClearcoatUniforms( uniformMap, shaderBuilder, defaultTexture, - disableTextures + disableTextures, ) { const { clearcoatFactor, @@ -687,7 +672,7 @@ function processClearcoatUniforms( shaderBuilder.addDefine( "USE_CLEARCOAT", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); if ( @@ -697,7 +682,7 @@ function processClearcoatUniforms( shaderBuilder.addUniform( "float", "u_clearcoatFactor", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); uniformMap.u_clearcoatFactor = function () { return clearcoat.clearcoatFactor; @@ -705,7 +690,7 @@ function processClearcoatUniforms( shaderBuilder.addDefine( "HAS_CLEARCOAT_FACTOR", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); } @@ -716,7 +701,7 @@ function processClearcoatUniforms( clearcoatTexture, "u_clearcoatTexture", "CLEARCOAT", - defaultTexture + defaultTexture, ); } @@ -727,7 +712,7 @@ function processClearcoatUniforms( shaderBuilder.addUniform( "float", "u_clearcoatRoughnessFactor", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); uniformMap.u_clearcoatRoughnessFactor = function () { return clearcoat.clearcoatRoughnessFactor; @@ -735,7 +720,7 @@ function processClearcoatUniforms( shaderBuilder.addDefine( "HAS_CLEARCOAT_ROUGHNESS_FACTOR", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); } @@ -746,7 +731,7 @@ function processClearcoatUniforms( clearcoatRoughnessTexture, "u_clearcoatRoughnessTexture", "CLEARCOAT_ROUGHNESS", - defaultTexture + defaultTexture, ); } @@ -757,7 +742,7 @@ function processClearcoatUniforms( clearcoatNormalTexture, "u_clearcoatNormalTexture", "CLEARCOAT_NORMAL", - defaultTexture + defaultTexture, ); } } @@ -777,12 +762,12 @@ function processMetallicRoughnessUniforms( uniformMap, shaderBuilder, defaultTexture, - disableTextures + disableTextures, ) { shaderBuilder.addDefine( "USE_METALLIC_ROUGHNESS", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); const baseColorTexture = metallicRoughness.baseColorTexture; @@ -793,7 +778,7 @@ function processMetallicRoughnessUniforms( baseColorTexture, "u_baseColorTexture", "BASE_COLOR", - defaultTexture + defaultTexture, ); } @@ -802,13 +787,13 @@ function processMetallicRoughnessUniforms( defined(baseColorFactor) && !Cartesian4.equals( baseColorFactor, - MetallicRoughness.DEFAULT_BASE_COLOR_FACTOR + MetallicRoughness.DEFAULT_BASE_COLOR_FACTOR, ) ) { shaderBuilder.addUniform( "vec4", "u_baseColorFactor", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); uniformMap.u_baseColorFactor = function () { return metallicRoughness.baseColorFactor; @@ -816,7 +801,7 @@ function processMetallicRoughnessUniforms( shaderBuilder.addDefine( "HAS_BASE_COLOR_FACTOR", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); } @@ -828,7 +813,7 @@ function processMetallicRoughnessUniforms( metallicRoughnessTexture, "u_metallicRoughnessTexture", "METALLIC_ROUGHNESS", - defaultTexture + defaultTexture, ); } @@ -840,7 +825,7 @@ function processMetallicRoughnessUniforms( shaderBuilder.addUniform( "float", "u_metallicFactor", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); uniformMap.u_metallicFactor = function () { return metallicRoughness.metallicFactor; @@ -848,7 +833,7 @@ function processMetallicRoughnessUniforms( shaderBuilder.addDefine( "HAS_METALLIC_FACTOR", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); } @@ -860,7 +845,7 @@ function processMetallicRoughnessUniforms( shaderBuilder.addUniform( "float", "u_roughnessFactor", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); uniformMap.u_roughnessFactor = function () { return metallicRoughness.roughnessFactor; @@ -868,7 +853,7 @@ function processMetallicRoughnessUniforms( shaderBuilder.addDefine( "HAS_ROUGHNESS_FACTOR", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); } } diff --git a/packages/engine/Source/Scene/Model/MetadataPickingPipelineStage.js b/packages/engine/Source/Scene/Model/MetadataPickingPipelineStage.js index 3b4ad3e757b8..9603652b2f4e 100644 --- a/packages/engine/Source/Scene/Model/MetadataPickingPipelineStage.js +++ b/packages/engine/Source/Scene/Model/MetadataPickingPipelineStage.js @@ -39,45 +39,45 @@ const MetadataPickingPipelineStage = { MetadataPickingPipelineStage.process = function ( renderResources, primitive, - frameState + frameState, ) { const shaderBuilder = renderResources.shaderBuilder; shaderBuilder.addDefine( MetadataPickingPipelineStage.METADATA_PICKING_VALUE_TYPE, "float", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addDefine( MetadataPickingPipelineStage.METADATA_PICKING_VALUE_STRING, "0.0", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addDefine( MetadataPickingPipelineStage.METADATA_PICKING_VALUE_COMPONENT_X, "0.0", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addDefine( MetadataPickingPipelineStage.METADATA_PICKING_VALUE_COMPONENT_Y, "0.0", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addDefine( MetadataPickingPipelineStage.METADATA_PICKING_VALUE_COMPONENT_Z, "0.0", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addDefine( MetadataPickingPipelineStage.METADATA_PICKING_VALUE_COMPONENT_W, "0.0", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addFunction( "metadataPickingStage", "void metadataPickingStage(Metadata metadata, MetadataClass metadataClass, inout vec4 metadataValues)", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addFunctionLines( @@ -89,7 +89,7 @@ MetadataPickingPipelineStage.process = function ( `metadataValues.z = ${MetadataPickingPipelineStage.METADATA_PICKING_VALUE_COMPONENT_Z};`, `metadataValues.w = ${MetadataPickingPipelineStage.METADATA_PICKING_VALUE_COMPONENT_W};`, ], - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); }; diff --git a/packages/engine/Source/Scene/Model/MetadataPipelineStage.js b/packages/engine/Source/Scene/Model/MetadataPipelineStage.js index 01bcde68b202..e0e293c2788a 100644 --- a/packages/engine/Source/Scene/Model/MetadataPipelineStage.js +++ b/packages/engine/Source/Scene/Model/MetadataPipelineStage.js @@ -80,7 +80,7 @@ const MetadataPipelineStage = { MetadataPipelineStage.process = function ( renderResources, primitive, - frameState + frameState, ) { const { shaderBuilder, model } = renderResources; const { structuralMetadata = {}, content } = model; @@ -89,11 +89,11 @@ MetadataPipelineStage.process = function ( const propertyAttributesInfo = getPropertyAttributesInfo( structuralMetadata.propertyAttributes, primitive, - statistics + statistics, ); const propertyTexturesInfo = getPropertyTexturesInfo( structuralMetadata.propertyTextures, - statistics + statistics, ); // Declare <type>MetadataClass and <type>MetadataStatistics structs as needed @@ -130,7 +130,7 @@ function getPropertyAttributesInfo(propertyAttributes, primitive, statistics) { return []; } return propertyAttributes.flatMap((propertyAttribute) => - getPropertyAttributeInfo(propertyAttribute, primitive, statistics) + getPropertyAttributeInfo(propertyAttribute, primitive, statistics), ); } @@ -143,11 +143,8 @@ function getPropertyAttributesInfo(propertyAttributes, primitive, statistics) { * @private */ function getPropertyAttributeInfo(propertyAttribute, primitive, statistics) { - const { - getAttributeByName, - getAttributeInfo, - sanitizeGlslIdentifier, - } = ModelUtility; + const { getAttributeByName, getAttributeInfo, sanitizeGlslIdentifier } = + ModelUtility; const classId = propertyAttribute.class.id; const classStatistics = statistics?.classes[classId]; @@ -187,7 +184,7 @@ function getPropertyTexturesInfo(propertyTextures, statistics) { return []; } return propertyTextures.flatMap((propertyTexture) => - getPropertyTextureInfo(propertyTexture, statistics) + getPropertyTextureInfo(propertyTexture, statistics), ); } @@ -204,9 +201,9 @@ function getPropertyTextureInfo(propertyTexture, statistics) { const classId = propertyTexture.class.id; const classStatistics = statistics?.classes[classId]; - const propertiesArray = Object.entries( - propertyTexture.properties - ).filter(([id, property]) => property.isGpuCompatible()); + const propertiesArray = Object.entries(propertyTexture.properties).filter( + ([id, property]) => property.isGpuCompatible(), + ); const infoArray = new Array(propertiesArray.length); for (let i = 0; i < propertiesArray.length; i++) { @@ -299,36 +296,36 @@ function declareStructsAndFunctions(shaderBuilder) { shaderBuilder.addStruct( MetadataPipelineStage.STRUCT_ID_METADATA_VS, MetadataPipelineStage.STRUCT_NAME_METADATA, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); shaderBuilder.addStruct( MetadataPipelineStage.STRUCT_ID_METADATA_FS, MetadataPipelineStage.STRUCT_NAME_METADATA, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); // Declare the MetadataClass struct shaderBuilder.addStruct( MetadataPipelineStage.STRUCT_ID_METADATA_CLASS_VS, MetadataPipelineStage.STRUCT_NAME_METADATA_CLASS, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); shaderBuilder.addStruct( MetadataPipelineStage.STRUCT_ID_METADATA_CLASS_FS, MetadataPipelineStage.STRUCT_NAME_METADATA_CLASS, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); // Declare the MetadataStatistics struct shaderBuilder.addStruct( MetadataPipelineStage.STRUCT_ID_METADATA_STATISTICS_VS, MetadataPipelineStage.STRUCT_NAME_METADATA_STATISTICS, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); shaderBuilder.addStruct( MetadataPipelineStage.STRUCT_ID_METADATA_STATISTICS_FS, MetadataPipelineStage.STRUCT_NAME_METADATA_STATISTICS, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); // declare the initializeMetadata() function. The details may differ @@ -336,19 +333,19 @@ function declareStructsAndFunctions(shaderBuilder) { shaderBuilder.addFunction( MetadataPipelineStage.FUNCTION_ID_INITIALIZE_METADATA_VS, MetadataPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_METADATA, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); shaderBuilder.addFunction( MetadataPipelineStage.FUNCTION_ID_INITIALIZE_METADATA_FS, MetadataPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_METADATA, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); // declare the setMetadataVaryings() function in the vertex shader only. shaderBuilder.addFunction( MetadataPipelineStage.FUNCTION_ID_SET_METADATA_VARYINGS, MetadataPipelineStage.FUNCTION_SIGNATURE_SET_METADATA_VARYINGS, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); } @@ -388,23 +385,23 @@ function addPropertyAttributePropertyMetadata(renderResources, propertyInfo) { shaderBuilder.addStructField( MetadataPipelineStage.STRUCT_ID_METADATA_VS, glslType, - metadataVariable + metadataVariable, ); shaderBuilder.addStructField( MetadataPipelineStage.STRUCT_ID_METADATA_FS, glslType, - metadataVariable + metadataVariable, ); // assign the result to the metadata struct property. const initializationLine = `metadata.${metadataVariable} = ${valueExpression};`; shaderBuilder.addFunctionLines( MetadataPipelineStage.FUNCTION_ID_INITIALIZE_METADATA_VS, - [initializationLine] + [initializationLine], ); shaderBuilder.addFunctionLines( MetadataPipelineStage.FUNCTION_ID_INITIALIZE_METADATA_FS, - [initializationLine] + [initializationLine], ); } @@ -431,13 +428,8 @@ function addPropertyTexturePropertyMetadata(renderResources, propertyInfo) { const { shaderBuilder, uniformMap } = renderResources; const { metadataVariable, glslType, property } = propertyInfo; - const { - texCoord, - channels, - index, - texture, - transform, - } = property.textureReader; + const { texCoord, channels, index, texture, transform } = + property.textureReader; const textureUniformName = `u_propertyTexture_${index}`; // Property texture properties may share the same physical texture, so only @@ -446,7 +438,7 @@ function addPropertyTexturePropertyMetadata(renderResources, propertyInfo) { shaderBuilder.addUniform( "sampler2D", textureUniformName, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); uniformMap[textureUniformName] = () => texture; } @@ -454,7 +446,7 @@ function addPropertyTexturePropertyMetadata(renderResources, propertyInfo) { shaderBuilder.addStructField( MetadataPipelineStage.STRUCT_ID_METADATA_FS, glslType, - metadataVariable + metadataVariable, ); // Get a GLSL expression for the texture coordinates of the property. @@ -469,7 +461,7 @@ function addPropertyTexturePropertyMetadata(renderResources, propertyInfo) { shaderBuilder.addUniform( "mat3", transformUniformName, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); uniformMap[transformUniformName] = function () { return transform; @@ -499,7 +491,7 @@ function addPropertyTexturePropertyMetadata(renderResources, propertyInfo) { const initializationLine = `metadata.${metadataVariable} = ${transformedValue};`; shaderBuilder.addFunctionLines( MetadataPipelineStage.FUNCTION_ID_INITIALIZE_METADATA_FS, - [initializationLine] + [initializationLine], ); } @@ -520,7 +512,7 @@ function addPropertyMetadataClass(shaderBuilder, propertyInfo) { MetadataPipelineStage.METADATA_CLASS_FIELDS, classProperty, `metadataClass.${metadataVariable}`, - glslType + glslType, ); // Struct field: Prefix to get the appropriate <type>MetadataClass struct @@ -528,11 +520,11 @@ function addPropertyMetadataClass(shaderBuilder, propertyInfo) { shaderBuilder.addStructField( MetadataPipelineStage.STRUCT_ID_METADATA_CLASS_FS, metadataType, - metadataVariable + metadataVariable, ); shaderBuilder.addFunctionLines( MetadataPipelineStage.FUNCTION_ID_INITIALIZE_METADATA_FS, - assignments + assignments, ); if (!ShaderDestination.includesVertexShader(shaderDestination)) { return; @@ -540,11 +532,11 @@ function addPropertyMetadataClass(shaderBuilder, propertyInfo) { shaderBuilder.addStructField( MetadataPipelineStage.STRUCT_ID_METADATA_CLASS_VS, metadataType, - metadataVariable + metadataVariable, ); shaderBuilder.addFunctionLines( MetadataPipelineStage.FUNCTION_ID_INITIALIZE_METADATA_VS, - assignments + assignments, ); } @@ -574,7 +566,7 @@ function addPropertyMetadataStatistics(shaderBuilder, propertyInfo) { fields, propertyStatistics, struct, - glslType + glslType, ); // Struct field: Prefix to get the appropriate <type>MetadataStatistics struct @@ -582,11 +574,11 @@ function addPropertyMetadataStatistics(shaderBuilder, propertyInfo) { shaderBuilder.addStructField( MetadataPipelineStage.STRUCT_ID_METADATA_STATISTICS_FS, statisticsType, - metadataVariable + metadataVariable, ); shaderBuilder.addFunctionLines( MetadataPipelineStage.FUNCTION_ID_INITIALIZE_METADATA_FS, - assignments + assignments, ); if (!ShaderDestination.includesVertexShader(propertyInfo.shaderDestination)) { return; @@ -594,11 +586,11 @@ function addPropertyMetadataStatistics(shaderBuilder, propertyInfo) { shaderBuilder.addStructField( MetadataPipelineStage.STRUCT_ID_METADATA_STATISTICS_VS, statisticsType, - metadataVariable + metadataVariable, ); shaderBuilder.addFunctionLines( MetadataPipelineStage.FUNCTION_ID_INITIALIZE_METADATA_VS, - assignments + assignments, ); } diff --git a/packages/engine/Source/Scene/Model/Model.js b/packages/engine/Source/Scene/Model/Model.js index 0fcf5635547a..1a072af1c6ab 100644 --- a/packages/engine/Source/Scene/Model/Model.js +++ b/packages/engine/Source/Scene/Model/Model.js @@ -477,7 +477,7 @@ function Model(options) { ); /** - * Whether to display Gaussing Splatting (will fall back to point cloud rendering if false) + * Whether to display Gaussian Splatting (will fall back to point cloud rendering if false) * * @type {boolean} */ @@ -2145,15 +2145,22 @@ function updateGaussianSplatting(model, frameState) { if (Math.abs(dot - 1) > CesiumMath.EPSILON2) { if (prim?.isGaussianSplatPrimitive ?? false) { - const idxAttr = prim.attributes.find((a) => a.name === "_SPLAT_INDEXES"); - const posAttr = prim.attributes.find((a) => a.name === "POSITION"); - const modelView = new Matrix4(); Matrix4.multiply( frameState.camera.viewMatrix, model.modelMatrix, modelView, ); + model._previousViewProj = viewProj; + + if (!prim?.hasGaussianSplatTexture) { + model.resetDrawCommands(); + return; + } + + const idxAttr = prim.attributes.find((a) => a.name === "_SPLAT_INDEXES"); + const posAttr = prim.attributes.find((a) => a.name === "POSITION"); + try { const promise = GaussianSplatSorter.radixSortIndexes({ primitive: { @@ -2180,7 +2187,6 @@ function updateGaussianSplatting(model, frameState) { } } //model.resetDrawCommands(); - model._previousViewProj = viewProj; } } diff --git a/packages/engine/Source/Scene/Model/ModelAnimationChannel.js b/packages/engine/Source/Scene/Model/ModelAnimationChannel.js index b8f8e0ea4fca..9dbb92a00b5c 100644 --- a/packages/engine/Source/Scene/Model/ModelAnimationChannel.js +++ b/packages/engine/Source/Scene/Model/ModelAnimationChannel.js @@ -280,12 +280,12 @@ ModelAnimationChannel.prototype.animate = function (time) { ) { runtimeNode[path] = spline.evaluate( localAnimationTime, - scratchCartesian3 + scratchCartesian3, ); } else if (path === AnimatedPropertyType.ROTATION) { runtimeNode[path] = spline.evaluate( localAnimationTime, - scratchQuaternion + scratchQuaternion, ); } } diff --git a/packages/engine/Source/Scene/Model/ModelAnimationCollection.js b/packages/engine/Source/Scene/Model/ModelAnimationCollection.js index d3d18aaccf72..3c441c0aa94f 100644 --- a/packages/engine/Source/Scene/Model/ModelAnimationCollection.js +++ b/packages/engine/Source/Scene/Model/ModelAnimationCollection.js @@ -174,7 +174,7 @@ ModelAnimationCollection.prototype.add = function (options) { //>>includeStart('debug', pragmas.debug); if (!model.ready) { throw new DeveloperError( - "Animations are not loaded. Wait for Model.ready to be true." + "Animations are not loaded. Wait for Model.ready to be true.", ); } //>>includeEnd('debug'); @@ -184,7 +184,7 @@ ModelAnimationCollection.prototype.add = function (options) { //>>includeStart('debug', pragmas.debug); if (!defined(options.name) && !defined(options.index)) { throw new DeveloperError( - "Either options.name or options.index must be defined." + "Either options.name or options.index must be defined.", ); } @@ -258,7 +258,7 @@ ModelAnimationCollection.prototype.addAll = function (options) { //>>includeStart('debug', pragmas.debug); if (!model.ready) { throw new DeveloperError( - "Animations are not loaded. Wait for Model.ready to be true." + "Animations are not loaded. Wait for Model.ready to be true.", ); } @@ -371,7 +371,7 @@ ModelAnimationCollection.prototype.get = function (index) { if (index >= this._runtimeAnimations.length || index < 0) { throw new DeveloperError( - "index must be valid within the range of the collection" + "index must be valid within the range of the collection", ); } //>>includeEnd('debug'); @@ -384,7 +384,7 @@ const animationsToRemove = []; function createAnimationRemovedFunction( modelAnimationCollection, model, - animation + animation, ) { return function () { modelAnimationCollection.animationRemoved.raiseEvent(model, animation); @@ -428,7 +428,7 @@ ModelAnimationCollection.prototype.update = function (frameState) { runtimeAnimation._computedStartTime = JulianDate.addSeconds( defaultValue(runtimeAnimation.startTime, sceneTime), runtimeAnimation.delay, - new JulianDate() + new JulianDate(), ); } @@ -450,7 +450,7 @@ ModelAnimationCollection.prototype.update = function (frameState) { if (duration !== 0.0) { const seconds = JulianDate.secondsDifference( reachedStopTime ? stopTime : sceneTime, - startTime + startTime, ); delta = defined(runtimeAnimation._animationTime) ? runtimeAnimation._animationTime(duration, seconds) @@ -511,7 +511,7 @@ ModelAnimationCollection.prototype.update = function (frameState) { localAnimationTime = CesiumMath.clamp( localAnimationTime, runtimeAnimation.localStartTime, - runtimeAnimation.localStopTime + runtimeAnimation.localStopTime, ); runtimeAnimation.animate(localAnimationTime); @@ -542,7 +542,7 @@ ModelAnimationCollection.prototype.update = function (frameState) { const animationToRemove = animationsToRemove[j]; runtimeAnimations.splice(runtimeAnimations.indexOf(animationToRemove), 1); frameState.afterRender.push( - createAnimationRemovedFunction(this, model, animationToRemove) + createAnimationRemovedFunction(this, model, animationToRemove), ); } animationsToRemove.length = 0; diff --git a/packages/engine/Source/Scene/Model/ModelArticulation.js b/packages/engine/Source/Scene/Model/ModelArticulation.js index 401be000165b..bc3f745d9d6d 100644 --- a/packages/engine/Source/Scene/Model/ModelArticulation.js +++ b/packages/engine/Source/Scene/Model/ModelArticulation.js @@ -184,7 +184,7 @@ ModelArticulation.prototype.apply = function () { let articulationMatrix = Matrix4.clone( Matrix4.IDENTITY, - scratchArticulationMatrix + scratchArticulationMatrix, ); let i; @@ -205,7 +205,7 @@ ModelArticulation.prototype.apply = function () { const transform = Matrix4.multiplyTransformation( node.originalTransform, articulationMatrix, - scratchNodeMatrix + scratchNodeMatrix, ); node.transform = transform; } diff --git a/packages/engine/Source/Scene/Model/ModelArticulationStage.js b/packages/engine/Source/Scene/Model/ModelArticulationStage.js index e0805f8aa651..772c03966732 100644 --- a/packages/engine/Source/Scene/Model/ModelArticulationStage.js +++ b/packages/engine/Source/Scene/Model/ModelArticulationStage.js @@ -155,7 +155,7 @@ Object.defineProperties(ModelArticulationStage.prototype, { !CesiumMath.equalsEpsilon( this._currentValue, value, - articulationEpsilon + articulationEpsilon, ) ) { this._currentValue = value; @@ -194,21 +194,21 @@ ModelArticulationStage.prototype.applyStageToMatrix = function (result) { case ArticulationStageType.XROTATE: rotation = Matrix3.fromRotationX( CesiumMath.toRadians(value), - scratchArticulationRotation + scratchArticulationRotation, ); result = Matrix4.multiplyByMatrix3(result, rotation, result); break; case ArticulationStageType.YROTATE: rotation = Matrix3.fromRotationY( CesiumMath.toRadians(value), - scratchArticulationRotation + scratchArticulationRotation, ); result = Matrix4.multiplyByMatrix3(result, rotation, result); break; case ArticulationStageType.ZROTATE: rotation = Matrix3.fromRotationZ( CesiumMath.toRadians(value), - scratchArticulationRotation + scratchArticulationRotation, ); result = Matrix4.multiplyByMatrix3(result, rotation, result); break; diff --git a/packages/engine/Source/Scene/Model/ModelClippingPlanesPipelineStage.js b/packages/engine/Source/Scene/Model/ModelClippingPlanesPipelineStage.js index 8d9945f244d4..f9107a4a9f70 100644 --- a/packages/engine/Source/Scene/Model/ModelClippingPlanesPipelineStage.js +++ b/packages/engine/Source/Scene/Model/ModelClippingPlanesPipelineStage.js @@ -36,7 +36,7 @@ const textureResolutionScratch = new Cartesian2(); ModelClippingPlanesPipelineStage.process = function ( renderResources, model, - frameState + frameState, ) { const clippingPlanes = model.clippingPlanes; const context = frameState.context; @@ -45,20 +45,20 @@ ModelClippingPlanesPipelineStage.process = function ( shaderBuilder.addDefine( "HAS_CLIPPING_PLANES", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addDefine( "CLIPPING_PLANES_LENGTH", clippingPlanes.length, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); if (clippingPlanes.unionClippingRegions) { shaderBuilder.addDefine( "UNION_CLIPPING_REGIONS", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); } @@ -66,42 +66,42 @@ ModelClippingPlanesPipelineStage.process = function ( shaderBuilder.addDefine( "USE_CLIPPING_PLANES_FLOAT_TEXTURE", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); } const textureResolution = ClippingPlaneCollection.getTextureResolution( clippingPlanes, context, - textureResolutionScratch + textureResolutionScratch, ); shaderBuilder.addDefine( "CLIPPING_PLANES_TEXTURE_WIDTH", textureResolution.x, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addDefine( "CLIPPING_PLANES_TEXTURE_HEIGHT", textureResolution.y, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addUniform( "sampler2D", "model_clippingPlanes", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addUniform( "vec4", "model_clippingPlanesEdgeStyle", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addUniform( "mat4", "model_clippingPlanesMatrix", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addFragmentLines(ModelClippingPlanesStageFS); diff --git a/packages/engine/Source/Scene/Model/ModelClippingPolygonsPipelineStage.js b/packages/engine/Source/Scene/Model/ModelClippingPolygonsPipelineStage.js index c9eb597140c7..56e9d25c6c8b 100644 --- a/packages/engine/Source/Scene/Model/ModelClippingPolygonsPipelineStage.js +++ b/packages/engine/Source/Scene/Model/ModelClippingPolygonsPipelineStage.js @@ -35,7 +35,7 @@ const ModelClippingPolygonsPipelineStage = { ModelClippingPolygonsPipelineStage.process = function ( renderResources, model, - frameState + frameState, ) { const clippingPolygons = model.clippingPolygons; const shaderBuilder = renderResources.shaderBuilder; @@ -43,33 +43,33 @@ ModelClippingPolygonsPipelineStage.process = function ( shaderBuilder.addDefine( "ENABLE_CLIPPING_POLYGONS", undefined, - ShaderDestination.BOTH + ShaderDestination.BOTH, ); if (clippingPolygons.inverse) { shaderBuilder.addDefine( "CLIPPING_INVERSE", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); } shaderBuilder.addDefine( "CLIPPING_POLYGON_REGIONS_LENGTH", clippingPolygons.extentsCount, - ShaderDestination.BOTH + ShaderDestination.BOTH, ); shaderBuilder.addUniform( "sampler2D", "model_clippingDistance", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addUniform( "sampler2D", "model_clippingExtents", - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); shaderBuilder.addVarying("vec2", "v_clippingPosition"); diff --git a/packages/engine/Source/Scene/Model/ModelColorPipelineStage.js b/packages/engine/Source/Scene/Model/ModelColorPipelineStage.js index fc9c26284962..04a026f1d140 100644 --- a/packages/engine/Source/Scene/Model/ModelColorPipelineStage.js +++ b/packages/engine/Source/Scene/Model/ModelColorPipelineStage.js @@ -37,14 +37,14 @@ const ModelColorPipelineStage = { ModelColorPipelineStage.process = function ( renderResources, model, - frameState + frameState, ) { const shaderBuilder = renderResources.shaderBuilder; shaderBuilder.addDefine( "HAS_MODEL_COLOR", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addFragmentLines(ModelColorStageFS); @@ -69,7 +69,7 @@ ModelColorPipelineStage.process = function ( shaderBuilder.addUniform( "vec4", ModelColorPipelineStage.COLOR_UNIFORM_NAME, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); stageUniforms[ModelColorPipelineStage.COLOR_UNIFORM_NAME] = function () { return model.color; @@ -79,20 +79,19 @@ ModelColorPipelineStage.process = function ( shaderBuilder.addUniform( "float", ModelColorPipelineStage.COLOR_BLEND_UNIFORM_NAME, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); - stageUniforms[ - ModelColorPipelineStage.COLOR_BLEND_UNIFORM_NAME - ] = function () { - return ColorBlendMode.getColorBlend( - model.colorBlendMode, - model.colorBlendAmount - ); - }; + stageUniforms[ModelColorPipelineStage.COLOR_BLEND_UNIFORM_NAME] = + function () { + return ColorBlendMode.getColorBlend( + model.colorBlendMode, + model.colorBlendAmount, + ); + }; renderResources.uniformMap = combine( stageUniforms, - renderResources.uniformMap + renderResources.uniformMap, ); }; diff --git a/packages/engine/Source/Scene/Model/ModelDrawCommand.js b/packages/engine/Source/Scene/Model/ModelDrawCommand.js index 83323fc1ec7d..4bbc2a841aca 100644 --- a/packages/engine/Source/Scene/Model/ModelDrawCommand.js +++ b/packages/engine/Source/Scene/Model/ModelDrawCommand.js @@ -299,7 +299,7 @@ Object.defineProperties(ModelDrawCommand.prototype, { this._boundingVolume = BoundingSphere.transform( this.runtimePrimitive.boundingSphere, this._modelMatrix, - this._boundingVolume + this._boundingVolume, ); }, }, @@ -413,7 +413,7 @@ function updateModelMatrix2D(drawCommand, frameState) { const modelMatrix = drawCommand._modelMatrix; drawCommand._modelMatrix2D = Matrix4.clone( modelMatrix, - drawCommand._modelMatrix2D + drawCommand._modelMatrix2D, ); // Change the translation's y-component so it appears on the opposite side @@ -427,7 +427,7 @@ function updateModelMatrix2D(drawCommand, frameState) { drawCommand._boundingVolume2D = BoundingSphere.transform( drawCommand.runtimePrimitive.boundingSphere, drawCommand._modelMatrix2D, - drawCommand._boundingVolume2D + drawCommand._boundingVolume2D, ); } @@ -537,7 +537,7 @@ ModelDrawCommand.prototype.pushCommands = function (frameState, result) { pushCommand( tileset._backfaceCommands, this._skipLodBackfaceCommand, - use2D + use2D, ); } @@ -578,7 +578,7 @@ ModelDrawCommand.prototype.pushCommands = function (frameState, result) { */ ModelDrawCommand.prototype.pushSilhouetteCommands = function ( frameState, - result + result, ) { const use2D = shouldUse2DCommands(this, frameState); pushCommand(result, this._silhouetteColorCommand, use2D); diff --git a/packages/engine/Source/Scene/Model/ModelDrawCommands.js b/packages/engine/Source/Scene/Model/ModelDrawCommands.js index 7714d58c42dd..8bbfe5ab80d0 100644 --- a/packages/engine/Source/Scene/Model/ModelDrawCommands.js +++ b/packages/engine/Source/Scene/Model/ModelDrawCommands.js @@ -50,21 +50,22 @@ ModelDrawCommands.buildModelDrawCommand = function ( shaderBuilder, frameState, ); - - const command = primitiveRenderResources.runtimePrimitive.primitive - .isGaussianSplatPrimitive - ? buildDrawCommandForGaussianSplatPrimitive( - primitiveRenderResources, - shaderProgram, - frameState, - ) - : buildDrawCommandForModel( - primitiveRenderResources, - shaderProgram, - frameState, - ); - const model = primitiveRenderResources.model; + const command = + primitiveRenderResources.runtimePrimitive.primitive + .isGaussianSplatPrimitive && + (model?.style?.showGaussianSplatting ?? model.showGaussianSplatting) + ? buildDrawCommandForGaussianSplatPrimitive( + primitiveRenderResources, + shaderProgram, + frameState, + ) + : buildDrawCommandForModel( + primitiveRenderResources, + shaderProgram, + frameState, + ); + const hasClassification = defined(model.classificationType); if (hasClassification) { return new ClassificationModelDrawCommand({ @@ -233,20 +234,20 @@ function buildDrawCommandForGaussianSplatPrimitive( ) ) { const splatQuadAttrLocations = { - 0: 9, + 0: 4, 1: 1, 2: 2, 3: 3, - 4: 4, - splatPosition: 7, - splatColor: 8, + screenQuadPosition: 0, + splatPosition: 5, + splatColor: 6, }; const geometry = new Geometry({ attributes: { screenQuadPosition: new GeometryAttribute({ componentDatatype: ComponentDatatype.FLOAT, componentsPerAttribute: 2, - values: [-2, -2, 2, -2, 2, 2, -2, 2], + values: [-2.0, -2.0, 2.0, -2.0, 2.0, 2.0, -2.0, 2.0], name: "_SCREEN_QUAD_POS", variableName: "screenQuadPos", }), diff --git a/packages/engine/Source/Scene/Model/ModelFeatureTable.js b/packages/engine/Source/Scene/Model/ModelFeatureTable.js index 1a18a39029d8..dff95e0582e8 100644 --- a/packages/engine/Source/Scene/Model/ModelFeatureTable.js +++ b/packages/engine/Source/Scene/Model/ModelFeatureTable.js @@ -167,7 +167,7 @@ ModelFeatureTable.prototype.update = function (frameState) { const currentStyleCommandsNeeded = StyleCommandsNeeded.getStyleCommandsNeeded( this._featuresLength, - this._batchTexture.translucentFeaturesLength + this._batchTexture.translucentFeaturesLength, ); if (this._styleCommandsNeeded !== currentStyleCommandsNeeded) { @@ -214,7 +214,7 @@ ModelFeatureTable.prototype.hasProperty = function (featureId, propertyName) { ModelFeatureTable.prototype.hasPropertyBySemantic = function ( featureId, - propertyName + propertyName, ) { return this._propertyTable.hasPropertyBySemantic(featureId, propertyName); }; @@ -225,7 +225,7 @@ ModelFeatureTable.prototype.getProperty = function (featureId, name) { ModelFeatureTable.prototype.getPropertyBySemantic = function ( featureId, - semantic + semantic, ) { return this._propertyTable.getPropertyBySemantic(featureId, semantic); }; @@ -266,13 +266,13 @@ ModelFeatureTable.prototype.applyStyle = function (style) { const color = defined(style.color) ? defaultValue( style.color.evaluateColor(feature, scratchColor), - BatchTexture.DEFAULT_COLOR_VALUE + BatchTexture.DEFAULT_COLOR_VALUE, ) : BatchTexture.DEFAULT_COLOR_VALUE; const show = defined(style.show) ? defaultValue( style.show.evaluate(feature), - BatchTexture.DEFAULT_SHOW_VALUE + BatchTexture.DEFAULT_SHOW_VALUE, ) : BatchTexture.DEFAULT_SHOW_VALUE; diff --git a/packages/engine/Source/Scene/Model/ModelMatrixUpdateStage.js b/packages/engine/Source/Scene/Model/ModelMatrixUpdateStage.js index 6ad9b7b63c20..dbc23e758d6b 100644 --- a/packages/engine/Source/Scene/Model/ModelMatrixUpdateStage.js +++ b/packages/engine/Source/Scene/Model/ModelMatrixUpdateStage.js @@ -42,7 +42,7 @@ ModelMatrixUpdateStage.update = function (runtimeNode, sceneGraph, frameState) { runtimeNode, sceneGraph, modelMatrix, - runtimeNode.transformToRoot + runtimeNode.transformToRoot, ); runtimeNode._transformDirty = false; } @@ -57,11 +57,11 @@ function updateDrawCommand(drawCommand, modelMatrix, transformToRoot) { drawCommand.modelMatrix = Matrix4.multiplyTransformation( modelMatrix, transformToRoot, - drawCommand.modelMatrix + drawCommand.modelMatrix, ); drawCommand.cullFace = ModelUtility.getCullFace( drawCommand.modelMatrix, - drawCommand.primitiveType + drawCommand.primitiveType, ); } @@ -74,7 +74,7 @@ function updateRuntimeNode( runtimeNode, sceneGraph, modelMatrix, - transformToRoot + transformToRoot, ) { let i; @@ -82,7 +82,7 @@ function updateRuntimeNode( transformToRoot = Matrix4.multiplyTransformation( transformToRoot, runtimeNode.transform, - new Matrix4() + new Matrix4(), ); runtimeNode.updateComputedTransform(); @@ -93,7 +93,7 @@ function updateRuntimeNode( updateDrawCommand( runtimePrimitive.drawCommand, modelMatrix, - transformToRoot + transformToRoot, ); } @@ -104,14 +104,14 @@ function updateRuntimeNode( // Update transformToRoot to accommodate changes in the transforms of this node and its ancestors childRuntimeNode._transformToRoot = Matrix4.clone( transformToRoot, - childRuntimeNode._transformToRoot + childRuntimeNode._transformToRoot, ); updateRuntimeNode( childRuntimeNode, sceneGraph, modelMatrix, - transformToRoot + transformToRoot, ); childRuntimeNode._transformDirty = false; } diff --git a/packages/engine/Source/Scene/Model/ModelRenderResources.js b/packages/engine/Source/Scene/Model/ModelRenderResources.js index e3f7610bd852..5cab3dc11504 100644 --- a/packages/engine/Source/Scene/Model/ModelRenderResources.js +++ b/packages/engine/Source/Scene/Model/ModelRenderResources.js @@ -76,7 +76,7 @@ function ModelRenderResources(model) { enabled: true, func: DepthFunction.LESS_OR_EQUAL, }, - }) + }), ); /** diff --git a/packages/engine/Source/Scene/Model/ModelRuntimeNode.js b/packages/engine/Source/Scene/Model/ModelRuntimeNode.js index ac1eba5e805f..a45a3078304e 100644 --- a/packages/engine/Source/Scene/Model/ModelRuntimeNode.js +++ b/packages/engine/Source/Scene/Model/ModelRuntimeNode.js @@ -328,7 +328,7 @@ Object.defineProperties(ModelRuntimeNode.prototype, { //>>includeStart('debug', pragmas.debug); if (!defined(transformParameters)) { throw new DeveloperError( - "The translation of a node cannot be set if it was defined using a matrix in the model." + "The translation of a node cannot be set if it was defined using a matrix in the model.", ); } //>>includeEnd('debug'); @@ -340,7 +340,7 @@ Object.defineProperties(ModelRuntimeNode.prototype, { transformParameters.translation = Cartesian3.clone( value, - transformParameters.translation + transformParameters.translation, ); updateTransformFromParameters(this, transformParameters); @@ -372,7 +372,7 @@ Object.defineProperties(ModelRuntimeNode.prototype, { //>>includeStart('debug', pragmas.debug); if (!defined(transformParameters)) { throw new DeveloperError( - "The rotation of a node cannot be set if it was defined using a matrix in the model." + "The rotation of a node cannot be set if it was defined using a matrix in the model.", ); } //>>includeEnd('debug'); @@ -384,7 +384,7 @@ Object.defineProperties(ModelRuntimeNode.prototype, { transformParameters.rotation = Quaternion.clone( value, - transformParameters.rotation + transformParameters.rotation, ); updateTransformFromParameters(this, transformParameters); @@ -415,7 +415,7 @@ Object.defineProperties(ModelRuntimeNode.prototype, { //>>includeStart('debug', pragmas.debug); if (!defined(transformParameters)) { throw new DeveloperError( - "The scale of a node cannot be set if it was defined using a matrix in the model." + "The scale of a node cannot be set if it was defined using a matrix in the model.", ); } //>>includeEnd('debug'); @@ -426,7 +426,7 @@ Object.defineProperties(ModelRuntimeNode.prototype, { transformParameters.scale = Cartesian3.clone( value, - transformParameters.scale + transformParameters.scale, ); updateTransformFromParameters(this, transformParameters); @@ -451,7 +451,7 @@ Object.defineProperties(ModelRuntimeNode.prototype, { //>>includeStart('debug', pragmas.debug); if (this._morphWeights.length !== valueLength) { throw new DeveloperError( - "value must have the same length as the original weights array." + "value must have the same length as the original weights array.", ); } //>>includeEnd('debug'); @@ -499,7 +499,7 @@ function initialize(runtimeNode) { runtimeNode._computedTransform = Matrix4.multiply( transformToRoot, transform, - computedTransform + computedTransform, ); const node = runtimeNode.node; @@ -507,7 +507,7 @@ function initialize(runtimeNode) { runtimeNode._transformParameters = new TranslationRotationScale( node.translation, node.rotation, - node.scale + node.scale, ); } @@ -534,7 +534,7 @@ function updateTransformFromParameters(runtimeNode, transformParameters) { runtimeNode._transform = Matrix4.fromTranslationRotationScale( transformParameters, - runtimeNode._transform + runtimeNode._transform, ); } @@ -559,7 +559,7 @@ ModelRuntimeNode.prototype.getChild = function (index) { Check.typeOf.number("index", index); if (index < 0 || index >= this.children.length) { throw new DeveloperError( - "index must be greater than or equal to 0 and less than the number of children." + "index must be greater than or equal to 0 and less than the number of children.", ); } //>>includeEnd('debug'); @@ -599,7 +599,7 @@ ModelRuntimeNode.prototype.updateComputedTransform = function () { this._computedTransform = Matrix4.multiply( this._transformToRoot, this._transform, - this._computedTransform + this._computedTransform, ); }; @@ -629,18 +629,18 @@ ModelRuntimeNode.prototype.updateJointMatrices = function () { const nodeWorldTransform = Matrix4.multiplyTransformation( this.transformToRoot, this.transform, - computedJointMatrices[i] + computedJointMatrices[i], ); const inverseNodeWorldTransform = Matrix4.inverseTransformation( nodeWorldTransform, - computedJointMatrices[i] + computedJointMatrices[i], ); computedJointMatrices[i] = Matrix4.multiplyTransformation( inverseNodeWorldTransform, skinJointMatrices[i], - computedJointMatrices[i] + computedJointMatrices[i], ); } }; diff --git a/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js b/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js index 9f1d5b4f7931..68e67cc58db5 100644 --- a/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js +++ b/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js @@ -242,12 +242,8 @@ ModelRuntimePrimitive.prototype.configurePipeline = function (frameState) { const hasClassification = defined(model.classificationType); - // const hasGaussianSplats = - // model.enableShowGaussianSplatting - // && model.showGaussianSplatting - // && (primitive?.isGaussianSplatPrimitive ?? false); const hasGaussianSplats = - model.showGaussianSplatting && + (model?.style?.showGaussianSplatting ?? false) && (primitive?.isGaussianSplatPrimitive ?? false); // Start of pipeline ----------------------------------------------------- if (use2D) { diff --git a/packages/engine/Source/Scene/Model/ModelSceneGraph.js b/packages/engine/Source/Scene/Model/ModelSceneGraph.js index 29fb80b9279d..11e3918c0589 100644 --- a/packages/engine/Source/Scene/Model/ModelSceneGraph.js +++ b/packages/engine/Source/Scene/Model/ModelSceneGraph.js @@ -158,7 +158,7 @@ function ModelSceneGraph(options) { this._axisCorrectionMatrix = ModelUtility.getAxisCorrectionMatrix( components.upAxis, components.forwardAxis, - new Matrix4() + new Matrix4(), ); // Store articulations from the AGI_articulations extension @@ -271,7 +271,7 @@ function initialize(sceneGraph) { const rootNodeIndex = traverseAndCreateSceneGraph( sceneGraph, rootNode, - transformToRoot + transformToRoot, ); sceneGraph._rootNodes.push(rootNodeIndex); @@ -288,7 +288,7 @@ function initialize(sceneGraph) { new ModelSkin({ skin: skin, sceneGraph: sceneGraph, - }) + }), ); } @@ -318,19 +318,19 @@ function computeModelMatrix(sceneGraph, modelMatrix) { sceneGraph._computedModelMatrix = Matrix4.multiplyTransformation( modelMatrix, components.transform, - sceneGraph._computedModelMatrix + sceneGraph._computedModelMatrix, ); sceneGraph._computedModelMatrix = Matrix4.multiplyTransformation( sceneGraph._computedModelMatrix, sceneGraph._axisCorrectionMatrix, - sceneGraph._computedModelMatrix + sceneGraph._computedModelMatrix, ); sceneGraph._computedModelMatrix = Matrix4.multiplyByUniformScale( sceneGraph._computedModelMatrix, model.computedScale, - sceneGraph._computedModelMatrix + sceneGraph._computedModelMatrix, ); } @@ -340,33 +340,33 @@ function computeModelMatrix2D(sceneGraph, frameState) { const computedModelMatrix = sceneGraph._computedModelMatrix; const translation = Matrix4.getTranslation( computedModelMatrix, - scratchComputedTranslation + scratchComputedTranslation, ); if (!Cartesian3.equals(translation, Cartesian3.ZERO)) { sceneGraph._computedModelMatrix2D = Transforms.basisTo2D( frameState.mapProjection, computedModelMatrix, - sceneGraph._computedModelMatrix2D + sceneGraph._computedModelMatrix2D, ); } else { const center = sceneGraph.boundingSphere.center; const to2D = Transforms.ellipsoidTo2DModelMatrix( frameState.mapProjection, center, - sceneGraph._computedModelMatrix2D + sceneGraph._computedModelMatrix2D, ); sceneGraph._computedModelMatrix2D = Matrix4.multiply( to2D, computedModelMatrix, - sceneGraph._computedModelMatrix2D + sceneGraph._computedModelMatrix2D, ); } sceneGraph._boundingSphere2D = BoundingSphere.transform( sceneGraph._boundingSphere, sceneGraph._computedModelMatrix2D, - sceneGraph._boundingSphere2D + sceneGraph._boundingSphere2D, ); } @@ -393,13 +393,13 @@ function traverseAndCreateSceneGraph(sceneGraph, node, transformToRoot) { const childNodeTransformToRoot = Matrix4.multiplyTransformation( transformToRoot, transform, - new Matrix4() + new Matrix4(), ); const childIndex = traverseAndCreateSceneGraph( sceneGraph, childNode, - childNodeTransformToRoot + childNodeTransformToRoot, ); childrenIndices.push(childIndex); } @@ -420,7 +420,7 @@ function traverseAndCreateSceneGraph(sceneGraph, node, transformToRoot) { primitive: node.primitives[i], node: node, model: sceneGraph._model, - }) + }), ); } @@ -475,13 +475,13 @@ ModelSceneGraph.prototype.buildDrawCommands = function (frameState) { Number.MAX_VALUE, Number.MAX_VALUE, Number.MAX_VALUE, - scratchModelPositionMin + scratchModelPositionMin, ); const modelPositionMax = Cartesian3.fromElements( -Number.MAX_VALUE, -Number.MAX_VALUE, -Number.MAX_VALUE, - scratchModelPositionMax + scratchModelPositionMax, ); for (i = 0; i < this._runtimeNodes.length; i++) { @@ -498,7 +498,7 @@ ModelSceneGraph.prototype.buildDrawCommands = function (frameState) { const nodeRenderResources = new NodeRenderResources( modelRenderResources, - runtimeNode + runtimeNode, ); for (j = 0; j < nodePipelineStages.length; j++) { @@ -507,7 +507,7 @@ ModelSceneGraph.prototype.buildDrawCommands = function (frameState) { nodePipelineStage.process( nodeRenderResources, runtimeNode.node, - frameState + frameState, ); } @@ -520,7 +520,7 @@ ModelSceneGraph.prototype.buildDrawCommands = function (frameState) { const primitiveRenderResources = new PrimitiveRenderResources( nodeRenderResources, - runtimePrimitive + runtimePrimitive, ); for (k = 0; k < primitivePipelineStages.length; k++) { @@ -529,40 +529,40 @@ ModelSceneGraph.prototype.buildDrawCommands = function (frameState) { primitivePipelineStage.process( primitiveRenderResources, runtimePrimitive.primitive, - frameState + frameState, ); } runtimePrimitive.boundingSphere = BoundingSphere.clone( primitiveRenderResources.boundingSphere, - new BoundingSphere() + new BoundingSphere(), ); const primitivePositionMin = Matrix4.multiplyByPoint( nodeTransform, primitiveRenderResources.positionMin, - scratchPrimitivePositionMin + scratchPrimitivePositionMin, ); const primitivePositionMax = Matrix4.multiplyByPoint( nodeTransform, primitiveRenderResources.positionMax, - scratchPrimitivePositionMax + scratchPrimitivePositionMax, ); Cartesian3.minimumByComponent( modelPositionMin, primitivePositionMin, - modelPositionMin + modelPositionMin, ); Cartesian3.maximumByComponent( modelPositionMax, primitivePositionMax, - modelPositionMax + modelPositionMax, ); const drawCommand = ModelDrawCommands.buildModelDrawCommand( primitiveRenderResources, - frameState + frameState, ); runtimePrimitive.drawCommand = drawCommand; } @@ -571,25 +571,25 @@ ModelSceneGraph.prototype.buildDrawCommands = function (frameState) { this._boundingSphere = BoundingSphere.fromCornerPoints( modelPositionMin, modelPositionMax, - new BoundingSphere() + new BoundingSphere(), ); this._boundingSphere = BoundingSphere.transformWithoutScale( this._boundingSphere, this._axisCorrectionMatrix, - this._boundingSphere + this._boundingSphere, ); this._boundingSphere = BoundingSphere.transform( this._boundingSphere, this._components.transform, - this._boundingSphere + this._boundingSphere, ); model._boundingSphere = BoundingSphere.transform( this._boundingSphere, model.modelMatrix, - model._boundingSphere + model._boundingSphere, ); model._initialRadius = model._boundingSphere.radius; @@ -686,7 +686,7 @@ ModelSceneGraph.prototype.update = function (frameState, updateForAnimations) { ModelSceneGraph.prototype.updateModelMatrix = function ( modelMatrix, - frameState + frameState, ) { computeModelMatrix(this, modelMatrix); if (frameState.mode !== SceneMode.SCENE3D) { @@ -747,7 +747,7 @@ function traverseSceneGraph( runtimeNode, visibleNodesOnly, callback, - callbackOptions + callbackOptions, ) { if (visibleNodesOnly && !runtimeNode.show) { return; @@ -761,7 +761,7 @@ function traverseSceneGraph( childRuntimeNode, visibleNodesOnly, callback, - callbackOptions + callbackOptions, ); } @@ -777,7 +777,7 @@ function forEachRuntimePrimitive( sceneGraph, visibleNodesOnly, callback, - callbackOptions + callbackOptions, ) { const rootNodes = sceneGraph._rootNodes; const rootNodesLength = rootNodes.length; @@ -789,7 +789,7 @@ function forEachRuntimePrimitive( runtimeNode, visibleNodesOnly, callback, - callbackOptions + callbackOptions, ); } } @@ -812,7 +812,7 @@ ModelSceneGraph.prototype.updateBackFaceCulling = function (backFaceCulling) { this, false, updatePrimitiveBackFaceCulling, - backFaceCullingOptions + backFaceCullingOptions, ); }; @@ -857,7 +857,7 @@ const scratchShowBoundingVolumeOptions = { * @private */ ModelSceneGraph.prototype.updateShowBoundingVolume = function ( - debugShowBoundingVolume + debugShowBoundingVolume, ) { const showBoundingVolumeOptions = scratchShowBoundingVolumeOptions; showBoundingVolumeOptions.debugShowBoundingVolume = debugShowBoundingVolume; @@ -866,7 +866,7 @@ ModelSceneGraph.prototype.updateShowBoundingVolume = function ( this, false, updatePrimitiveShowBoundingVolume, - showBoundingVolumeOptions + showBoundingVolumeOptions, ); }; @@ -908,7 +908,7 @@ ModelSceneGraph.prototype.pushDrawCommands = function (frameState) { this, true, pushPrimitiveDrawCommands, - pushDrawCommandOptions + pushDrawCommandOptions, ); frameState.commandList.push.apply(frameState.commandList, silhouetteCommands); @@ -943,7 +943,7 @@ function pushPrimitiveDrawCommands(runtimePrimitive, options) { */ ModelSceneGraph.prototype.setArticulationStage = function ( articulationStageKey, - value + value, ) { const names = articulationStageKey.split(" "); if (names.length !== 2) { diff --git a/packages/engine/Source/Scene/Model/ModelSilhouettePipelineStage.js b/packages/engine/Source/Scene/Model/ModelSilhouettePipelineStage.js index abcdee908fc0..2a7a0116d9c6 100644 --- a/packages/engine/Source/Scene/Model/ModelSilhouettePipelineStage.js +++ b/packages/engine/Source/Scene/Model/ModelSilhouettePipelineStage.js @@ -51,7 +51,7 @@ ModelSilhouettePipelineStage.silhouettesLength = 0; ModelSilhouettePipelineStage.process = function ( renderResources, model, - frameState + frameState, ) { if (!defined(model._silhouetteId)) { model._silhouetteId = ++ModelSilhouettePipelineStage.silhouettesLength; @@ -66,13 +66,13 @@ ModelSilhouettePipelineStage.process = function ( shaderBuilder.addUniform( "vec4", "model_silhouetteColor", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addUniform( "float", "model_silhouetteSize", - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); // Rendering silhouettes requires two draw commands: @@ -86,7 +86,7 @@ ModelSilhouettePipelineStage.process = function ( shaderBuilder.addUniform( "bool", "model_silhouettePass", - ShaderDestination.BOTH + ShaderDestination.BOTH, ); const uniformMap = { diff --git a/packages/engine/Source/Scene/Model/ModelSkin.js b/packages/engine/Source/Scene/Model/ModelSkin.js index 72f7dca5549c..2a62ef61dcfb 100644 --- a/packages/engine/Source/Scene/Model/ModelSkin.js +++ b/packages/engine/Source/Scene/Model/ModelSkin.js @@ -136,7 +136,7 @@ function initialize(runtimeSkin) { const jointMatrix = computeJointMatrix( runtimeNode, inverseBindMatrix, - new Matrix4() + new Matrix4(), ); runtimeJointMatrices.push(jointMatrix); } @@ -146,13 +146,13 @@ function computeJointMatrix(joint, inverseBindMatrix, result) { const jointWorldTransform = Matrix4.multiplyTransformation( joint.transformToRoot, joint.transform, - result + result, ); result = Matrix4.multiplyTransformation( jointWorldTransform, inverseBindMatrix, - result + result, ); return result; @@ -172,7 +172,7 @@ ModelSkin.prototype.updateJointMatrices = function () { jointMatrices[i] = computeJointMatrix( joint, inverseBindMatrix, - jointMatrices[i] + jointMatrices[i], ); } }; diff --git a/packages/engine/Source/Scene/Model/ModelSplitterPipelineStage.js b/packages/engine/Source/Scene/Model/ModelSplitterPipelineStage.js index 909e9c5452d6..5d8130537807 100644 --- a/packages/engine/Source/Scene/Model/ModelSplitterPipelineStage.js +++ b/packages/engine/Source/Scene/Model/ModelSplitterPipelineStage.js @@ -33,14 +33,14 @@ const ModelSplitterPipelineStage = { ModelSplitterPipelineStage.process = function ( renderResources, model, - frameState + frameState, ) { const shaderBuilder = renderResources.shaderBuilder; shaderBuilder.addDefine( "HAS_MODEL_SPLITTER", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addFragmentLines(ModelSplitterStageFS); @@ -49,17 +49,16 @@ ModelSplitterPipelineStage.process = function ( shaderBuilder.addUniform( "float", ModelSplitterPipelineStage.SPLIT_DIRECTION_UNIFORM_NAME, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); - stageUniforms[ - ModelSplitterPipelineStage.SPLIT_DIRECTION_UNIFORM_NAME - ] = function () { - return model.splitDirection; - }; + stageUniforms[ModelSplitterPipelineStage.SPLIT_DIRECTION_UNIFORM_NAME] = + function () { + return model.splitDirection; + }; renderResources.uniformMap = combine( stageUniforms, - renderResources.uniformMap + renderResources.uniformMap, ); }; diff --git a/packages/engine/Source/Scene/Model/ModelUtility.js b/packages/engine/Source/Scene/Model/ModelUtility.js index 0c35a187d34b..d18c62fd08a2 100644 --- a/packages/engine/Source/Scene/Model/ModelUtility.js +++ b/packages/engine/Source/Scene/Model/ModelUtility.js @@ -59,7 +59,7 @@ ModelUtility.getNodeTransform = function (node) { return Matrix4.fromTranslationQuaternionRotationScale( defined(node.translation) ? node.translation : Cartesian3.ZERO, defined(node.rotation) ? node.rotation : Quaternion.IDENTITY, - defined(node.scale) ? node.scale : Cartesian3.ONE + defined(node.scale) ? node.scale : Cartesian3.ONE, ); }; @@ -220,11 +220,11 @@ const cartesianMinScratch = new Cartesian3(); ModelUtility.getPositionMinMax = function ( primitive, instancingTranslationMin, - instancingTranslationMax + instancingTranslationMax, ) { const positionGltfAttribute = ModelUtility.getAttributeBySemantic( primitive, - "POSITION" + "POSITION", ); let positionMax = positionGltfAttribute.max; @@ -234,12 +234,12 @@ ModelUtility.getPositionMinMax = function ( positionMin = Cartesian3.add( positionMin, instancingTranslationMin, - cartesianMinScratch + cartesianMinScratch, ); positionMax = Cartesian3.add( positionMax, instancingTranslationMax, - cartesianMaxScratch + cartesianMaxScratch, ); } diff --git a/packages/engine/Source/Scene/Model/MorphTargetsPipelineStage.js b/packages/engine/Source/Scene/Model/MorphTargetsPipelineStage.js index 01f13db68c0e..2e34fa1776d1 100644 --- a/packages/engine/Source/Scene/Model/MorphTargetsPipelineStage.js +++ b/packages/engine/Source/Scene/Model/MorphTargetsPipelineStage.js @@ -48,7 +48,7 @@ MorphTargetsPipelineStage.process = function (renderResources, primitive) { shaderBuilder.addDefine( "HAS_MORPH_TARGETS", undefined, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); addGetMorphedAttributeFunctionDeclarations(shaderBuilder); @@ -75,7 +75,7 @@ MorphTargetsPipelineStage.process = function (renderResources, primitive) { renderResources, attribute, renderResources.attributeIndex, - i + i, ); renderResources.attributeIndex++; } @@ -88,7 +88,7 @@ MorphTargetsPipelineStage.process = function (renderResources, primitive) { shaderBuilder.addUniform( "float", `u_morphWeights[${weightsLength}]`, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); shaderBuilder.addVertexLines(MorphTargetsStageVS); @@ -111,32 +111,32 @@ function processMorphTargetAttribute( renderResources, attribute, attributeIndex, - morphTargetIndex + morphTargetIndex, ) { const shaderBuilder = renderResources.shaderBuilder; addMorphTargetAttributeToRenderResources( renderResources, attribute, - attributeIndex + attributeIndex, ); const attributeInfo = getMorphTargetAttributeInfo( attribute, - scratchAttributeInfo + scratchAttributeInfo, ); addMorphTargetAttributeDeclarationAndFunctionLine( shaderBuilder, attributeInfo, - morphTargetIndex + morphTargetIndex, ); } function addMorphTargetAttributeToRenderResources( renderResources, attribute, - attributeIndex + attributeIndex, ) { const vertexAttribute = { index: attributeIndex, @@ -179,7 +179,7 @@ function getMorphTargetAttributeInfo(attribute, result) { function addMorphTargetAttributeDeclarationAndFunctionLine( shaderBuilder, attributeInfo, - morphTargetIndex + morphTargetIndex, ) { const attributeString = attributeInfo.attributeString; const attributeName = `a_target${attributeString}_${morphTargetIndex}`; @@ -192,37 +192,37 @@ function addGetMorphedAttributeFunctionDeclarations(shaderBuilder) { shaderBuilder.addFunction( MorphTargetsPipelineStage.FUNCTION_ID_GET_MORPHED_POSITION, MorphTargetsPipelineStage.FUNCTION_SIGNATURE_GET_MORPHED_POSITION, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); const positionLine = "vec3 morphedPosition = position;"; shaderBuilder.addFunctionLines( MorphTargetsPipelineStage.FUNCTION_ID_GET_MORPHED_POSITION, - [positionLine] + [positionLine], ); shaderBuilder.addFunction( MorphTargetsPipelineStage.FUNCTION_ID_GET_MORPHED_NORMAL, MorphTargetsPipelineStage.FUNCTION_SIGNATURE_GET_MORPHED_NORMAL, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); const normalLine = "vec3 morphedNormal = normal;"; shaderBuilder.addFunctionLines( MorphTargetsPipelineStage.FUNCTION_ID_GET_MORPHED_NORMAL, - [normalLine] + [normalLine], ); shaderBuilder.addFunction( MorphTargetsPipelineStage.FUNCTION_ID_GET_MORPHED_TANGENT, MorphTargetsPipelineStage.FUNCTION_SIGNATURE_GET_MORPHED_TANGENT, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); const tangentLine = "vec3 morphedTangent = tangent;"; shaderBuilder.addFunctionLines( MorphTargetsPipelineStage.FUNCTION_ID_GET_MORPHED_TANGENT, - [tangentLine] + [tangentLine], ); } @@ -230,19 +230,19 @@ function addGetMorphedAttributeFunctionReturns(shaderBuilder) { const positionLine = "return morphedPosition;"; shaderBuilder.addFunctionLines( MorphTargetsPipelineStage.FUNCTION_ID_GET_MORPHED_POSITION, - [positionLine] + [positionLine], ); const normalLine = "return morphedNormal;"; shaderBuilder.addFunctionLines( MorphTargetsPipelineStage.FUNCTION_ID_GET_MORPHED_NORMAL, - [normalLine] + [normalLine], ); const tangentLine = "return morphedTangent;"; shaderBuilder.addFunctionLines( MorphTargetsPipelineStage.FUNCTION_ID_GET_MORPHED_TANGENT, - [tangentLine] + [tangentLine], ); } diff --git a/packages/engine/Source/Scene/Model/NodeRenderResources.js b/packages/engine/Source/Scene/Model/NodeRenderResources.js index aa908ffaee85..8c3d485850b7 100644 --- a/packages/engine/Source/Scene/Model/NodeRenderResources.js +++ b/packages/engine/Source/Scene/Model/NodeRenderResources.js @@ -76,7 +76,7 @@ function NodeRenderResources(modelRenderResources, runtimeNode) { */ this.renderStateOptions = clone( modelRenderResources.renderStateOptions, - true + true, ); /** diff --git a/packages/engine/Source/Scene/Model/NodeStatisticsPipelineStage.js b/packages/engine/Source/Scene/Model/NodeStatisticsPipelineStage.js index 11cef8855abf..acb633bfe64a 100644 --- a/packages/engine/Source/Scene/Model/NodeStatisticsPipelineStage.js +++ b/packages/engine/Source/Scene/Model/NodeStatisticsPipelineStage.js @@ -23,7 +23,7 @@ const NodeStatisticsPipelineStage = { NodeStatisticsPipelineStage.process = function ( renderResources, node, - frameState + frameState, ) { const statistics = renderResources.model.statistics; const instances = node.instances; diff --git a/packages/engine/Source/Scene/Model/PickingPipelineStage.js b/packages/engine/Source/Scene/Model/PickingPipelineStage.js index 166f71e814f8..76563792d191 100644 --- a/packages/engine/Source/Scene/Model/PickingPipelineStage.js +++ b/packages/engine/Source/Scene/Model/PickingPipelineStage.js @@ -33,7 +33,7 @@ const PickingPipelineStage = { PickingPipelineStage.process = function ( renderResources, primitive, - frameState + frameState, ) { const context = frameState.context; const runtimeNode = renderResources.runtimeNode; @@ -57,7 +57,7 @@ PickingPipelineStage.process = function ( shaderBuilder.addUniform( "vec4", "czm_pickColor", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); const uniformMap = renderResources.uniformMap; @@ -129,14 +129,14 @@ function processPickTexture(renderResources, primitive, instances) { // Extract the Feature Table ID from the instanced Feature ID attributes. featureIdAttribute = ModelUtility.getFeatureIdsByLabel( instances.featureIds, - instanceFeatureIdLabel + instanceFeatureIdLabel, ); featureTableId = featureIdAttribute.propertyTableId; } else { // Extract the Feature Table ID from the primitive Feature ID attributes. featureIdAttribute = ModelUtility.getFeatureIdsByLabel( primitive.featureIds, - featureIdLabel + featureIdLabel, ); featureTableId = featureIdAttribute.propertyTableId; } @@ -147,7 +147,7 @@ function processPickTexture(renderResources, primitive, instances) { shaderBuilder.addUniform( "sampler2D", "model_pickTexture", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); const batchTexture = featureTable.batchTexture; diff --git a/packages/engine/Source/Scene/Model/PntsLoader.js b/packages/engine/Source/Scene/Model/PntsLoader.js index 2565dd01a420..fafb851851c4 100644 --- a/packages/engine/Source/Scene/Model/PntsLoader.js +++ b/packages/engine/Source/Scene/Model/PntsLoader.js @@ -350,7 +350,7 @@ function transcodeAttributeType(componentsPerAttribute) { //>>includeStart('debug', pragmas.debug); default: throw new DeveloperError( - "componentsPerAttribute must be a number from 1-4" + "componentsPerAttribute must be a number from 1-4", ); //>>includeEnd('debug'); } @@ -404,7 +404,7 @@ function makeAttribute(loader, attributeInfo, context) { quantization.quantizedVolumeStepSize = Cartesian3.divideByScalar( quantizedVolumeDimensions, normalizationRange, - new Cartesian3() + new Cartesian3(), ); quantization.componentDatatype = attributeInfo.quantizedComponentDatatype; quantization.type = attributeInfo.quantizedType; @@ -628,7 +628,7 @@ function makeComponents(loader, context) { const customAttributeOutput = []; components.structuralMetadata = makeStructuralMetadata( parsedContent, - customAttributeOutput + customAttributeOutput, ); if (customAttributeOutput.length > 0) { @@ -636,7 +636,7 @@ function makeComponents(loader, context) { loader, primitive, customAttributeOutput, - context + context, ); } @@ -644,7 +644,7 @@ function makeComponents(loader, context) { components.transform = Matrix4.multiplyByTranslation( components.transform, parsedContent.rtcCenter, - components.transform + components.transform, ); } @@ -655,7 +655,7 @@ function makeComponents(loader, context) { components.transform = Matrix4.multiplyByTranslation( components.transform, positions.quantizedVolumeOffset, - components.transform + components.transform, ); } @@ -670,7 +670,7 @@ function addPropertyAttributesToPrimitive( loader, primitive, customAttributes, - context + context, ) { const attributes = primitive.attributes; diff --git a/packages/engine/Source/Scene/Model/PointCloudStylingPipelineStage.js b/packages/engine/Source/Scene/Model/PointCloudStylingPipelineStage.js index 9abb174126d3..967e07fcfe98 100644 --- a/packages/engine/Source/Scene/Model/PointCloudStylingPipelineStage.js +++ b/packages/engine/Source/Scene/Model/PointCloudStylingPipelineStage.js @@ -58,7 +58,7 @@ const PointCloudStylingPipelineStage = { PointCloudStylingPipelineStage.process = function ( renderResources, primitive, - frameState + frameState, ) { const shaderBuilder = renderResources.shaderBuilder; const model = renderResources.model; @@ -81,12 +81,11 @@ PointCloudStylingPipelineStage.process = function ( const hasBatchTable = !defined(propertyAttributes) && hasFeatureTable; if (defined(style) && !hasBatchTable) { - const variableSubstitutionMap = getVariableSubstitutionMap( - propertyAttributes - ); + const variableSubstitutionMap = + getVariableSubstitutionMap(propertyAttributes); const shaderFunctionInfo = getStyleShaderFunctionInfo( style, - variableSubstitutionMap + variableSubstitutionMap, ); addShaderFunctionsAndDefines(shaderBuilder, shaderFunctionInfo); @@ -95,19 +94,19 @@ PointCloudStylingPipelineStage.process = function ( const usesNormalSemantic = propertyNames.indexOf("normalMC") >= 0; const hasNormals = ModelUtility.getAttributeBySemantic( primitive, - VertexAttributeSemantic.NORMAL + VertexAttributeSemantic.NORMAL, ); if (usesNormalSemantic && !hasNormals) { throw new RuntimeError( - "Style references the NORMAL semantic but the point cloud does not have normals" + "Style references the NORMAL semantic but the point cloud does not have normals", ); } shaderBuilder.addDefine( "COMPUTE_POSITION_WC_STYLE", undefined, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); // If the style is translucent, the alpha options must be adjusted. @@ -122,7 +121,7 @@ PointCloudStylingPipelineStage.process = function ( shaderBuilder.addDefine( "HAS_POINT_CLOUD_ATTENUATION", undefined, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); } @@ -130,7 +129,7 @@ PointCloudStylingPipelineStage.process = function ( shaderBuilder.addDefine( "HAS_POINT_CLOUD_BACK_FACE_CULLING", undefined, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); } @@ -147,7 +146,7 @@ PointCloudStylingPipelineStage.process = function ( shaderBuilder.addUniform( "vec4", "model_pointCloudParameters", - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); shaderBuilder.addVertexLines(PointCloudStylingStageVS); @@ -165,7 +164,7 @@ PointCloudStylingPipelineStage.process = function ( } vec4.x = defaultValue( pointCloudShading.maximumAttenuation, - defaultPointSize + defaultPointSize, ); vec4.x *= frameState.pixelRatio; @@ -174,7 +173,7 @@ PointCloudStylingPipelineStage.process = function ( renderResources, primitive, pointCloudShading, - content + content, ); vec4.y = geometricError * pointCloudShading.geometricErrorScale; @@ -210,7 +209,7 @@ function getGeometricError( renderResources, primitive, pointCloudShading, - content + content, ) { if (defined(content)) { const geometricError = content.tile.geometricError; @@ -226,7 +225,7 @@ function getGeometricError( const positionAttribute = ModelUtility.getAttributeBySemantic( primitive, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); const pointsLength = positionAttribute.count; @@ -235,13 +234,13 @@ function getGeometricError( let dimensions = Cartesian3.subtract( positionAttribute.max, positionAttribute.min, - scratchDimensions + scratchDimensions, ); // dimensions is a vector, as it is a subtraction between two points dimensions = Matrix4.multiplyByPointAsVector( nodeTransform, dimensions, - scratchDimensions + scratchDimensions, ); const volume = dimensions.x * dimensions.y * dimensions.z; const geometricErrorEstimate = CesiumMath.cbrt(volume / pointsLength); @@ -296,17 +295,17 @@ function getStyleShaderFunctionInfo(style, variableSubstitutionMap) { info.colorStyleFunction = style.getColorShaderFunction( `getColorFromStyle(${parameterList})`, variableSubstitutionMap, - shaderState + shaderState, ); info.showStyleFunction = style.getShowShaderFunction( `getShowFromStyle(${parameterList})`, variableSubstitutionMap, - shaderState + shaderState, ); info.pointSizeStyleFunction = style.getPointSizeShaderFunction( `getPointSizeFromStyle(${parameterList})`, variableSubstitutionMap, - shaderState + shaderState, ); info.styleTranslucent = defined(info.colorStyleFunction) && shaderState.translucent; @@ -320,7 +319,7 @@ function addShaderFunctionsAndDefines(shaderBuilder, shaderFunctionInfo) { shaderBuilder.addDefine( "HAS_POINT_CLOUD_COLOR_STYLE", undefined, - ShaderDestination.BOTH + ShaderDestination.BOTH, ); shaderBuilder.addVertexLines(colorStyleFunction); @@ -334,7 +333,7 @@ function addShaderFunctionsAndDefines(shaderBuilder, shaderFunctionInfo) { shaderBuilder.addDefine( "HAS_POINT_CLOUD_SHOW_STYLE", undefined, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); shaderBuilder.addVertexLines(showStyleFunction); } @@ -344,7 +343,7 @@ function addShaderFunctionsAndDefines(shaderBuilder, shaderFunctionInfo) { shaderBuilder.addDefine( "HAS_POINT_CLOUD_POINT_SIZE_STYLE", undefined, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); shaderBuilder.addVertexLines(pointSizeStyleFunction); } diff --git a/packages/engine/Source/Scene/Model/PrimitiveOutlineGenerator.js b/packages/engine/Source/Scene/Model/PrimitiveOutlineGenerator.js index 2e6e73a2cfe6..d21e59662b7c 100644 --- a/packages/engine/Source/Scene/Model/PrimitiveOutlineGenerator.js +++ b/packages/engine/Source/Scene/Model/PrimitiveOutlineGenerator.js @@ -213,7 +213,7 @@ function initialize(outlineGenerator) { i2, hasEdge01, hasEdge12, - hasEdge20 + hasEdge20, ); while (defined(unmatchableVertexIndex)) { // Copy the unmatchable index and try again. @@ -277,7 +277,7 @@ function initialize(outlineGenerator) { i2, hasEdge01, hasEdge12, - hasEdge20 + hasEdge20, ); } } @@ -285,7 +285,7 @@ function initialize(outlineGenerator) { // Store the triangle indices in case we had to expand to 32-bit indices outlineGenerator._triangleIndices = triangleIndices; outlineGenerator._outlineCoordinatesTypedArray = new Float32Array( - outlineCoordinates + outlineCoordinates, ); } @@ -312,7 +312,7 @@ function matchAndStoreCoordinates( i2, hasEdge01, hasEdge12, - hasEdge20 + hasEdge20, ) { const a0 = hasEdge20 ? 1.0 : 0.0; const b0 = hasEdge01 ? 1.0 : 0.0; @@ -510,7 +510,7 @@ function popcount6Bit(value) { * @private */ PrimitiveOutlineGenerator.prototype.updateAttribute = function ( - attributeTypedArray + attributeTypedArray, ) { const extraVertices = this._extraVertices; @@ -525,7 +525,7 @@ PrimitiveOutlineGenerator.prototype.updateAttribute = function ( // Make a larger typed array of the same type as the input const ArrayType = attributeTypedArray.constructor; const result = new ArrayType( - attributeTypedArray.length + extraVerticesLength * stride + attributeTypedArray.length + extraVerticesLength * stride, ); // Copy original vertices diff --git a/packages/engine/Source/Scene/Model/PrimitiveOutlinePipelineStage.js b/packages/engine/Source/Scene/Model/PrimitiveOutlinePipelineStage.js index 23b9b034896f..f51803984b32 100644 --- a/packages/engine/Source/Scene/Model/PrimitiveOutlinePipelineStage.js +++ b/packages/engine/Source/Scene/Model/PrimitiveOutlinePipelineStage.js @@ -32,7 +32,7 @@ const PrimitiveOutlinePipelineStage = { PrimitiveOutlinePipelineStage.process = function ( renderResources, primitive, - frameState + frameState, ) { const shaderBuilder = renderResources.shaderBuilder; const uniformMap = renderResources.uniformMap; @@ -40,7 +40,7 @@ PrimitiveOutlinePipelineStage.process = function ( shaderBuilder.addDefine( "HAS_PRIMITIVE_OUTLINE", undefined, - ShaderDestination.BOTH + ShaderDestination.BOTH, ); shaderBuilder.addAttribute("vec3", "a_outlineCoordinates"); @@ -51,7 +51,7 @@ PrimitiveOutlinePipelineStage.process = function ( index: renderResources.attributeIndex++, vertexBuffer: outlineCoordinates.buffer, componentsPerAttribute: AttributeType.getNumberOfComponents( - outlineCoordinates.type + outlineCoordinates.type, ), componentDatatype: outlineCoordinates.componentDatatype, offsetInBytes: outlineCoordinates.byteOffset, @@ -63,12 +63,12 @@ PrimitiveOutlinePipelineStage.process = function ( shaderBuilder.addUniform( "sampler2D", "model_outlineTexture", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); // This automatically handles caching the texture on the context const outlineTexture = PrimitiveOutlineGenerator.createTexture( - frameState.context + frameState.context, ); uniformMap.model_outlineTexture = function () { return outlineTexture; @@ -78,7 +78,7 @@ PrimitiveOutlinePipelineStage.process = function ( shaderBuilder.addUniform( "vec4", "model_outlineColor", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); uniformMap.model_outlineColor = function () { return model.outlineColor; @@ -86,7 +86,7 @@ PrimitiveOutlinePipelineStage.process = function ( shaderBuilder.addUniform( "bool", "model_showOutline", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); uniformMap.model_showOutline = function () { return model.showOutline; diff --git a/packages/engine/Source/Scene/Model/PrimitiveRenderResources.js b/packages/engine/Source/Scene/Model/PrimitiveRenderResources.js index ce24f71f05ce..b354b9b51958 100644 --- a/packages/engine/Source/Scene/Model/PrimitiveRenderResources.js +++ b/packages/engine/Source/Scene/Model/PrimitiveRenderResources.js @@ -235,7 +235,7 @@ function PrimitiveRenderResources(nodeRenderResources, runtimePrimitive) { const positionMinMax = ModelUtility.getPositionMinMax( primitive, this.runtimeNode.instancingTranslationMin, - this.runtimeNode.instancingTranslationMax + this.runtimeNode.instancingTranslationMax, ); /** @@ -269,7 +269,7 @@ function PrimitiveRenderResources(nodeRenderResources, runtimePrimitive) { this.boundingSphere = BoundingSphere.fromCornerPoints( this.positionMin, this.positionMax, - new BoundingSphere() + new BoundingSphere(), ); /** diff --git a/packages/engine/Source/Scene/Model/PrimitiveStatisticsPipelineStage.js b/packages/engine/Source/Scene/Model/PrimitiveStatisticsPipelineStage.js index 2b872c6fb61e..d01e85df5efd 100644 --- a/packages/engine/Source/Scene/Model/PrimitiveStatisticsPipelineStage.js +++ b/packages/engine/Source/Scene/Model/PrimitiveStatisticsPipelineStage.js @@ -30,7 +30,7 @@ const PrimitiveStatisticsPipelineStage = { PrimitiveStatisticsPipelineStage.process = function ( renderResources, primitive, - frameState + frameState, ) { const model = renderResources.model; const statistics = model.statistics; diff --git a/packages/engine/Source/Scene/Model/SceneMode2DPipelineStage.js b/packages/engine/Source/Scene/Model/SceneMode2DPipelineStage.js index 8f4856c0c719..d870ca0518d4 100644 --- a/packages/engine/Source/Scene/Model/SceneMode2DPipelineStage.js +++ b/packages/engine/Source/Scene/Model/SceneMode2DPipelineStage.js @@ -52,11 +52,11 @@ const SceneMode2DPipelineStage = { SceneMode2DPipelineStage.process = function ( renderResources, primitive, - frameState + frameState, ) { const positionAttribute = ModelUtility.getAttributeBySemantic( primitive, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); const shaderBuilder = renderResources.shaderBuilder; @@ -66,13 +66,13 @@ SceneMode2DPipelineStage.process = function ( const computedModelMatrix = Matrix4.multiplyTransformation( modelMatrix, nodeComputedTransform, - scratchModelMatrix + scratchModelMatrix, ); const boundingSphere2D = computeBoundingSphere2D( renderResources, computedModelMatrix, - frameState + frameState, ); const runtimePrimitive = renderResources.runtimePrimitive; @@ -92,7 +92,7 @@ SceneMode2DPipelineStage.process = function ( positionAttribute, computedModelMatrix, boundingSphere2D, - frameState + frameState, ); // Since this buffer will persist even if the pipeline is re-run, @@ -110,14 +110,14 @@ SceneMode2DPipelineStage.process = function ( shaderBuilder.addDefine( "USE_2D_POSITIONS", undefined, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); shaderBuilder.addUniform("mat4", "u_modelView2D", ShaderDestination.VERTEX); const modelMatrix2D = Matrix4.fromTranslation( boundingSphere2D.center, - new Matrix4() + new Matrix4(), ); const context = frameState.context; @@ -126,7 +126,7 @@ SceneMode2DPipelineStage.process = function ( return Matrix4.multiplyTransformation( context.uniformState.view, modelMatrix2D, - scratchModelView2D + scratchModelView2D, ); }, }; @@ -142,31 +142,31 @@ function computeBoundingSphere2D(renderResources, modelMatrix, frameState) { const transformedPositionMin = Matrix4.multiplyByPoint( modelMatrix, renderResources.positionMin, - scratchProjectedMin + scratchProjectedMin, ); const projectedMin = SceneTransforms.computeActualEllipsoidPosition( frameState, transformedPositionMin, - transformedPositionMin + transformedPositionMin, ); const transformedPositionMax = Matrix4.multiplyByPoint( modelMatrix, renderResources.positionMax, - scratchProjectedMax + scratchProjectedMax, ); const projectedMax = SceneTransforms.computeActualEllipsoidPosition( frameState, transformedPositionMax, - transformedPositionMax + transformedPositionMax, ); return BoundingSphere.fromCornerPoints( projectedMin, projectedMax, - new BoundingSphere() + new BoundingSphere(), ); } @@ -184,17 +184,17 @@ function dequantizePositionsTypedArray(typedArray, quantization) { const initialPosition = Cartesian3.fromArray( typedArray, i, - scratchPosition + scratchPosition, ); const scaledPosition = Cartesian3.multiplyComponents( initialPosition, quantizedVolumeStepSize, - initialPosition + initialPosition, ); const dequantizedPosition = Cartesian3.add( scaledPosition, quantizedVolumeOffset, - scaledPosition + scaledPosition, ); dequantizedArray[i] = dequantizedPosition.x; @@ -209,14 +209,14 @@ function createPositionsTypedArrayFor2D( attribute, modelMatrix, referencePoint, - frameState + frameState, ) { let result; if (defined(attribute.quantization)) { // Dequantize the positions if necessary. result = dequantizePositionsTypedArray( attribute.typedArray, - attribute.quantization + attribute.quantization, ); } else { result = attribute.typedArray.slice(); @@ -241,19 +241,19 @@ function createPositionsTypedArrayFor2D( const transformedPosition = Matrix4.multiplyByPoint( modelMatrix, initialPosition, - initialPosition + initialPosition, ); const projectedPosition = SceneTransforms.computeActualEllipsoidPosition( frameState, transformedPosition, - transformedPosition + transformedPosition, ); const relativePosition = Cartesian3.subtract( projectedPosition, referencePoint, - projectedPosition + projectedPosition, ); result[i] = relativePosition.x; @@ -268,7 +268,7 @@ function createPositionBufferFor2D( positionAttribute, modelMatrix, boundingSphere2D, - frameState + frameState, ) { // Force the scene mode to be CV. In 2D, projected positions will have // an x-coordinate of 0, which eliminates the height data that is @@ -284,7 +284,7 @@ function createPositionBufferFor2D( positionAttribute, modelMatrix, referencePoint, - frameStateCV + frameStateCV, ); // Put the resulting data in a GPU buffer. diff --git a/packages/engine/Source/Scene/Model/SelectedFeatureIdPipelineStage.js b/packages/engine/Source/Scene/Model/SelectedFeatureIdPipelineStage.js index df30ad52509f..6a95e14384c3 100644 --- a/packages/engine/Source/Scene/Model/SelectedFeatureIdPipelineStage.js +++ b/packages/engine/Source/Scene/Model/SelectedFeatureIdPipelineStage.js @@ -33,7 +33,7 @@ const SelectedFeatureIdPipelineStage = { SelectedFeatureIdPipelineStage.process = function ( renderResources, primitive, - frameState + frameState, ) { const shaderBuilder = renderResources.shaderBuilder; @@ -47,7 +47,7 @@ SelectedFeatureIdPipelineStage.process = function ( shaderBuilder.addDefine( "HAS_SELECTED_FEATURE_ID", undefined, - shaderDestination + shaderDestination, ); // Add a define to insert the variable to use. @@ -56,7 +56,7 @@ SelectedFeatureIdPipelineStage.process = function ( shaderBuilder.addDefine( "SELECTED_FEATURE_ID", selectedFeatureIds.variableName, - shaderDestination + shaderDestination, ); // Add a define to the shader to distinguish feature ID attributes from @@ -65,7 +65,7 @@ SelectedFeatureIdPipelineStage.process = function ( shaderBuilder.addDefine( selectedFeatureIds.featureIdDefine, undefined, - shaderDestination + shaderDestination, ); updateFeatureStruct(shaderBuilder); @@ -76,7 +76,7 @@ SelectedFeatureIdPipelineStage.process = function ( shaderBuilder.addDefine( "HAS_NULL_FEATURE_ID", undefined, - shaderDestination + shaderDestination, ); shaderBuilder.addUniform("int", "model_nullFeatureId", shaderDestination); uniformMap.model_nullFeatureId = function () { @@ -115,7 +115,7 @@ function getSelectedFeatureIds(model, node, primitive) { if (defined(node.instances)) { featureIds = ModelUtility.getFeatureIdsByLabel( node.instances.featureIds, - model.instanceFeatureIdLabel + model.instanceFeatureIdLabel, ); if (defined(featureIds)) { @@ -133,7 +133,7 @@ function getSelectedFeatureIds(model, node, primitive) { featureIds = ModelUtility.getFeatureIdsByLabel( primitive.featureIds, - model.featureIdLabel + model.featureIdLabel, ); // again, prefer label for being more descriptive variableName = defaultValue(featureIds.label, featureIds.positionalLabel); @@ -162,19 +162,19 @@ function updateFeatureStruct(shaderBuilder) { shaderBuilder.addStructField( SelectedFeatureIdPipelineStage.STRUCT_ID_SELECTED_FEATURE, "int", - "id" + "id", ); shaderBuilder.addStructField( SelectedFeatureIdPipelineStage.STRUCT_ID_SELECTED_FEATURE, "vec2", - "st" + "st", ); shaderBuilder.addStructField( SelectedFeatureIdPipelineStage.STRUCT_ID_SELECTED_FEATURE, "vec4", - "color" + "color", ); } diff --git a/packages/engine/Source/Scene/Model/SkinningPipelineStage.js b/packages/engine/Source/Scene/Model/SkinningPipelineStage.js index 0f7fc1b84fdc..4475a35c3e07 100644 --- a/packages/engine/Source/Scene/Model/SkinningPipelineStage.js +++ b/packages/engine/Source/Scene/Model/SkinningPipelineStage.js @@ -45,7 +45,7 @@ SkinningPipelineStage.process = function (renderResources, primitive) { shaderBuilder.addUniform( "mat4", `u_jointMatrices[${jointMatrices.length}]`, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); shaderBuilder.addVertexLines(SkinningStageVS); @@ -83,13 +83,13 @@ function addGetSkinningMatrixFunction(shaderBuilder, primitive) { shaderBuilder.addFunction( SkinningPipelineStage.FUNCTION_ID_GET_SKINNING_MATRIX, SkinningPipelineStage.FUNCTION_SIGNATURE_GET_SKINNING_MATRIX, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); const initialLine = "mat4 skinnedMatrix = mat4(0);"; shaderBuilder.addFunctionLines( SkinningPipelineStage.FUNCTION_ID_GET_SKINNING_MATRIX, - [initialLine] + [initialLine], ); let setIndex; @@ -103,7 +103,7 @@ function addGetSkinningMatrixFunction(shaderBuilder, primitive) { const line = `skinnedMatrix += a_weights_${setIndex}.${component} * u_jointMatrices[int(a_joints_${setIndex}.${component})];`; shaderBuilder.addFunctionLines( SkinningPipelineStage.FUNCTION_ID_GET_SKINNING_MATRIX, - [line] + [line], ); } } @@ -111,7 +111,7 @@ function addGetSkinningMatrixFunction(shaderBuilder, primitive) { const returnLine = "return skinnedMatrix;"; shaderBuilder.addFunctionLines( SkinningPipelineStage.FUNCTION_ID_GET_SKINNING_MATRIX, - [returnLine] + [returnLine], ); } diff --git a/packages/engine/Source/Scene/Model/StyleCommandsNeeded.js b/packages/engine/Source/Scene/Model/StyleCommandsNeeded.js index a673bbf3b1bc..c5e1188d1fd7 100644 --- a/packages/engine/Source/Scene/Model/StyleCommandsNeeded.js +++ b/packages/engine/Source/Scene/Model/StyleCommandsNeeded.js @@ -16,7 +16,7 @@ const StyleCommandsNeeded = { */ StyleCommandsNeeded.getStyleCommandsNeeded = function ( featuresLength, - translucentFeaturesLength + translucentFeaturesLength, ) { if (translucentFeaturesLength === 0) { return StyleCommandsNeeded.ALL_OPAQUE; diff --git a/packages/engine/Source/Scene/Model/TextureManager.js b/packages/engine/Source/Scene/Model/TextureManager.js index fb13fda62919..683ebaee443d 100644 --- a/packages/engine/Source/Scene/Model/TextureManager.js +++ b/packages/engine/Source/Scene/Model/TextureManager.js @@ -138,11 +138,11 @@ function getWebGL1Texture(textureUniform, image, context) { // typedArray is non-power-of-two but can't be resized. Warn and return raw texture (no mipmaps) if (needMipmap) { console.warn( - "Texture requires resizing for mipmaps but pixelDataType cannot be resized. The texture may be rendered incorrectly." + "Texture requires resizing for mipmaps but pixelDataType cannot be resized. The texture may be rendered incorrectly.", ); } else if (samplerRepeats) { console.warn( - "Texture requires resizing for wrapping but pixelDataType cannot be resized. The texture may be rendered incorrectly." + "Texture requires resizing for wrapping but pixelDataType cannot be resized. The texture may be rendered incorrectly.", ); } return getTextureFromTypedArray(textureUniform, context); diff --git a/packages/engine/Source/Scene/Model/TextureUniform.js b/packages/engine/Source/Scene/Model/TextureUniform.js index ef0b4b809806..a8b6db4b2483 100644 --- a/packages/engine/Source/Scene/Model/TextureUniform.js +++ b/packages/engine/Source/Scene/Model/TextureUniform.js @@ -35,12 +35,12 @@ function TextureUniform(options) { const hasUrl = defined(options.url); if (hasTypedArray === hasUrl) { throw new DeveloperError( - "exactly one of options.typedArray, options.url must be defined" + "exactly one of options.typedArray, options.url must be defined", ); } if (hasTypedArray && (!defined(options.width) || !defined(options.height))) { throw new DeveloperError( - "options.width and options.height are required when options.typedArray is defined" + "options.width and options.height are required when options.typedArray is defined", ); } //>>includeEnd('debug'); @@ -51,7 +51,7 @@ function TextureUniform(options) { this.pixelFormat = defaultValue(options.pixelFormat, PixelFormat.RGBA); this.pixelDatatype = defaultValue( options.pixelDatatype, - PixelDatatype.UNSIGNED_BYTE + PixelDatatype.UNSIGNED_BYTE, ); let resource = options.url; diff --git a/packages/engine/Source/Scene/Model/TilesetPipelineStage.js b/packages/engine/Source/Scene/Model/TilesetPipelineStage.js index 7a3257ff6869..33dd3718b2de 100644 --- a/packages/engine/Source/Scene/Model/TilesetPipelineStage.js +++ b/packages/engine/Source/Scene/Model/TilesetPipelineStage.js @@ -43,7 +43,7 @@ TilesetPipelineStage.process = function (renderResources, model, frameState) { shaderBuilder.addDefine( "POLYGON_OFFSET", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); // This value will be overriden by the depth-only back face derived command. @@ -58,7 +58,7 @@ TilesetPipelineStage.process = function (renderResources, model, frameState) { renderResources.uniformMap = combine( uniformMap, - renderResources.uniformMap + renderResources.uniformMap, ); renderResources.hasSkipLevelOfDetail = true; } diff --git a/packages/engine/Source/Scene/Model/VerticalExaggerationPipelineStage.js b/packages/engine/Source/Scene/Model/VerticalExaggerationPipelineStage.js index 19f26b1001d3..9dd8aa83a3fa 100644 --- a/packages/engine/Source/Scene/Model/VerticalExaggerationPipelineStage.js +++ b/packages/engine/Source/Scene/Model/VerticalExaggerationPipelineStage.js @@ -28,7 +28,7 @@ const scratchExaggerationUniform = new Cartesian2(); VerticalExaggerationPipelineStage.process = function ( renderResources, primitive, - frameState + frameState, ) { const { shaderBuilder, uniformMap } = renderResources; @@ -37,20 +37,20 @@ VerticalExaggerationPipelineStage.process = function ( shaderBuilder.addDefine( "HAS_VERTICAL_EXAGGERATION", undefined, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); shaderBuilder.addUniform( "vec2", "u_verticalExaggerationAndRelativeHeight", - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); uniformMap.u_verticalExaggerationAndRelativeHeight = function () { return Cartesian2.fromElements( frameState.verticalExaggeration, frameState.verticalExaggerationRelativeHeight, - scratchExaggerationUniform + scratchExaggerationUniform, ); }; }; diff --git a/packages/engine/Source/Scene/Model/WireframePipelineStage.js b/packages/engine/Source/Scene/Model/WireframePipelineStage.js index 7aed34818ea6..0461597b12fe 100644 --- a/packages/engine/Source/Scene/Model/WireframePipelineStage.js +++ b/packages/engine/Source/Scene/Model/WireframePipelineStage.js @@ -34,7 +34,7 @@ const WireframePipelineStage = { WireframePipelineStage.process = function ( renderResources, primitive, - frameState + frameState, ) { // Applying normal mapping to the lines will result in rendering // errors on Linux. This define is added to disable normal @@ -43,14 +43,14 @@ WireframePipelineStage.process = function ( shaderBuilder.addDefine( "HAS_WIREFRAME", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); const model = renderResources.model; const wireframeIndexBuffer = createWireframeIndexBuffer( primitive, renderResources.indices, - frameState + frameState, ); model._pipelineResources.push(wireframeIndexBuffer); renderResources.wireframeIndexBuffer = wireframeIndexBuffer; @@ -69,14 +69,14 @@ WireframePipelineStage.process = function ( renderResources.primitiveType = PrimitiveType.LINES; renderResources.count = WireframeIndexGenerator.getWireframeIndicesCount( originalPrimitiveType, - originalCount + originalCount, ); }; function createWireframeIndexBuffer(primitive, indices, frameState) { const positionAttribute = ModelUtility.getAttributeBySemantic( primitive, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); const vertexCount = positionAttribute.count; const webgl2 = frameState.context.webgl2; @@ -101,10 +101,10 @@ function createWireframeIndexBuffer(primitive, indices, frameState) { const wireframeIndices = WireframeIndexGenerator.createWireframeIndices( primitiveType, vertexCount, - originalIndices + originalIndices, ); const indexDatatype = IndexDatatype.fromSizeInBytes( - wireframeIndices.BYTES_PER_ELEMENT + wireframeIndices.BYTES_PER_ELEMENT, ); return Buffer.createIndexBuffer({ diff --git a/packages/engine/Source/Scene/Model/pickModel.js b/packages/engine/Source/Scene/Model/pickModel.js index 9dc7cc768be4..244ea0e3b45f 100644 --- a/packages/engine/Source/Scene/Model/pickModel.js +++ b/packages/engine/Source/Scene/Model/pickModel.js @@ -48,7 +48,7 @@ export default function pickModel( verticalExaggeration, relativeHeight, ellipsoid, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.object("model", model); @@ -70,11 +70,11 @@ export default function pickModel( let nodeComputedTransform = Matrix4.clone( runtimeNode.computedTransform, - scratchNodeComputedTransform + scratchNodeComputedTransform, ); let modelMatrix = Matrix4.clone( sceneGraph.computedModelMatrix, - scratchModelMatrix + scratchModelMatrix, ); const instances = node.instances; @@ -84,13 +84,13 @@ export default function pickModel( modelMatrix = Matrix4.multiplyTransformation( model.modelMatrix, sceneGraph.components.transform, - modelMatrix + modelMatrix, ); nodeComputedTransform = Matrix4.multiplyTransformation( sceneGraph.axisCorrectionMatrix, runtimeNode.computedTransform, - nodeComputedTransform + nodeComputedTransform, ); } } @@ -98,14 +98,14 @@ export default function pickModel( let computedModelMatrix = Matrix4.multiplyTransformation( modelMatrix, nodeComputedTransform, - scratchcomputedModelMatrix + scratchcomputedModelMatrix, ); if (frameState.mode !== SceneMode.SCENE3D) { computedModelMatrix = Transforms.basisTo2D( frameState.mapProjection, computedModelMatrix, - computedModelMatrix + computedModelMatrix, ); } @@ -122,7 +122,7 @@ export default function pickModel( if (defined(instanceTransformsBuffer) && frameState.context.webgl2) { transformsTypedArray = ComponentDatatype.createTypedArray( instanceComponentDatatype, - transformsCount * transformElements + transformsCount * transformElements, ); instanceTransformsBuffer.getBufferData(transformsTypedArray); } @@ -148,21 +148,21 @@ export default function pickModel( 0, 0, 0, - 1 + 1, ); if (instances.transformInWorldSpace) { Matrix4.multiplyTransformation( transform, nodeComputedTransform, - transform + transform, ); Matrix4.multiplyTransformation(modelMatrix, transform, transform); } else { Matrix4.multiplyTransformation( transform, computedModelMatrix, - transform + transform, ); } transforms.push(transform); @@ -183,11 +183,11 @@ export default function pickModel( const boundingSphere = BoundingSphere.transform( runtimePrimitive.boundingSphere, computedModelMatrix, - scratchBoundingSphere + scratchBoundingSphere, ); const boundsIntersection = IntersectionTests.raySphere( ray, - boundingSphere + boundingSphere, ); if (!defined(boundsIntersection)) { continue; @@ -196,7 +196,7 @@ export default function pickModel( const positionAttribute = ModelUtility.getAttributeBySemantic( primitive, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); const byteOffset = positionAttribute.byteOffset; const byteStride = positionAttribute.byteStride; @@ -256,13 +256,13 @@ export default function pickModel( if (defined(verticesBuffer) && frameState.context.webgl2) { vertices = ComponentDatatype.createTypedArray( componentDatatype, - elementCount + elementCount, ); verticesBuffer.getBufferData( vertices, isInterleaved ? 0 : byteOffset, 0, - elementCount + elementCount, ); } @@ -271,7 +271,7 @@ export default function pickModel( vertices, componentDatatype, attributeType, - vertexCount + vertexCount, ); } } @@ -301,7 +301,7 @@ export default function pickModel( verticalExaggeration, relativeHeight, ellipsoid, - scratchV0 + scratchV0, ); const v1 = getVertexPosition( vertices, @@ -313,7 +313,7 @@ export default function pickModel( verticalExaggeration, relativeHeight, ellipsoid, - scratchV1 + scratchV1, ); const v2 = getVertexPosition( vertices, @@ -325,7 +325,7 @@ export default function pickModel( verticalExaggeration, relativeHeight, ellipsoid, - scratchV2 + scratchV2, ); const t = IntersectionTests.rayTriangleParametric( @@ -333,7 +333,7 @@ export default function pickModel( v0, v1, v2, - defaultValue(model.backFaceCulling, true) + defaultValue(model.backFaceCulling, true), ); if (defined(t)) { @@ -374,7 +374,7 @@ function getVertexPosition( verticalExaggeration, relativeHeight, ellipsoid, - result + result, ) { const i = offset + index * numElements; result.x = vertices[i]; @@ -386,7 +386,7 @@ function getVertexPosition( result = AttributeCompression.octDecodeInRange( result, quantization.normalizationRange, - result + result, ); if (quantization.octEncodedZXY) { @@ -399,13 +399,13 @@ function getVertexPosition( result = Cartesian3.multiplyComponents( result, quantization.quantizedVolumeStepSize, - result + result, ); result = Cartesian3.add( result, quantization.quantizedVolumeOffset, - result + result, ); } } @@ -418,7 +418,7 @@ function getVertexPosition( ellipsoid, verticalExaggeration, relativeHeight, - result + result, ); } diff --git a/packages/engine/Source/Scene/ModelComponents.js b/packages/engine/Source/Scene/ModelComponents.js index 3f3a88ed86b8..fc72bf5baac6 100644 --- a/packages/engine/Source/Scene/ModelComponents.js +++ b/packages/engine/Source/Scene/ModelComponents.js @@ -1246,7 +1246,7 @@ function MetallicRoughness() { * @private */ this.baseColorFactor = Cartesian4.clone( - MetallicRoughness.DEFAULT_BASE_COLOR_FACTOR + MetallicRoughness.DEFAULT_BASE_COLOR_FACTOR, ); /** @@ -1316,7 +1316,7 @@ function SpecularGlossiness() { * @private */ this.diffuseFactor = Cartesian4.clone( - SpecularGlossiness.DEFAULT_DIFFUSE_FACTOR + SpecularGlossiness.DEFAULT_DIFFUSE_FACTOR, ); /** @@ -1327,7 +1327,7 @@ function SpecularGlossiness() { * @private */ this.specularFactor = Cartesian3.clone( - SpecularGlossiness.DEFAULT_SPECULAR_FACTOR + SpecularGlossiness.DEFAULT_SPECULAR_FACTOR, ); /** @@ -1381,7 +1381,7 @@ function Specular() { * @private */ this.specularColorFactor = Cartesian3.clone( - Specular.DEFAULT_SPECULAR_COLOR_FACTOR + Specular.DEFAULT_SPECULAR_COLOR_FACTOR, ); /** diff --git a/packages/engine/Source/Scene/Moon.js b/packages/engine/Source/Scene/Moon.js index aef70fb880b3..879eed33117a 100644 --- a/packages/engine/Source/Scene/Moon.js +++ b/packages/engine/Source/Scene/Moon.js @@ -116,16 +116,17 @@ Moon.prototype.update = function (frameState) { Matrix3.transpose(rotation, rotation); Matrix3.multiply(icrfToFixed, rotation, rotation); - const translation = Simon1994PlanetaryPositions.computeMoonPositionInEarthInertialFrame( - date, - translationScratch - ); + const translation = + Simon1994PlanetaryPositions.computeMoonPositionInEarthInertialFrame( + date, + translationScratch, + ); Matrix3.multiplyByVector(icrfToFixed, translation, translation); Matrix4.fromRotationTranslation( rotation, translation, - ellipsoidPrimitive.modelMatrix + ellipsoidPrimitive.modelMatrix, ); const savedCommandList = frameState.commandList; diff --git a/packages/engine/Source/Scene/Multiple3DTileContent.js b/packages/engine/Source/Scene/Multiple3DTileContent.js index 42ede698a349..ddf096d8979f 100644 --- a/packages/engine/Source/Scene/Multiple3DTileContent.js +++ b/packages/engine/Source/Scene/Multiple3DTileContent.js @@ -66,7 +66,7 @@ function Multiple3DTileContent(tileset, tile, tilesetResource, contentsJson) { }); const serverKey = RequestScheduler.getServerKey( - contentResource.getUrlComponent() + contentResource.getUrlComponent(), ); this._innerContentResources[i] = contentResource; @@ -301,7 +301,7 @@ Object.defineProperties(Multiple3DTileContent.prototype, { set: function () { //>>includeStart('debug', pragmas.debug); throw new DeveloperError( - "Multiple3DTileContent cannot have group metadata" + "Multiple3DTileContent cannot have group metadata", ); //>>includeEnd('debug'); }, @@ -328,7 +328,8 @@ Object.defineProperties(Multiple3DTileContent.prototype, { function updatePendingRequests(multipleContents, deltaRequestCount) { multipleContents._requestsInFlight += deltaRequestCount; - multipleContents.tileset.statistics.numberOfPendingRequests += deltaRequestCount; + multipleContents.tileset.statistics.numberOfPendingRequests += + deltaRequestCount; } function cancelPendingRequests(multipleContents, originalContentState) { @@ -366,7 +367,8 @@ Multiple3DTileContent.prototype.requestInnerContents = function () { // if we can schedule all the requests at once. If not, no requests are // scheduled if (!canScheduleAllRequests(this._serverKeys)) { - this.tileset.statistics.numberOfAttemptedRequests += this._serverKeys.length; + this.tileset.statistics.numberOfAttemptedRequests += + this._serverKeys.length; return; } @@ -381,7 +383,7 @@ Multiple3DTileContent.prototype.requestInnerContents = function () { this, i, originalCancelCount, - this._tile._contentState + this._tile._contentState, ); } @@ -420,13 +422,12 @@ function requestInnerContent( multipleContents, index, originalCancelCount, - originalContentState + originalContentState, ) { // it is important to clone here. The fetchArrayBuffer() below here uses // throttling, but other uses of the resources do not. - const contentResource = multipleContents._innerContentResources[ - index - ].clone(); + const contentResource = + multipleContents._innerContentResources[index].clone(); const tile = multipleContents.tile; // Always create a new request. If the tile gets canceled, this @@ -496,7 +497,7 @@ async function createInnerContents(multipleContents) { } const promises = arrayBuffers.map((arrayBuffer, i) => - createInnerContent(multipleContents, arrayBuffer, i) + createInnerContent(multipleContents, arrayBuffer, i), ); // Even if we had a partial success (in which case the inner promise will be handled, but the content will not be returned), mark that we finished creating @@ -519,7 +520,7 @@ async function createInnerContent(multipleContents, arrayBuffer, index) { if (preprocessed.contentType === Cesium3DTileContentType.EXTERNAL_TILESET) { throw new RuntimeError( - "External tilesets are disallowed inside multiple contents" + "External tilesets are disallowed inside multiple contents", ); } @@ -541,13 +542,13 @@ async function createInnerContent(multipleContents, arrayBuffer, index) { tile, resource, preprocessed.binaryPayload.buffer, - 0 - ) + 0, + ), ); } else { // JSON formats content = await Promise.resolve( - contentFactory(tileset, tile, resource, preprocessed.jsonPayload) + contentFactory(tileset, tile, resource, preprocessed.jsonPayload), ); } diff --git a/packages/engine/Source/Scene/OIT.js b/packages/engine/Source/Scene/OIT.js index 8fe326e758c2..572120d40510 100644 --- a/packages/engine/Source/Scene/OIT.js +++ b/packages/engine/Source/Scene/OIT.js @@ -238,7 +238,7 @@ OIT.prototype.update = function ( passState, framebuffer, useHDR, - numSamples + numSamples, ) { if (!this.isSupported()) { return; @@ -365,7 +365,7 @@ OIT.prototype.update = function ( const useScissorTest = !BoundingRectangle.equals( this._viewport, - passState.viewport + passState.viewport, ); let updateScissor = useScissorTest !== this._useScissorTest; this._useScissorTest = useScissorTest; @@ -373,7 +373,7 @@ OIT.prototype.update = function ( if (!BoundingRectangle.equals(this._scissorRectangle, passState.viewport)) { this._scissorRectangle = BoundingRectangle.clone( passState.viewport, - this._scissorRectangle + this._scissorRectangle, ); updateScissor = true; } @@ -442,7 +442,7 @@ function getTranslucentRenderState( context, translucentBlending, cache, - renderState + renderState, ) { let translucentState = cache[renderState.id]; if (!defined(translucentState)) { @@ -462,7 +462,7 @@ function getTranslucentMRTRenderState(oit, context, renderState) { context, translucentMRTBlend, oit._translucentRenderStateCache, - renderState + renderState, ); } @@ -471,7 +471,7 @@ function getTranslucentColorRenderState(oit, context, renderState) { context, translucentColorBlend, oit._translucentRenderStateCache, - renderState + renderState, ); } @@ -480,7 +480,7 @@ function getTranslucentAlphaRenderState(oit, context, renderState) { context, translucentAlphaBlend, oit._alphaRenderStateCache, - renderState + renderState, ); } @@ -523,7 +523,7 @@ function getTranslucentShaderProgram(context, shaderProgram, keyword, source) { .replace(/out_FragColor/g, "czm_out_FragColor") .replace( /layout\s*\(location\s*=\s*0\)\s*out\s+vec4\s+out_FragColor;/g, - "" + "", ) .replace(/\bdiscard\b/g, "czm_discard = true") .replace(/czm_phong/g, "czm_translucentPhong"); @@ -534,7 +534,7 @@ function getTranslucentShaderProgram(context, shaderProgram, keyword, source) { fs.sources.splice( 0, 0, - `vec4 czm_out_FragColor;\n` + `bool czm_discard = false;\n` + `vec4 czm_out_FragColor;\n` + `bool czm_discard = false;\n`, ); const fragDataMatches = [...source.matchAll(/out_FragData_(\d+)/g)]; @@ -554,7 +554,7 @@ function getTranslucentShaderProgram(context, shaderProgram, keyword, source) { " {\n" + " discard;\n" + " }\n" - }${source}}\n` + }${source}}\n`, ); return shaderCache.createDerivedShaderProgram(shaderProgram, keyword, { @@ -569,7 +569,7 @@ function getTranslucentMRTShaderProgram(context, shaderProgram) { context, shaderProgram, "translucentMRT", - mrtShaderSource + mrtShaderSource, ); } @@ -578,7 +578,7 @@ function getTranslucentColorShaderProgram(context, shaderProgram) { context, shaderProgram, "translucentMultipass", - colorShaderSource + colorShaderSource, ); } @@ -587,7 +587,7 @@ function getTranslucentAlphaShaderProgram(context, shaderProgram) { context, shaderProgram, "alphaMultipass", - alphaShaderSource + alphaShaderSource, ); } @@ -613,7 +613,7 @@ OIT.prototype.createDerivedCommands = function (command, context, result) { result.translucentCommand = DrawCommand.shallowClone( command, - result.translucentCommand + result.translucentCommand, ); if ( @@ -622,12 +622,12 @@ OIT.prototype.createDerivedCommands = function (command, context, result) { ) { result.translucentCommand.shaderProgram = getTranslucentMRTShaderProgram( context, - command.shaderProgram + command.shaderProgram, ); result.translucentCommand.renderState = getTranslucentMRTRenderState( this, context, - command.renderState + command.renderState, ); result.shaderProgramId = command.shaderProgram.id; } else { @@ -650,7 +650,7 @@ OIT.prototype.createDerivedCommands = function (command, context, result) { result.translucentCommand = DrawCommand.shallowClone( command, - result.translucentCommand + result.translucentCommand, ); result.alphaCommand = DrawCommand.shallowClone(command, result.alphaCommand); @@ -660,21 +660,21 @@ OIT.prototype.createDerivedCommands = function (command, context, result) { ) { result.translucentCommand.shaderProgram = getTranslucentColorShaderProgram( context, - command.shaderProgram + command.shaderProgram, ); result.translucentCommand.renderState = getTranslucentColorRenderState( this, context, - command.renderState + command.renderState, ); result.alphaCommand.shaderProgram = getTranslucentAlphaShaderProgram( context, - command.shaderProgram + command.shaderProgram, ); result.alphaCommand.renderState = getTranslucentAlphaRenderState( this, context, - command.renderState + command.renderState, ); result.shaderProgramId = command.shaderProgram.id; } else { @@ -702,7 +702,7 @@ function executeTranslucentCommandsSortedMultipass( executeFunction, passState, commands, - invertClassification + invertClassification, ) { const { context, frameState } = scene; const { useLogDepth, shadowState } = frameState; @@ -779,7 +779,7 @@ function executeTranslucentCommandsSortedMRT( executeFunction, passState, commands, - invertClassification + invertClassification, ) { const { context, frameState } = scene; const { useLogDepth, shadowState } = frameState; @@ -830,7 +830,7 @@ OIT.prototype.executeCommands = function ( executeFunction, passState, commands, - invertClassification + invertClassification, ) { if (this._translucentMRTSupport) { executeTranslucentCommandsSortedMRT( @@ -839,7 +839,7 @@ OIT.prototype.executeCommands = function ( executeFunction, passState, commands, - invertClassification + invertClassification, ); return; } @@ -850,7 +850,7 @@ OIT.prototype.executeCommands = function ( executeFunction, passState, commands, - invertClassification + invertClassification, ); }; diff --git a/packages/engine/Source/Scene/OpenStreetMapImageryProvider.js b/packages/engine/Source/Scene/OpenStreetMapImageryProvider.js index 1ff19fded150..a170c06aebc5 100644 --- a/packages/engine/Source/Scene/OpenStreetMapImageryProvider.js +++ b/packages/engine/Source/Scene/OpenStreetMapImageryProvider.js @@ -8,7 +8,7 @@ import WebMercatorTilingScheme from "../Core/WebMercatorTilingScheme.js"; import UrlTemplateImageryProvider from "./UrlTemplateImageryProvider.js"; const defaultCredit = new Credit( - "MapQuest, Open Street Map and contributors, CC-BY-SA" + "MapQuest, Open Street Map and contributors, CC-BY-SA", ); /** @@ -60,7 +60,7 @@ function OpenStreetMapImageryProvider(options) { options = defaultValue(options, defaultValue.EMPTY_OBJECT); const resource = Resource.createIfNeeded( - defaultValue(options.url, "https://tile.openstreetmap.org/") + defaultValue(options.url, "https://tile.openstreetmap.org/"), ); resource.appendForwardSlash(); resource.url += `{z}/{x}/{y}${ @@ -84,18 +84,18 @@ function OpenStreetMapImageryProvider(options) { // level will cause too many tiles to be downloaded and rendered. const swTile = tilingScheme.positionToTileXY( Rectangle.southwest(rectangle), - minimumLevel + minimumLevel, ); const neTile = tilingScheme.positionToTileXY( Rectangle.northeast(rectangle), - minimumLevel + minimumLevel, ); const tileCount = (Math.abs(neTile.x - swTile.x) + 1) * (Math.abs(neTile.y - swTile.y) + 1); //>>includeStart('debug', pragmas.debug); if (tileCount > 4) { throw new DeveloperError( - `The rectangle and minimumLevel indicate that there are ${tileCount} tiles at the minimum level. Imagery providers with more than four tiles at the minimum level are not supported.` + `The rectangle and minimumLevel indicate that there are ${tileCount} tiles at the minimum level. Imagery providers with more than four tiles at the minimum level are not supported.`, ); } //>>includeEnd('debug'); @@ -119,9 +119,10 @@ function OpenStreetMapImageryProvider(options) { if (defined(Object.create)) { OpenStreetMapImageryProvider.prototype = Object.create( - UrlTemplateImageryProvider.prototype + UrlTemplateImageryProvider.prototype, ); - OpenStreetMapImageryProvider.prototype.constructor = OpenStreetMapImageryProvider; + OpenStreetMapImageryProvider.prototype.constructor = + OpenStreetMapImageryProvider; } export default OpenStreetMapImageryProvider; diff --git a/packages/engine/Source/Scene/OrderedGroundPrimitiveCollection.js b/packages/engine/Source/Scene/OrderedGroundPrimitiveCollection.js index f52d0cb95301..74b5f9df50d8 100644 --- a/packages/engine/Source/Scene/OrderedGroundPrimitiveCollection.js +++ b/packages/engine/Source/Scene/OrderedGroundPrimitiveCollection.js @@ -99,7 +99,7 @@ OrderedGroundPrimitiveCollection.prototype.set = function (primitive, zIndex) { */ OrderedGroundPrimitiveCollection.prototype.remove = function ( primitive, - doNotDestroy + doNotDestroy, ) { if (this.contains(primitive)) { const index = primitive._zIndex; @@ -118,7 +118,7 @@ OrderedGroundPrimitiveCollection.prototype.remove = function ( if (collection.length === 0) { this._collectionsArray.splice( this._collectionsArray.indexOf(collection), - 1 + 1, ); this._collections[index] = undefined; collection.destroy(); diff --git a/packages/engine/Source/Scene/Particle.js b/packages/engine/Source/Scene/Particle.js index a304f03475eb..26f104eabaea 100644 --- a/packages/engine/Source/Scene/Particle.js +++ b/packages/engine/Source/Scene/Particle.js @@ -39,7 +39,7 @@ function Particle(options) { * @default Cartesian3.ZERO */ this.position = Cartesian3.clone( - defaultValue(options.position, Cartesian3.ZERO) + defaultValue(options.position, Cartesian3.ZERO), ); /** * The velocity of the particle in world coordinates. @@ -47,7 +47,7 @@ function Particle(options) { * @default Cartesian3.ZERO */ this.velocity = Cartesian3.clone( - defaultValue(options.velocity, Cartesian3.ZERO) + defaultValue(options.velocity, Cartesian3.ZERO), ); /** * The life of the particle in seconds. @@ -91,7 +91,7 @@ function Particle(options) { * @default new Cartesian(1.0, 1.0) */ this.imageSize = Cartesian2.clone( - defaultValue(options.imageSize, defaultSize) + defaultValue(options.imageSize, defaultSize), ); this._age = 0.0; diff --git a/packages/engine/Source/Scene/ParticleEmitter.js b/packages/engine/Source/Scene/ParticleEmitter.js index c8a11276b80d..ef02d378f56e 100644 --- a/packages/engine/Source/Scene/ParticleEmitter.js +++ b/packages/engine/Source/Scene/ParticleEmitter.js @@ -19,7 +19,7 @@ import DeveloperError from "../Core/DeveloperError.js"; function ParticleEmitter(options) { //>>includeStart('debug', pragmas.debug); throw new DeveloperError( - "This type should not be instantiated directly. Instead, use BoxEmitter, CircleEmitter, ConeEmitter or SphereEmitter." + "This type should not be instantiated directly. Instead, use BoxEmitter, CircleEmitter, ConeEmitter or SphereEmitter.", ); //>>includeEnd('debug'); } diff --git a/packages/engine/Source/Scene/ParticleSystem.js b/packages/engine/Source/Scene/ParticleSystem.js index 7fab2c50d985..032755713956 100644 --- a/packages/engine/Source/Scene/ParticleSystem.js +++ b/packages/engine/Source/Scene/ParticleSystem.js @@ -95,70 +95,70 @@ function ParticleSystem(options) { this._bursts = options.bursts; this._modelMatrix = Matrix4.clone( - defaultValue(options.modelMatrix, Matrix4.IDENTITY) + defaultValue(options.modelMatrix, Matrix4.IDENTITY), ); this._emitterModelMatrix = Matrix4.clone( - defaultValue(options.emitterModelMatrix, Matrix4.IDENTITY) + defaultValue(options.emitterModelMatrix, Matrix4.IDENTITY), ); this._matrixDirty = true; this._combinedMatrix = new Matrix4(); this._startColor = Color.clone( - defaultValue(options.color, defaultValue(options.startColor, Color.WHITE)) + defaultValue(options.color, defaultValue(options.startColor, Color.WHITE)), ); this._endColor = Color.clone( - defaultValue(options.color, defaultValue(options.endColor, Color.WHITE)) + defaultValue(options.color, defaultValue(options.endColor, Color.WHITE)), ); this._startScale = defaultValue( options.scale, - defaultValue(options.startScale, 1.0) + defaultValue(options.startScale, 1.0), ); this._endScale = defaultValue( options.scale, - defaultValue(options.endScale, 1.0) + defaultValue(options.endScale, 1.0), ); this._emissionRate = defaultValue(options.emissionRate, 5.0); this._minimumSpeed = defaultValue( options.speed, - defaultValue(options.minimumSpeed, 1.0) + defaultValue(options.minimumSpeed, 1.0), ); this._maximumSpeed = defaultValue( options.speed, - defaultValue(options.maximumSpeed, 1.0) + defaultValue(options.maximumSpeed, 1.0), ); this._minimumParticleLife = defaultValue( options.particleLife, - defaultValue(options.minimumParticleLife, 5.0) + defaultValue(options.minimumParticleLife, 5.0), ); this._maximumParticleLife = defaultValue( options.particleLife, - defaultValue(options.maximumParticleLife, 5.0) + defaultValue(options.maximumParticleLife, 5.0), ); this._minimumMass = defaultValue( options.mass, - defaultValue(options.minimumMass, 1.0) + defaultValue(options.minimumMass, 1.0), ); this._maximumMass = defaultValue( options.mass, - defaultValue(options.maximumMass, 1.0) + defaultValue(options.maximumMass, 1.0), ); this._minimumImageSize = Cartesian2.clone( defaultValue( options.imageSize, - defaultValue(options.minimumImageSize, defaultImageSize) - ) + defaultValue(options.minimumImageSize, defaultImageSize), + ), ); this._maximumImageSize = Cartesian2.clone( defaultValue( options.imageSize, - defaultValue(options.maximumImageSize, defaultImageSize) - ) + defaultValue(options.maximumImageSize, defaultImageSize), + ), ); this._sizeInMeters = defaultValue(options.sizeInMeters, false); @@ -557,7 +557,7 @@ function updateParticlePool(system) { const particlePool = system._particlePool; const numToAdd = Math.max( particleEstimate - particles.length - particlePool.length, - 0 + 0, ); for (let j = 0; j < numToAdd; ++j) { @@ -630,22 +630,22 @@ function updateBillboard(system, particle) { const r = CesiumMath.lerp( particle.startColor.red, particle.endColor.red, - particle.normalizedAge + particle.normalizedAge, ); const g = CesiumMath.lerp( particle.startColor.green, particle.endColor.green, - particle.normalizedAge + particle.normalizedAge, ); const b = CesiumMath.lerp( particle.startColor.blue, particle.endColor.blue, - particle.normalizedAge + particle.normalizedAge, ); const a = CesiumMath.lerp( particle.startColor.alpha, particle.endColor.alpha, - particle.normalizedAge + particle.normalizedAge, ); billboard.color = new Color(r, g, b, a); @@ -653,7 +653,7 @@ function updateBillboard(system, particle) { billboard.scale = CesiumMath.lerp( particle.startScale, particle.endScale, - particle.normalizedAge + particle.normalizedAge, ); } @@ -665,19 +665,19 @@ function addParticle(system, particle) { particle.image = system.image; particle.life = CesiumMath.randomBetween( system._minimumParticleLife, - system._maximumParticleLife + system._maximumParticleLife, ); particle.mass = CesiumMath.randomBetween( system._minimumMass, - system._maximumMass + system._maximumMass, ); particle.imageSize.x = CesiumMath.randomBetween( system._minimumImageSize.x, - system._maximumImageSize.x + system._maximumImageSize.x, ); particle.imageSize.y = CesiumMath.randomBetween( system._minimumImageSize.y, - system._maximumImageSize.y + system._maximumImageSize.y, ); // Reset the normalizedAge and age in case the particle was reused. @@ -686,7 +686,7 @@ function addParticle(system, particle) { const speed = CesiumMath.randomBetween( system._minimumSpeed, - system._maximumSpeed + system._maximumSpeed, ); Cartesian3.multiplyByScalar(particle.velocity, speed, particle.velocity); @@ -787,7 +787,7 @@ ParticleSystem.prototype.update = function (frameState) { this._combinedMatrix = Matrix4.multiply( this.modelMatrix, this.emitterModelMatrix, - this._combinedMatrix + this._combinedMatrix, ); this._matrixDirty = false; } @@ -805,26 +805,26 @@ ParticleSystem.prototype.update = function (frameState) { Cartesian3.add( particle.position, particle.velocity, - rotatedVelocityScratch + rotatedVelocityScratch, ); Matrix4.multiplyByPoint( combinedMatrix, rotatedVelocityScratch, - rotatedVelocityScratch + rotatedVelocityScratch, ); // Change the position to be in world coordinates particle.position = Matrix4.multiplyByPoint( combinedMatrix, particle.position, - particle.position + particle.position, ); // Orient the velocity in world space as well. Cartesian3.subtract( rotatedVelocityScratch, particle.position, - particle.velocity + particle.velocity, ); Cartesian3.normalize(particle.velocity, particle.velocity); diff --git a/packages/engine/Source/Scene/PerInstanceColorAppearance.js b/packages/engine/Source/Scene/PerInstanceColorAppearance.js index a2a32ec136b9..a896ddd43b12 100644 --- a/packages/engine/Source/Scene/PerInstanceColorAppearance.js +++ b/packages/engine/Source/Scene/PerInstanceColorAppearance.js @@ -108,7 +108,7 @@ function PerInstanceColorAppearance(options) { this._renderState = Appearance.getDefaultRenderState( translucent, closed, - options.renderState + options.renderState, ); this._closed = closed; diff --git a/packages/engine/Source/Scene/PickDepth.js b/packages/engine/Source/Scene/PickDepth.js index a29d9fe86c8b..726ccbc8a6f8 100644 --- a/packages/engine/Source/Scene/PickDepth.js +++ b/packages/engine/Source/Scene/PickDepth.js @@ -54,7 +54,7 @@ void main() }, }, owner: pickDepth, - } + }, ); } @@ -72,7 +72,7 @@ const packedDepthScale = new Cartesian4( 1.0, 1.0 / 255.0, 1.0 / 65025.0, - 1.0 / 16581375.0 + 1.0 / 16581375.0, ); /** diff --git a/packages/engine/Source/Scene/PickDepthFramebuffer.js b/packages/engine/Source/Scene/PickDepthFramebuffer.js index 3e22fe1f10a9..72ece64a45d2 100644 --- a/packages/engine/Source/Scene/PickDepthFramebuffer.js +++ b/packages/engine/Source/Scene/PickDepthFramebuffer.js @@ -46,7 +46,7 @@ function createResources(pickDepth, context) { PickDepthFramebuffer.prototype.update = function ( context, drawingBufferPosition, - viewport + viewport, ) { const width = viewport.width; const height = viewport.height; diff --git a/packages/engine/Source/Scene/PickFramebuffer.js b/packages/engine/Source/Scene/PickFramebuffer.js index 80abd4284578..69645bb7cc50 100644 --- a/packages/engine/Source/Scene/PickFramebuffer.js +++ b/packages/engine/Source/Scene/PickFramebuffer.js @@ -34,7 +34,7 @@ PickFramebuffer.prototype.begin = function (screenSpaceRectangle, viewport) { BoundingRectangle.clone( screenSpaceRectangle, - this._passState.scissorTest.rectangle + this._passState.scissorTest.rectangle, ); // Create or recreate renderbuffers and framebuffer used for picking @@ -96,17 +96,17 @@ PickFramebuffer.prototype.end = function (screenSpaceRectangle) { colorScratchForPickFramebuffer.red = Color.byteToFloat(pixels[index]); colorScratchForPickFramebuffer.green = Color.byteToFloat( - pixels[index + 1] + pixels[index + 1], ); colorScratchForPickFramebuffer.blue = Color.byteToFloat( - pixels[index + 2] + pixels[index + 2], ); colorScratchForPickFramebuffer.alpha = Color.byteToFloat( - pixels[index + 3] + pixels[index + 3], ); const object = context.getObjectByPickColor( - colorScratchForPickFramebuffer + colorScratchForPickFramebuffer, ); if (defined(object)) { return object; diff --git a/packages/engine/Source/Scene/Picking.js b/packages/engine/Source/Scene/Picking.js index 1e2ab94fb48f..fc38a4a90955 100644 --- a/packages/engine/Source/Scene/Picking.js +++ b/packages/engine/Source/Scene/Picking.js @@ -60,7 +60,7 @@ function Picking(scene) { this._pickOffscreenView = new View( scene, pickOffscreenCamera, - pickOffscreenViewport + pickOffscreenViewport, ); } @@ -89,7 +89,7 @@ function getPickOrthographicCullingVolume( drawingBufferPosition, width, height, - viewport + viewport, ) { const camera = scene.camera; let frustum = camera.frustum; @@ -108,7 +108,7 @@ function getPickOrthographicCullingVolume( const transform = Matrix4.clone( camera.transform, - scratchOrthoPickVolumeMatrix4 + scratchOrthoPickVolumeMatrix4, ); camera._setTransform(Matrix4.IDENTITY); @@ -129,7 +129,7 @@ function getPickOrthographicCullingVolume( viewport.height, 1.0, 1.0, - scratchOrthoPixelSize + scratchOrthoPixelSize, ); const ortho = scratchOrthoPickingFrustum; @@ -151,7 +151,7 @@ function getPickPerspectiveCullingVolume( drawingBufferPosition, width, height, - viewport + viewport, ) { const camera = scene.camera; const frustum = camera.frustum; @@ -175,7 +175,7 @@ function getPickPerspectiveCullingVolume( viewport.height, 1.0, 1.0, - scratchPerspPixelSize + scratchPerspPixelSize, ); const pickWidth = pixelSize.x * width * 0.5; const pickHeight = pixelSize.y * height * 0.5; @@ -191,7 +191,7 @@ function getPickPerspectiveCullingVolume( return offCenter.computeCullingVolume( camera.positionWC, camera.directionWC, - camera.upWC + camera.upWC, ); } @@ -200,7 +200,7 @@ function getPickCullingVolume( drawingBufferPosition, width, height, - viewport + viewport, ) { const frustum = scene.camera.frustum; if ( @@ -212,7 +212,7 @@ function getPickCullingVolume( drawingBufferPosition, width, height, - viewport + viewport, ); } @@ -221,7 +221,7 @@ function getPickCullingVolume( drawingBufferPosition, width, height, - viewport + viewport, ); } @@ -253,7 +253,7 @@ function computePickingDrawingBufferRectangle( position, width, height, - result + result, ) { result.width = defaultValue(width, 3.0); result.height = defaultValue(height, result.width); @@ -296,14 +296,14 @@ Picking.prototype.pick = function (scene, windowPosition, width, height) { const drawingBufferPosition = SceneTransforms.transformWindowToDrawingBuffer( scene, windowPosition, - scratchPosition + scratchPosition, ); const drawingBufferRectangle = computePickingDrawingBufferRectangle( context.drawingBufferHeight, drawingBufferPosition, width, height, - scratchRectangle + scratchRectangle, ); scene.jobScheduler.disableThisFrame(); @@ -314,7 +314,7 @@ Picking.prototype.pick = function (scene, windowPosition, width, height) { drawingBufferPosition, drawingBufferRectangle.width, drawingBufferRectangle.height, - viewport + viewport, ); frameState.invertClassification = false; frameState.passes.pick = true; @@ -349,7 +349,7 @@ Picking.prototype.pickVoxelCoordinate = function ( scene, windowPosition, width, - height + height, ) { //>>includeStart('debug', pragmas.debug); Check.defined("windowPosition", windowPosition); @@ -371,14 +371,14 @@ Picking.prototype.pickVoxelCoordinate = function ( const drawingBufferPosition = SceneTransforms.transformWindowToDrawingBuffer( scene, windowPosition, - scratchPosition + scratchPosition, ); const drawingBufferRectangle = computePickingDrawingBufferRectangle( context.drawingBufferHeight, drawingBufferPosition, width, height, - scratchRectangle + scratchRectangle, ); scene.jobScheduler.disableThisFrame(); @@ -389,7 +389,7 @@ Picking.prototype.pickVoxelCoordinate = function ( drawingBufferPosition, drawingBufferRectangle.width, drawingBufferRectangle.height, - viewport + viewport, ); frameState.invertClassification = false; frameState.passes.pickVoxel = true; @@ -435,7 +435,7 @@ Picking.prototype.pickVoxelCoordinate = function ( Picking.prototype.pickMetadata = function ( scene, windowPosition, - pickedMetadataInfo + pickedMetadataInfo, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.object("windowPosition", windowPosition); @@ -458,14 +458,14 @@ Picking.prototype.pickMetadata = function ( const drawingBufferPosition = SceneTransforms.transformWindowToDrawingBuffer( scene, windowPosition, - scratchPosition + scratchPosition, ); const drawingBufferRectangle = computePickingDrawingBufferRectangle( context.drawingBufferHeight, drawingBufferPosition, 1.0, 1.0, - scratchRectangle + scratchRectangle, ); scene.jobScheduler.disableThisFrame(); @@ -476,7 +476,7 @@ Picking.prototype.pickMetadata = function ( drawingBufferPosition, drawingBufferRectangle.width, drawingBufferRectangle.height, - viewport + viewport, ); frameState.invertClassification = false; @@ -509,7 +509,7 @@ Picking.prototype.pickMetadata = function ( scene._environmentState.useOIT = oldOIT; const rawMetadataPixel = pickFramebuffer.readCenterPixel( - drawingBufferRectangle + drawingBufferRectangle, ); context.endFrame(); @@ -517,7 +517,7 @@ Picking.prototype.pickMetadata = function ( const metadataValue = MetadataPicking.decodeMetadataValues( pickedMetadataInfo.classProperty, - rawMetadataPixel + rawMetadataPixel, ); return metadataValue; @@ -557,7 +557,7 @@ function renderTranslucentDepthForPick(scene, drawingBufferPosition) { drawingBufferPosition, 1, 1, - viewport + viewport, ); frameState.tilesetPassState = pickTilesetPassState; @@ -566,7 +566,7 @@ function renderTranslucentDepthForPick(scene, drawingBufferPosition) { passState = pickDepthFramebuffer.update( context, drawingBufferPosition, - viewport + viewport, ); scene.updateAndExecuteCommands(passState, scratchColorZero); @@ -583,7 +583,7 @@ const scratchOrthographicOffCenterFrustum = new OrthographicOffCenterFrustum(); Picking.prototype.pickPositionWorldCoordinates = function ( scene, windowPosition, - result + result, ) { if (!scene.useDepthPicking) { return undefined; @@ -593,7 +593,7 @@ Picking.prototype.pickPositionWorldCoordinates = function ( Check.defined("windowPosition", windowPosition); if (!scene.context.depthTexture) { throw new DeveloperError( - "Picking from the depth buffer is not supported. Check pickPositionSupported." + "Picking from the depth buffer is not supported. Check pickPositionSupported.", ); } //>>includeEnd('debug'); @@ -615,7 +615,7 @@ Picking.prototype.pickPositionWorldCoordinates = function ( const drawingBufferPosition = SceneTransforms.transformWindowToDrawingBuffer( scene, windowPosition, - scratchPosition + scratchPosition, ); if (scene.pickTranslucentDepth) { renderTranslucentDepthForPick(scene, drawingBufferPosition); @@ -645,7 +645,7 @@ Picking.prototype.pickPositionWorldCoordinates = function ( const depth = pickDepth.getDepth( context, drawingBufferPosition.x, - drawingBufferPosition.y + drawingBufferPosition.y, ); if (!defined(depth)) { continue; @@ -672,7 +672,7 @@ Picking.prototype.pickPositionWorldCoordinates = function ( scene, drawingBufferPosition, depth, - result + result, ); if (scene.mode === SceneMode.SCENE2D) { @@ -753,7 +753,7 @@ function drillPick(limit, pickCallback) { hasShowAttribute = true; attributes.show = ShowGeometryInstanceAttribute.toValue( false, - attributes.show + attributes.show, ); pickedAttributes.push(attributes); } @@ -784,7 +784,7 @@ function drillPick(limit, pickCallback) { attributes = pickedAttributes[i]; attributes.show = ShowGeometryInstanceAttribute.toValue( true, - attributes.show + attributes.show, ); } @@ -800,7 +800,7 @@ Picking.prototype.drillPick = function ( windowPosition, limit, width, - height + height, ) { const that = this; const pickCallback = function () { @@ -850,7 +850,7 @@ function updateOffscreenCameraFromRay(picking, ray, width, camera) { return camera.frustum.computeCullingVolume( camera.positionWC, camera.directionWC, - camera.upWC + camera.upWC, ); } @@ -864,7 +864,7 @@ function updateMostDetailedRayPick(picking, scene, rayPick) { picking, ray, width, - camera + camera, ); const tilesetPassState = mostDetailedPreloadTilesetPassState; @@ -924,7 +924,7 @@ function launchMostDetailedRayPick( ray, objectsToExclude, width, - callback + callback, ) { const tilesets = []; getTilesets(scene.primitives, objectsToExclude, tilesets); @@ -961,7 +961,7 @@ function getRayIntersection( objectsToExclude, width, requirePosition, - mostDetailed + mostDetailed, ) { const { context, frameState } = scene; const uniformState = context.uniformState; @@ -973,12 +973,12 @@ function getRayIntersection( const drawingBufferRectangle = BoundingRectangle.clone( view.viewport, - scratchRectangle + scratchRectangle, ); const passState = view.pickFramebuffer.begin( drawingBufferRectangle, - view.viewport + view.viewport, ); scene.jobScheduler.disableThisFrame(); @@ -1047,7 +1047,7 @@ function getRayIntersections( objectsToExclude, width, requirePosition, - mostDetailed + mostDetailed, ) { const pickCallback = function () { return getRayIntersection( @@ -1057,7 +1057,7 @@ function getRayIntersections( objectsToExclude, width, requirePosition, - mostDetailed + mostDetailed, ); }; return drillPick(limit, pickCallback); @@ -1070,7 +1070,7 @@ function pickFromRay( objectsToExclude, width, requirePosition, - mostDetailed + mostDetailed, ) { const results = getRayIntersections( picking, @@ -1080,7 +1080,7 @@ function pickFromRay( objectsToExclude, width, requirePosition, - mostDetailed + mostDetailed, ); if (results.length > 0) { return results[0]; @@ -1095,7 +1095,7 @@ function drillPickFromRay( objectsToExclude, width, requirePosition, - mostDetailed + mostDetailed, ) { return getRayIntersections( picking, @@ -1105,7 +1105,7 @@ function drillPickFromRay( objectsToExclude, width, requirePosition, - mostDetailed + mostDetailed, ); } @@ -1132,7 +1132,7 @@ Picking.prototype.pickFromRay = function (scene, ray, objectsToExclude, width) { Check.defined("ray", ray); if (scene.mode !== SceneMode.SCENE3D) { throw new DeveloperError( - "Ray intersections are only supported in 3D mode." + "Ray intersections are only supported in 3D mode.", ); } //>>includeEnd('debug'); @@ -1145,13 +1145,13 @@ Picking.prototype.drillPickFromRay = function ( ray, limit, objectsToExclude, - width + width, ) { //>>includeStart('debug', pragmas.debug); Check.defined("ray", ray); if (scene.mode !== SceneMode.SCENE3D) { throw new DeveloperError( - "Ray intersections are only supported in 3D mode." + "Ray intersections are only supported in 3D mode.", ); } //>>includeEnd('debug'); @@ -1164,7 +1164,7 @@ Picking.prototype.drillPickFromRay = function ( objectsToExclude, width, false, - false + false, ); }; @@ -1172,13 +1172,13 @@ Picking.prototype.pickFromRayMostDetailed = function ( scene, ray, objectsToExclude, - width + width, ) { //>>includeStart('debug', pragmas.debug); Check.defined("ray", ray); if (scene.mode !== SceneMode.SCENE3D) { throw new DeveloperError( - "Ray intersections are only supported in 3D mode." + "Ray intersections are only supported in 3D mode.", ); } //>>includeEnd('debug'); @@ -1204,10 +1204,10 @@ Picking.prototype.pickFromRayMostDetailed = function ( objectsToExclude, width, false, - true + true, ); - } - ) + }, + ), ); }; @@ -1216,13 +1216,13 @@ Picking.prototype.drillPickFromRayMostDetailed = function ( ray, limit, objectsToExclude, - width + width, ) { //>>includeStart('debug', pragmas.debug); Check.defined("ray", ray); if (scene.mode !== SceneMode.SCENE3D) { throw new DeveloperError( - "Ray intersections are only supported in 3D mode." + "Ray intersections are only supported in 3D mode.", ); } //>>includeEnd('debug'); @@ -1249,10 +1249,10 @@ Picking.prototype.drillPickFromRayMostDetailed = function ( objectsToExclude, width, false, - true + true, ); - } - ) + }, + ), ); }; @@ -1266,12 +1266,12 @@ function getRayForSampleHeight(scene, cartographic) { const height = ApproximateTerrainHeights._defaultMaxTerrainHeight; const surfaceNormal = ellipsoid.geodeticSurfaceNormalCartographic( cartographic, - scratchSurfaceNormal + scratchSurfaceNormal, ); const surfacePosition = Cartographic.toCartesian( cartographic, ellipsoid, - scratchSurfacePosition + scratchSurfacePosition, ); const surfaceRay = scratchSurfaceRay; surfaceRay.origin = surfacePosition; @@ -1287,7 +1287,7 @@ function getRayForClampToHeight(scene, cartesian) { const cartographic = Cartographic.fromCartesian( cartesian, ellipsoid, - scratchCartographic + scratchCartographic, ); return getRayForSampleHeight(scene, cartographic); } @@ -1297,7 +1297,7 @@ function getHeightFromCartesian(scene, cartesian) { const cartographic = Cartographic.fromCartesian( cartesian, ellipsoid, - scratchCartographic + scratchCartographic, ); return cartographic.height; } @@ -1307,7 +1307,7 @@ function sampleHeightMostDetailed( scene, cartographic, objectsToExclude, - width + width, ) { const ray = getRayForSampleHeight(scene, cartographic); return launchMostDetailedRayPick( @@ -1324,12 +1324,12 @@ function sampleHeightMostDetailed( objectsToExclude, width, true, - true + true, ); if (defined(pickResult)) { return getHeightFromCartesian(scene, pickResult.position); } - } + }, ); } @@ -1339,7 +1339,7 @@ function clampToHeightMostDetailed( cartesian, objectsToExclude, width, - result + result, ) { const ray = getRayForClampToHeight(scene, cartesian); return launchMostDetailedRayPick( @@ -1356,12 +1356,12 @@ function clampToHeightMostDetailed( objectsToExclude, width, true, - true + true, ); if (defined(pickResult)) { return Cartesian3.clone(pickResult.position, result); } - } + }, ); } @@ -1369,7 +1369,7 @@ Picking.prototype.sampleHeight = function ( scene, position, objectsToExclude, - width + width, ) { //>>includeStart('debug', pragmas.debug); Check.defined("position", position); @@ -1378,7 +1378,7 @@ Picking.prototype.sampleHeight = function ( } if (!scene.sampleHeightSupported) { throw new DeveloperError( - "sampleHeight requires depth texture support. Check sampleHeightSupported." + "sampleHeight requires depth texture support. Check sampleHeightSupported.", ); } //>>includeEnd('debug'); @@ -1391,7 +1391,7 @@ Picking.prototype.sampleHeight = function ( objectsToExclude, width, true, - false + false, ); if (defined(pickResult)) { return getHeightFromCartesian(scene, pickResult.position); @@ -1403,7 +1403,7 @@ Picking.prototype.clampToHeight = function ( cartesian, objectsToExclude, width, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.defined("cartesian", cartesian); @@ -1412,7 +1412,7 @@ Picking.prototype.clampToHeight = function ( } if (!scene.clampToHeightSupported) { throw new DeveloperError( - "clampToHeight requires depth texture support. Check clampToHeightSupported." + "clampToHeight requires depth texture support. Check clampToHeightSupported.", ); } //>>includeEnd('debug'); @@ -1425,7 +1425,7 @@ Picking.prototype.clampToHeight = function ( objectsToExclude, width, true, - false + false, ); if (defined(pickResult)) { return Cartesian3.clone(pickResult.position, result); @@ -1436,18 +1436,18 @@ Picking.prototype.sampleHeightMostDetailed = function ( scene, positions, objectsToExclude, - width + width, ) { //>>includeStart('debug', pragmas.debug); Check.defined("positions", positions); if (scene.mode !== SceneMode.SCENE3D) { throw new DeveloperError( - "sampleHeightMostDetailed is only supported in 3D mode." + "sampleHeightMostDetailed is only supported in 3D mode.", ); } if (!scene.sampleHeightSupported) { throw new DeveloperError( - "sampleHeightMostDetailed requires depth texture support. Check sampleHeightSupported." + "sampleHeightMostDetailed requires depth texture support. Check sampleHeightSupported.", ); } //>>includeEnd('debug'); @@ -1463,7 +1463,7 @@ Picking.prototype.sampleHeightMostDetailed = function ( scene, positions[i], objectsToExclude, - width + width, ); } return deferPromiseUntilPostRender( @@ -1474,7 +1474,7 @@ Picking.prototype.sampleHeightMostDetailed = function ( positions[i].height = heights[i]; } return positions; - }) + }), ); }; @@ -1482,18 +1482,18 @@ Picking.prototype.clampToHeightMostDetailed = function ( scene, cartesians, objectsToExclude, - width + width, ) { //>>includeStart('debug', pragmas.debug); Check.defined("cartesians", cartesians); if (scene.mode !== SceneMode.SCENE3D) { throw new DeveloperError( - "clampToHeightMostDetailed is only supported in 3D mode." + "clampToHeightMostDetailed is only supported in 3D mode.", ); } if (!scene.clampToHeightSupported) { throw new DeveloperError( - "clampToHeightMostDetailed requires depth texture support. Check clampToHeightSupported." + "clampToHeightMostDetailed requires depth texture support. Check clampToHeightSupported.", ); } //>>includeEnd('debug'); @@ -1510,7 +1510,7 @@ Picking.prototype.clampToHeightMostDetailed = function ( cartesians[i], objectsToExclude, width, - cartesians[i] + cartesians[i], ); } return deferPromiseUntilPostRender( @@ -1521,7 +1521,7 @@ Picking.prototype.clampToHeightMostDetailed = function ( cartesians[i] = clampedCartesians[i]; } return cartesians; - }) + }), ); }; diff --git a/packages/engine/Source/Scene/PntsParser.js b/packages/engine/Source/Scene/PntsParser.js index eb60db304b65..e58c52317b33 100644 --- a/packages/engine/Source/Scene/PntsParser.js +++ b/packages/engine/Source/Scene/PntsParser.js @@ -43,7 +43,7 @@ PntsParser.parse = function (arrayBuffer, byteOffset) { const version = view.getUint32(byteOffset, true); if (version !== 1) { throw new RuntimeError( - `Only Point Cloud tile version 1 is supported. Version ${version} is not.` + `Only Point Cloud tile version 1 is supported. Version ${version} is not.`, ); } byteOffset += sizeOfUint32; @@ -54,7 +54,7 @@ PntsParser.parse = function (arrayBuffer, byteOffset) { const featureTableJsonByteLength = view.getUint32(byteOffset, true); if (featureTableJsonByteLength === 0) { throw new RuntimeError( - "Feature table must have a byte length greater than zero" + "Feature table must have a byte length greater than zero", ); } byteOffset += sizeOfUint32; @@ -70,14 +70,14 @@ PntsParser.parse = function (arrayBuffer, byteOffset) { const featureTableJson = getJsonFromTypedArray( uint8Array, byteOffset, - featureTableJsonByteLength + featureTableJsonByteLength, ); byteOffset += featureTableJsonByteLength; const featureTableBinary = new Uint8Array( arrayBuffer, byteOffset, - featureTableBinaryByteLength + featureTableBinaryByteLength, ); byteOffset += featureTableBinaryByteLength; @@ -89,7 +89,7 @@ PntsParser.parse = function (arrayBuffer, byteOffset) { batchTableJson = getJsonFromTypedArray( uint8Array, byteOffset, - batchTableJsonByteLength + batchTableJsonByteLength, ); byteOffset += batchTableJsonByteLength; @@ -98,7 +98,7 @@ PntsParser.parse = function (arrayBuffer, byteOffset) { batchTableBinary = new Uint8Array( arrayBuffer, byteOffset, - batchTableBinaryByteLength + batchTableBinaryByteLength, ); byteOffset += batchTableBinaryByteLength; } @@ -106,7 +106,7 @@ PntsParser.parse = function (arrayBuffer, byteOffset) { const featureTable = new Cesium3DTileFeatureTable( featureTableJson, - featureTableBinary + featureTableBinary, ); const pointsLength = featureTable.getGlobalProperty("POINTS_LENGTH"); @@ -114,14 +114,14 @@ PntsParser.parse = function (arrayBuffer, byteOffset) { if (!defined(pointsLength)) { throw new RuntimeError( - "Feature table global property: POINTS_LENGTH must be defined" + "Feature table global property: POINTS_LENGTH must be defined", ); } let rtcCenter = featureTable.getGlobalProperty( "RTC_CENTER", ComponentDatatype.FLOAT, - 3 + 3, ); if (defined(rtcCenter)) { rtcCenter = Cartesian3.unpack(rtcCenter); @@ -142,7 +142,7 @@ PntsParser.parse = function (arrayBuffer, byteOffset) { if (!parsedContent.hasPositions) { throw new RuntimeError( - "Either POSITION or POSITION_QUANTIZED must be defined." + "Either POSITION or POSITION_QUANTIZED must be defined.", ); } @@ -170,7 +170,7 @@ PntsParser.parse = function (arrayBuffer, byteOffset) { const batchLength = featureTable.getGlobalProperty("BATCH_LENGTH"); if (!defined(batchLength)) { throw new RuntimeError( - "Global property: BATCH_LENGTH must be defined when BATCH_ID is defined." + "Global property: BATCH_LENGTH must be defined when BATCH_ID is defined.", ); } parsedContent.batchLength = batchLength; @@ -219,12 +219,12 @@ function parseDracoProperties(featureTable, batchTableJson) { !defined(dracoByteLength) ) { throw new RuntimeError( - "Draco properties, byteOffset, and byteLength must be defined" + "Draco properties, byteOffset, and byteLength must be defined", ); } dracoBuffer = featureTable.buffer.slice( dracoByteOffset, - dracoByteOffset + dracoByteLength + dracoByteOffset + dracoByteLength, ); hasPositions = defined(dracoFeatureTableProperties.POSITION); hasColors = @@ -243,7 +243,7 @@ function parseDracoProperties(featureTable, batchTableJson) { batchTableProperties: dracoBatchTableProperties, properties: combine( dracoFeatureTableProperties, - dracoBatchTableProperties + dracoBatchTableProperties, ), dequantizeInShader: true, }; @@ -267,7 +267,7 @@ function parsePositions(featureTable) { positions = featureTable.getPropertyArray( "POSITION", ComponentDatatype.FLOAT, - 3 + 3, ); return { @@ -282,17 +282,17 @@ function parsePositions(featureTable) { positions = featureTable.getPropertyArray( "POSITION_QUANTIZED", ComponentDatatype.UNSIGNED_SHORT, - 3 + 3, ); const quantizedVolumeScale = featureTable.getGlobalProperty( "QUANTIZED_VOLUME_SCALE", ComponentDatatype.FLOAT, - 3 + 3, ); if (!defined(quantizedVolumeScale)) { throw new RuntimeError( - "Global property: QUANTIZED_VOLUME_SCALE must be defined for quantized positions." + "Global property: QUANTIZED_VOLUME_SCALE must be defined for quantized positions.", ); } const quantizedRange = (1 << 16) - 1; @@ -300,11 +300,11 @@ function parsePositions(featureTable) { const quantizedVolumeOffset = featureTable.getGlobalProperty( "QUANTIZED_VOLUME_OFFSET", ComponentDatatype.FLOAT, - 3 + 3, ); if (!defined(quantizedVolumeOffset)) { throw new RuntimeError( - "Global property: QUANTIZED_VOLUME_OFFSET must be defined for quantized positions." + "Global property: QUANTIZED_VOLUME_OFFSET must be defined for quantized positions.", ); } @@ -332,7 +332,7 @@ function parseColors(featureTable) { colors = featureTable.getPropertyArray( "RGBA", ComponentDatatype.UNSIGNED_BYTE, - 4 + 4, ); return { name: VertexAttributeSemantic.COLOR, @@ -349,7 +349,7 @@ function parseColors(featureTable) { colors = featureTable.getPropertyArray( "RGB", ComponentDatatype.UNSIGNED_BYTE, - 3 + 3, ); return { name: "COLOR", @@ -366,7 +366,7 @@ function parseColors(featureTable) { colors = featureTable.getPropertyArray( "RGB565", ComponentDatatype.UNSIGNED_SHORT, - 1 + 1, ); return { name: "COLOR", @@ -387,7 +387,7 @@ function parseColors(featureTable) { const constantRGBA = featureTable.getGlobalProperty( "CONSTANT_RGBA", ComponentDatatype.UNSIGNED_BYTE, - 4 + 4, ); const alpha = constantRGBA[3]; @@ -395,7 +395,7 @@ function parseColors(featureTable) { constantRGBA[0], constantRGBA[1], constantRGBA[2], - alpha + alpha, ); const isTranslucent = alpha < 255; @@ -421,7 +421,7 @@ function parseNormals(featureTable) { normals = featureTable.getPropertyArray( "NORMAL", ComponentDatatype.FLOAT, - 3 + 3, ); return { name: VertexAttributeSemantic.NORMAL, @@ -436,7 +436,7 @@ function parseNormals(featureTable) { normals = featureTable.getPropertyArray( "NORMAL_OCT16P", ComponentDatatype.UNSIGNED_BYTE, - 2 + 2, ); const quantizationBits = 8; return { @@ -462,7 +462,7 @@ function parseBatchIds(featureTable) { const batchIds = featureTable.getPropertyArray( "BATCH_ID", ComponentDatatype.UNSIGNED_SHORT, - 1 + 1, ); return { name: VertexAttributeSemantic.FEATURE_ID, diff --git a/packages/engine/Source/Scene/PointCloud.js b/packages/engine/Source/Scene/PointCloud.js index 0dc44523cd53..fd51a2464f74 100644 --- a/packages/engine/Source/Scene/PointCloud.js +++ b/packages/engine/Source/Scene/PointCloud.js @@ -152,7 +152,7 @@ function PointCloud(options) { */ this.splitDirection = defaultValue( options.splitDirection, - SplitDirection.NONE + SplitDirection.NONE, ); this._splittingEnabled = false; @@ -204,7 +204,7 @@ Object.defineProperties(PointCloud.prototype, { function initialize(pointCloud, options) { const parsedContent = PntsParser.parse( options.arrayBuffer, - options.byteOffset + options.byteOffset, ); pointCloud._parsedContent = parsedContent; pointCloud._rtcCenter = parsedContent.rtcCenter; @@ -216,11 +216,12 @@ function initialize(pointCloud, options) { // If points are not batched and there are per-point properties, use the // properties as metadata for styling purposes. if (!parsedContent.hasBatchIds && defined(parsedContent.batchTableBinary)) { - parsedContent.styleableProperties = Cesium3DTileBatchTable.getBinaryProperties( - parsedContent.pointsLength, - parsedContent.batchTableJson, - parsedContent.batchTableBinary - ); + parsedContent.styleableProperties = + Cesium3DTileBatchTable.getBinaryProperties( + parsedContent.pointsLength, + parsedContent.batchTableJson, + parsedContent.batchTableBinary, + ); } if (defined(parsedContent.draco)) { @@ -247,7 +248,7 @@ function initialize(pointCloud, options) { if (defined(colors.constantColor)) { pointCloud._constantColor = Color.clone( colors.constantColor, - pointCloud._constantColor + pointCloud._constantColor, ); // Constant colors are handled as a uniform rather than a vertex @@ -271,7 +272,7 @@ function initialize(pointCloud, options) { pointCloud._batchTableLoaded( parsedContent.batchLength, parsedContent.batchTableJson, - parsedContent.batchTableBinary + parsedContent.batchTableBinary, ); } @@ -332,14 +333,15 @@ function prepareVertexAttribute(typedArray, name) { ) { oneTimeWarning( "Cast pnts property to floats", - `Point cloud property "${name}" will be cast to a float array because INT, UNSIGNED_INT, and DOUBLE are not valid WebGL vertex attribute types. Some precision may be lost.` + `Point cloud property "${name}" will be cast to a float array because INT, UNSIGNED_INT, and DOUBLE are not valid WebGL vertex attribute types. Some precision may be lost.`, ); return new Float32Array(typedArray); } return typedArray; } -const scratchPointSizeAndTimeAndGeometricErrorAndDepthMultiplier = new Cartesian4(); +const scratchPointSizeAndTimeAndGeometricErrorAndDepthMultiplier = + new Cartesian4(); const scratchQuantizedVolumeScaleAndOctEncodedRange = new Cartesian4(); const scratchColor = new Color(); @@ -450,7 +452,7 @@ function createResources(pointCloud, frameState) { if (hasBatchIds) { batchIds.typedArray = prepareVertexAttribute( batchIds.typedArray, - "batchIds" + "batchIds", ); batchIdsVertexBuffer = Buffer.createVertexBuffer({ context: context, @@ -487,12 +489,11 @@ function createResources(pointCloud, frameState) { if (isQuantized || isQuantizedDraco) { pointCloud._boundingSphere = BoundingSphere.fromCornerPoints( Cartesian3.ZERO, - pointCloud._quantizedVolumeScale + pointCloud._quantizedVolumeScale, ); } else { - pointCloud._boundingSphere = computeApproximateBoundingSphereFromPositions( - positions.typedArray - ); + pointCloud._boundingSphere = + computeApproximateBoundingSphereFromPositions(positions.typedArray); } } @@ -590,7 +591,7 @@ function createResources(pointCloud, frameState) { pointCloud._opaqueRenderState = RenderState.fromCache(opaqueRenderState); pointCloud._translucentRenderState = RenderState.fromCache( - translucentRenderState + translucentRenderState, ); pointCloud._drawCommand = new DrawCommand({ @@ -621,7 +622,8 @@ function createUniformMap(pointCloud, frameState) { let uniformMap = { u_pointSizeAndTimeAndGeometricErrorAndDepthMultiplier: function () { - const scratch = scratchPointSizeAndTimeAndGeometricErrorAndDepthMultiplier; + const scratch = + scratchPointSizeAndTimeAndGeometricErrorAndDepthMultiplier; scratch.x = pointCloud._attenuation ? pointCloud.maximumAttenuation : pointCloud._pointSize; @@ -679,22 +681,22 @@ function createUniformMap(pointCloud, frameState) { const clippingPlanesOriginMatrix = defaultValue( pointCloud.clippingPlanesOriginMatrix, - pointCloud._modelMatrix + pointCloud._modelMatrix, ); Matrix4.multiply( context.uniformState.view3D, clippingPlanesOriginMatrix, - scratchClippingPlanesMatrix + scratchClippingPlanesMatrix, ); const transform = Matrix4.multiply( scratchClippingPlanesMatrix, clippingPlanes.modelMatrix, - scratchClippingPlanesMatrix + scratchClippingPlanesMatrix, ); return Matrix4.inverseTranspose( transform, - scratchInverseTransposeClippingPlanesMatrix + scratchInverseTransposeClippingPlanesMatrix, ); }, }; @@ -708,7 +710,7 @@ function createUniformMap(pointCloud, frameState) { if (defined(pointCloud._quantizedVolumeScale)) { const scale = Cartesian3.clone( pointCloud._quantizedVolumeScale, - scratch + scratch, ); Cartesian3.divideByScalar(scale, pointCloud._quantizedRange, scratch); } @@ -802,9 +804,8 @@ function createShaders(pointCloud, frameState, style) { for (name in styleableShaderAttributes) { if (styleableShaderAttributes.hasOwnProperty(name)) { attribute = styleableShaderAttributes[name]; - variableSubstitutionMap[ - name - ] = `czm_3dtiles_property_${attribute.location}`; + variableSubstitutionMap[name] = + `czm_3dtiles_property_${attribute.location}`; propertyIdToAttributeMap[attribute.location] = attribute; } } @@ -823,17 +824,17 @@ function createShaders(pointCloud, frameState, style) { colorStyleFunction = style.getColorShaderFunction( `getColorFromStyle${parameterList}`, variableSubstitutionMap, - shaderState + shaderState, ); showStyleFunction = style.getShowShaderFunction( `getShowFromStyle${parameterList}`, variableSubstitutionMap, - shaderState + shaderState, ); pointSizeStyleFunction = style.getPointSizeShaderFunction( `getPointSizeFromStyle${parameterList}`, variableSubstitutionMap, - shaderState + shaderState, ); if (defined(colorStyleFunction) && shaderState.translucent) { styleTranslucent = true; @@ -869,7 +870,7 @@ function createShaders(pointCloud, frameState, style) { if (usesNormalSemantic && !hasNormals) { throw new RuntimeError( - "Style references the NORMAL semantic but the point cloud does not have normals" + "Style references the NORMAL semantic but the point cloud does not have normals", ); } @@ -880,7 +881,7 @@ function createShaders(pointCloud, frameState, style) { const enabled = styleablePropertyIds.indexOf(attribute.location) >= 0; const vertexAttribute = getVertexAttribute( vertexArray, - attribute.location + attribute.location, ); vertexAttribute.enabled = enabled; } @@ -899,7 +900,7 @@ function createShaders(pointCloud, frameState, style) { // Disable the normal vertex attribute if normals are not used const normalVertexAttribute = getVertexAttribute( vertexArray, - normalLocation + normalLocation, ); normalVertexAttribute.enabled = usesNormals; } @@ -1127,7 +1128,7 @@ function createShaders(pointCloud, frameState, style) { fs += getClipAndStyleCode( "u_clippingPlanes", "u_clippingPlanesMatrix", - "u_clippingPlanesEdgeStyle" + "u_clippingPlanesEdgeStyle", ); } @@ -1163,7 +1164,7 @@ function createShaders(pointCloud, frameState, style) { } catch (error) { // Rephrase the error. throw new RuntimeError( - "Error generating style shader: this may be caused by a type mismatch, index out-of-bounds, or other syntax error." + "Error generating style shader: this may be caused by a type mismatch, index out-of-bounds, or other syntax error.", ); } } @@ -1207,10 +1208,10 @@ function decodeDraco(pointCloud, context) { pointCloud._quantizedVolumeScale = Cartesian3.fromElements( range, range, - range + range, ); pointCloud._quantizedVolumeOffset = Cartesian3.unpack( - quantization.minValues + quantization.minValues, ); pointCloud._quantizedRange = (1 << quantization.quantizationBits) - 1.0; @@ -1317,7 +1318,7 @@ PointCloud.prototype.update = function (frameState) { Matrix4.multiplyByTranslation( modelMatrix, this._quantizedVolumeOffset, - modelMatrix + modelMatrix, ); } @@ -1326,7 +1327,7 @@ PointCloud.prototype.update = function (frameState) { const translation = Matrix4.getColumn( modelMatrix, 3, - scratchComputedTranslation + scratchComputedTranslation, ); if (!Cartesian4.equals(translation, Cartesian4.UNIT_W)) { Transforms.basisTo2D(projection, modelMatrix, modelMatrix); diff --git a/packages/engine/Source/Scene/PointCloudEyeDomeLighting.js b/packages/engine/Source/Scene/PointCloudEyeDomeLighting.js index a4ef56deca6f..0b51dca79435 100644 --- a/packages/engine/Source/Scene/PointCloudEyeDomeLighting.js +++ b/packages/engine/Source/Scene/PointCloudEyeDomeLighting.js @@ -129,13 +129,13 @@ function getECShaderProgram(context, shaderProgram) { fs.sources.splice( 0, 0, - `layout (location = 0) out vec4 out_FragData_0;\nlayout (location = 1) out vec4 out_FragData_1;` + `layout (location = 0) out vec4 out_FragData_0;\nlayout (location = 1) out vec4 out_FragData_1;`, ); fs.sources = fs.sources.map(function (source) { source = ShaderSource.replaceMain( source, - "czm_point_cloud_post_process_main" + "czm_point_cloud_post_process_main", ); source = source.replaceAll(/out_FragColor/g, "out_FragData_0"); return source; @@ -151,7 +151,7 @@ function getECShaderProgram(context, shaderProgram) { "#else\n" + " out_FragData_1 = czm_packDepth(gl_FragCoord.z);\n" + "#endif\n" + - "}" + "}", ); shader = context.shaderCache.createDerivedShaderProgram( @@ -161,7 +161,7 @@ function getECShaderProgram(context, shaderProgram) { vertexShaderSource: shaderProgram.vertexShaderSource, fragmentShaderSource: fs, attributeLocations: attributeLocations, - } + }, ); } @@ -172,7 +172,7 @@ PointCloudEyeDomeLighting.prototype.update = function ( frameState, commandStart, pointCloudShading, - boundingVolume + boundingVolume, ) { if (!isSupported(frameState.context)) { return; @@ -219,7 +219,7 @@ PointCloudEyeDomeLighting.prototype.update = function ( derivedCommand.framebuffer = this.framebuffer; derivedCommand.shaderProgram = getECShaderProgram( frameState.context, - command.shaderProgram + command.shaderProgram, ); derivedCommand.castShadows = false; derivedCommand.receiveShadows = false; diff --git a/packages/engine/Source/Scene/PointCloudShading.js b/packages/engine/Source/Scene/PointCloudShading.js index 2a46b8fc75c0..23a85575ee65 100644 --- a/packages/engine/Source/Scene/PointCloudShading.js +++ b/packages/engine/Source/Scene/PointCloudShading.js @@ -36,7 +36,7 @@ function PointCloudShading(options) { */ this.geometricErrorScale = defaultValue( pointCloudShading.geometricErrorScale, - 1.0 + 1.0, ); /** @@ -70,7 +70,7 @@ function PointCloudShading(options) { */ this.eyeDomeLightingStrength = defaultValue( pointCloudShading.eyeDomeLightingStrength, - 1.0 + 1.0, ); /** @@ -80,7 +80,7 @@ function PointCloudShading(options) { */ this.eyeDomeLightingRadius = defaultValue( pointCloudShading.eyeDomeLightingRadius, - 1.0 + 1.0, ); /** diff --git a/packages/engine/Source/Scene/PointPrimitive.js b/packages/engine/Source/Scene/PointPrimitive.js index dfa57c8ed830..db93554292cc 100644 --- a/packages/engine/Source/Scene/PointPrimitive.js +++ b/packages/engine/Source/Scene/PointPrimitive.js @@ -50,7 +50,7 @@ function PointPrimitive(options, pointPrimitiveCollection) { options.disableDepthTestDistance < 0.0 ) { throw new DeveloperError( - "disableDepthTestDistance must be greater than or equal to 0.0." + "disableDepthTestDistance must be greater than or equal to 0.0.", ); } //>>includeEnd('debug'); @@ -62,7 +62,7 @@ function PointPrimitive(options, pointPrimitiveCollection) { //>>includeStart('debug', pragmas.debug); if (translucencyByDistance.far <= translucencyByDistance.near) { throw new DeveloperError( - "translucencyByDistance.far must be greater than translucencyByDistance.near." + "translucencyByDistance.far must be greater than translucencyByDistance.near.", ); } //>>includeEnd('debug'); @@ -72,7 +72,7 @@ function PointPrimitive(options, pointPrimitiveCollection) { //>>includeStart('debug', pragmas.debug); if (scaleByDistance.far <= scaleByDistance.near) { throw new DeveloperError( - "scaleByDistance.far must be greater than scaleByDistance.near." + "scaleByDistance.far must be greater than scaleByDistance.near.", ); } //>>includeEnd('debug'); @@ -82,23 +82,23 @@ function PointPrimitive(options, pointPrimitiveCollection) { //>>includeStart('debug', pragmas.debug); if (distanceDisplayCondition.far <= distanceDisplayCondition.near) { throw new DeveloperError( - "distanceDisplayCondition.far must be greater than distanceDisplayCondition.near." + "distanceDisplayCondition.far must be greater than distanceDisplayCondition.near.", ); } //>>includeEnd('debug'); distanceDisplayCondition = DistanceDisplayCondition.clone( - distanceDisplayCondition + distanceDisplayCondition, ); } this._show = defaultValue(options.show, true); this._position = Cartesian3.clone( - defaultValue(options.position, Cartesian3.ZERO) + defaultValue(options.position, Cartesian3.ZERO), ); this._actualPosition = Cartesian3.clone(this._position); // For columbus view and 2D this._color = Color.clone(defaultValue(options.color, Color.WHITE)); this._outlineColor = Color.clone( - defaultValue(options.outlineColor, Color.TRANSPARENT) + defaultValue(options.outlineColor, Color.TRANSPARENT), ); this._outlineWidth = defaultValue(options.outlineWidth, 0.0); this._pixelSize = defaultValue(options.pixelSize, 10.0); @@ -107,7 +107,7 @@ function PointPrimitive(options, pointPrimitiveCollection) { this._distanceDisplayCondition = distanceDisplayCondition; this._disableDepthTestDistance = defaultValue( options.disableDepthTestDistance, - 0.0 + 0.0, ); this._id = options.id; this._collection = defaultValue(options.collection, pointPrimitiveCollection); @@ -121,7 +121,7 @@ function PointPrimitive(options, pointPrimitiveCollection) { this._splitDirection = defaultValue( options.splitDirection, - SplitDirection.NONE + SplitDirection.NONE, ); } @@ -132,9 +132,12 @@ const OUTLINE_COLOR_INDEX = (PointPrimitive.OUTLINE_COLOR_INDEX = 3); const OUTLINE_WIDTH_INDEX = (PointPrimitive.OUTLINE_WIDTH_INDEX = 4); const PIXEL_SIZE_INDEX = (PointPrimitive.PIXEL_SIZE_INDEX = 5); const SCALE_BY_DISTANCE_INDEX = (PointPrimitive.SCALE_BY_DISTANCE_INDEX = 6); -const TRANSLUCENCY_BY_DISTANCE_INDEX = (PointPrimitive.TRANSLUCENCY_BY_DISTANCE_INDEX = 7); -const DISTANCE_DISPLAY_CONDITION_INDEX = (PointPrimitive.DISTANCE_DISPLAY_CONDITION_INDEX = 8); -const DISABLE_DEPTH_DISTANCE_INDEX = (PointPrimitive.DISABLE_DEPTH_DISTANCE_INDEX = 9); +const TRANSLUCENCY_BY_DISTANCE_INDEX = + (PointPrimitive.TRANSLUCENCY_BY_DISTANCE_INDEX = 7); +const DISTANCE_DISPLAY_CONDITION_INDEX = + (PointPrimitive.DISTANCE_DISPLAY_CONDITION_INDEX = 8); +const DISABLE_DEPTH_DISTANCE_INDEX = + (PointPrimitive.DISABLE_DEPTH_DISTANCE_INDEX = 9); const SPLIT_DIRECTION_INDEX = (PointPrimitive.SPLIT_DIRECTION_INDEX = 10); PointPrimitive.NUMBER_OF_PROPERTIES = 11; @@ -143,7 +146,7 @@ function makeDirty(pointPrimitive, propertyChanged) { if (defined(pointPrimitiveCollection)) { pointPrimitiveCollection._updatePointPrimitive( pointPrimitive, - propertyChanged + propertyChanged, ); pointPrimitive._dirty = true; } @@ -231,7 +234,7 @@ Object.defineProperties(PointPrimitive.prototype, { //>>includeStart('debug', pragmas.debug); if (defined(value) && value.far <= value.near) { throw new DeveloperError( - "far distance must be greater than near distance." + "far distance must be greater than near distance.", ); } //>>includeEnd('debug'); @@ -274,7 +277,7 @@ Object.defineProperties(PointPrimitive.prototype, { //>>includeStart('debug', pragmas.debug); if (defined(value) && value.far <= value.near) { throw new DeveloperError( - "far distance must be greater than near distance." + "far distance must be greater than near distance.", ); } //>>includeEnd('debug'); @@ -283,7 +286,7 @@ Object.defineProperties(PointPrimitive.prototype, { if (!NearFarScalar.equals(translucencyByDistance, value)) { this._translucencyByDistance = NearFarScalar.clone( value, - translucencyByDistance + translucencyByDistance, ); makeDirty(this, TRANSLUCENCY_BY_DISTANCE_INDEX); } @@ -417,7 +420,7 @@ Object.defineProperties(PointPrimitive.prototype, { ) { this._distanceDisplayCondition = DistanceDisplayCondition.clone( value, - this._distanceDisplayCondition + this._distanceDisplayCondition, ); makeDirty(this, DISTANCE_DISPLAY_CONDITION_INDEX); } @@ -440,7 +443,7 @@ Object.defineProperties(PointPrimitive.prototype, { //>>includeStart('debug', pragmas.debug); if (!defined(value) || value < 0.0) { throw new DeveloperError( - "disableDepthTestDistance must be greater than or equal to 0.0." + "disableDepthTestDistance must be greater than or equal to 0.0.", ); } //>>includeEnd('debug'); @@ -538,7 +541,7 @@ const tempCartesian3 = new Cartesian4(); PointPrimitive._computeActualPosition = function ( position, frameState, - modelMatrix + modelMatrix, ) { if (frameState.mode === SceneMode.SCENE3D) { return position; @@ -547,7 +550,7 @@ PointPrimitive._computeActualPosition = function ( Matrix4.multiplyByPoint(modelMatrix, position, tempCartesian3); return SceneTransforms.computeActualEllipsoidPosition( frameState, - tempCartesian3 + tempCartesian3, ); }; @@ -558,7 +561,7 @@ PointPrimitive._computeScreenSpacePosition = function ( modelMatrix, position, scene, - result + result, ) { // Model to world coordinates const positionWorld = Matrix4.multiplyByVector( @@ -568,14 +571,14 @@ PointPrimitive._computeScreenSpacePosition = function ( position.y, position.z, 1, - scratchCartesian4 + scratchCartesian4, ), - scratchCartesian4 + scratchCartesian4, ); const positionWC = SceneTransforms.worldToWindowCoordinates( scene, positionWorld, - result + result, ); return positionWC; }; @@ -614,7 +617,7 @@ PointPrimitive.prototype.computeScreenSpacePosition = function (scene, result) { modelMatrix, this._actualPosition, scene, - result + result, ); if (!defined(windowCoordinates)) { return undefined; @@ -636,7 +639,7 @@ PointPrimitive.prototype.computeScreenSpacePosition = function (scene, result) { PointPrimitive.getScreenSpaceBoundingBox = function ( point, screenSpacePosition, - result + result, ) { const size = point.pixelSize; const halfSize = size * 0.5; @@ -679,11 +682,11 @@ PointPrimitive.prototype.equals = function (other) { NearFarScalar.equals(this._scaleByDistance, other._scaleByDistance) && NearFarScalar.equals( this._translucencyByDistance, - other._translucencyByDistance + other._translucencyByDistance, ) && DistanceDisplayCondition.equals( this._distanceDisplayCondition, - other._distanceDisplayCondition + other._distanceDisplayCondition, ) && this._disableDepthTestDistance === other._disableDepthTestDistance && this._splitDirection === other._splitDirection) diff --git a/packages/engine/Source/Scene/PointPrimitiveCollection.js b/packages/engine/Source/Scene/PointPrimitiveCollection.js index 20ab1c5d6e1d..c49029fcc16a 100644 --- a/packages/engine/Source/Scene/PointPrimitiveCollection.js +++ b/packages/engine/Source/Scene/PointPrimitiveCollection.js @@ -170,7 +170,7 @@ function PointPrimitiveCollection(options) { * @see Transforms.eastNorthUpToFixedFrame */ this.modelMatrix = Matrix4.clone( - defaultValue(options.modelMatrix, Matrix4.IDENTITY) + defaultValue(options.modelMatrix, Matrix4.IDENTITY), ); this._modelMatrix = Matrix4.clone(Matrix4.IDENTITY); @@ -186,7 +186,7 @@ function PointPrimitiveCollection(options) { */ this.debugShowBoundingVolume = defaultValue( options.debugShowBoundingVolume, - false + false, ); /** @@ -199,7 +199,7 @@ function PointPrimitiveCollection(options) { */ this.blendOption = defaultValue( options.blendOption, - BlendOption.OPAQUE_AND_TRANSLUCENT + BlendOption.OPAQUE_AND_TRANSLUCENT, ); this._blendOption = undefined; @@ -380,12 +380,11 @@ function removePointPrimitives(pointPrimitiveCollection) { PointPrimitiveCollection.prototype._updatePointPrimitive = function ( pointPrimitive, - propertyChanged + propertyChanged, ) { if (!pointPrimitive._dirty) { - this._pointPrimitivesToUpdate[ - this._pointPrimitivesToUpdateIndex++ - ] = pointPrimitive; + this._pointPrimitivesToUpdate[this._pointPrimitivesToUpdateIndex++] = + pointPrimitive; } ++this._propertiesChanged[propertyChanged]; @@ -500,7 +499,7 @@ function createVAF(context, numberOfPointPrimitives, buffersUsage) { usage: buffersUsage[DISTANCE_DISPLAY_CONDITION_INDEX], }, ], - numberOfPointPrimitives + numberOfPointPrimitives, ); // 1 vertex per pointPrimitive } @@ -515,7 +514,7 @@ function writePositionSizeAndOutline( pointPrimitiveCollection, context, vafWriters, - pointPrimitive + pointPrimitive, ) { const i = pointPrimitive._index; const position = pointPrimitive._getActualPosition(); @@ -524,7 +523,7 @@ function writePositionSizeAndOutline( BoundingSphere.expand( pointPrimitiveCollection._baseVolume, position, - pointPrimitiveCollection._baseVolume + pointPrimitiveCollection._baseVolume, ); pointPrimitiveCollection._boundingVolumeDirty = true; } @@ -535,7 +534,7 @@ function writePositionSizeAndOutline( pointPrimitiveCollection._maxPixelSize = Math.max( pointPrimitiveCollection._maxPixelSize, - pixelSize + outlineWidth + pixelSize + outlineWidth, ); const positionHighWriter = vafWriters[attributeLocations.positionHighAndSize]; @@ -555,7 +554,7 @@ function writeCompressedAttrib0( pointPrimitiveCollection, context, vafWriters, - pointPrimitive + pointPrimitive, ) { const i = pointPrimitive._index; @@ -591,7 +590,7 @@ function writeCompressedAttrib1( pointPrimitiveCollection, context, vafWriters, - pointPrimitive + pointPrimitive, ) { const i = pointPrimitive._index; @@ -641,7 +640,7 @@ function writeScaleByDistance( pointPrimitiveCollection, context, vafWriters, - pointPrimitive + pointPrimitive, ) { const i = pointPrimitive._index; const writer = vafWriters[attributeLocations.scaleByDistance]; @@ -671,7 +670,7 @@ function writeDistanceDisplayConditionAndDepthDisableAndSplitDirection( pointPrimitiveCollection, context, vafWriters, - pointPrimitive + pointPrimitive, ) { const i = pointPrimitive._index; const writer = @@ -714,37 +713,37 @@ function writePointPrimitive( pointPrimitiveCollection, context, vafWriters, - pointPrimitive + pointPrimitive, ) { writePositionSizeAndOutline( pointPrimitiveCollection, context, vafWriters, - pointPrimitive + pointPrimitive, ); writeCompressedAttrib0( pointPrimitiveCollection, context, vafWriters, - pointPrimitive + pointPrimitive, ); writeCompressedAttrib1( pointPrimitiveCollection, context, vafWriters, - pointPrimitive + pointPrimitive, ); writeScaleByDistance( pointPrimitiveCollection, context, vafWriters, - pointPrimitive + pointPrimitive, ); writeDistanceDisplayConditionAndDepthDisableAndSplitDirection( pointPrimitiveCollection, context, vafWriters, - pointPrimitive + pointPrimitive, ); } @@ -754,7 +753,7 @@ function recomputeActualPositions( length, frameState, modelMatrix, - recomputeBoundingVolume + recomputeBoundingVolume, ) { let boundingVolume; if (frameState.mode === SceneMode.SCENE3D) { @@ -771,7 +770,7 @@ function recomputeActualPositions( const actualPosition = PointPrimitive._computeActualPosition( position, frameState, - modelMatrix + modelMatrix, ); if (defined(actualPosition)) { pointPrimitive._setActualPosition(actualPosition); @@ -818,7 +817,7 @@ function updateMode(pointPrimitiveCollection, frameState) { pointPrimitives.length, frameState, modelMatrix, - true + true, ); } } else if (mode === SceneMode.MORPHING) { @@ -828,7 +827,7 @@ function updateMode(pointPrimitiveCollection, frameState) { pointPrimitives.length, frameState, modelMatrix, - true + true, ); } else if (mode === SceneMode.SCENE2D || mode === SceneMode.COLUMBUS_VIEW) { recomputeActualPositions( @@ -837,7 +836,7 @@ function updateMode(pointPrimitiveCollection, frameState) { pointPrimitiveCollection._pointPrimitivesToUpdateIndex, frameState, modelMatrix, - false + false, ); } } @@ -846,7 +845,7 @@ function updateBoundingVolume(collection, frameState, boundingVolume) { const pixelSize = frameState.camera.getPixelSize( boundingVolume, frameState.context.drawingBufferWidth, - frameState.context.drawingBufferHeight + frameState.context.drawingBufferHeight, ); const size = pixelSize * collection._maxPixelSize; boundingVolume.radius += size; @@ -939,7 +938,7 @@ PointPrimitiveCollection.prototype.update = function (frameState) { properties[SPLIT_DIRECTION_INDEX] ) { writers.push( - writeDistanceDisplayConditionAndDepthDisableAndSplitDirection + writeDistanceDisplayConditionAndDepthDisableAndSplitDirection, ); } @@ -993,7 +992,7 @@ PointPrimitiveCollection.prototype.update = function (frameState) { BoundingSphere.transform( this._baseVolume, this.modelMatrix, - this._baseVolumeWC + this._baseVolumeWC, ); } @@ -1003,12 +1002,12 @@ PointPrimitiveCollection.prototype.update = function (frameState) { modelMatrix = this.modelMatrix; boundingVolume = BoundingSphere.clone( this._baseVolumeWC, - this._boundingVolume + this._boundingVolume, ); } else { boundingVolume = BoundingSphere.clone( this._baseVolume2D, - this._boundingVolume + this._boundingVolume, ); } updateBoundingVolume(this, frameState, boundingVolume); @@ -1134,8 +1133,10 @@ PointPrimitiveCollection.prototype.update = function (frameState) { } this._compiledShaderScaleByDistance = this._shaderScaleByDistance; - this._compiledShaderTranslucencyByDistance = this._shaderTranslucencyByDistance; - this._compiledShaderDistanceDisplayCondition = this._shaderDistanceDisplayCondition; + this._compiledShaderTranslucencyByDistance = + this._shaderTranslucencyByDistance; + this._compiledShaderDistanceDisplayCondition = + this._shaderDistanceDisplayCondition; this._compiledShaderDisableDepthDistance = this._shaderDisableDepthDistance; } diff --git a/packages/engine/Source/Scene/Polyline.js b/packages/engine/Source/Scene/Polyline.js index b8eda50752e0..3a070db7fc0d 100644 --- a/packages/engine/Source/Scene/Polyline.js +++ b/packages/engine/Source/Scene/Polyline.js @@ -57,7 +57,7 @@ function Polyline(options, polylineCollection) { this._positions = positions; this._actualPositions = arrayRemoveDuplicates( positions, - Cartesian3.equalsEpsilon + Cartesian3.equalsEpsilon, ); if (this._loop && this._actualPositions.length > 2) { @@ -78,7 +78,7 @@ function Polyline(options, polylineCollection) { this._modelMatrix = modelMatrix; this._segments = PolylinePipeline.wrapLongitude( this._actualPositions, - modelMatrix + modelMatrix, ); this._actualLength = undefined; @@ -91,7 +91,7 @@ function Polyline(options, polylineCollection) { this._boundingVolume = BoundingSphere.fromPoints(this._actualPositions); this._boundingVolumeWC = BoundingSphere.transform( this._boundingVolume, - this._modelMatrix + this._modelMatrix, ); this._boundingVolume2D = new BoundingSphere(); // modified in PolylineCollection } @@ -181,12 +181,12 @@ Object.defineProperties(Polyline.prototype, { this._length = positions.length; this._boundingVolume = BoundingSphere.fromPoints( this._actualPositions, - this._boundingVolume + this._boundingVolume, ); this._boundingVolumeWC = BoundingSphere.transform( this._boundingVolume, this._modelMatrix, - this._boundingVolumeWC + this._boundingVolumeWC, ); makeDirty(this, POSITION_INDEX); @@ -340,7 +340,7 @@ Object.defineProperties(Polyline.prototype, { //>>includeStart('debug', pragmas.debug); if (defined(value) && value.far <= value.near) { throw new DeveloperError( - "far distance must be greater than near distance." + "far distance must be greater than near distance.", ); } //>>includeEnd('debug'); @@ -349,7 +349,7 @@ Object.defineProperties(Polyline.prototype, { ) { this._distanceDisplayCondition = DistanceDisplayCondition.clone( value, - this._distanceDisplayCondition + this._distanceDisplayCondition, ); makeDirty(this, DISTANCE_DISPLAY_CONDITION); } @@ -375,12 +375,12 @@ Polyline.prototype.update = function () { if (!Matrix4.equals(modelMatrix, this._modelMatrix) || positionsChanged) { this._segments = PolylinePipeline.wrapLongitude( this._actualPositions, - modelMatrix + modelMatrix, ); this._boundingVolumeWC = BoundingSphere.transform( this._boundingVolume, modelMatrix, - this._boundingVolumeWC + this._boundingVolumeWC, ); } diff --git a/packages/engine/Source/Scene/PolylineCollection.js b/packages/engine/Source/Scene/PolylineCollection.js index 81973318e16e..5805ac5a9f02 100644 --- a/packages/engine/Source/Scene/PolylineCollection.js +++ b/packages/engine/Source/Scene/PolylineCollection.js @@ -135,7 +135,7 @@ function PolylineCollection(options) { * @default {@link Matrix4.IDENTITY} */ this.modelMatrix = Matrix4.clone( - defaultValue(options.modelMatrix, Matrix4.IDENTITY) + defaultValue(options.modelMatrix, Matrix4.IDENTITY), ); this._modelMatrix = Matrix4.clone(Matrix4.IDENTITY); @@ -151,7 +151,7 @@ function PolylineCollection(options) { */ this.debugShowBoundingVolume = defaultValue( options.debugShowBoundingVolume, - false + false, ); this._opaqueRS = undefined; @@ -402,7 +402,7 @@ function createBatchTable(collection, context) { collection._batchTable = new BatchTable( context, attributes, - collection._polylines.length + collection._polylines.length, ); } @@ -437,7 +437,7 @@ PolylineCollection.prototype.update = function (frameState) { if (this._createBatchTable) { if (ContextLimits.maximumVertexTextureImageUnits === 0) { throw new RuntimeError( - "Vertex texture fetch support is required to render polylines. The maximum number of vertex texture image units must be greater than zero." + "Vertex texture fetch support is required to render polylines. The maximum number of vertex texture image units must be greater than zero.", ); } createBatchTable(this, context); @@ -477,7 +477,7 @@ PolylineCollection.prototype.update = function (frameState) { index, polyline, this._positionBuffer, - projection + projection, ); } break; @@ -490,7 +490,7 @@ PolylineCollection.prototype.update = function (frameState) { this._batchTable.setBatchedAttribute( polyline._index, 0, - new Cartesian2(polyline._width, polyline._show) + new Cartesian2(polyline._width, polyline._show), ); } @@ -502,19 +502,19 @@ PolylineCollection.prototype.update = function (frameState) { : polyline._boundingVolumeWC; const encodedCenter = EncodedCartesian3.fromCartesian( boundingSphere.center, - scratchUpdatePolylineEncodedCartesian + scratchUpdatePolylineEncodedCartesian, ); const low = Cartesian4.fromElements( encodedCenter.low.x, encodedCenter.low.y, encodedCenter.low.z, boundingSphere.radius, - scratchUpdatePolylineCartesian4 + scratchUpdatePolylineCartesian4, ); this._batchTable.setBatchedAttribute( polyline._index, 2, - encodedCenter.high + encodedCenter.high, ); this._batchTable.setBatchedAttribute(polyline._index, 3, low); } @@ -533,7 +533,7 @@ PolylineCollection.prototype.update = function (frameState) { this._batchTable.setBatchedAttribute( polyline._index, 4, - nearFarCartesian + nearFarCartesian, ); } } @@ -598,7 +598,7 @@ function createCommandLists( polylineCollection, frameState, commands, - modelMatrix + modelMatrix, ) { const context = frameState.context; const commandList = frameState.commandList; @@ -653,12 +653,12 @@ function createCommandLists( uniformMap = combine( uniformCallback(currentMaterial._uniforms), - polylineCollection._uniformMap + polylineCollection._uniformMap, ); command.boundingVolume = BoundingSphere.clone( boundingSphereScratch, - command.boundingVolume + command.boundingVolume, ); command.modelMatrix = modelMatrix; command.shaderProgram = sp; @@ -704,7 +704,7 @@ function createCommandLists( if (defined(polyline._boundingVolume2D)) { boundingVolume = BoundingSphere.clone( polyline._boundingVolume2D, - boundingSphereScratch2 + boundingSphereScratch2, ); boundingVolume.center.x = 0.0; } @@ -715,7 +715,7 @@ function createCommandLists( boundingVolume = BoundingSphere.union( polyline._boundingVolumeWC, polyline._boundingVolume2D, - boundingSphereScratch2 + boundingSphereScratch2, ); } @@ -726,7 +726,7 @@ function createCommandLists( BoundingSphere.union( boundingVolume, boundingSphereScratch, - boundingSphereScratch + boundingSphereScratch, ); } } @@ -745,12 +745,12 @@ function createCommandLists( uniformMap = combine( uniformCallback(currentMaterial._uniforms), - polylineCollection._uniformMap + polylineCollection._uniformMap, ); command.boundingVolume = BoundingSphere.clone( boundingSphereScratch, - command.boundingVolume + command.boundingVolume, ); command.modelMatrix = modelMatrix; command.shaderProgram = sp; @@ -897,7 +897,7 @@ function createVertexArrays(collection, context, projection) { texCoordExpandAndBatchIndexIndex, batchTable, context, - projection + projection, ); if (mode === SceneMode.MORPHING) { @@ -915,7 +915,7 @@ function createVertexArrays(collection, context, projection) { totalIndices, vertexBufferOffset, vertexArrayBuckets, - offset + offset, ); } } @@ -1168,7 +1168,7 @@ function sortPolylinesIntoBuckets(collection) { value = polylineBuckets[material.type] = new PolylineBucket( material, mode, - modelMatrix + modelMatrix, ); } value.addPolyline(p); @@ -1236,7 +1236,7 @@ function destroyVertexArrays(collection) { PolylineCollection.prototype._updatePolyline = function ( polyline, - propertyChanged + propertyChanged, ) { this._polylinesUpdated = true; if (!polyline._dirty) { @@ -1281,7 +1281,7 @@ PolylineBucket.prototype.addPolyline = function (p) { PolylineBucket.prototype.updateShader = function ( context, batchTable, - useHighlightColor + useHighlightColor, ) { if (defined(this.shaderProgram)) { return; @@ -1362,7 +1362,7 @@ PolylineBucket.prototype.write = function ( texCoordExpandAndBatchIndexIndex, batchTable, context, - projection + projection, ) { const mode = this.mode; const maxLon = projection.ellipsoid.maximumRadius * CesiumMath.PI; @@ -1409,7 +1409,7 @@ PolylineBucket.prototype.write = function ( Cartesian3.subtract( positions[positionsLength - 1], positions[positionsLength - 2], - position + position, ); Cartesian3.add(positions[positionsLength - 1], position, position); } @@ -1464,17 +1464,17 @@ PolylineBucket.prototype.write = function ( EncodedCartesian3.writeElements( scratchWritePosition, positionArray, - positionIndex + positionIndex, ); EncodedCartesian3.writeElements( scratchWritePrevPosition, positionArray, - positionIndex + 6 + positionIndex + 6, ); EncodedCartesian3.writeElements( scratchWriteNextPosition, positionArray, - positionIndex + 12 + positionIndex + 12, ); const direction = k - 2 < 0 ? -1.0 : 1.0; @@ -1482,12 +1482,10 @@ PolylineBucket.prototype.write = function ( j / (positionsLength - 1); // s tex coord texCoordExpandAndBatchIndexArray[texCoordExpandAndBatchIndexIndex + 1] = 2 * (k % 2) - 1; // expand direction - texCoordExpandAndBatchIndexArray[ - texCoordExpandAndBatchIndexIndex + 2 - ] = direction; - texCoordExpandAndBatchIndexArray[ - texCoordExpandAndBatchIndexIndex + 3 - ] = polylineBatchIndex; + texCoordExpandAndBatchIndexArray[texCoordExpandAndBatchIndexIndex + 2] = + direction; + texCoordExpandAndBatchIndexArray[texCoordExpandAndBatchIndexIndex + 3] = + polylineBatchIndex; positionIndex += 6 * 3; texCoordExpandAndBatchIndexIndex += 4; @@ -1510,7 +1508,7 @@ PolylineBucket.prototype.write = function ( : polyline._boundingVolumeWC; const encodedCenter = EncodedCartesian3.fromCartesian( boundingSphere.center, - scratchUpdatePolylineEncodedCartesian + scratchUpdatePolylineEncodedCartesian, ); const high = encodedCenter.high; const low = Cartesian4.fromElements( @@ -1518,7 +1516,7 @@ PolylineBucket.prototype.write = function ( encodedCenter.low.y, encodedCenter.low.z, boundingSphere.radius, - scratchUpdatePolylineCartesian4 + scratchUpdatePolylineCartesian4, ); const nearFarCartesian = scratchNearFarCartesian2; @@ -1549,7 +1547,7 @@ const morphVectorScratch = new Cartesian3(); PolylineBucket.prototype.writeForMorph = function ( positionArray, - positionIndex + positionIndex, ) { const modelMatrix = this.modelMatrix; const polylines = this.polylines; @@ -1580,13 +1578,13 @@ PolylineBucket.prototype.writeForMorph = function ( prevPosition = Matrix4.multiplyByPoint( modelMatrix, prevPosition, - morphPrevPositionScratch + morphPrevPositionScratch, ); const position = Matrix4.multiplyByPoint( modelMatrix, positions[j], - morphPositionScratch + morphPositionScratch, ); let nextPosition; @@ -1598,12 +1596,12 @@ PolylineBucket.prototype.writeForMorph = function ( Cartesian3.subtract( positions[positionsLength - 1], positions[positionsLength - 2], - nextPosition + nextPosition, ); Cartesian3.add( positions[positionsLength - 1], nextPosition, - nextPosition + nextPosition, ); } } else { @@ -1613,7 +1611,7 @@ PolylineBucket.prototype.writeForMorph = function ( nextPosition = Matrix4.multiplyByPoint( modelMatrix, nextPosition, - morphNextPositionScratch + morphNextPositionScratch, ); const segmentLength = lengths[segmentIndex]; @@ -1633,12 +1631,12 @@ PolylineBucket.prototype.writeForMorph = function ( EncodedCartesian3.writeElements( prevPosition, positionArray, - positionIndex + 6 + positionIndex + 6, ); EncodedCartesian3.writeElements( nextPosition, positionArray, - positionIndex + 12 + positionIndex + 12, ); positionIndex += 6 * 3; @@ -1653,7 +1651,7 @@ PolylineBucket.prototype.updateIndices = function ( totalIndices, vertexBufferOffset, vertexArrayBuckets, - offset + offset, ) { let vaCount = vertexArrayBuckets.length - 1; let bucketLocator = new VertexArrayBucketLocator(0, offset, this); @@ -1787,21 +1785,21 @@ PolylineBucket.prototype.getSegments = function (polyline, projection) { p = Matrix4.multiplyByPoint(modelMatrix, position, p); newPositions.push( projection.project( - ellipsoid.cartesianToCartographic(p, scratchCartographic) - ) + ellipsoid.cartesianToCartographic(p, scratchCartographic), + ), ); } if (newPositions.length > 0) { polyline._boundingVolume2D = BoundingSphere.fromPoints( newPositions, - polyline._boundingVolume2D + polyline._boundingVolume2D, ); const center2D = polyline._boundingVolume2D.center; polyline._boundingVolume2D.center = new Cartesian3( center2D.z, center2D.x, - center2D.y + center2D.y, ); } @@ -1816,7 +1814,7 @@ PolylineBucket.prototype.writeUpdate = function ( index, polyline, positionBuffer, - projection + projection, ) { const mode = this.mode; const maxLon = projection.ellipsoid.maximumRadius * CesiumMath.PI; @@ -1833,13 +1831,13 @@ PolylineBucket.prototype.writeUpdate = function ( positionArray.length < positionsArrayLength ) { positionArray = scratchPositionsArray = new Float32Array( - positionsArrayLength + positionsArrayLength, ); } else if (positionArray.length > positionsArrayLength) { positionArray = new Float32Array( positionArray.buffer, 0, - positionsArrayLength + positionsArrayLength, ); } @@ -1877,7 +1875,7 @@ PolylineBucket.prototype.writeUpdate = function ( Cartesian3.subtract( positions[positionsLength - 1], positions[positionsLength - 2], - position + position, ); Cartesian3.add(positions[positionsLength - 1], position, position); } @@ -1932,17 +1930,17 @@ PolylineBucket.prototype.writeUpdate = function ( EncodedCartesian3.writeElements( scratchWritePosition, positionArray, - positionIndex + positionIndex, ); EncodedCartesian3.writeElements( scratchWritePrevPosition, positionArray, - positionIndex + 6 + positionIndex + 6, ); EncodedCartesian3.writeElements( scratchWriteNextPosition, positionArray, - positionIndex + 12 + positionIndex + 12, ); positionIndex += 6 * 3; } @@ -1950,7 +1948,7 @@ PolylineBucket.prototype.writeUpdate = function ( positionBuffer.copyFromArrayView( positionArray, - 6 * 3 * Float32Array.BYTES_PER_ELEMENT * index + 6 * 3 * Float32Array.BYTES_PER_ELEMENT * index, ); } }; diff --git a/packages/engine/Source/Scene/PolylineColorAppearance.js b/packages/engine/Source/Scene/PolylineColorAppearance.js index 27c577fa1b7c..1bb49df68936 100644 --- a/packages/engine/Source/Scene/PolylineColorAppearance.js +++ b/packages/engine/Source/Scene/PolylineColorAppearance.js @@ -78,16 +78,16 @@ function PolylineColorAppearance(options) { this._vertexShaderSource = defaultValue( options.vertexShaderSource, - defaultVertexShaderSource + defaultVertexShaderSource, ); this._fragmentShaderSource = defaultValue( options.fragmentShaderSource, - defaultFragmentShaderSource + defaultFragmentShaderSource, ); this._renderState = Appearance.getDefaultRenderState( translucent, closed, - options.renderState + options.renderState, ); this._closed = closed; diff --git a/packages/engine/Source/Scene/PolylineMaterialAppearance.js b/packages/engine/Source/Scene/PolylineMaterialAppearance.js index 0f048aa81ea2..d47e73d2bb6c 100644 --- a/packages/engine/Source/Scene/PolylineMaterialAppearance.js +++ b/packages/engine/Source/Scene/PolylineMaterialAppearance.js @@ -80,16 +80,16 @@ function PolylineMaterialAppearance(options) { this._vertexShaderSource = defaultValue( options.vertexShaderSource, - defaultVertexShaderSource + defaultVertexShaderSource, ); this._fragmentShaderSource = defaultValue( options.fragmentShaderSource, - defaultFragmentShaderSource + defaultFragmentShaderSource, ); this._renderState = Appearance.getDefaultRenderState( translucent, closed, - options.renderState + options.renderState, ); this._closed = closed; diff --git a/packages/engine/Source/Scene/PostProcessStage.js b/packages/engine/Source/Scene/PostProcessStage.js index 1b93e702417a..44012ca77b56 100644 --- a/packages/engine/Source/Scene/PostProcessStage.js +++ b/packages/engine/Source/Scene/PostProcessStage.js @@ -105,7 +105,7 @@ function PostProcessStage(options) { Check.typeOf.number.lessThanOrEquals( "options.textureScale", textureScale, - 1.0 + 1.0, ); if (!PixelFormat.isColorFormat(pixelFormat)) { throw new DeveloperError("options.pixelFormat must be a color format."); @@ -118,12 +118,12 @@ function PostProcessStage(options) { this._forcePowerOfTwo = defaultValue(options.forcePowerOfTwo, false); this._sampleMode = defaultValue( options.sampleMode, - PostProcessStageSampleMode.NEAREST + PostProcessStageSampleMode.NEAREST, ); this._pixelFormat = pixelFormat; this._pixelDatatype = defaultValue( options.pixelDatatype, - PixelDatatype.UNSIGNED_BYTE + PixelDatatype.UNSIGNED_BYTE, ); this._clearColor = defaultValue(options.clearColor, Color.BLACK); @@ -509,7 +509,7 @@ function createUniformMap(stage) { ) { uniformMap[`${name}Dimensions`] = getUniformMapDimensionsFunction( uniformMap, - name + name, ); } } @@ -673,13 +673,12 @@ function updateUniformTextures(stage, context) { for (let i = 0; i < dirtyUniforms.length; ++i) { const name = dirtyUniforms[i]; const stageNameUrlOrImage = uniforms[name]; - const stageWithName = stage._textureCache.getStageByName( - stageNameUrlOrImage - ); + const stageWithName = + stage._textureCache.getStageByName(stageNameUrlOrImage); if (defined(stageWithName)) { stage._actualUniforms[name] = createStageOutputTextureFunction( stage, - stageNameUrlOrImage + stageNameUrlOrImage, ); } else if (typeof stageNameUrlOrImage === "string") { const resource = new Resource({ @@ -687,7 +686,7 @@ function updateUniformTextures(stage, context) { }); promises.push( - resource.fetchImage().then(createLoadImageFunction(stage, name)) + resource.fetchImage().then(createLoadImageFunction(stage, name)), ); } else { stage._texturesToCreate.push({ @@ -918,7 +917,7 @@ PostProcessStage.prototype.update = function (context, useLogDepth) { 0, 0, colorTexture.width, - colorTexture.height + colorTexture.height, ), }); } @@ -939,7 +938,7 @@ PostProcessStage.prototype.execute = function ( context, colorTexture, depthTexture, - idTexture + idTexture, ) { if ( !defined(this._command) || diff --git a/packages/engine/Source/Scene/PostProcessStageCollection.js b/packages/engine/Source/Scene/PostProcessStageCollection.js index af65a360285d..59ca0e293923 100644 --- a/packages/engine/Source/Scene/PostProcessStageCollection.js +++ b/packages/engine/Source/Scene/PostProcessStageCollection.js @@ -347,29 +347,32 @@ Object.defineProperties(PostProcessStageCollection.prototype, { switch (value) { case Tonemapper.REINHARD: - tonemapping = PostProcessStageLibrary.createReinhardTonemappingStage( - useAutoExposure - ); + tonemapping = + PostProcessStageLibrary.createReinhardTonemappingStage( + useAutoExposure, + ); break; case Tonemapper.MODIFIED_REINHARD: - tonemapping = PostProcessStageLibrary.createModifiedReinhardTonemappingStage( - useAutoExposure - ); + tonemapping = + PostProcessStageLibrary.createModifiedReinhardTonemappingStage( + useAutoExposure, + ); break; case Tonemapper.FILMIC: - tonemapping = PostProcessStageLibrary.createFilmicTonemappingStage( - useAutoExposure - ); + tonemapping = + PostProcessStageLibrary.createFilmicTonemappingStage( + useAutoExposure, + ); break; case Tonemapper.PBR_NEUTRAL: - tonemapping = PostProcessStageLibrary.createPbrNeutralTonemappingStage( - useAutoExposure - ); + tonemapping = + PostProcessStageLibrary.createPbrNeutralTonemappingStage( + useAutoExposure, + ); break; default: - tonemapping = PostProcessStageLibrary.createAcesTonemappingStage( - useAutoExposure - ); + tonemapping = + PostProcessStageLibrary.createAcesTonemappingStage(useAutoExposure); break; } @@ -456,7 +459,7 @@ PostProcessStageCollection.prototype.add = function (stage) { //>>includeStart('debug', pragmas.debug); if (defined(stageNames[currentStage.name])) { throw new DeveloperError( - `${currentStage.name} has already been added to the collection or does not have a unique name.` + `${currentStage.name} has already been added to the collection or does not have a unique name.`, ); } //>>includeEnd('debug'); @@ -581,7 +584,7 @@ PostProcessStageCollection.prototype.getStageByName = function (name) { PostProcessStageCollection.prototype.update = function ( context, useLogDepth, - useHdr + useHdr, ) { removeStages(this); @@ -754,7 +757,7 @@ function execute(stage, context, colorTexture, depthTexture, idTexture) { context, getOutputTexture(stage.get(i - 1)), depthTexture, - idTexture + idTexture, ); } } else { @@ -778,7 +781,7 @@ PostProcessStageCollection.prototype.execute = function ( context, colorTexture, depthTexture, - idTexture + idTexture, ) { const activeStages = this._activeStages; const length = activeStages.length; @@ -832,7 +835,7 @@ PostProcessStageCollection.prototype.execute = function ( context, getOutputTexture(activeStages[i - 1]), depthTexture, - idTexture + idTexture, ); } lastTexture = getOutputTexture(activeStages[length - 1]); diff --git a/packages/engine/Source/Scene/PostProcessStageComposite.js b/packages/engine/Source/Scene/PostProcessStageComposite.js index bcea9587afa0..6745ac7546d5 100644 --- a/packages/engine/Source/Scene/PostProcessStageComposite.js +++ b/packages/engine/Source/Scene/PostProcessStageComposite.js @@ -81,14 +81,14 @@ function PostProcessStageComposite(options) { Check.typeOf.number.greaterThan( "options.stages.length", options.stages.length, - 0 + 0, ); //>>includeEnd('debug'); this._stages = options.stages; this._inputPreviousStageTexture = defaultValue( options.inputPreviousStageTexture, - true + true, ); let name = options.name; diff --git a/packages/engine/Source/Scene/PostProcessStageLibrary.js b/packages/engine/Source/Scene/PostProcessStageLibrary.js index 18aaa1d974b5..f4329daee77f 100644 --- a/packages/engine/Source/Scene/PostProcessStageLibrary.js +++ b/packages/engine/Source/Scene/PostProcessStageLibrary.js @@ -661,7 +661,7 @@ PostProcessStageLibrary.createFXAAStage = function () { * @private */ PostProcessStageLibrary.createAcesTonemappingStage = function ( - useAutoExposure + useAutoExposure, ) { let fs = useAutoExposure ? "#define AUTO_EXPOSURE\n" : ""; fs += AcesTonemapping; @@ -682,7 +682,7 @@ PostProcessStageLibrary.createAcesTonemappingStage = function ( * @private */ PostProcessStageLibrary.createFilmicTonemappingStage = function ( - useAutoExposure + useAutoExposure, ) { let fs = useAutoExposure ? "#define AUTO_EXPOSURE\n" : ""; fs += FilmicTonemapping; @@ -703,7 +703,7 @@ PostProcessStageLibrary.createFilmicTonemappingStage = function ( * @private */ PostProcessStageLibrary.createPbrNeutralTonemappingStage = function ( - useAutoExposure + useAutoExposure, ) { let fs = useAutoExposure ? "#define AUTO_EXPOSURE\n" : ""; fs += PbrNeutralTonemapping; @@ -724,7 +724,7 @@ PostProcessStageLibrary.createPbrNeutralTonemappingStage = function ( * @private */ PostProcessStageLibrary.createReinhardTonemappingStage = function ( - useAutoExposure + useAutoExposure, ) { let fs = useAutoExposure ? "#define AUTO_EXPOSURE\n" : ""; fs += ReinhardTonemapping; @@ -745,7 +745,7 @@ PostProcessStageLibrary.createReinhardTonemappingStage = function ( * @private */ PostProcessStageLibrary.createModifiedReinhardTonemappingStage = function ( - useAutoExposure + useAutoExposure, ) { let fs = useAutoExposure ? "#define AUTO_EXPOSURE\n" : ""; fs += ModifiedReinhardTonemapping; diff --git a/packages/engine/Source/Scene/PostProcessStageTextureCache.js b/packages/engine/Source/Scene/PostProcessStageTextureCache.js index cdc6e21a3986..391b7dd3aff6 100644 --- a/packages/engine/Source/Scene/PostProcessStageTextureCache.js +++ b/packages/engine/Source/Scene/PostProcessStageTextureCache.js @@ -38,7 +38,7 @@ function getStageDependencies( context, dependencies, stage, - previousName + previousName, ) { if (!stage.enabled || !stage._isSupported(context)) { return previousName; @@ -72,7 +72,7 @@ function getCompositeDependencies( context, dependencies, composite, - previousName + previousName, ) { if ( (defined(composite.enabled) && !composite.enabled) || @@ -96,7 +96,7 @@ function getCompositeDependencies( context, dependencies, stage, - previousName + previousName, ); } else { currentName = getStageDependencies( @@ -104,7 +104,7 @@ function getCompositeDependencies( context, dependencies, stage, - previousName + previousName, ); } // Stages in a series only depend on the previous stage @@ -153,28 +153,28 @@ function getDependencies(collection, context) { context, dependencies, ao, - undefined + undefined, ); previousName = getCompositeDependencies( collection, context, dependencies, bloom, - previousName + previousName, ); previousName = getStageDependencies( collection, context, dependencies, tonemapping, - previousName + previousName, ); previousName = getCompositeDependencies( collection, context, dependencies, collection, - previousName + previousName, ); getStageDependencies(collection, context, dependencies, fxaa, previousName); } else { @@ -183,7 +183,7 @@ function getDependencies(collection, context) { context, dependencies, collection, - undefined + undefined, ); } @@ -262,7 +262,7 @@ function createFramebuffers(cache, context) { cache._stageNameToFramebuffer[stageName] = getFramebuffer( cache, stageName, - dependencies[stageName] + dependencies[stageName], ); } } diff --git a/packages/engine/Source/Scene/Primitive.js b/packages/engine/Source/Scene/Primitive.js index f1128facaa7a..5b9f38b70526 100644 --- a/packages/engine/Source/Scene/Primitive.js +++ b/packages/engine/Source/Scene/Primitive.js @@ -225,7 +225,7 @@ function Primitive(options) { * p.modelMatrix = Cesium.Transforms.eastNorthUpToFixedFrame(origin); */ this.modelMatrix = Matrix4.clone( - defaultValue(options.modelMatrix, Matrix4.IDENTITY) + defaultValue(options.modelMatrix, Matrix4.IDENTITY), ); this._modelMatrix = new Matrix4(); @@ -243,7 +243,7 @@ function Primitive(options) { this._interleave = defaultValue(options.interleave, false); this._releaseGeometryInstances = defaultValue( options.releaseGeometryInstances, - true + true, ); this._allowPicking = defaultValue(options.allowPicking, true); this._asynchronous = defaultValue(options.asynchronous, true); @@ -272,7 +272,7 @@ function Primitive(options) { */ this.debugShowBoundingVolume = defaultValue( options.debugShowBoundingVolume, - false + false, ); /** @@ -288,7 +288,7 @@ function Primitive(options) { this.geometryInstances.length !== 1)) ) { throw new DeveloperError( - "Relative-to-center rendering only supports one geometry instance." + "Relative-to-center rendering only supports one geometry instance.", ); } //>>includeEnd('debug'); @@ -607,7 +607,7 @@ function createBatchTable(primitive, context) { functionName: "czm_batchTable_boundingSphereRadius", componentDatatype: ComponentDatatype.FLOAT, componentsPerAttribute: 1, - } + }, ); boundingSphereAttributeIndices.center3DHigh = attributes.length - 5; boundingSphereAttributeIndices.center3DLow = attributes.length - 4; @@ -670,7 +670,8 @@ function createBatchTable(primitive, context) { primitive._batchTable = batchTable; primitive._batchTableAttributeIndices = attributeIndices; - primitive._batchTableBoundingSphereAttributeIndices = boundingSphereAttributeIndices; + primitive._batchTableBoundingSphereAttributeIndices = + boundingSphereAttributeIndices; primitive._batchTableOffsetAttribute2DIndex = offset2DIndex; } @@ -731,7 +732,7 @@ const positionRegex = /in\s+vec(?:3|4)\s+(.*)3DHigh;/g; Primitive._modifyShaderPosition = function ( primitive, vertexShaderSource, - scene3DOnly + scene3DOnly, ) { let match; @@ -743,7 +744,7 @@ Primitive._modifyShaderPosition = function ( const name = match[1]; const functionName = `vec4 czm_compute${name[0].toUpperCase()}${name.substr( - 1 + 1, )}()`; // Don't forward-declare czm_computePosition because computePosition.glsl already does. @@ -788,11 +789,11 @@ Primitive._modifyShaderPosition = function ( // Use RTC vertexShaderSource = vertexShaderSource.replace( /in\s+vec(?:3|4)\s+position3DHigh;/g, - "" + "", ); vertexShaderSource = vertexShaderSource.replace( /in\s+vec(?:3|4)\s+position3DLow;/g, - "" + "", ); forwardDecl += "uniform mat4 u_modifiedModelView;\n"; @@ -806,17 +807,17 @@ Primitive._modifyShaderPosition = function ( vertexShaderSource = vertexShaderSource.replace( /czm_modelViewRelativeToEye\s+\*\s+/g, - "" + "", ); vertexShaderSource = vertexShaderSource.replace( /czm_modelViewProjectionRelativeToEye/g, - "czm_projection" + "czm_projection", ); } } return [forwardDecl, attributes, vertexShaderSource, computeFunctions].join( - "\n" + "\n", ); }; @@ -827,7 +828,7 @@ Primitive._appendShowToShader = function (primitive, vertexShaderSource) { const renamedVS = ShaderSource.replaceMain( vertexShaderSource, - "czm_non_show_main" + "czm_non_show_main", ); const showMain = "void main() \n" + @@ -842,7 +843,7 @@ Primitive._appendShowToShader = function (primitive, vertexShaderSource) { Primitive._updateColorAttribute = function ( primitive, vertexShaderSource, - isDepthFail + isDepthFail, ) { // some appearances have a color attribute for per vertex color. // only remove if color is a per instance attribute. @@ -863,7 +864,7 @@ Primitive._updateColorAttribute = function ( !defined(primitive._batchTableAttributeIndices.depthFailColor) ) { throw new DeveloperError( - "A depthFailColor per-instance attribute is required when using a depth fail appearance that uses a color attribute." + "A depthFailColor per-instance attribute is required when using a depth fail appearance that uses a color attribute.", ); } //>>includeEnd('debug'); @@ -873,12 +874,12 @@ Primitive._updateColorAttribute = function ( if (!isDepthFail) { modifiedVS = modifiedVS.replace( /(\b)color(\b)/g, - "$1czm_batchTable_color(batchId)$2" + "$1czm_batchTable_color(batchId)$2", ); } else { modifiedVS = modifiedVS.replace( /(\b)color(\b)/g, - "$1czm_batchTable_depthFailColor(batchId)$2" + "$1czm_batchTable_depthFailColor(batchId)$2", ); } return modifiedVS; @@ -905,7 +906,7 @@ Primitive._updatePickColorAttribute = function (source) { let vsPick = source.replace(/in\s+vec4\s+pickColor;/g, ""); vsPick = vsPick.replace( /(\b)pickColor(\b)/g, - "$1czm_batchTable_pickColor(batchId)$2" + "$1czm_batchTable_pickColor(batchId)$2", ); return vsPick; }; @@ -919,7 +920,7 @@ Primitive._appendOffsetToShader = function (primitive, vertexShaderSource) { attr += "in float applyOffset;"; let modifiedShader = vertexShaderSource.replace( /in\s+float\s+batchId;/g, - attr + attr, ); let str = "vec4 $1 = czm_computePosition();\n"; @@ -935,7 +936,7 @@ Primitive._appendOffsetToShader = function (primitive, vertexShaderSource) { str += " }\n"; modifiedShader = modifiedShader.replace( /vec4\s+([A-Za-z0-9_]+)\s+=\s+czm_computePosition\(\);/g, - str + str, ); return modifiedShader; }; @@ -943,7 +944,7 @@ Primitive._appendOffsetToShader = function (primitive, vertexShaderSource) { Primitive._appendDistanceDisplayConditionToShader = function ( primitive, vertexShaderSource, - scene3DOnly + scene3DOnly, ) { if ( !defined(primitive._batchTableAttributeIndices.distanceDisplayCondition) @@ -953,7 +954,7 @@ Primitive._appendDistanceDisplayConditionToShader = function ( const renamedVS = ShaderSource.replaceMain( vertexShaderSource, - "czm_non_distanceDisplayCondition_main" + "czm_non_distanceDisplayCondition_main", ); let distanceDisplayConditionMain = "void main() \n" + @@ -1088,7 +1089,7 @@ function modifyForEncodedNormals(primitive, vertexShaderSource) { function depthClampVS(vertexShaderSource) { let modifiedVS = ShaderSource.replaceMain( vertexShaderSource, - "czm_non_depth_clamp_main" + "czm_non_depth_clamp_main", ); modifiedVS += "void main() {\n" + @@ -1101,7 +1102,7 @@ function depthClampVS(vertexShaderSource) { function depthClampFS(fragmentShaderSource) { let modifiedFS = ShaderSource.replaceMain( fragmentShaderSource, - "czm_non_depth_clamp_main" + "czm_non_depth_clamp_main", ); modifiedFS += "void main() {\n" + @@ -1132,7 +1133,7 @@ function validateShaderMatching(shaderProgram, attributeLocations) { if (shaderAttributes.hasOwnProperty(name)) { if (!defined(attributeLocations[name])) { throw new DeveloperError( - `Appearance/Geometry mismatch. The appearance requires vertex shader attribute input '${name}', which was not computed as part of the Geometry. Use the appearance's vertexFormat property when constructing the geometry.` + `Appearance/Geometry mismatch. The appearance requires vertex shader attribute input '${name}', which was not computed as part of the Geometry. Use the appearance's vertexFormat property when constructing the geometry.`, ); } } @@ -1148,7 +1149,7 @@ function getUniformFunction(uniforms, name) { const numberOfCreationWorkers = Math.max( FeatureDetection.hardwareConcurrency - 1, - 1 + 1, ); let createGeometryTaskProcessors; const combineGeometryTaskProcessor = new TaskProcessor("combineGeometry"); @@ -1179,7 +1180,7 @@ function loadAsynchronous(primitive, frameState) { (!defined(geometry._workerName) && !defined(geometry._workerPath)) ) { throw new DeveloperError( - "Must define either _workerName or _workerPath for asynchronous geometry." + "Must define either _workerName or _workerPath for asynchronous geometry.", ); } //>>includeEnd('debug'); @@ -1212,7 +1213,7 @@ function loadAsynchronous(primitive, frameState) { subTask.offset = packedLength; packedLength += defaultValue( geometry.constructor.packedLength, - geometry.packedLength + geometry.packedLength, ); } } @@ -1238,8 +1239,8 @@ function loadAsynchronous(primitive, frameState) { { subTasks: subTasks[i], }, - subTaskTransferableObjects - ) + subTaskTransferableObjects, + ), ); } @@ -1276,9 +1277,9 @@ function loadAsynchronous(primitive, frameState) { modelMatrix: primitive.modelMatrix, createPickOffsets: primitive._createPickOffsets, }, - transferableObjects + transferableObjects, ), - transferableObjects + transferableObjects, ); primitive._createGeometryResults = undefined; @@ -1286,14 +1287,13 @@ function loadAsynchronous(primitive, frameState) { Promise.resolve(promise) .then(function (packedResult) { - const result = PrimitivePipeline.unpackCombineGeometryResults( - packedResult - ); + const result = + PrimitivePipeline.unpackCombineGeometryResults(packedResult); primitive._geometries = result.geometries; primitive._attributeLocations = result.attributeLocations; primitive.modelMatrix = Matrix4.clone( result.modelMatrix, - primitive.modelMatrix + primitive.modelMatrix, ); primitive._pickOffsets = result.pickOffsets; primitive._offsetInstanceExtend = result.offsetInstanceExtend; @@ -1364,7 +1364,7 @@ function loadSynchronous(primitive, frameState) { primitive._attributeLocations = result.attributeLocations; primitive.modelMatrix = Matrix4.clone( result.modelMatrix, - primitive.modelMatrix + primitive.modelMatrix, ); primitive._pickOffsets = result.pickOffsets; primitive._offsetInstanceExtend = result.offsetInstanceExtend; @@ -1403,7 +1403,7 @@ function recomputeBoundingSpheres(primitive, frameState) { const offset = primitive._batchTable.getBatchedAttribute( i, offsetIndex, - new Cartesian3() + new Cartesian3(), ); newBS = boundingSpheres[i].clone(newBS); transformBoundingSphere(newBS, offset, offsetInstanceExtend[i]); @@ -1458,7 +1458,7 @@ function recomputeBoundingSpheres(primitive, frameState) { primitive._boundingSphereCV[i] = BoundingSphere.projectTo2D( boundingSphere, frameState.mapProjection, - primitive._boundingSphereCV[i] + primitive._boundingSphereCV[i], ); } @@ -1466,7 +1466,7 @@ function recomputeBoundingSpheres(primitive, frameState) { primitive, frameState, primitive.modelMatrix, - true + true, ); primitive._recomputeBoundingSpheres = false; } @@ -1478,7 +1478,7 @@ const scratchBoundingSphere = new BoundingSphere(); function updateBatchTableBoundingSpheres(primitive, frameState) { const hasDistanceDisplayCondition = defined( - primitive._batchTableAttributeIndices.distanceDisplayCondition + primitive._batchTableAttributeIndices.distanceDisplayCondition, ); if ( !hasDistanceDisplayCondition || @@ -1512,7 +1512,7 @@ function updateBatchTableBoundingSpheres(primitive, frameState) { boundingSphere = BoundingSphere.transform( boundingSphere, modelMatrix, - scratchBoundingSphere + scratchBoundingSphere, ); } @@ -1521,7 +1521,7 @@ function updateBatchTableBoundingSpheres(primitive, frameState) { let encodedCenter = EncodedCartesian3.fromCartesian( center, - scratchBoundingSphereCenterEncoded + scratchBoundingSphereCenterEncoded, ); batchTable.setBatchedAttribute(i, center3DHighIndex, encodedCenter.high); batchTable.setBatchedAttribute(i, center3DLowIndex, encodedCenter.low); @@ -1529,15 +1529,15 @@ function updateBatchTableBoundingSpheres(primitive, frameState) { if (!frameState.scene3DOnly) { const cartographic = ellipsoid.cartesianToCartographic( center, - scratchBoundingSphereCartographic + scratchBoundingSphereCartographic, ); const center2D = projection.project( cartographic, - scratchBoundingSphereCenter2D + scratchBoundingSphereCenter2D, ); encodedCenter = EncodedCartesian3.fromCartesian( center2D, - scratchBoundingSphereCenterEncoded + scratchBoundingSphereCenterEncoded, ); batchTable.setBatchedAttribute(i, center2DHighIndex, encodedCenter.high); batchTable.setBatchedAttribute(i, center2DLowIndex, encodedCenter.low); @@ -1577,7 +1577,7 @@ function updateBatchTableOffsets(primitive, frameState) { } const offset = batchTable.getBatchedAttribute( i, - primitive._batchTableAttributeIndices.offset + primitive._batchTableAttributeIndices.offset, ); if (Cartesian3.equals(offset, Cartesian3.ZERO)) { batchTable.setBatchedAttribute(i, index2D, Cartesian3.ZERO); @@ -1589,7 +1589,7 @@ function updateBatchTableOffsets(primitive, frameState) { boundingSphere = BoundingSphere.transform( boundingSphere, modelMatrix, - scratchBoundingSphere + scratchBoundingSphere, ); } @@ -1597,11 +1597,11 @@ function updateBatchTableOffsets(primitive, frameState) { center = ellipsoid.scaleToGeodeticSurface(center, offsetCenterScratch); let cartographic = ellipsoid.cartesianToCartographic( center, - scratchBoundingSphereCartographic + scratchBoundingSphereCartographic, ); const center2D = projection.project( cartographic, - scratchBoundingSphereCenter2D + scratchBoundingSphereCenter2D, ); const newPoint = Cartesian3.add(offset, center, offsetScratchCartesian); @@ -1609,13 +1609,13 @@ function updateBatchTableOffsets(primitive, frameState) { const newPointProjected = projection.project( cartographic, - offsetScratchCartesian + offsetScratchCartesian, ); const newVector = Cartesian3.subtract( newPointProjected, center2D, - offsetScratchCartesian + offsetScratchCartesian, ); const x = newVector.x; @@ -1647,14 +1647,14 @@ function createVertexArray(primitive, frameState) { attributeLocations: attributeLocations, bufferUsage: BufferUsage.STATIC_DRAW, interleave: primitive._interleave, - }) + }), ); if (defined(primitive._createBoundingVolumeFunction)) { primitive._createBoundingVolumeFunction(frameState, geometry); } else { primitive._boundingSpheres.push( - BoundingSphere.clone(geometry.boundingSphere) + BoundingSphere.clone(geometry.boundingSphere), ); primitive._boundingSphereWC.push(new BoundingSphere()); @@ -1668,7 +1668,7 @@ function createVertexArray(primitive, frameState) { center.z = y; primitive._boundingSphereCV.push( - BoundingSphere.clone(geometry.boundingSphereCV) + BoundingSphere.clone(geometry.boundingSphereCV), ); primitive._boundingSphere2D.push(new BoundingSphere()); primitive._boundingSphereMorph.push(new BoundingSphere()); @@ -1737,14 +1737,14 @@ function createShaderProgram(primitive, frameState, appearance) { const attributeLocations = primitive._attributeLocations; let vs = primitive._batchTable.getVertexShaderCallback()( - appearance.vertexShaderSource + appearance.vertexShaderSource, ); vs = Primitive._appendOffsetToShader(primitive, vs); vs = Primitive._appendShowToShader(primitive, vs); vs = Primitive._appendDistanceDisplayConditionToShader( primitive, vs, - frameState.scene3DOnly + frameState.scene3DOnly, ); vs = appendPickToVertexShader(vs); vs = Primitive._updateColorAttribute(primitive, vs, false); @@ -1764,13 +1764,13 @@ function createShaderProgram(primitive, frameState, appearance) { if (defined(primitive._depthFailAppearance)) { vs = primitive._batchTable.getVertexShaderCallback()( - primitive._depthFailAppearance.vertexShaderSource + primitive._depthFailAppearance.vertexShaderSource, ); vs = Primitive._appendShowToShader(primitive, vs); vs = Primitive._appendDistanceDisplayConditionToShader( primitive, vs, - frameState.scene3DOnly + frameState.scene3DOnly, ); vs = appendPickToVertexShader(vs); vs = Primitive._updateColorAttribute(primitive, vs, true); @@ -1809,14 +1809,14 @@ function getUniforms(primitive, appearance, material, frameState) { if (defined(materialUniformMap) && defined(materialUniformMap[name])) { // Later, we could rename uniforms behind-the-scenes if needed. throw new DeveloperError( - `Appearance and material have a uniform with the same name: ${name}` + `Appearance and material have a uniform with the same name: ${name}`, ); } //>>includeEnd('debug'); appearanceUniformMap[name] = getUniformFunction( appearanceUniforms, - name + name, ); } } @@ -1830,17 +1830,17 @@ function getUniforms(primitive, appearance, material, frameState) { Matrix4.multiply( viewMatrix, primitive._modelMatrix, - modifiedModelViewScratch + modifiedModelViewScratch, ); Matrix4.multiplyByPoint( modifiedModelViewScratch, primitive.rtcCenter, - rtcScratch + rtcScratch, ); Matrix4.setTranslation( modifiedModelViewScratch, rtcScratch, - modifiedModelViewScratch + modifiedModelViewScratch, ); return modifiedModelViewScratch; }; @@ -1857,7 +1857,7 @@ function createCommands( twoPasses, colorCommands, pickCommands, - frameState + frameState, ) { const uniforms = getUniforms(primitive, appearance, material, frameState); @@ -1867,7 +1867,7 @@ function createCommands( primitive, primitive._depthFailAppearance, primitive._depthFailAppearance.material, - frameState + frameState, ); } @@ -1954,7 +1954,7 @@ Primitive._updateBoundingVolumes = function ( primitive, frameState, modelMatrix, - forceUpdate + forceUpdate, ) { let i; let length; @@ -1969,17 +1969,17 @@ Primitive._updateBoundingVolumes = function ( primitive._boundingSphereWC[i] = BoundingSphere.transform( boundingSphere, modelMatrix, - primitive._boundingSphereWC[i] + primitive._boundingSphereWC[i], ); if (!frameState.scene3DOnly) { primitive._boundingSphere2D[i] = BoundingSphere.clone( primitive._boundingSphereCV[i], - primitive._boundingSphere2D[i] + primitive._boundingSphere2D[i], ); primitive._boundingSphere2D[i].center.x = 0.0; primitive._boundingSphereMorph[i] = BoundingSphere.union( primitive._boundingSphereWC[i], - primitive._boundingSphereCV[i] + primitive._boundingSphereCV[i], ); } } @@ -1997,7 +1997,7 @@ Primitive._updateBoundingVolumes = function ( const pixelSizeInMeters = frameState.camera.getPixelSize( boundingSphere, frameState.context.drawingBufferWidth, - frameState.context.drawingBufferHeight + frameState.context.drawingBufferHeight, ); const sizeInMeters = pixelSizeInMeters * pixelSize; boundingSphereWC.radius = boundingSphere.radius + sizeInMeters; @@ -2013,7 +2013,7 @@ function updateAndQueueCommands( modelMatrix, cull, debugShowBoundingVolume, - twoPasses + twoPasses, ) { //>>includeStart('debug', pragmas.debug); if ( @@ -2021,7 +2021,7 @@ function updateAndQueueCommands( !Matrix4.equals(modelMatrix, Matrix4.IDENTITY) ) { throw new DeveloperError( - "Primitive.modelMatrix is only supported in 3D mode." + "Primitive.modelMatrix is only supported in 3D mode.", ); } //>>includeEnd('debug'); @@ -2107,7 +2107,7 @@ Primitive.prototype.update = function (frameState) { //>>includeStart('debug', pragmas.debug); if (defined(this.rtcCenter) && !frameState.scene3DOnly) { throw new DeveloperError( - "RTC rendering is only available for 3D only scenes." + "RTC rendering is only available for 3D only scenes.", ); } //>>includeEnd('debug'); @@ -2123,7 +2123,7 @@ Primitive.prototype.update = function (frameState) { if (this._batchTable.attributes.length > 0) { if (ContextLimits.maximumVertexTextureImageUnits === 0) { throw new RuntimeError( - "Vertex texture fetch support is required to render primitives with per-instance attributes. The maximum number of vertex texture image units must be greater than zero." + "Vertex texture fetch support is required to render primitives with per-instance attributes. The maximum number of vertex texture image units must be greater than zero.", ); } this._batchTable.update(frameState); @@ -2203,7 +2203,7 @@ Primitive.prototype.update = function (frameState) { if (createRS) { const rsFunc = defaultValue( this._createRenderStatesFunction, - createRenderStates + createRenderStates, ); rsFunc(this, context, appearance, twoPasses); } @@ -2211,7 +2211,7 @@ Primitive.prototype.update = function (frameState) { if (createSP) { const spFunc = defaultValue( this._createShaderProgramFunction, - createShaderProgram + createShaderProgram, ); spFunc(this, frameState, appearance); } @@ -2219,7 +2219,7 @@ Primitive.prototype.update = function (frameState) { if (createRS || createSP) { const commandFunc = defaultValue( this._createCommandsFunction, - createCommands + createCommands, ); commandFunc( this, @@ -2229,13 +2229,13 @@ Primitive.prototype.update = function (frameState) { twoPasses, this._colorCommands, this._pickCommands, - frameState + frameState, ); } const updateAndQueueCommandsFunc = defaultValue( this._updateAndQueueCommandsFunction, - updateAndQueueCommands + updateAndQueueCommands, ); updateAndQueueCommandsFunc( this, @@ -2245,7 +2245,7 @@ Primitive.prototype.update = function (frameState) { this.modelMatrix, this.cull, this.debugShowBoundingVolume, - twoPasses + twoPasses, ); }; @@ -2255,11 +2255,11 @@ function transformBoundingSphere(boundingSphere, offset, offsetAttribute) { if (offsetAttribute === GeometryOffsetAttribute.TOP) { const origBS = BoundingSphere.clone( boundingSphere, - offsetBoundingSphereScratch1 + offsetBoundingSphereScratch1, ); const offsetBS = BoundingSphere.clone( boundingSphere, - offsetBoundingSphereScratch2 + offsetBoundingSphereScratch2, ); offsetBS.center = Cartesian3.add(offsetBS.center, offset, offsetBS.center); boundingSphere = BoundingSphere.union(origBS, offsetBS, boundingSphere); @@ -2267,7 +2267,7 @@ function transformBoundingSphere(boundingSphere, offset, offsetAttribute) { boundingSphere.center = Cartesian3.add( boundingSphere.center, offset, - boundingSphere.center + boundingSphere.center, ); } @@ -2278,13 +2278,13 @@ function createGetFunction(batchTable, instanceIndex, attributeIndex) { return function () { const attributeValue = batchTable.getBatchedAttribute( instanceIndex, - attributeIndex + attributeIndex, ); const attribute = batchTable.attributes[attributeIndex]; const componentsPerAttribute = attribute.componentsPerAttribute; const value = ComponentDatatype.createTypedArray( attribute.componentDatatype, - componentsPerAttribute + componentsPerAttribute, ); if (defined(attributeValue.constructor.pack)) { attributeValue.constructor.pack(attributeValue, value, 0); @@ -2300,7 +2300,7 @@ function createSetFunction( instanceIndex, attributeIndex, primitive, - name + name, ) { return function (value) { //>>includeStart('debug', pragmas.debug); @@ -2311,7 +2311,7 @@ function createSetFunction( value.length > 4 ) { throw new DeveloperError( - "value must be and array with length between 1 and 4." + "value must be and array with length between 1 and 4.", ); } //>>includeEnd('debug'); @@ -2319,7 +2319,7 @@ function createSetFunction( batchTable.setBatchedAttribute( instanceIndex, attributeIndex, - attributeValue + attributeValue, ); if (name === "offset") { primitive._recomputeBoundingSpheres = true; @@ -2342,13 +2342,13 @@ function createBoundingSphereProperties(primitive, properties, index) { transformBoundingSphere( boundingSphere, Cartesian3.fromArray(offset.get(), 0, offsetScratch), - primitive._offsetInstanceExtend[index] + primitive._offsetInstanceExtend[index], ); } if (defined(modelMatrix)) { boundingSphere = BoundingSphere.transform( boundingSphere, - modelMatrix + modelMatrix, ); } } @@ -2393,7 +2393,7 @@ Primitive.prototype.getGeometryInstanceAttributes = function (id) { } if (!defined(this._batchTable)) { throw new DeveloperError( - "must call update before calling getGeometryInstanceAttributes" + "must call update before calling getGeometryInstanceAttributes", ); } //>>includeEnd('debug'); diff --git a/packages/engine/Source/Scene/PrimitiveCollection.js b/packages/engine/Source/Scene/PrimitiveCollection.js index c28e0ed24c2b..4dc20383fc36 100644 --- a/packages/engine/Source/Scene/PrimitiveCollection.js +++ b/packages/engine/Source/Scene/PrimitiveCollection.js @@ -142,7 +142,7 @@ PrimitiveCollection.prototype.add = function (primitive, index) { throw new DeveloperError("index must be greater than or equal to zero."); } else if (index > this._primitives.length) { throw new DeveloperError( - "index must be less than or equal to the number of primitives." + "index must be less than or equal to the number of primitives.", ); } } diff --git a/packages/engine/Source/Scene/PrimitiveLoadPlan.js b/packages/engine/Source/Scene/PrimitiveLoadPlan.js index cfa038c71f30..acd13c4bc4d9 100644 --- a/packages/engine/Source/Scene/PrimitiveLoadPlan.js +++ b/packages/engine/Source/Scene/PrimitiveLoadPlan.js @@ -12,6 +12,8 @@ import Texture from "../Renderer/Texture.js"; import PixelFormat from "../Core/PixelFormat.js"; import PixelDatatype from "../Renderer/PixelDatatype.js"; import Sampler from "../Renderer/Sampler.js"; +import AttributeCompression from "../Core/AttributeCompression.js"; +import Cartesian3 from "../Core/Cartesian3.js"; /** * Simple struct for tracking whether an attribute will be loaded as a buffer @@ -178,7 +180,7 @@ function PrimitiveLoadPlan(primitive) { * @type {boolean} * @private */ - this.generateGaussianSplatTexture = true; + this.generateGaussianSplatTexture = false; } /** @@ -258,6 +260,11 @@ function makeOutlineCoordinatesAttribute(outlineCoordinatesTypedArray) { return attribute; } +/** + * Do our dequantizing here. When using meshopt, our positions are quantized, + * as well as our quaternions. decodeFilterQuat returns quantized shorts + */ + function setupGaussianSplatBuffers(loadPlan, context) { const attributePlans = loadPlan.attributePlans; const attrLen = attributePlans.length; @@ -266,6 +273,55 @@ function setupGaussianSplatBuffers(loadPlan, context) { //defer til much later into the pipeline attributePlan.loadBuffer = false; attributePlan.loadTypedArray = true; + + const attribute = attributePlan.attribute; + if ( + attribute.name === "_ROTATION" && + attribute.componentDatatype === ComponentDatatype.SHORT + ) { + attribute.typedArray = AttributeCompression.dequantize( + attribute.typedArray, + ComponentDatatype.SHORT, + AttributeType.VEC4, + attribute.count, + ); + attribute.componentDatatype = ComponentDatatype.FLOAT; + } + + if ( + attribute.name === "POSITION" && + attribute.componentDatatype === ComponentDatatype.SHORT + ) { + const scale = [ + loadPlan.gaussianSplatScalingMatrix[0], + -loadPlan.gaussianSplatScalingMatrix[6], + loadPlan.gaussianSplatScalingMatrix[9], + ]; + + const translation = [ + loadPlan.gaussianSplatScalingMatrix[12], + loadPlan.gaussianSplatScalingMatrix[14], + loadPlan.gaussianSplatScalingMatrix[13], + ]; + + const fa = new Float32Array(attribute.typedArray).map( + (n, i) => (n / 32767.0) * scale[i % 3], + ); + attribute.typedArray = fa; + attribute.componentDatatype = ComponentDatatype.FLOAT; + attribute.normalized = false; + attribute.constant = new Cartesian3(0, 0, 0); + attribute.min = new Cartesian3( + translation[0], + translation[1], + translation[2], + ); + attribute.max = new Cartesian3( + translation[0] + scale[0], + translation[1] + scale[1], + translation[2] + scale[2], + ); + } } } diff --git a/packages/engine/Source/Scene/PrimitivePipeline.js b/packages/engine/Source/Scene/PrimitivePipeline.js index fa1312ee8406..f90dd5058a95 100644 --- a/packages/engine/Source/Scene/PrimitivePipeline.js +++ b/packages/engine/Source/Scene/PrimitivePipeline.js @@ -17,7 +17,7 @@ import WebMercatorProjection from "../Core/WebMercatorProjection.js"; function transformToWorldCoordinates( instances, primitiveModelMatrix, - scene3DOnly + scene3DOnly, ) { let toWorld = !scene3DOnly; const length = instances.length; @@ -45,7 +45,7 @@ function transformToWorldCoordinates( Matrix4.multiplyTransformation( primitiveModelMatrix, instances[0].modelMatrix, - primitiveModelMatrix + primitiveModelMatrix, ); } } @@ -113,7 +113,7 @@ function geometryPipeline(parameters) { instances[i].geometry.primitiveType !== primitiveType ) { throw new DeveloperError( - "All instance geometries must have the same primitiveType." + "All instance geometries must have the same primitiveType.", ); } } @@ -145,17 +145,17 @@ function geometryPipeline(parameters) { defined(instance.eastHemisphereGeometry) ) { GeometryPipeline.reorderForPostVertexCache( - instance.westHemisphereGeometry + instance.westHemisphereGeometry, ); GeometryPipeline.reorderForPreVertexCache( - instance.westHemisphereGeometry + instance.westHemisphereGeometry, ); GeometryPipeline.reorderForPostVertexCache( - instance.eastHemisphereGeometry + instance.eastHemisphereGeometry, ); GeometryPipeline.reorderForPreVertexCache( - instance.eastHemisphereGeometry + instance.eastHemisphereGeometry, ); } } @@ -185,11 +185,11 @@ function geometryPipeline(parameters) { name, name3D, name2D, - projection + projection, ); if (defined(geometry.boundingSphere) && name === "position") { geometry.boundingSphereCV = BoundingSphere.fromVertices( - geometry.attributes.position2D.values + geometry.attributes.position2D.values, ); } @@ -197,13 +197,13 @@ function geometryPipeline(parameters) { geometry, name3D, `${name3D}High`, - `${name3D}Low` + `${name3D}Low`, ); GeometryPipeline.encodeAttribute( geometry, name2D, `${name2D}High`, - `${name2D}Low` + `${name2D}Low`, ); } } @@ -217,7 +217,7 @@ function geometryPipeline(parameters) { geometry, name, `${name}3DHigh`, - `${name}3DLow` + `${name}3DLow`, ); } } @@ -236,7 +236,7 @@ function geometryPipeline(parameters) { for (i = 0; i < length; ++i) { geometry = geometries[i]; splitGeometries = splitGeometries.concat( - GeometryPipeline.fitToUnsignedShortIndices(geometry) + GeometryPipeline.fitToUnsignedShortIndices(geometry), ); } @@ -294,13 +294,13 @@ function createInstancePickOffsets(instances, geometries) { instances, "westHemisphereGeometry", geometries, - pickOffsets + pickOffsets, ); createPickOffsets( instances, "eastHemisphereGeometry", geometries, - pickOffsets + pickOffsets, ); return pickOffsets; } @@ -326,7 +326,7 @@ PrimitivePipeline.combineGeometry = function (parameters) { geometries = geometryPipeline(parameters); if (geometries.length > 0) { attributeLocations = GeometryPipeline.createAttributeLocations( - geometries[0] + geometries[0], ); if (parameters.createPickOffsets) { pickOffsets = createInstancePickOffsets(instances, geometries); @@ -363,7 +363,7 @@ PrimitivePipeline.combineGeometry = function (parameters) { ) { boundingSpheres[i] = BoundingSphere.union( eastHemisphereGeometry.boundingSphere, - westHemisphereGeometry.boundingSphere + westHemisphereGeometry.boundingSphere, ); } if ( @@ -372,7 +372,7 @@ PrimitivePipeline.combineGeometry = function (parameters) { ) { boundingSpheresCV[i] = BoundingSphere.union( eastHemisphereGeometry.boundingSphereCV, - westHemisphereGeometry.boundingSphereCV + westHemisphereGeometry.boundingSphereCV, ); } } @@ -451,7 +451,7 @@ function countCreateGeometryResults(items) { */ PrimitivePipeline.packCreateGeometryResults = function ( items, - transferableObjects + transferableObjects, ) { const packedData = new Float64Array(countCreateGeometryResults(items)); const stringTable = []; @@ -543,7 +543,7 @@ PrimitivePipeline.packCreateGeometryResults = function ( * @private */ PrimitivePipeline.unpackCreateGeometryResults = function ( - createGeometryResult + createGeometryResult, ) { const stringTable = createGeometryResult.stringTable; const packedGeometry = createGeometryResult.packedData; @@ -574,7 +574,7 @@ PrimitivePipeline.unpackCreateGeometryResults = function ( if (validBoundingSphere) { boundingSphere = BoundingSphere.unpack( packedGeometry, - packedGeometryIndex + packedGeometryIndex, ); } @@ -584,7 +584,7 @@ PrimitivePipeline.unpackCreateGeometryResults = function ( if (validBoundingSphereCV) { boundingSphereCV = BoundingSphere.unpack( packedGeometry, - packedGeometryIndex + packedGeometryIndex, ); } @@ -677,7 +677,7 @@ function unpackInstancesForCombine(data) { offset: new OffsetGeometryInstanceAttribute( packedInstances[i], packedInstances[i + 1], - packedInstances[i + 2] + packedInstances[i + 2], ), }; } @@ -697,7 +697,7 @@ function unpackInstancesForCombine(data) { */ PrimitivePipeline.packCombineGeometryParameters = function ( parameters, - transferableObjects + transferableObjects, ) { const createGeometryResults = parameters.createGeometryResults; const length = createGeometryResults.length; @@ -710,7 +710,7 @@ PrimitivePipeline.packCombineGeometryParameters = function ( createGeometryResults: parameters.createGeometryResults, packedInstances: packInstancesForCombine( parameters.instances, - transferableObjects + transferableObjects, ), ellipsoid: parameters.ellipsoid, isGeographic: parameters.projection instanceof GeographicProjection, @@ -727,7 +727,7 @@ PrimitivePipeline.packCombineGeometryParameters = function ( * @private */ PrimitivePipeline.unpackCombineGeometryParameters = function ( - packedParameters + packedParameters, ) { const instances = unpackInstancesForCombine(packedParameters.packedInstances); const createGeometryResults = packedParameters.createGeometryResults; @@ -736,7 +736,7 @@ PrimitivePipeline.unpackCombineGeometryParameters = function ( for (let resultIndex = 0; resultIndex < length; resultIndex++) { const geometries = PrimitivePipeline.unpackCreateGeometryResults( - createGeometryResults[resultIndex] + createGeometryResults[resultIndex], ); const geometriesLength = geometries.length; for ( @@ -812,7 +812,7 @@ function unpackBoundingSpheres(buffer) { */ PrimitivePipeline.packCombineGeometryResults = function ( results, - transferableObjects + transferableObjects, ) { if (defined(results.geometries)) { transferGeometries(results.geometries, transferableObjects); @@ -820,11 +820,11 @@ PrimitivePipeline.packCombineGeometryResults = function ( const packedBoundingSpheres = packBoundingSpheres(results.boundingSpheres); const packedBoundingSpheresCV = packBoundingSpheres( - results.boundingSpheresCV + results.boundingSpheresCV, ); transferableObjects.push( packedBoundingSpheres.buffer, - packedBoundingSpheresCV.buffer + packedBoundingSpheresCV.buffer, ); return { diff --git a/packages/engine/Source/Scene/PropertyTable.js b/packages/engine/Source/Scene/PropertyTable.js index 19860c82de18..cbb73b3cfd9b 100644 --- a/packages/engine/Source/Scene/PropertyTable.js +++ b/packages/engine/Source/Scene/PropertyTable.js @@ -298,21 +298,21 @@ PropertyTable.prototype.getPropertyIds = function (index, results) { // concat in place to avoid unnecessary array allocation results.push.apply( results, - this._metadataTable.getPropertyIds(scratchResults) + this._metadataTable.getPropertyIds(scratchResults), ); } if (defined(this._batchTableHierarchy)) { results.push.apply( results, - this._batchTableHierarchy.getPropertyIds(index, scratchResults) + this._batchTableHierarchy.getPropertyIds(index, scratchResults), ); } if (defined(this._jsonMetadataTable)) { results.push.apply( results, - this._jsonMetadataTable.getPropertyIds(scratchResults) + this._jsonMetadataTable.getPropertyIds(scratchResults), ); } @@ -434,7 +434,7 @@ PropertyTable.prototype.getPropertyBySemantic = function (index, semantic) { PropertyTable.prototype.setPropertyBySemantic = function ( index, semantic, - value + value, ) { if (defined(this._metadataTable)) { return this._metadataTable.setPropertyBySemantic(index, semantic, value); @@ -497,7 +497,7 @@ function checkFeatureId(featureId, featuresLength) { if (!defined(featureId) || featureId < 0 || featureId >= featuresLength) { throw new DeveloperError( `featureId is required and must be between zero and featuresLength - 1 (${featuresLength}` - - +")." + +").", ); } } diff --git a/packages/engine/Source/Scene/PropertyTextureProperty.js b/packages/engine/Source/Scene/PropertyTextureProperty.js index aed6e39e4bab..765c2507313e 100644 --- a/packages/engine/Source/Scene/PropertyTextureProperty.js +++ b/packages/engine/Source/Scene/PropertyTextureProperty.js @@ -188,25 +188,25 @@ PropertyTextureProperty.prototype.isGpuCompatible = function () { // only support arrays of 1-4 UINT8 scalars (normalized or unnormalized) if (classProperty.isVariableLengthArray) { oneTimeWarning( - `Property texture property ${classProperty.id} is a variable-length array, which is not supported` + `Property texture property ${classProperty.id} is a variable-length array, which is not supported`, ); return false; } if (classProperty.arrayLength > 4) { oneTimeWarning( - `Property texture property ${classProperty.id} is an array of length ${classProperty.arrayLength}, but may have at most a length of 4` + `Property texture property ${classProperty.id} is an array of length ${classProperty.arrayLength}, but may have at most a length of 4`, ); return false; } if (type !== MetadataType.SCALAR) { oneTimeWarning( - `Property texture property ${classProperty.id} is an array of type ${type}, but only SCALAR is supported` + `Property texture property ${classProperty.id} is an array of type ${type}, but only SCALAR is supported`, ); return false; } if (componentType !== MetadataComponentType.UINT8) { oneTimeWarning( - `Property texture property ${classProperty.id} is an array with component type ${componentType}, but only UINT8 is supported` + `Property texture property ${classProperty.id} is an array with component type ${componentType}, but only UINT8 is supported`, ); return false; } @@ -216,7 +216,7 @@ PropertyTextureProperty.prototype.isGpuCompatible = function () { if (MetadataType.isVectorType(type) || type === MetadataType.SCALAR) { if (componentType !== MetadataComponentType.UINT8) { oneTimeWarning( - `Property texture property ${classProperty.id} has component type ${componentType}, but only UINT8 is supported` + `Property texture property ${classProperty.id} has component type ${componentType}, but only UINT8 is supported`, ); return false; } @@ -226,7 +226,7 @@ PropertyTextureProperty.prototype.isGpuCompatible = function () { // For this initial implementation, only UINT8-based properties // are supported. oneTimeWarning( - `Property texture property ${classProperty.id} has an unsupported type` + `Property texture property ${classProperty.id} has an unsupported type`, ); return false; }; diff --git a/packages/engine/Source/Scene/QuadtreePrimitive.js b/packages/engine/Source/Scene/QuadtreePrimitive.js index 66ed2109ca30..545b7ecde978 100644 --- a/packages/engine/Source/Scene/QuadtreePrimitive.js +++ b/packages/engine/Source/Scene/QuadtreePrimitive.js @@ -47,7 +47,7 @@ function QuadtreePrimitive(options) { } if (defined(options.tileProvider.quadtree)) { throw new DeveloperError( - "A QuadtreeTileProvider can only be used with a single QuadtreePrimitive" + "A QuadtreeTileProvider can only be used with a single QuadtreePrimitive", ); } //>>includeEnd('debug'); @@ -110,7 +110,7 @@ function QuadtreePrimitive(options) { */ this.maximumScreenSpaceError = defaultValue( options.maximumScreenSpaceError, - 2 + 2, ); /** @@ -395,7 +395,7 @@ function updateTileLoadProgress(primitive, frameState) { ) { const raiseEvent = Event.prototype.raiseEvent.bind( primitive._tileLoadProgressEvent, - currentLoadQueueLength + currentLoadQueueLength, ); frameState.afterRender.push(() => { raiseEvent(); @@ -420,7 +420,7 @@ function updateTileLoadProgress(primitive, frameState) { debug.maxDepthVisited !== debug.lastMaxDepthVisited ) { console.log( - `Visited ${debug.tilesVisited}, Rendered: ${debug.tilesRendered}, Culled: ${debug.tilesCulled}, Max Depth Rendered: ${debug.maxDepth}, Max Depth Visited: ${debug.maxDepthVisited}, Waiting for children: ${debug.tilesWaitingForChildren}` + `Visited ${debug.tilesVisited}, Rendered: ${debug.tilesRendered}, Culled: ${debug.tilesCulled}, Max Depth Rendered: ${debug.maxDepth}, Max Depth Visited: ${debug.maxDepthVisited}, Waiting for children: ${debug.tilesWaitingForChildren}`, ); debug.lastTilesVisited = debug.tilesVisited; @@ -523,9 +523,8 @@ function selectTilesForRendering(primitive, frameState) { const tilingScheme = tileProvider.tilingScheme; if (defined(tilingScheme)) { const tilingScheme = tileProvider.tilingScheme; - primitive._levelZeroTiles = QuadtreeTile.createLevelZeroTiles( - tilingScheme - ); + primitive._levelZeroTiles = + QuadtreeTile.createLevelZeroTiles(tilingScheme); const numberOfRootTiles = primitive._levelZeroTiles.length; if (rootTraversalDetails.length < numberOfRootTiles) { rootTraversalDetails = new Array(numberOfRootTiles); @@ -573,12 +572,13 @@ function selectTilesForRendering(primitive, frameState) { primitive._cameraPositionCartographic = camera.positionCartographic; const cameraFrameOrigin = Matrix4.getTranslation( camera.transform, - cameraOriginScratch - ); - primitive._cameraReferenceFrameOriginCartographic = primitive.tileProvider.tilingScheme.ellipsoid.cartesianToCartographic( - cameraFrameOrigin, - primitive._cameraReferenceFrameOriginCartographic + cameraOriginScratch, ); + primitive._cameraReferenceFrameOriginCartographic = + primitive.tileProvider.tilingScheme.ellipsoid.cartesianToCartographic( + cameraFrameOrigin, + primitive._cameraReferenceFrameOriginCartographic, + ); // Traverse in depth-first, near-to-far order. for (i = 0, len = levelZeroTiles.length; i < len; ++i) { @@ -595,7 +595,7 @@ function selectTilesForRendering(primitive, frameState) { frameState, occluders, false, - rootTraversalDetails[i] + rootTraversalDetails[i], ); } } @@ -611,7 +611,7 @@ function queueTileLoad(primitive, queue, tile, frameState) { if (primitive.tileProvider.computeTileLoadPriority !== undefined) { tile._loadPriority = primitive.tileProvider.computeTileLoadPriority( tile, - frameState + frameState, ); } queue.push(tile); @@ -713,7 +713,7 @@ function visitTile( frameState, tile, ancestorMeetsSse, - traversalDetails + traversalDetails, ) { const debug = primitive._debug; @@ -787,7 +787,7 @@ function visitTile( primitive, primitive._tileLoadQueueMedium, tile, - frameState + frameState, ); } addTileToRenderList(primitive, tile); @@ -838,7 +838,7 @@ function visitTile( primitive, primitive._tileLoadQueueMedium, tile, - frameState + frameState, ); // Make sure we don't unload the children and forget they're upsampled. @@ -882,7 +882,7 @@ function visitTile( northeastChild, frameState, ancestorMeetsSse, - traversalDetails + traversalDetails, ); // If no descendant tiles were added to the render list by the function above, it means they were all @@ -912,7 +912,7 @@ function visitTile( workTile !== tile ) { workTile._lastSelectionResult = TileSelectionResult.kick( - workTile._lastSelectionResult + workTile._lastSelectionResult, ); workTile = workTile.parent; } @@ -942,7 +942,7 @@ function visitTile( primitive, primitive._tileLoadQueueMedium, tile, - frameState + frameState, ); traversalDetails.notYetRenderableCount = tile.renderable ? 0 : 1; queuedForLoad = true; @@ -991,7 +991,7 @@ function visitVisibleChildrenNearToFar( northeast, frameState, ancestorMeetsSse, - traversalDetails + traversalDetails, ) { const cameraPosition = frameState.camera.positionCartographic; const tileProvider = primitive._tileProvider; @@ -1013,7 +1013,7 @@ function visitVisibleChildrenNearToFar( frameState, occluders, ancestorMeetsSse, - southwestDetails + southwestDetails, ); visitIfVisible( primitive, @@ -1022,7 +1022,7 @@ function visitVisibleChildrenNearToFar( frameState, occluders, ancestorMeetsSse, - southeastDetails + southeastDetails, ); visitIfVisible( primitive, @@ -1031,7 +1031,7 @@ function visitVisibleChildrenNearToFar( frameState, occluders, ancestorMeetsSse, - northwestDetails + northwestDetails, ); visitIfVisible( primitive, @@ -1040,7 +1040,7 @@ function visitVisibleChildrenNearToFar( frameState, occluders, ancestorMeetsSse, - northeastDetails + northeastDetails, ); } else { // Camera in northwest quadrant @@ -1051,7 +1051,7 @@ function visitVisibleChildrenNearToFar( frameState, occluders, ancestorMeetsSse, - northwestDetails + northwestDetails, ); visitIfVisible( primitive, @@ -1060,7 +1060,7 @@ function visitVisibleChildrenNearToFar( frameState, occluders, ancestorMeetsSse, - southwestDetails + southwestDetails, ); visitIfVisible( primitive, @@ -1069,7 +1069,7 @@ function visitVisibleChildrenNearToFar( frameState, occluders, ancestorMeetsSse, - northeastDetails + northeastDetails, ); visitIfVisible( primitive, @@ -1078,7 +1078,7 @@ function visitVisibleChildrenNearToFar( frameState, occluders, ancestorMeetsSse, - southeastDetails + southeastDetails, ); } } else if (cameraPosition.latitude < southwest.rectangle.north) { @@ -1090,7 +1090,7 @@ function visitVisibleChildrenNearToFar( frameState, occluders, ancestorMeetsSse, - southeastDetails + southeastDetails, ); visitIfVisible( primitive, @@ -1099,7 +1099,7 @@ function visitVisibleChildrenNearToFar( frameState, occluders, ancestorMeetsSse, - southwestDetails + southwestDetails, ); visitIfVisible( primitive, @@ -1108,7 +1108,7 @@ function visitVisibleChildrenNearToFar( frameState, occluders, ancestorMeetsSse, - northeastDetails + northeastDetails, ); visitIfVisible( primitive, @@ -1117,7 +1117,7 @@ function visitVisibleChildrenNearToFar( frameState, occluders, ancestorMeetsSse, - northwestDetails + northwestDetails, ); } else { // Camera in northeast quadrant @@ -1128,7 +1128,7 @@ function visitVisibleChildrenNearToFar( frameState, occluders, ancestorMeetsSse, - northeastDetails + northeastDetails, ); visitIfVisible( primitive, @@ -1137,7 +1137,7 @@ function visitVisibleChildrenNearToFar( frameState, occluders, ancestorMeetsSse, - northwestDetails + northwestDetails, ); visitIfVisible( primitive, @@ -1146,7 +1146,7 @@ function visitVisibleChildrenNearToFar( frameState, occluders, ancestorMeetsSse, - southeastDetails + southeastDetails, ); visitIfVisible( primitive, @@ -1155,7 +1155,7 @@ function visitVisibleChildrenNearToFar( frameState, occluders, ancestorMeetsSse, - southwestDetails + southwestDetails, ); } @@ -1170,7 +1170,7 @@ function containsNeededPosition(primitive, tile) { (defined(primitive._cameraReferenceFrameOriginCartographic) && Rectangle.contains( rectangle, - primitive._cameraReferenceFrameOriginCartographic + primitive._cameraReferenceFrameOriginCartographic, )) ); } @@ -1182,7 +1182,7 @@ function visitIfVisible( frameState, occluders, ancestorMeetsSse, - traversalDetails + traversalDetails, ) { if ( tileProvider.computeTileVisibility(tile, frameState, occluders) !== @@ -1193,7 +1193,7 @@ function visitIfVisible( frameState, tile, ancestorMeetsSse, - traversalDetails + traversalDetails, ); } @@ -1213,7 +1213,7 @@ function visitIfVisible( primitive, primitive._tileLoadQueueMedium, tile, - frameState + frameState, ); } @@ -1251,9 +1251,8 @@ function screenSpaceError(primitive, frameState, tile) { return screenSpaceError2D(primitive, frameState, tile); } - const maxGeometricError = primitive._tileProvider.getLevelMaximumGeometricError( - tile.level - ); + const maxGeometricError = + primitive._tileProvider.getLevelMaximumGeometricError(tile.level); const distance = tile._distance; const height = frameState.context.drawingBufferHeight; @@ -1283,9 +1282,8 @@ function screenSpaceError2D(primitive, frameState, tile) { const width = context.drawingBufferWidth; const height = context.drawingBufferHeight; - const maxGeometricError = primitive._tileProvider.getLevelMaximumGeometricError( - tile.level - ); + const maxGeometricError = + primitive._tileProvider.getLevelMaximumGeometricError(tile.level); const pixelSize = Math.max(frustum.top - frustum.bottom, frustum.right - frustum.left) / Math.max(width, height); @@ -1332,7 +1330,7 @@ function processTileLoadQueue(primitive, frameState) { tileProvider, endTime, tileLoadQueueHigh, - false + false, ); didSomeLoading = processSinglePriorityLoadQueue( primitive, @@ -1340,7 +1338,7 @@ function processTileLoadQueue(primitive, frameState) { tileProvider, endTime, tileLoadQueueMedium, - didSomeLoading + didSomeLoading, ); processSinglePriorityLoadQueue( primitive, @@ -1348,7 +1346,7 @@ function processTileLoadQueue(primitive, frameState) { tileProvider, endTime, tileLoadQueueLow, - didSomeLoading + didSomeLoading, ); } @@ -1362,7 +1360,7 @@ function processSinglePriorityLoadQueue( tileProvider, endTime, loadQueue, - didSomeLoading + didSomeLoading, ) { if (tileProvider.computeTileLoadPriority !== undefined) { loadQueue.sort(sortByLoadPriority); @@ -1443,14 +1441,14 @@ function updateHeights(primitive, frameState) { data.positionCartographic.longitude, data.positionCartographic.latitude, 0.0, - ellipsoid + ellipsoid, ); } if (mode === SceneMode.SCENE3D) { const surfaceNormal = ellipsoid.geodeticSurfaceNormal( data.positionOnEllipsoidSurface, - scratchRay.direction + scratchRay.direction, ); // compute origin point @@ -1460,7 +1458,7 @@ function updateHeights(primitive, frameState) { const rayOrigin = ellipsoid.getSurfaceNormalIntersectionWithZAxis( data.positionOnEllipsoidSurface, 11500.0, - scratchRay.origin + scratchRay.origin, ); // Theoretically, not with Earth datums, the intersection point can be outside the ellipsoid @@ -1477,12 +1475,12 @@ function updateHeights(primitive, frameState) { const vectorToMinimumPoint = Cartesian3.multiplyByScalar( surfaceNormal, Math.abs(magnitude) + 1, - scratchPosition + scratchPosition, ); Cartesian3.subtract( data.positionOnEllipsoidSurface, vectorToMinimumPoint, - scratchRay.origin + scratchRay.origin, ); } } else { @@ -1495,7 +1493,7 @@ function updateHeights(primitive, frameState) { scratchPosition.z, scratchPosition.x, scratchPosition.y, - scratchPosition + scratchPosition, ); Cartesian3.clone(scratchPosition, scratchRay.origin); Cartesian3.clone(Cartesian3.UNIT_X, scratchRay.direction); @@ -1506,7 +1504,7 @@ function updateHeights(primitive, frameState) { mode, projection, false, - scratchPosition + scratchPosition, ); if (defined(position)) { if (defined(data.callback)) { diff --git a/packages/engine/Source/Scene/QuadtreeTile.js b/packages/engine/Source/Scene/QuadtreeTile.js index 601458acbbf7..c0ccdde4dc97 100644 --- a/packages/engine/Source/Scene/QuadtreeTile.js +++ b/packages/engine/Source/Scene/QuadtreeTile.js @@ -28,12 +28,12 @@ function QuadtreeTile(options) { throw new DeveloperError("options.y is required."); } else if (options.x < 0 || options.y < 0) { throw new DeveloperError( - "options.x and options.y must be greater than or equal to zero." + "options.x and options.y must be greater than or equal to zero.", ); } if (!defined(options.level)) { throw new DeveloperError( - "options.level is required and must be greater than or equal to zero." + "options.level is required and must be greater than or equal to zero.", ); } if (!defined(options.tilingScheme)) { @@ -49,7 +49,7 @@ function QuadtreeTile(options) { this._rectangle = this._tilingScheme.tileXYToRectangle( this._x, this._y, - this._level + this._level, ); this._southwestChild = undefined; @@ -146,7 +146,7 @@ QuadtreeTile.createLevelZeroTiles = function (tilingScheme) { QuadtreeTile.prototype._updateCustomData = function ( frameNumber, added, - removed + removed, ) { let customData = this.customData; diff --git a/packages/engine/Source/Scene/QuadtreeTileProvider.js b/packages/engine/Source/Scene/QuadtreeTileProvider.js index 75cce94869f8..9f1bfee43906 100644 --- a/packages/engine/Source/Scene/QuadtreeTileProvider.js +++ b/packages/engine/Source/Scene/QuadtreeTileProvider.js @@ -22,7 +22,7 @@ function QuadtreeTileProvider() { * @returns {number} The maximum geometric error at level zero, in meters. */ QuadtreeTileProvider.computeDefaultLevelZeroMaximumGeometricError = function ( - tilingScheme + tilingScheme, ) { return ( (tilingScheme.ellipsoid.maximumRadius * 2 * Math.PI * 0.25) / diff --git a/packages/engine/Source/Scene/ResourceCache.js b/packages/engine/Source/Scene/ResourceCache.js index e29571cfb994..8d6c2a6afab6 100644 --- a/packages/engine/Source/Scene/ResourceCache.js +++ b/packages/engine/Source/Scene/ResourceCache.js @@ -89,7 +89,7 @@ ResourceCache.add = function (resourceLoader) { if (defined(ResourceCache.cacheEntries[cacheKey])) { throw new DeveloperError( - `Resource with this cacheKey is already in the cache: ${cacheKey}` + `Resource with this cacheKey is already in the cache: ${cacheKey}`, ); } //>>includeEnd('debug'); @@ -151,7 +151,7 @@ ResourceCache.getSchemaLoader = function (options) { //>>includeStart('debug', pragmas.debug); if (defined(schema) === defined(resource)) { throw new DeveloperError( - "One of options.schema and options.resource must be defined." + "One of options.schema and options.resource must be defined.", ); } //>>includeEnd('debug'); @@ -434,7 +434,7 @@ ResourceCache.getVertexBufferLoader = function (options) { Check.typeOf.object("options.frameState", frameState); if (!loadBuffer && !loadTypedArray) { throw new DeveloperError( - "At least one of loadBuffer and loadTypedArray must be true." + "At least one of loadBuffer and loadTypedArray must be true.", ); } @@ -445,19 +445,19 @@ ResourceCache.getVertexBufferLoader = function (options) { if (hasBufferViewId === hasDraco) { throw new DeveloperError( - "One of options.bufferViewId and options.draco must be defined." + "One of options.bufferViewId and options.draco must be defined.", ); } if (hasDraco && !hasAttributeSemantic) { throw new DeveloperError( - "When options.draco is defined options.attributeSemantic must also be defined." + "When options.draco is defined options.attributeSemantic must also be defined.", ); } if (hasDraco && !hasAccessorId) { throw new DeveloperError( - "When options.draco is defined options.haAccessorId must also be defined." + "When options.draco is defined options.haAccessorId must also be defined.", ); } @@ -551,7 +551,7 @@ ResourceCache.getIndexBufferLoader = function (options) { Check.typeOf.object("options.frameState", frameState); if (!loadBuffer && !loadTypedArray) { throw new DeveloperError( - "At least one of loadBuffer and loadTypedArray must be true." + "At least one of loadBuffer and loadTypedArray must be true.", ); } //>>includeEnd('debug'); diff --git a/packages/engine/Source/Scene/ResourceCacheKey.js b/packages/engine/Source/Scene/ResourceCacheKey.js index 6763461cebcb..085a2d3d0b70 100644 --- a/packages/engine/Source/Scene/ResourceCacheKey.js +++ b/packages/engine/Source/Scene/ResourceCacheKey.js @@ -63,7 +63,7 @@ function getDracoCacheKey(gltf, draco, gltfResource, baseResource) { buffer, bufferId, gltfResource, - baseResource + baseResource, ); const bufferViewCacheKey = getBufferViewCacheKey(bufferView); @@ -91,7 +91,7 @@ function getImageCacheKey(gltf, imageId, gltfResource, baseResource) { buffer, bufferId, gltfResource, - baseResource + baseResource, ); const bufferViewCacheKey = getBufferViewCacheKey(bufferView); @@ -126,7 +126,7 @@ ResourceCacheKey.getSchemaCacheKey = function (options) { //>>includeStart('debug', pragmas.debug); if (defined(schema) === defined(resource)) { throw new DeveloperError( - "One of options.schema and options.resource must be defined." + "One of options.schema and options.resource must be defined.", ); } //>>includeEnd('debug'); @@ -179,7 +179,7 @@ ResourceCacheKey.getEmbeddedBufferCacheKey = function (options) { return `embedded-buffer:${getEmbeddedBufferCacheKey( parentResource, - bufferId + bufferId, )}`; }; @@ -238,7 +238,7 @@ ResourceCacheKey.getBufferViewCacheKey = function (options) { buffer, bufferId, gltfResource, - baseResource + baseResource, ); const bufferViewCacheKey = getBufferViewCacheKey(bufferView); @@ -319,13 +319,13 @@ ResourceCacheKey.getVertexBufferCacheKey = function (options) { if (hasBufferViewId === hasDraco) { throw new DeveloperError( - "One of options.bufferViewId and options.draco must be defined." + "One of options.bufferViewId and options.draco must be defined.", ); } if (hasDraco && !hasAttributeSemantic) { throw new DeveloperError( - "When options.draco is defined options.attributeSemantic must also be defined." + "When options.draco is defined options.attributeSemantic must also be defined.", ); } @@ -336,7 +336,7 @@ ResourceCacheKey.getVertexBufferCacheKey = function (options) { if (!loadBuffer && !loadTypedArray) { throw new DeveloperError( - "At least one of loadBuffer and loadTypedArray must be true." + "At least one of loadBuffer and loadTypedArray must be true.", ); } //>>includeEnd('debug'); @@ -360,7 +360,7 @@ ResourceCacheKey.getVertexBufferCacheKey = function (options) { gltf, draco, gltfResource, - baseResource + baseResource, ); return `vertex-buffer:${dracoCacheKey}-draco-${attributeSemantic}${cacheKeySuffix}`; } @@ -373,7 +373,7 @@ ResourceCacheKey.getVertexBufferCacheKey = function (options) { buffer, bufferId, gltfResource, - baseResource + baseResource, ); const bufferViewCacheKey = getBufferViewCacheKey(bufferView); @@ -427,7 +427,7 @@ ResourceCacheKey.getIndexBufferCacheKey = function (options) { if (!loadBuffer && !loadTypedArray) { throw new DeveloperError( - "At least one of loadBuffer and loadTypedArray must be true." + "At least one of loadBuffer and loadTypedArray must be true.", ); } //>>includeEnd('debug'); @@ -447,7 +447,7 @@ ResourceCacheKey.getIndexBufferCacheKey = function (options) { gltf, draco, gltfResource, - baseResource + baseResource, ); return `index-buffer:${dracoCacheKey}-draco${cacheKeySuffix}`; } @@ -462,7 +462,7 @@ ResourceCacheKey.getIndexBufferCacheKey = function (options) { buffer, bufferId, gltfResource, - baseResource + baseResource, ); const accessorCacheKey = getAccessorCacheKey(accessor, bufferView); @@ -497,7 +497,7 @@ ResourceCacheKey.getImageCacheKey = function (options) { gltf, imageId, gltfResource, - baseResource + baseResource, ); return `image:${imageCacheKey}`; @@ -549,7 +549,7 @@ ResourceCacheKey.getTextureCacheKey = function (options) { gltf, imageId, gltfResource, - baseResource + baseResource, ); // Include the sampler cache key in the texture cache key since textures and diff --git a/packages/engine/Source/Scene/SceneFramebuffer.js b/packages/engine/Source/Scene/SceneFramebuffer.js index 274c623a5e8a..72e2611d58d4 100644 --- a/packages/engine/Source/Scene/SceneFramebuffer.js +++ b/packages/engine/Source/Scene/SceneFramebuffer.js @@ -54,7 +54,7 @@ SceneFramebuffer.prototype.update = function ( context, viewport, hdr, - numSamples + numSamples, ) { const width = viewport.width; const height = viewport.height; @@ -69,7 +69,7 @@ SceneFramebuffer.prototype.update = function ( width, height, numSamples, - pixelDatatype + pixelDatatype, ); this._idFramebuffer.update(context, width, height); }; diff --git a/packages/engine/Source/Scene/SceneTransforms.js b/packages/engine/Source/Scene/SceneTransforms.js index 84ae7a032b52..d982dbd8308e 100644 --- a/packages/engine/Source/Scene/SceneTransforms.js +++ b/packages/engine/Source/Scene/SceneTransforms.js @@ -48,7 +48,7 @@ SceneTransforms.worldToWindowCoordinates = function (scene, position, result) { scene, position, Cartesian3.ZERO, - result + result, ); }; @@ -65,15 +65,15 @@ function worldToClip(position, eyeOffset, camera, result) { position.y, position.z, 1, - scratchCartesian4 + scratchCartesian4, ), - scratchCartesian4 + scratchCartesian4, ); const zEyeOffset = Cartesian3.multiplyComponents( eyeOffset, Cartesian3.normalize(positionEC, scratchEyeOffset), - scratchEyeOffset + scratchEyeOffset, ); positionEC.x += eyeOffset.x + zEyeOffset.x; positionEC.y += eyeOffset.y + zEyeOffset.y; @@ -82,13 +82,13 @@ function worldToClip(position, eyeOffset, camera, result) { return Matrix4.multiplyByVector( camera.frustum.projectionMatrix, positionEC, - result + result, ); } const scratchMaxCartographic = new Cartographic( Math.PI, - CesiumMath.PI_OVER_TWO + CesiumMath.PI_OVER_TWO, ); const scratchProjectedCartesian = new Cartesian3(); const scratchCameraPosition = new Cartesian3(); @@ -100,7 +100,7 @@ SceneTransforms.worldWithEyeOffsetToWindowCoordinates = function ( scene, position, eyeOffset, - result + result, ) { //>>includeStart('debug', pragmas.debug); if (!defined(scene)) { @@ -116,7 +116,7 @@ SceneTransforms.worldWithEyeOffsetToWindowCoordinates = function ( const actualPosition = SceneTransforms.computeActualEllipsoidPosition( frameState, position, - actualPositionScratch + actualPositionScratch, ); if (!defined(actualPosition)) { @@ -139,12 +139,12 @@ SceneTransforms.worldWithEyeOffsetToWindowCoordinates = function ( const maxCartographic = scratchMaxCartographic; const maxCoord = projection.project( maxCartographic, - scratchProjectedCartesian + scratchProjectedCartesian, ); const cameraPosition = Cartesian3.clone( camera.position, - scratchCameraPosition + scratchCameraPosition, ); const frustum = camera.frustum.clone(); @@ -152,7 +152,7 @@ SceneTransforms.worldWithEyeOffsetToWindowCoordinates = function ( viewport, 0.0, 1.0, - new Matrix4() + new Matrix4(), ); const projectionMatrix = camera.frustum.projectionMatrix; @@ -160,12 +160,12 @@ SceneTransforms.worldWithEyeOffsetToWindowCoordinates = function ( const eyePoint = Cartesian3.fromElements( CesiumMath.sign(x) * maxCoord.x - x, 0.0, - -camera.positionWC.x + -camera.positionWC.x, ); const windowCoordinates = Transforms.pointToGLWindowCoordinates( projectionMatrix, viewportTransformation, - eyePoint + eyePoint, ); if ( @@ -184,7 +184,7 @@ SceneTransforms.worldWithEyeOffsetToWindowCoordinates = function ( SceneTransforms.clipToGLWindowCoordinates( viewport, positionCC, - scratchWindowCoord0 + scratchWindowCoord0, ); viewport.x += windowCoordinates.x; @@ -199,7 +199,7 @@ SceneTransforms.worldWithEyeOffsetToWindowCoordinates = function ( SceneTransforms.clipToGLWindowCoordinates( viewport, positionCC, - scratchWindowCoord1 + scratchWindowCoord1, ); } else { viewport.x += windowCoordinates.x; @@ -211,7 +211,7 @@ SceneTransforms.worldWithEyeOffsetToWindowCoordinates = function ( SceneTransforms.clipToGLWindowCoordinates( viewport, positionCC, - scratchWindowCoord0 + scratchWindowCoord0, ); viewport.x = viewport.x - viewport.width; @@ -226,7 +226,7 @@ SceneTransforms.worldWithEyeOffsetToWindowCoordinates = function ( SceneTransforms.clipToGLWindowCoordinates( viewport, positionCC, - scratchWindowCoord1 + scratchWindowCoord1, ); } @@ -254,7 +254,7 @@ SceneTransforms.worldWithEyeOffsetToWindowCoordinates = function ( result = SceneTransforms.clipToGLWindowCoordinates( viewport, positionCC, - result + result, ); } @@ -282,7 +282,7 @@ SceneTransforms.worldWithEyeOffsetToWindowCoordinates = function ( SceneTransforms.worldToDrawingBufferCoordinates = function ( scene, position, - result + result, ) { result = SceneTransforms.worldToWindowCoordinates(scene, position, result); if (!defined(result)) { @@ -301,7 +301,7 @@ const positionInCartographic = new Cartographic(); SceneTransforms.computeActualEllipsoidPosition = function ( frameState, position, - result + result, ) { const mode = frameState.mode; @@ -312,7 +312,7 @@ SceneTransforms.computeActualEllipsoidPosition = function ( const projection = frameState.mapProjection; const cartographic = projection.ellipsoid.cartesianToCartographic( position, - positionInCartographic + positionInCartographic, ); if (!defined(cartographic)) { return undefined; @@ -325,7 +325,7 @@ SceneTransforms.computeActualEllipsoidPosition = function ( projectedPosition.z, projectedPosition.x, projectedPosition.y, - result + result, ); } @@ -334,7 +334,7 @@ SceneTransforms.computeActualEllipsoidPosition = function ( 0.0, projectedPosition.x, projectedPosition.y, - result + result, ); } @@ -344,7 +344,7 @@ SceneTransforms.computeActualEllipsoidPosition = function ( CesiumMath.lerp(projectedPosition.z, position.x, morphTime), CesiumMath.lerp(projectedPosition.x, position.y, morphTime), CesiumMath.lerp(projectedPosition.y, position.z, morphTime), - result + result, ); }; @@ -358,7 +358,7 @@ const viewportTransform = new Matrix4(); SceneTransforms.clipToGLWindowCoordinates = function ( viewport, position, - result + result, ) { // Perspective divide to transform from clip coordinates to normalized device coordinates Cartesian3.divideByScalar(position, position.w, positionNDC); @@ -376,7 +376,7 @@ SceneTransforms.clipToGLWindowCoordinates = function ( SceneTransforms.transformWindowToDrawingBuffer = function ( scene, windowPosition, - result + result, ) { const canvas = scene.canvas; const xScale = scene.drawingBufferWidth / canvas.clientWidth; @@ -384,7 +384,7 @@ SceneTransforms.transformWindowToDrawingBuffer = function ( return Cartesian2.fromElements( windowPosition.x * xScale, windowPosition.y * yScale, - result + result, ); }; @@ -398,7 +398,7 @@ SceneTransforms.drawingBufferToWorldCoordinates = function ( scene, drawingBufferPosition, depth, - result + result, ) { const context = scene.context; const uniformState = context.uniformState; @@ -445,13 +445,13 @@ SceneTransforms.drawingBufferToWorldCoordinates = function ( worldCoords = Matrix4.multiplyByVector( uniformState.inverseView, worldCoords, - worldCoords + worldCoords, ); } else { worldCoords = Matrix4.multiplyByVector( uniformState.inverseViewProjection, ndc, - scratchWorldCoords + scratchWorldCoords, ); // Reverse perspective divide diff --git a/packages/engine/Source/Scene/SceneTransitioner.js b/packages/engine/Source/Scene/SceneTransitioner.js index 4e632f36e53d..56e177cc08b1 100644 --- a/packages/engine/Source/Scene/SceneTransitioner.js +++ b/packages/engine/Source/Scene/SceneTransitioner.js @@ -58,7 +58,7 @@ SceneTransitioner.prototype.morphTo2D = function (duration, ellipsoid) { this, this._previousMode, SceneMode.SCENE2D, - true + true, ); scene._mode = SceneMode.MORPHING; @@ -98,7 +98,7 @@ const scratchToCVCamera = { SceneTransitioner.prototype.morphToColumbusView = function ( duration, - ellipsoid + ellipsoid, ) { if (defined(this._completeMorph)) { this._completeMorph(); @@ -117,7 +117,7 @@ SceneTransitioner.prototype.morphToColumbusView = function ( this, this._previousMode, SceneMode.COLUMBUS_VIEW, - true + true, ); scene.camera._setTransform(Matrix4.IDENTITY); @@ -133,7 +133,7 @@ SceneTransitioner.prototype.morphToColumbusView = function ( position = Cartesian3.multiplyByScalar( Cartesian3.normalize(position, position), 5.0 * ellipsoid.maximumRadius, - position + position, ); Cartesian3.negate(Cartesian3.normalize(position, direction), direction); @@ -152,18 +152,18 @@ SceneTransitioner.prototype.morphToColumbusView = function ( const surfacePoint = ellipsoid.scaleToGeodeticSurface( position, - scratchToCVSurfacePosition + scratchToCVSurfacePosition, ); const toENU = Transforms.eastNorthUpToFixedFrame( surfacePoint, ellipsoid, - scratchToCVToENU + scratchToCVToENU, ); Matrix4.inverseTransformation(toENU, toENU); scene.mapProjection.project( ellipsoid.cartesianToCartographic(position, scratchToCVCartographic), - position + position, ); Matrix4.multiplyByPointAsVector(toENU, direction, direction); Matrix4.multiplyByPointAsVector(toENU, up, up); @@ -196,17 +196,17 @@ SceneTransitioner.prototype.morphToColumbusView = function ( cameraCV.position2D = Matrix4.multiplyByPoint( Camera.TRANSFORM_2D, position, - scratchToCVPosition2D + scratchToCVPosition2D, ); cameraCV.direction2D = Matrix4.multiplyByPointAsVector( Camera.TRANSFORM_2D, direction, - scratchToCVDirection2D + scratchToCVDirection2D, ); cameraCV.up2D = Matrix4.multiplyByPointAsVector( Camera.TRANSFORM_2D, up, - scratchToCVUp2D + scratchToCVUp2D, ); scene._mode = SceneMode.MORPHING; @@ -244,7 +244,7 @@ SceneTransitioner.prototype.morphTo3D = function (duration, ellipsoid) { this, this._previousMode, SceneMode.SCENE3D, - true + true, ); scene._mode = SceneMode.MORPHING; @@ -261,7 +261,7 @@ SceneTransitioner.prototype.morphTo3D = function (duration, ellipsoid) { 0.0, 5.0 * ellipsoid.maximumRadius, ellipsoid, - camera3D.position + camera3D.position, ); Cartesian3.negate(camera3D.position, camera3D.direction); Cartesian3.normalize(camera3D.direction, camera3D.direction); @@ -323,7 +323,7 @@ SceneTransitioner.prototype.destroy = function () { function createMorphHandler(transitioner, completeMorphFunction) { if (transitioner._scene.completeMorphOnUserInput) { transitioner._morphHandler = new ScreenSpaceEventHandler( - transitioner._scene.canvas + transitioner._scene.canvas, ); const completeMorph = function () { @@ -334,19 +334,19 @@ function createMorphHandler(transitioner, completeMorphFunction) { transitioner._completeMorph = completeMorph; transitioner._morphHandler.setInputAction( completeMorph, - ScreenSpaceEventType.LEFT_DOWN + ScreenSpaceEventType.LEFT_DOWN, ); transitioner._morphHandler.setInputAction( completeMorph, - ScreenSpaceEventType.MIDDLE_DOWN + ScreenSpaceEventType.MIDDLE_DOWN, ); transitioner._morphHandler.setInputAction( completeMorph, - ScreenSpaceEventType.RIGHT_DOWN + ScreenSpaceEventType.RIGHT_DOWN, ); transitioner._morphHandler.setInputAction( completeMorph, - ScreenSpaceEventType.WHEEL + ScreenSpaceEventType.WHEEL, ); } } @@ -376,18 +376,18 @@ function getColumbusViewTo3DCamera(transitioner, ellipsoid) { const positionCarto = scene.mapProjection.unproject( camera.position, - scratchCVTo3DCartographic + scratchCVTo3DCartographic, ); ellipsoid.cartographicToCartesian(positionCarto, position); const surfacePoint = ellipsoid.scaleToGeodeticSurface( position, - scratchCVTo3DSurfacePoint + scratchCVTo3DSurfacePoint, ); const fromENU = Transforms.eastNorthUpToFixedFrame( surfacePoint, ellipsoid, - scratchCVTo3DFromENU + scratchCVTo3DFromENU, ); Matrix4.multiplyByPointAsVector(fromENU, camera.direction, direction); @@ -407,7 +407,7 @@ function morphFromColumbusViewTo3D( transitioner, duration, endCamera, - complete + complete, ) { duration *= 0.5; @@ -421,17 +421,17 @@ function morphFromColumbusViewTo3D( const endPos = Matrix4.multiplyByPoint( Camera.TRANSFORM_2D_INVERSE, endCamera.position, - scratchCVTo3DEndPos + scratchCVTo3DEndPos, ); const endDir = Matrix4.multiplyByPointAsVector( Camera.TRANSFORM_2D_INVERSE, endCamera.direction, - scratchCVTo3DEndDir + scratchCVTo3DEndDir, ); const endUp = Matrix4.multiplyByPointAsVector( Camera.TRANSFORM_2D_INVERSE, endCamera.up, - scratchCVTo3DEndUp + scratchCVTo3DEndUp, ); function update(value) { @@ -481,7 +481,7 @@ function morphFrom2DTo3D(transitioner, duration, ellipsoid) { 0.0, 5.0 * ellipsoid.maximumRadius, ellipsoid, - camera3D.position + camera3D.position, ); Cartesian3.negate(camera3D.position, camera3D.direction); Cartesian3.normalize(camera3D.direction, camera3D.direction); @@ -521,7 +521,7 @@ function morphFrom2DTo3D(transitioner, duration, ellipsoid) { camera3D, function () { morphFromColumbusViewTo3D(transitioner, duration, camera3D, complete); - } + }, ); }; } @@ -535,7 +535,7 @@ function morphFrom2DTo3D(transitioner, duration, ellipsoid) { 0.0, 5.0 * ellipsoid.maximumRadius, ellipsoid, - scratch3DToCVEndPos + scratch3DToCVEndPos, ), complete: function () { scene._mode = SceneMode.MORPHING; @@ -557,7 +557,7 @@ function morphPerspectiveToOrthographic( duration, endCamera, updateHeight, - complete + complete, ) { const scene = transitioner._scene; const camera = scene.camera; @@ -636,7 +636,7 @@ function morphFromColumbusViewTo2D(transitioner, duration) { Matrix4.multiplyByPointAsVector( Camera.TRANSFORM_2D, startDir, - ray.direction + ray.direction, ); const globe = scene.globe; @@ -645,7 +645,7 @@ function morphFromColumbusViewTo2D(transitioner, duration) { ray, scene, true, - scratchCVTo2DPickPos + scratchCVTo2DPickPos, ); if (defined(pickPos)) { Matrix4.multiplyByPoint(Camera.TRANSFORM_2D_INVERSE, pickPos, endPos); @@ -699,7 +699,7 @@ function morphFromColumbusViewTo2D(transitioner, duration) { duration, camera2D, updateHeight, - complete + complete, ); }, }); @@ -742,7 +742,7 @@ function morphFrom3DTo2D(transitioner, duration, ellipsoid) { } else { ellipsoid.cartesianToCartographic( camera.positionWC, - scratch3DTo2DCartographic + scratch3DTo2DCartographic, ); scene.mapProjection.project(scratch3DTo2DCartographic, camera2D.position); @@ -754,19 +754,19 @@ function morphFrom3DTo2D(transitioner, duration, ellipsoid) { const rayDirection = Cartesian3.clone(camera.directionWC, ray.direction); const surfacePoint = ellipsoid.scaleToGeodeticSurface( camera.positionWC, - scratch3DTo2DSurfacePoint + scratch3DTo2DSurfacePoint, ); const toENU = Transforms.eastNorthUpToFixedFrame( surfacePoint, ellipsoid, - scratch3DTo2DToENU + scratch3DTo2DToENU, ); Matrix4.inverseTransformation(toENU, toENU); Matrix4.multiplyByPointAsVector(toENU, rayDirection, rayDirection); Matrix4.multiplyByPointAsVector( Camera.TRANSFORM_2D, rayDirection, - rayDirection + rayDirection, ); const globe = scene.globe; @@ -775,7 +775,7 @@ function morphFrom3DTo2D(transitioner, duration, ellipsoid) { ray, scene, true, - scratch3DTo2DPickPosition + scratch3DTo2DPickPosition, ); if (defined(pickedPos)) { const height = Cartesian3.distance(camera2D.position2D, pickedPos); @@ -792,17 +792,17 @@ function morphFrom3DTo2D(transitioner, duration, ellipsoid) { Matrix4.multiplyByPoint( Camera.TRANSFORM_2D, camera2D.position, - camera2D.position2D + camera2D.position2D, ); Matrix4.multiplyByPointAsVector( Camera.TRANSFORM_2D, camera2D.direction, - camera2D.direction2D + camera2D.direction2D, ); Matrix4.multiplyByPointAsVector( Camera.TRANSFORM_2D, camera2D.up, - camera2D.up2D + camera2D.up2D, ); const frustum = camera2D.frustum; @@ -816,7 +816,7 @@ function morphFrom3DTo2D(transitioner, duration, ellipsoid) { Matrix4.multiplyByPoint( Camera.TRANSFORM_2D_INVERSE, camera2D.position2D, - endCamera.position + endCamera.position, ); Cartesian3.clone(camera2D.direction, endCamera.direction); Cartesian3.clone(camera2D.up, endCamera.up); @@ -831,7 +831,7 @@ function morphFrom3DTo2D(transitioner, duration, ellipsoid) { duration, camera2D, updateHeight, - complete + complete, ); } morphFrom3DToColumbusView(transitioner, duration, camera2D, completeCallback); @@ -841,7 +841,7 @@ function morphOrthographicToPerspective( transitioner, duration, cameraCV, - complete + complete, ) { const scene = transitioner._scene; const camera = scene.camera; @@ -931,7 +931,7 @@ function morphFrom3DToColumbusView( transitioner, duration, endCamera, - complete + complete, ) { const scene = transitioner._scene; const camera = scene.camera; @@ -975,7 +975,7 @@ function addMorphTimeAnimations( start, stop, duration, - complete + complete, ) { // Later, this will be linear and each object will adjust, if desired, in its vertex shader. const options = { @@ -1034,7 +1034,7 @@ function complete3DCallback(camera3D) { transitioner, transitioner._previousMode, SceneMode.SCENE3D, - wasMorphing + wasMorphing, ); }; } @@ -1064,7 +1064,7 @@ function complete2DCallback(camera2D) { transitioner, transitioner._previousMode, SceneMode.SCENE2D, - wasMorphing + wasMorphing, ); }; } @@ -1104,7 +1104,7 @@ function completeColumbusViewCallback(cameraCV) { transitioner, transitioner._previousMode, SceneMode.COLUMBUS_VIEW, - wasMorphing + wasMorphing, ); }; } diff --git a/packages/engine/Source/Scene/ScreenSpaceCameraController.js b/packages/engine/Source/Scene/ScreenSpaceCameraController.js index 44493e3def42..54e7aee3e113 100644 --- a/packages/engine/Source/Scene/ScreenSpaceCameraController.js +++ b/packages/engine/Source/Scene/ScreenSpaceCameraController.js @@ -242,7 +242,7 @@ function ScreenSpaceCameraController(scene) { * @default 4000.0 or scene.ellipsoid.minimumRadius * 0.00063 */ this.minimumPickingTerrainDistanceWithInertia = Ellipsoid.WGS84.equals( - ellipsoid + ellipsoid, ) ? 4000.0 : ellipsoid.minimumRadius * 0.00063; @@ -328,7 +328,7 @@ function ScreenSpaceCameraController(scene) { const projection = scene.mapProjection; this._maxCoord = projection.project( - new Cartographic(Math.PI, CesiumMath.PI_OVER_TWO) + new Cartographic(Math.PI, CesiumMath.PI_OVER_TWO), ); // Constants, Make any of these public? @@ -355,7 +355,7 @@ function sameMousePosition(movement) { return Cartesian2.equalsEpsilon( movement.startPosition, movement.endPosition, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); } @@ -372,7 +372,7 @@ function maintainInertia( decayCoef, action, object, - lastMovementName + lastMovementName, ) { let movementState = object[lastMovementName]; if (!defined(movementState)) { @@ -410,18 +410,18 @@ function maintainInertia( movementState.startPosition = Cartesian2.clone( lastMovement.startPosition, - movementState.startPosition + movementState.startPosition, ); movementState.endPosition = Cartesian2.multiplyByScalar( movementState.motion, d, - movementState.endPosition + movementState.endPosition, ); movementState.endPosition = Cartesian2.add( movementState.startPosition, movementState.endPosition, - movementState.endPosition + movementState.endPosition, ); // If value from the decreasing exponential function is close to zero, @@ -431,7 +431,7 @@ function maintainInertia( isNaN(movementState.endPosition.y) || Cartesian2.distance( movementState.startPosition, - movementState.endPosition + movementState.endPosition, ) < 0.5 ) { return; @@ -473,7 +473,7 @@ function reactToInput( eventTypes, action, inertiaConstant, - inertiaStateName + inertiaStateName, ) { if (!defined(eventTypes)) { return; @@ -509,7 +509,7 @@ function reactToInput( inertiaConstant, action, controller, - inertiaStateName + inertiaStateName, ); } } @@ -551,14 +551,14 @@ function handleZoom( movement, zoomFactor, distanceMeasure, - unitPositionDotDirection + unitPositionDotDirection, ) { let percentage = 1.0; if (defined(unitPositionDotDirection)) { percentage = CesiumMath.clamp( Math.abs(unitPositionDotDirection), 0.25, - 1.0 + 1.0, ); } @@ -577,7 +577,7 @@ function handleZoom( zoomRate = CesiumMath.clamp( zoomRate, object._minimumZoomRate, - object._maximumZoomRate + object._maximumZoomRate, ); let rangeWindowRatio = diff / object._scene.canvas.clientHeight; @@ -623,7 +623,7 @@ function handleZoom( const sameStartPosition = defaultValue( movement.inertiaEnabled, - Cartesian2.equals(startPosition, object._zoomMouseStart) + Cartesian2.equals(startPosition, object._zoomMouseStart), ); let zoomingOnVector = object._zoomingOnVector; let rotatingZoom = object._rotatingZoom; @@ -632,23 +632,25 @@ function handleZoom( if (!sameStartPosition) { object._zoomMouseStart = Cartesian2.clone( startPosition, - object._zoomMouseStart + object._zoomMouseStart, ); // When camera transform is set, such as tracking an entity, object._globe will be undefined, and no position should be picked if (defined(object._globe) && mode === SceneMode.SCENE2D) { - pickedPosition = camera.getPickRay(startPosition, scratchZoomPickRay) - .origin; + pickedPosition = camera.getPickRay( + startPosition, + scratchZoomPickRay, + ).origin; pickedPosition = Cartesian3.fromElements( pickedPosition.y, pickedPosition.z, - pickedPosition.x + pickedPosition.x, ); } else if (defined(object._globe)) { pickedPosition = pickPosition( object, startPosition, - scratchPickCartesian + scratchPickCartesian, ); } @@ -656,7 +658,7 @@ function handleZoom( object._useZoomWorldPosition = true; object._zoomWorldPosition = Cartesian3.clone( pickedPosition, - object._zoomWorldPosition + object._zoomWorldPosition, ); } else { object._useZoomWorldPosition = false; @@ -692,7 +694,7 @@ function handleZoom( const direction = Cartesian3.subtract( worldPosition, endPosition, - scratchZoomDirection + scratchZoomDirection, ); Cartesian3.normalize(direction, direction); @@ -705,23 +707,25 @@ function handleZoom( (camera.position.x < 0.0 && savedX > 0.0) || (camera.position.x > 0.0 && savedX < 0.0) ) { - pickedPosition = camera.getPickRay(startPosition, scratchZoomPickRay) - .origin; + pickedPosition = camera.getPickRay( + startPosition, + scratchZoomPickRay, + ).origin; pickedPosition = Cartesian3.fromElements( pickedPosition.y, pickedPosition.z, - pickedPosition.x + pickedPosition.x, ); object._zoomWorldPosition = Cartesian3.clone( pickedPosition, - object._zoomWorldPosition + object._zoomWorldPosition, ); } } } else if (mode === SceneMode.SCENE3D) { const cameraPositionNormal = Cartesian3.normalize( camera.position, - scratchCameraPositionNormal + scratchCameraPositionNormal, ); if ( object._cameraUnderground || @@ -740,7 +744,7 @@ function handleZoom( const centerPosition = pickPosition( object, centerPixel, - scratchCenterPosition + scratchCenterPosition, ); // If centerPosition is not defined, it means the globe does not cover the center position of screen @@ -772,7 +776,7 @@ function handleZoom( Cartesian3.add( cameraPosition, Cartesian3.multiplyByScalar(forward, 1000, scratchCartesian), - center + center, ); const positionToTarget = scratchPositionToTarget; @@ -783,7 +787,7 @@ function handleZoom( const alphaDot = Cartesian3.dot( cameraPositionNormal, - positionToTargetNormal + positionToTargetNormal, ); if (alphaDot >= 0.0) { // We zoomed past the target, and this zoom is not valid anymore. @@ -795,23 +799,22 @@ function handleZoom( const cameraDistance = Cartesian3.magnitude(cameraPosition); const targetDistance = Cartesian3.magnitude(target); const remainingDistance = cameraDistance - distance; - const positionToTargetDistance = Cartesian3.magnitude( - positionToTarget - ); + const positionToTargetDistance = + Cartesian3.magnitude(positionToTarget); const gamma = Math.asin( CesiumMath.clamp( (positionToTargetDistance / targetDistance) * Math.sin(alpha), -1.0, - 1.0 - ) + 1.0, + ), ); const delta = Math.asin( CesiumMath.clamp( (remainingDistance / targetDistance) * Math.sin(alpha), -1.0, - 1.0 - ) + 1.0, + ), ); const beta = gamma - delta + alpha; @@ -823,20 +826,20 @@ function handleZoom( Cartesian3.normalize( Cartesian3.cross(up, right, scratchCartesian), - forward + forward, ); // Calculate new position to move to Cartesian3.multiplyByScalar( Cartesian3.normalize(center, scratchCartesian), Cartesian3.magnitude(center) - distance, - center + center, ); Cartesian3.normalize(cameraPosition, cameraPosition); Cartesian3.multiplyByScalar( cameraPosition, remainingDistance, - cameraPosition + cameraPosition, ); // Pan @@ -846,24 +849,24 @@ function handleZoom( Cartesian3.multiplyByScalar( up, Math.cos(beta) - 1, - scratchCartesianTwo + scratchCartesianTwo, ), Cartesian3.multiplyByScalar( forward, Math.sin(beta), - scratchCartesianThree + scratchCartesianThree, ), - scratchCartesian + scratchCartesian, ), remainingDistance, - pMid + pMid, ); Cartesian3.add(cameraPosition, pMid, cameraPosition); Cartesian3.normalize(center, up); Cartesian3.normalize( Cartesian3.cross(up, right, scratchCartesian), - forward + forward, ); const cMid = scratchCenterMovement; @@ -872,17 +875,17 @@ function handleZoom( Cartesian3.multiplyByScalar( up, Math.cos(beta) - 1, - scratchCartesianTwo + scratchCartesianTwo, ), Cartesian3.multiplyByScalar( forward, Math.sin(beta), - scratchCartesianThree + scratchCartesianThree, ), - scratchCartesian + scratchCartesian, ), Cartesian3.magnitude(center), - cMid + cMid, ); Cartesian3.add(center, cMid, center); @@ -894,7 +897,7 @@ function handleZoom( // Set new direction Cartesian3.normalize( Cartesian3.subtract(center, cameraPosition, scratchCartesian), - camera.direction + camera.direction, ); Cartesian3.clone(camera.direction, camera.direction); @@ -908,11 +911,11 @@ function handleZoom( } else { const positionNormal = Cartesian3.normalize( centerPosition, - scratchPositionNormal + scratchPositionNormal, ); const pickedNormal = Cartesian3.normalize( object._zoomWorldPosition, - scratchPickNormal + scratchPickNormal, ); const dotProduct = Cartesian3.dot(pickedNormal, positionNormal); @@ -921,7 +924,7 @@ function handleZoom( const axis = Cartesian3.cross( pickedNormal, positionNormal, - scratchZoomAxis + scratchZoomAxis, ); const denom = @@ -943,7 +946,7 @@ function handleZoom( const zoomMouseStart = SceneTransforms.worldToWindowCoordinates( scene, object._zoomWorldPosition, - scratchZoomOffset + scratchZoomOffset, ); if ( mode !== SceneMode.COLUMBUS_VIEW && @@ -961,7 +964,7 @@ function handleZoom( rayDirection.y, rayDirection.z, rayDirection.x, - rayDirection + rayDirection, ); } @@ -984,8 +987,10 @@ const scratchTranslateP0 = new Cartesian3(); function translate2D(controller, startPosition, movement) { const scene = controller._scene; const camera = scene.camera; - let start = camera.getPickRay(movement.startPosition, translate2DStart) - .origin; + let start = camera.getPickRay( + movement.startPosition, + translate2DStart, + ).origin; let end = camera.getPickRay(movement.endPosition, translate2DEnd).origin; start = Cartesian3.fromElements(start.y, start.z, start.x, start); @@ -1013,7 +1018,7 @@ function zoom2D(controller, startPosition, movement) { startPosition, movement, controller.zoomFactor, - camera.getMagnitude() + camera.getMagnitude(), ); } @@ -1089,7 +1094,7 @@ function update2D(controller) { controller.zoomEventTypes, zoom2D, controller.inertiaZoom, - "_lastInertiaZoomMovement" + "_lastInertiaZoomMovement", ); if (rotatable2D) { reactToInput( @@ -1098,7 +1103,7 @@ function update2D(controller) { controller.translateEventTypes, twist2D, controller.inertiaSpin, - "_lastInertiaSpinMovement" + "_lastInertiaSpinMovement", ); } } else { @@ -1108,7 +1113,7 @@ function update2D(controller) { controller.translateEventTypes, translate2D, controller.inertiaTranslate, - "_lastInertiaTranslateMovement" + "_lastInertiaTranslateMovement", ); reactToInput( controller, @@ -1116,7 +1121,7 @@ function update2D(controller) { controller.zoomEventTypes, zoom2D, controller.inertiaZoom, - "_lastInertiaZoomMovement" + "_lastInertiaZoomMovement", ); if (rotatable2D) { reactToInput( @@ -1125,7 +1130,7 @@ function update2D(controller) { controller.tiltEventTypes, twist2D, controller.inertiaSpin, - "_lastInertiaTiltMovement" + "_lastInertiaTiltMovement", ); } } @@ -1144,7 +1149,7 @@ function pickPosition(controller, mousePosition, result) { if (scene.pickPositionSupported) { depthIntersection = scene.pickPositionWorldCoordinates( mousePosition, - scratchDepthIntersection + scratchDepthIntersection, ); } @@ -1158,7 +1163,7 @@ function pickPosition(controller, mousePosition, result) { ray, scene, cullBackFaces, - scratchRayIntersection + scratchRayIntersection, ); const pickDistance = defined(depthIntersection) @@ -1187,7 +1192,7 @@ function getDistanceFromSurface(controller) { if (mode === SceneMode.SCENE3D) { const cartographic = ellipsoid.cartesianToCartographic( camera.position, - scratchDistanceCartographic + scratchDistanceCartographic, ); if (defined(cartographic)) { height = cartographic.height; @@ -1222,7 +1227,7 @@ function getTiltCenterUnderground(controller, ray, pickedPosition, result) { const maximumDistance = CesiumMath.clamp( distanceFromSurface * 5.0, controller._minimumUndergroundPickDistance, - controller._maximumUndergroundPickDistance + controller._maximumUndergroundPickDistance, ); if (distance > maximumDistance) { @@ -1238,7 +1243,7 @@ function getStrafeStartPositionUnderground( controller, ray, pickedPosition, - result + result, ) { let distance; if (!defined(pickedPosition)) { @@ -1263,7 +1268,7 @@ function continueStrafing(controller, movement) { const inertialDelta = Cartesian2.subtract( movement.endPosition, movement.startPosition, - scratchInertialDelta + scratchInertialDelta, ); const endPosition = controller._strafeEndMousePosition; Cartesian2.add(endPosition, inertialDelta, endPosition); @@ -1306,7 +1311,7 @@ function translateCV(controller, startPosition, movement) { const cameraUnderground = controller._cameraUnderground; const startMouse = Cartesian2.clone( movement.startPosition, - translateCVStartMouse + translateCVStartMouse, ); const endMouse = Cartesian2.clone(movement.endPosition, translateCVEndMouse); let startRay = camera.getPickRay(startMouse, translateCVStartRay); @@ -1333,7 +1338,7 @@ function translateCV(controller, startPosition, movement) { controller, startRay, globePos, - translateCVStartPos + translateCVStartPos, ); } Cartesian2.clone(startPosition, controller._strafeMousePosition); @@ -1350,14 +1355,14 @@ function translateCV(controller, startPosition, movement) { const startPlanePos = IntersectionTests.rayPlane( startRay, plane, - translateCVStartPos + translateCVStartPos, ); const endRay = camera.getPickRay(endMouse, translateCVEndRay); const endPlanePos = IntersectionTests.rayPlane( endRay, plane, - translateCVEndPos + translateCVEndPos, ); if (!defined(startPlanePos) || !defined(endPlanePos)) { @@ -1370,7 +1375,7 @@ function translateCV(controller, startPosition, movement) { const diff = Cartesian3.subtract( startPlanePos, endPlanePos, - translateCVDifference + translateCVDifference, ); const temp = diff.x; diff.x = diff.y; @@ -1467,7 +1472,7 @@ function rotateCVOnPlane(controller, startPosition, movement) { const transform = Transforms.eastNorthUpToFixedFrame( center, ellipsoid, - rotateCVTransform + rotateCVTransform, ); const oldGlobe = controller._globe; @@ -1554,7 +1559,7 @@ function rotateCVOnTerrain(controller, startPosition, movement) { const verticalCenter = IntersectionTests.rayPlane( ray, plane, - rotateCVVerticalCenter + rotateCVVerticalCenter, ); const projection = camera._projection; @@ -1567,7 +1572,7 @@ function rotateCVOnTerrain(controller, startPosition, movement) { const transform = Transforms.eastNorthUpToFixedFrame( center, ellipsoid, - rotateCVTransform + rotateCVTransform, ); let verticalTransform; @@ -1576,7 +1581,7 @@ function rotateCVOnTerrain(controller, startPosition, movement) { verticalCenter.y, verticalCenter.z, verticalCenter.x, - verticalCenter + verticalCenter, ); cart = projection.unproject(verticalCenter, rotateCVCart); ellipsoid.cartographicToCartesian(cart, verticalCenter); @@ -1584,7 +1589,7 @@ function rotateCVOnTerrain(controller, startPosition, movement) { verticalTransform = Transforms.eastNorthUpToFixedFrame( verticalCenter, ellipsoid, - rotateCVVerticalTransform + rotateCVVerticalTransform, ); } else { verticalTransform = transform; @@ -1605,7 +1610,7 @@ function rotateCVOnTerrain(controller, startPosition, movement) { const tangent = Cartesian3.cross( Cartesian3.UNIT_Z, Cartesian3.normalize(camera.position, rotateCVCartesian3), - rotateCVCartesian3 + rotateCVCartesian3, ); const dot = Cartesian3.dot(camera.right, tangent); @@ -1636,7 +1641,7 @@ function rotateCVOnTerrain(controller, startPosition, movement) { const right = Cartesian3.cross( camera.direction, camera.constrainedAxis, - tilt3DCartesian3 + tilt3DCartesian3, ); if ( !Cartesian3.equalsEpsilon(right, Cartesian3.ZERO, CesiumMath.EPSILON6) @@ -1663,7 +1668,7 @@ function rotateCVOnTerrain(controller, startPosition, movement) { const originalPosition = Cartesian3.clone( camera.positionWC, - rotateCVCartesian3 + rotateCVCartesian3, ); if (controller.enableCollisionDetection) { @@ -1680,7 +1685,7 @@ function rotateCVOnTerrain(controller, startPosition, movement) { Cartesian3.multiplyByScalar( camera.position, Math.sqrt(magSqrd), - camera.position + camera.position, ); } @@ -1688,14 +1693,14 @@ function rotateCVOnTerrain(controller, startPosition, movement) { const axis = Cartesian3.cross( originalPosition, camera.position, - originalPosition + originalPosition, ); Cartesian3.normalize(axis, axis); const quaternion = Quaternion.fromAxisAngle( axis, angle, - rotateCVQuaternion + rotateCVQuaternion, ); const rotation = Matrix3.fromQuaternion(quaternion, rotateCVMatrix); Matrix3.multiplyByVector(rotation, camera.direction, camera.direction); @@ -1751,7 +1756,7 @@ function zoomCV(controller, startPosition, movement) { const distanceUnderground = getZoomDistanceUnderground( controller, ray, - height + height, ); if (defined(distance)) { distance = Math.min(distance, distanceUnderground); @@ -1771,7 +1776,7 @@ function zoomCV(controller, startPosition, movement) { startPosition, movement, controller.zoomFactor, - distance + distance, ); } @@ -1786,7 +1791,7 @@ function updateCV(controller) { controller.rotateEventTypes, rotate3D, controller.inertiaSpin, - "_lastInertiaSpinMovement" + "_lastInertiaSpinMovement", ); reactToInput( controller, @@ -1794,7 +1799,7 @@ function updateCV(controller) { controller.zoomEventTypes, zoom3D, controller.inertiaZoom, - "_lastInertiaZoomMovement" + "_lastInertiaZoomMovement", ); } else { const tweens = controller._tweens; @@ -1809,7 +1814,7 @@ function updateCV(controller) { controller.tiltEventTypes, rotateCV, controller.inertiaSpin, - "_lastInertiaTiltMovement" + "_lastInertiaTiltMovement", ); reactToInput( controller, @@ -1817,7 +1822,7 @@ function updateCV(controller) { controller.translateEventTypes, translateCV, controller.inertiaTranslate, - "_lastInertiaTranslateMovement" + "_lastInertiaTranslateMovement", ); reactToInput( controller, @@ -1825,13 +1830,13 @@ function updateCV(controller) { controller.zoomEventTypes, zoomCV, controller.inertiaZoom, - "_lastInertiaZoomMovement" + "_lastInertiaZoomMovement", ); reactToInput( controller, controller.enableLook, controller.lookEventTypes, - look3D + look3D, ); if ( @@ -1839,7 +1844,7 @@ function updateCV(controller) { !tweens.contains(controller._tween) ) { const tween = camera.createCorrectPositionTween( - controller.bounceAnimationTime + controller.bounceAnimationTime, ); if (defined(tween)) { controller._tween = tweens.add(tween); @@ -1869,12 +1874,12 @@ function strafe(controller, movement, strafeStartPosition) { const plane = Plane.fromPointNormal( strafeStartPosition, direction, - scratchStrafePlane + scratchStrafePlane, ); const intersection = IntersectionTests.rayPlane( ray, plane, - scratchStrafeIntersection + scratchStrafeIntersection, ); if (!defined(intersection)) { return; @@ -1941,7 +1946,7 @@ function spin3D(controller, startPosition, movement) { const height = ellipsoid.cartesianToCartographic( camera.positionWC, - scratchCartographic + scratchCartographic, ).height; const globe = controller._globe; @@ -1949,13 +1954,13 @@ function spin3D(controller, startPosition, movement) { const mousePos = pickPosition( controller, movement.startPosition, - scratchMousePosition + scratchMousePosition, ); if (defined(mousePos)) { let strafing = false; const ray = camera.getPickRay( movement.startPosition, - pickGlobeScratchRay + pickGlobeScratchRay, ); if (cameraUnderground) { @@ -1998,8 +2003,8 @@ function spin3D(controller, startPosition, movement) { camera.pickEllipsoid( movement.startPosition, controller._ellipsoid, - spin3DPick - ) + spin3DPick, + ), ) ) { pan3D(controller, startPosition, movement, controller._ellipsoid); @@ -2021,7 +2026,7 @@ function rotate3D( movement, constrainedAxis, rotateOnlyVertical, - rotateOnlyHorizontal + rotateOnlyHorizontal, ) { rotateOnlyVertical = defaultValue(rotateOnlyVertical, false); rotateOnlyHorizontal = defaultValue(rotateOnlyHorizontal, false); @@ -2054,7 +2059,7 @@ function rotate3D( phiWindowRatio = Math.min(phiWindowRatio, controller.maximumMovementRatio); thetaWindowRatio = Math.min( thetaWindowRatio, - controller.maximumMovementRatio + controller.maximumMovementRatio, ); const deltaPhi = rotateRate * phiWindowRatio * Math.PI * 2.0; @@ -2089,15 +2094,15 @@ function pan3D(controller, startPosition, movement, ellipsoid) { const startMousePosition = Cartesian2.clone( movement.startPosition, - pan3DStartMousePosition + pan3DStartMousePosition, ); const endMousePosition = Cartesian2.clone( movement.endPosition, - pan3DEndMousePosition + pan3DEndMousePosition, ); const height = ellipsoid.cartesianToCartographic( camera.positionWC, - scratchCartographic + scratchCartographic, ).height; let p0, p1; @@ -2113,7 +2118,7 @@ function pan3D(controller, startPosition, movement, ellipsoid) { !defined(controller._globe) && !Cartesian2.equalsEpsilon( startMousePosition, - controller._panLastMousePosition + controller._panLastMousePosition, ) ) { p0 = pickPosition(controller, startMousePosition, pan3DP0); @@ -2124,7 +2129,7 @@ function pan3D(controller, startPosition, movement, ellipsoid) { const toCenterProj = Cartesian3.multiplyByScalar( camera.directionWC, Cartesian3.dot(camera.directionWC, toCenter), - pan3DTemp1 + pan3DTemp1, ); const distanceToNearPlane = Cartesian3.magnitude(toCenterProj); const pixelDimensions = camera.frustum.getPixelDimensions( @@ -2132,33 +2137,35 @@ function pan3D(controller, startPosition, movement, ellipsoid) { scene.drawingBufferHeight, distanceToNearPlane, scene.pixelRatio, - pan3DPixelDimensions + pan3DPixelDimensions, ); const dragDelta = Cartesian2.subtract( endMousePosition, startMousePosition, - pan3DDiffMousePosition + pan3DDiffMousePosition, ); // Move the camera to the the distance the cursor moved in worldspace const right = Cartesian3.multiplyByScalar( camera.rightWC, dragDelta.x * pixelDimensions.x, - pan3DTemp1 + pan3DTemp1, ); // Move the camera towards the picked position in worldspace as the camera is pointed towards a horizon view const cameraPositionNormal = Cartesian3.normalize( camera.positionWC, - scratchCameraPositionNormal + scratchCameraPositionNormal, ); - const endPickDirection = camera.getPickRay(endMousePosition, panRay) - .direction; + const endPickDirection = camera.getPickRay( + endMousePosition, + panRay, + ).direction; const endPickProj = Cartesian3.subtract( endPickDirection, Cartesian3.projectVector(endPickDirection, camera.rightWC, pan3DTemp2), - pan3DTemp2 + pan3DTemp2, ); const angle = Cartesian3.angleBetween(endPickProj, camera.directionWC); let forward = 1.0; @@ -2166,7 +2173,7 @@ function pan3D(controller, startPosition, movement, ellipsoid) { forward = Math.max(Math.tan(angle), 0.1); // Clamp so we don't make the magnitude infinitely large when the angle is small } let dot = Math.abs( - Cartesian3.dot(camera.directionWC, cameraPositionNormal) + Cartesian3.dot(camera.directionWC, cameraPositionNormal), ); const magnitude = ((-dragDelta.y * pixelDimensions.y * 2.0) / Math.sqrt(forward)) * @@ -2174,7 +2181,7 @@ function pan3D(controller, startPosition, movement, ellipsoid) { const direction = Cartesian3.multiplyByScalar( endPickDirection, magnitude, - pan3DTemp2 + pan3DTemp2, ); // Move the camera up the distance the cursor moved in worldspace as the camera is pointed towards the center @@ -2182,7 +2189,7 @@ function pan3D(controller, startPosition, movement, ellipsoid) { const up = Cartesian3.multiplyByScalar( camera.upWC, -dragDelta.y * (1.0 - dot) * pixelDimensions.y, - pan3DTemp3 + pan3DTemp3, ); p1 = Cartesian3.add(p0, right, pan3DP1); @@ -2267,11 +2274,11 @@ function pan3D(controller, startPosition, movement, ellipsoid) { const planeNormal = Cartesian3.cross(basis0, east, pan3DTemp0); const side0 = Cartesian3.dot( planeNormal, - Cartesian3.subtract(p0, basis0, pan3DTemp1) + Cartesian3.subtract(p0, basis0, pan3DTemp1), ); const side1 = Cartesian3.dot( planeNormal, - Cartesian3.subtract(p1, basis0, pan3DTemp1) + Cartesian3.subtract(p1, basis0, pan3DTemp1), ); let deltaTheta; @@ -2325,7 +2332,7 @@ function zoom3D(controller, startPosition, movement) { let intersection; const height = ellipsoid.cartesianToCartographic( camera.position, - zoom3DCartographic + zoom3DCartographic, ).height; const approachingCollision = @@ -2349,7 +2356,7 @@ function zoom3D(controller, startPosition, movement) { const distanceUnderground = getZoomDistanceUnderground( controller, ray, - height + height, ); if (defined(distance)) { distance = Math.min(distance, distanceUnderground); @@ -2364,7 +2371,7 @@ function zoom3D(controller, startPosition, movement) { const unitPosition = Cartesian3.normalize( camera.position, - zoom3DUnitPosition + zoom3DUnitPosition, ); handleZoom( controller, @@ -2372,7 +2379,7 @@ function zoom3D(controller, startPosition, movement) { movement, controller.zoomFactor, distance, - Cartesian3.dot(unitPosition, camera.direction) + Cartesian3.dot(unitPosition, camera.direction), ); } @@ -2408,7 +2415,7 @@ function tilt3D(controller, startPosition, movement) { if (controller._looking) { const up = controller._ellipsoid.geodeticSurfaceNormal( camera.position, - tilt3DLookUp + tilt3DLookUp, ); look3D(controller, startPosition, movement, up); return; @@ -2417,7 +2424,7 @@ function tilt3D(controller, startPosition, movement) { const ellipsoid = controller._ellipsoid; const cartographic = ellipsoid.cartesianToCartographic( camera.position, - tilt3DCart + tilt3DCart, ); if ( @@ -2440,7 +2447,7 @@ function tilt3DOnEllipsoid(controller, startPosition, movement) { const minHeight = controller.minimumZoomDistance * 0.25; const height = ellipsoid.cartesianToCartographic( camera.positionWC, - tilt3DOnEllipsoidCartographic + tilt3DOnEllipsoidCartographic, ).height; if ( height - minHeight - 1.0 < CesiumMath.EPSILON3 && @@ -2463,25 +2470,25 @@ function tilt3DOnEllipsoid(controller, startPosition, movement) { } else if (height > controller._minimumTrackBallHeight) { const grazingAltitudeLocation = IntersectionTests.grazingAltitudeLocation( ray, - ellipsoid + ellipsoid, ); if (!defined(grazingAltitudeLocation)) { return; } const grazingAltitudeCart = ellipsoid.cartesianToCartographic( grazingAltitudeLocation, - tilt3DCart + tilt3DCart, ); grazingAltitudeCart.height = 0.0; center = ellipsoid.cartographicToCartesian( grazingAltitudeCart, - tilt3DCenter + tilt3DCenter, ); } else { controller._looking = true; const up = controller._ellipsoid.geodeticSurfaceNormal( camera.position, - tilt3DLookUp + tilt3DLookUp, ); look3D(controller, startPosition, movement, up); Cartesian2.clone(startPosition, controller._tiltCenterMousePosition); @@ -2491,7 +2498,7 @@ function tilt3DOnEllipsoid(controller, startPosition, movement) { const transform = Transforms.eastNorthUpToFixedFrame( center, ellipsoid, - tilt3DTransform + tilt3DTransform, ); const oldGlobe = controller._globe; @@ -2536,13 +2543,13 @@ function tilt3DOnTerrain(controller, startPosition, movement) { if (!defined(intersection)) { const cartographic = ellipsoid.cartesianToCartographic( camera.position, - tilt3DCart + tilt3DCart, ); if (cartographic.height <= controller._minimumTrackBallHeight) { controller._looking = true; const up = controller._ellipsoid.geodeticSurfaceNormal( camera.position, - tilt3DLookUp + tilt3DLookUp, ); look3D(controller, startPosition, movement, up); Cartesian2.clone(startPosition, controller._tiltCenterMousePosition); @@ -2588,12 +2595,12 @@ function tilt3DOnTerrain(controller, startPosition, movement) { const transform = Transforms.eastNorthUpToFixedFrame( center, ellipsoid, - tilt3DTransform + tilt3DTransform, ); const verticalTransform = Transforms.eastNorthUpToFixedFrame( verticalCenter, newEllipsoid, - tilt3DVerticalTransform + tilt3DVerticalTransform, ); const oldGlobe = controller._globe; @@ -2611,7 +2618,7 @@ function tilt3DOnTerrain(controller, startPosition, movement) { const tangent = Cartesian3.cross( verticalCenter, camera.positionWC, - tilt3DCartesian3 + tilt3DCartesian3, ); const dot = Cartesian3.dot(camera.rightWC, tangent); @@ -2642,7 +2649,7 @@ function tilt3DOnTerrain(controller, startPosition, movement) { const right = Cartesian3.cross( camera.direction, camera.constrainedAxis, - tilt3DCartesian3 + tilt3DCartesian3, ); if ( !Cartesian3.equalsEpsilon(right, Cartesian3.ZERO, CesiumMath.EPSILON6) @@ -2669,7 +2676,7 @@ function tilt3DOnTerrain(controller, startPosition, movement) { const originalPosition = Cartesian3.clone( camera.positionWC, - tilt3DCartesian3 + tilt3DCartesian3, ); if (controller.enableCollisionDetection) { @@ -2686,7 +2693,7 @@ function tilt3DOnTerrain(controller, startPosition, movement) { Cartesian3.multiplyByScalar( camera.position, Math.sqrt(magSqrd), - camera.position + camera.position, ); } @@ -2694,7 +2701,7 @@ function tilt3DOnTerrain(controller, startPosition, movement) { const axis = Cartesian3.cross( originalPosition, camera.position, - originalPosition + originalPosition, ); Cartesian3.normalize(axis, axis); @@ -2805,17 +2812,17 @@ function look3D(controller, startPosition, movement, rotationAxis) { const direction = camera.direction; const negativeRotationAxis = Cartesian3.negate( rotationAxis, - look3DNegativeRot + look3DNegativeRot, ); const northParallel = Cartesian3.equalsEpsilon( direction, rotationAxis, - CesiumMath.EPSILON2 + CesiumMath.EPSILON2, ); const southParallel = Cartesian3.equalsEpsilon( direction, negativeRotationAxis, - CesiumMath.EPSILON2 + CesiumMath.EPSILON2, ); if (!northParallel && !southParallel) { dot = Cartesian3.dot(direction, rotationAxis); @@ -2847,7 +2854,7 @@ function update3D(controller) { controller.rotateEventTypes, spin3D, controller.inertiaSpin, - "_lastInertiaSpinMovement" + "_lastInertiaSpinMovement", ); reactToInput( controller, @@ -2855,7 +2862,7 @@ function update3D(controller) { controller.zoomEventTypes, zoom3D, controller.inertiaZoom, - "_lastInertiaZoomMovement" + "_lastInertiaZoomMovement", ); reactToInput( controller, @@ -2863,13 +2870,13 @@ function update3D(controller) { controller.tiltEventTypes, tilt3D, controller.inertiaSpin, - "_lastInertiaTiltMovement" + "_lastInertiaTiltMovement", ); reactToInput( controller, controller.enableLook, controller.lookEventTypes, - look3D + look3D, ); } @@ -2944,7 +2951,7 @@ function adjustHeightForTerrain(controller, cameraChanged) { Cartesian3.multiplyByScalar( camera.position, Math.max(mag, controller.minimumZoomDistance), - camera.position + camera.position, ); Cartesian3.normalize(camera.direction, camera.direction); Cartesian3.cross(camera.direction, camera.up, camera.right); @@ -2993,17 +3000,17 @@ ScreenSpaceCameraController.prototype.update = function () { this._minimumCollisionTerrainHeight = VerticalExaggeration.getHeight( this.minimumCollisionTerrainHeight, verticalExaggeration, - verticalExaggerationRelativeHeight + verticalExaggerationRelativeHeight, ); this._minimumPickingTerrainHeight = VerticalExaggeration.getHeight( this.minimumPickingTerrainHeight, verticalExaggeration, - verticalExaggerationRelativeHeight + verticalExaggerationRelativeHeight, ); this._minimumTrackBallHeight = VerticalExaggeration.getHeight( this.minimumTrackBallHeight, verticalExaggeration, - verticalExaggerationRelativeHeight + verticalExaggerationRelativeHeight, ); this._cameraUnderground = scene.cameraUnderground && defined(this._globe); @@ -3015,11 +3022,11 @@ ScreenSpaceCameraController.prototype.update = function () { this._adjustedHeightForTerrain = false; const previousPosition = Cartesian3.clone( camera.positionWC, - scratchPreviousPosition + scratchPreviousPosition, ); const previousDirection = Cartesian3.clone( camera.directionWC, - scratchPreviousDirection + scratchPreviousDirection, ); if (mode === SceneMode.SCENE2D) { diff --git a/packages/engine/Source/Scene/SensorVolumePortionToDisplay.js b/packages/engine/Source/Scene/SensorVolumePortionToDisplay.js index 98a3389b9e0c..1a6bd126eece 100644 --- a/packages/engine/Source/Scene/SensorVolumePortionToDisplay.js +++ b/packages/engine/Source/Scene/SensorVolumePortionToDisplay.js @@ -61,7 +61,7 @@ SensorVolumePortionToDisplay.toString = function (portionToDisplay) { return "ABOVE_ELLIPSOID_HORIZON"; default: throw new DeveloperError( - "SensorVolumePortionToDisplay value is not valid and cannot be converted to a String." + "SensorVolumePortionToDisplay value is not valid and cannot be converted to a String.", ); } }; diff --git a/packages/engine/Source/Scene/ShadowMap.js b/packages/engine/Source/Scene/ShadowMap.js index 3d8aaf1bd858..448d9816bf1e 100644 --- a/packages/engine/Source/Scene/ShadowMap.js +++ b/packages/engine/Source/Scene/ShadowMap.js @@ -331,15 +331,15 @@ function createRenderStates(shadowMap) { const colorMask = !shadowMap._usesDepthTexture; shadowMap._primitiveRenderState = createRenderState( colorMask, - shadowMap._primitiveBias + shadowMap._primitiveBias, ); shadowMap._terrainRenderState = createRenderState( colorMask, - shadowMap._terrainBias + shadowMap._terrainBias, ); shadowMap._pointRenderState = createRenderState( colorMask, - shadowMap._pointBias + shadowMap._pointBias, ); } @@ -715,7 +715,7 @@ function resize(shadowMap, size) { size, size, size, - size + size, ); } @@ -724,7 +724,7 @@ function resize(shadowMap, size) { 0, 0, textureSize.x, - textureSize.y + textureSize.y, ); // Transforms shadow coordinates [0, 1] into the pass's region of the texture @@ -751,7 +751,7 @@ function resize(shadowMap, size) { 0.0, 0.0, 0.0, - 1.0 + 1.0, ); } } @@ -988,20 +988,20 @@ function applyDebugSettings(shadowMap, frameState) { uniformScale, uniformScale, uniformScale, - scratchScale + scratchScale, ); const modelMatrix = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, scale, - scratchMatrix + scratchMatrix, ); shadowMap._debugLightFrustum = shadowMap._debugLightFrustum && shadowMap._debugLightFrustum.destroy(); shadowMap._debugLightFrustum = createDebugPointLight( modelMatrix, - Color.YELLOW + Color.YELLOW, ); } shadowMap._debugLightFrustum.update(frameState); @@ -1057,7 +1057,7 @@ const scaleBiasMatrix = new Matrix4( 0.0, 0.0, 0.0, - 1.0 + 1.0, ); ShadowMapCamera.prototype.getViewProjection = function () { @@ -1067,7 +1067,7 @@ ShadowMapCamera.prototype.getViewProjection = function () { Matrix4.multiply( scaleBiasMatrix, this.viewProjectionMatrix, - this.viewProjectionMatrix + this.viewProjectionMatrix, ); return this.viewProjectionMatrix; }; @@ -1121,7 +1121,7 @@ function computeCascades(shadowMap, frameState) { for (i = 0; i < numberOfCascades; ++i) { cascadeDistances[i] = Math.min( cascadeDistances[i], - shadowMap._maximumCascadeDistances[i] + shadowMap._maximumCascadeDistances[i], ); } @@ -1159,16 +1159,16 @@ function computeCascades(shadowMap, frameState) { const viewProjection = Matrix4.multiply( cascadeSubFrustum.projectionMatrix, sceneCamera.viewMatrix, - scratchMatrix + scratchMatrix, ); const inverseViewProjection = Matrix4.inverse( viewProjection, - scratchMatrix + scratchMatrix, ); const shadowMapMatrix = Matrix4.multiply( shadowViewProjection, inverseViewProjection, - scratchMatrix + scratchMatrix, ); // Project each corner from camera NDC space to shadow map texture space. Min and max will be from 0 to 1. @@ -1176,19 +1176,19 @@ function computeCascades(shadowMap, frameState) { Number.MAX_VALUE, Number.MAX_VALUE, Number.MAX_VALUE, - scratchMin + scratchMin, ); const max = Cartesian3.fromElements( -Number.MAX_VALUE, -Number.MAX_VALUE, -Number.MAX_VALUE, - scratchMax + scratchMax, ); for (let k = 0; k < 8; ++k) { const corner = Cartesian4.clone( frustumCornersNDC[k], - scratchFrustumCorners[k] + scratchFrustumCorners[k], ); Matrix4.multiplyByVector(shadowMapMatrix, corner, corner); Cartesian3.divideByScalar(corner, corner.w, corner); // Handle the perspective divide @@ -1219,7 +1219,7 @@ function computeCascades(shadowMap, frameState) { pass.cullingVolume = cascadeCamera.frustum.computeCullingVolume( position, direction, - up + up, ); // Transforms from eye space to the cascade's texture space @@ -1227,7 +1227,7 @@ function computeCascades(shadowMap, frameState) { Matrix4.multiply( cascadeCamera.getViewProjection(), sceneCamera.inverseViewMatrix, - cascadeMatrix + cascadeMatrix, ); Matrix4.multiply(pass.textureOffsets, cascadeMatrix, cascadeMatrix); } @@ -1246,7 +1246,7 @@ function fitShadowMapToScene(shadowMap, frameState) { const viewProjection = Matrix4.multiply( sceneCamera.frustum.projectionMatrix, sceneCamera.viewMatrix, - scratchMatrix + scratchMatrix, ); const inverseViewProjection = Matrix4.inverse(viewProjection, scratchMatrix); @@ -1264,7 +1264,7 @@ function fitShadowMapToScene(shadowMap, frameState) { 0.0, 0.0, 0.0, - scratchTranslation + scratchTranslation, ); let lightView = Matrix4.computeView( @@ -1272,12 +1272,12 @@ function fitShadowMapToScene(shadowMap, frameState) { lightDir, lightUp, lightRight, - scratchLightView + scratchLightView, ); const cameraToLight = Matrix4.multiply( lightView, inverseViewProjection, - scratchMatrix + scratchMatrix, ); // Project each corner from NDC space to light view space, and calculate a min and max in light view space @@ -1285,19 +1285,19 @@ function fitShadowMapToScene(shadowMap, frameState) { Number.MAX_VALUE, Number.MAX_VALUE, Number.MAX_VALUE, - scratchMin + scratchMin, ); const max = Cartesian3.fromElements( -Number.MAX_VALUE, -Number.MAX_VALUE, -Number.MAX_VALUE, - scratchMax + scratchMax, ); for (let i = 0; i < 8; ++i) { const corner = Cartesian4.clone( frustumCornersNDC[i], - scratchFrustumCorners[i] + scratchFrustumCorners[i], ); Matrix4.multiplyByVector(cameraToLight, corner, corner); Cartesian3.divideByScalar(corner, corner.w, corner); // Handle the perspective divide @@ -1336,11 +1336,11 @@ function fitShadowMapToScene(shadowMap, frameState) { Matrix4.inverse(lightView, shadowMapCamera.inverseViewMatrix); Matrix4.getTranslation( shadowMapCamera.inverseViewMatrix, - shadowMapCamera.positionWC + shadowMapCamera.positionWC, ); frameState.mapProjection.ellipsoid.cartesianToCartographic( shadowMapCamera.positionWC, - shadowMapCamera.positionCartographic + shadowMapCamera.positionCartographic, ); Cartesian3.clone(lightDir, shadowMapCamera.directionWC); Cartesian3.clone(lightUp, shadowMapCamera.upWC); @@ -1385,10 +1385,11 @@ function computeOmnidirectional(shadowMap, frameState) { for (let i = 0; i < 6; ++i) { const camera = shadowMap._passes[i].camera; camera.positionWC = shadowMap._shadowMapCamera.positionWC; - camera.positionCartographic = frameState.mapProjection.ellipsoid.cartesianToCartographic( - camera.positionWC, - camera.positionCartographic - ); + camera.positionCartographic = + frameState.mapProjection.ellipsoid.cartesianToCartographic( + camera.positionWC, + camera.positionCartographic, + ); camera.directionWC = directions[i]; camera.upWC = ups[i]; camera.rightWC = rights[i]; @@ -1398,7 +1399,7 @@ function computeOmnidirectional(shadowMap, frameState) { camera.directionWC, camera.upWC, camera.rightWC, - camera.viewMatrix + camera.viewMatrix, ); Matrix4.inverse(camera.viewMatrix, camera.inverseViewMatrix); @@ -1427,13 +1428,14 @@ function checkVisibility(shadowMap, frameState) { } // If the light source is below the horizon then the shadow map is out of view - const surfaceNormal = frameState.mapProjection.ellipsoid.geodeticSurfaceNormal( - sceneCamera.positionWC, - scratchCartesian1 - ); + const surfaceNormal = + frameState.mapProjection.ellipsoid.geodeticSurfaceNormal( + sceneCamera.positionWC, + scratchCartesian1, + ); const lightDirection = Cartesian3.negate( shadowMapCamera.directionWC, - scratchCartesian2 + scratchCartesian2, ); const dot = Cartesian3.dot(surfaceNormal, lightDirection); if (shadowMap.fadingEnabled) { @@ -1443,7 +1445,7 @@ function checkVisibility(shadowMap, frameState) { shadowMap._darkness = CesiumMath.lerp( 1.0, shadowMap.darkness, - darknessAmount + darknessAmount, ); } else { shadowMap._darkness = shadowMap.darkness; @@ -1477,9 +1479,9 @@ function checkVisibility(shadowMap, frameState) { Cartesian3.multiplyByScalar( shadowMapCamera.directionWC, frustumRadius, - scratchCenter + scratchCenter, ), - scratchCenter + scratchCenter, ); boundingSphere.center = frustumCenter; boundingSphere.radius = frustumRadius; @@ -1513,7 +1515,7 @@ function updateCameras(shadowMap, frameState) { Matrix4.multiplyByPointAsVector( camera.viewMatrix, shadowMapCamera.directionWC, - lightDirection + lightDirection, ); Cartesian3.normalize(lightDirection, lightDirection); Cartesian3.negate(lightDirection, lightDirection); @@ -1522,7 +1524,7 @@ function updateCameras(shadowMap, frameState) { Matrix4.multiplyByPoint( camera.viewMatrix, shadowMapCamera.positionWC, - shadowMap._lightPositionEC + shadowMap._lightPositionEC, ); shadowMap._lightPositionEC.w = shadowMap._pointLightRadius; @@ -1534,7 +1536,7 @@ function updateCameras(shadowMap, frameState) { // Push the far plane slightly further than the near plane to avoid degenerate frustum near = Math.min( frameState.shadowState.nearPlane, - shadowMap.maximumDistance + shadowMap.maximumDistance, ); far = Math.min(frameState.shadowState.farPlane, shadowMap.maximumDistance); far = Math.max(far, near + 1.0); @@ -1584,11 +1586,8 @@ ShadowMap.prototype.update = function (frameState) { const position = shadowMapCamera.positionWC; const direction = shadowMapCamera.directionWC; const up = shadowMapCamera.upWC; - this._shadowMapCullingVolume = shadowMapCamera.frustum.computeCullingVolume( - position, - direction, - up - ); + this._shadowMapCullingVolume = + shadowMapCamera.frustum.computeCullingVolume(position, direction, up); if (this._passes.length === 1) { // Since there is only one pass, use the shadow map camera as the pass camera. @@ -1596,7 +1595,7 @@ ShadowMap.prototype.update = function (frameState) { } } else { this._shadowMapCullingVolume = CullingVolume.fromBoundingSphere( - this._boundingSphere + this._boundingSphere, ); } } @@ -1608,7 +1607,7 @@ ShadowMap.prototype.update = function (frameState) { Matrix4.multiply( this._shadowMapCamera.getViewProjection(), inverseView, - this._shadowMapMatrix + this._shadowMapMatrix, ); } @@ -1630,8 +1629,8 @@ function combineUniforms(shadowMap, uniforms, isTerrain) { const bias = shadowMap._isPointLight ? shadowMap._pointBias : isTerrain - ? shadowMap._terrainBias - : shadowMap._primitiveBias; + ? shadowMap._terrainBias + : shadowMap._primitiveBias; const mapUniforms = { shadowMap_texture: function () { @@ -1668,7 +1667,7 @@ function combineUniforms(shadowMap, uniforms, isTerrain) { texelStepSize.y, bias.depthBias, bias.normalShadingSmooth, - this.combinedUniforms1 + this.combinedUniforms1, ); }, shadowMap_normalOffsetScaleDistanceMaxDistanceAndDarkness: function () { @@ -1677,7 +1676,7 @@ function combineUniforms(shadowMap, uniforms, isTerrain) { shadowMap._distance, shadowMap.maximumDistance, shadowMap._darkness, - this.combinedUniforms2 + this.combinedUniforms2, ); }, @@ -1694,7 +1693,7 @@ function createCastDerivedCommand( command, context, oldShaderId, - result + result, ) { let castShader; let castRenderState; @@ -1725,11 +1724,11 @@ function createCastDerivedCommand( isPointLight, isTerrain, usesDepthTexture, - isOpaque + isOpaque, ); castShader = context.shaderCache.getDerivedShaderProgram( shaderProgram, - keyword + keyword, ); if (!defined(castShader)) { const vertexShaderSource = shaderProgram.vertexShaderSource; @@ -1738,13 +1737,13 @@ function createCastDerivedCommand( const castVS = ShadowMapShader.createShadowCastVertexShader( vertexShaderSource, isPointLight, - isTerrain + isTerrain, ); const castFS = ShadowMapShader.createShadowCastFragmentShader( fragmentShaderSource, isPointLight, usesDepthTexture, - isOpaque + isOpaque, ); castShader = context.shaderCache.createDerivedShaderProgram( @@ -1754,7 +1753,7 @@ function createCastDerivedCommand( vertexShaderSource: castVS, fragmentShaderSource: castFS, attributeLocations: shaderProgram._attributeLocations, - } + }, ); } @@ -1789,7 +1788,7 @@ ShadowMap.createReceiveDerivedCommand = function ( command, shadowsDirty, context, - result + result, ) { if (!defined(result)) { result = {}; @@ -1818,7 +1817,7 @@ ShadowMap.createReceiveDerivedCommand = function ( result.receiveCommand = DrawCommand.shallowClone( command, - result.receiveCommand + result.receiveCommand, ); result.castShadows = false; result.receiveShadows = true; @@ -1840,24 +1839,24 @@ ShadowMap.createReceiveDerivedCommand = function ( lightShadowMaps[0], command.castShadows, isTerrain, - hasTerrainNormal + hasTerrainNormal, ); receiveShader = context.shaderCache.getDerivedShaderProgram( shaderProgram, - keyword + keyword, ); if (!defined(receiveShader)) { const receiveVS = ShadowMapShader.createShadowReceiveVertexShader( vertexShaderSource, isTerrain, - hasTerrainNormal + hasTerrainNormal, ); const receiveFS = ShadowMapShader.createShadowReceiveFragmentShader( fragmentShaderSource, lightShadowMaps[0], command.castShadows, isTerrain, - hasTerrainNormal + hasTerrainNormal, ); receiveShader = context.shaderCache.createDerivedShaderProgram( @@ -1867,14 +1866,14 @@ ShadowMap.createReceiveDerivedCommand = function ( vertexShaderSource: receiveVS, fragmentShaderSource: receiveFS, attributeLocations: shaderProgram._attributeLocations, - } + }, ); } receiveUniformMap = combineUniforms( lightShadowMaps[0], command.uniformMap, - isTerrain + isTerrain, ); } @@ -1892,7 +1891,7 @@ ShadowMap.createCastDerivedCommand = function ( command, shadowsDirty, context, - result + result, ) { if (!defined(result)) { result = {}; @@ -1916,7 +1915,7 @@ ShadowMap.createCastDerivedCommand = function ( command, context, oldShaderId, - castCommands[i] + castCommands[i], ); } diff --git a/packages/engine/Source/Scene/ShadowMapShader.js b/packages/engine/Source/Scene/ShadowMapShader.js index fc78fcfdd937..e7e56905787f 100644 --- a/packages/engine/Source/Scene/ShadowMapShader.js +++ b/packages/engine/Source/Scene/ShadowMapShader.js @@ -10,7 +10,7 @@ ShadowMapShader.getShadowCastShaderKeyword = function ( isPointLight, isTerrain, usesDepthTexture, - isOpaque + isOpaque, ) { return `castShadow ${isPointLight} ${isTerrain} ${usesDepthTexture} ${isOpaque}`; }; @@ -18,7 +18,7 @@ ShadowMapShader.getShadowCastShaderKeyword = function ( ShadowMapShader.createShadowCastVertexShader = function ( vs, isPointLight, - isTerrain + isTerrain, ) { const defines = vs.defines.slice(0); const sources = vs.sources.slice(0); @@ -58,7 +58,7 @@ ShadowMapShader.createShadowCastFragmentShader = function ( fs, isPointLight, usesDepthTexture, - opaque + opaque, ) { const defines = fs.defines.slice(0); const sources = fs.sources.slice(0); @@ -127,7 +127,7 @@ ShadowMapShader.getShadowReceiveShaderKeyword = function ( shadowMap, castShadows, isTerrain, - hasTerrainNormal + hasTerrainNormal, ) { const usesDepthTexture = shadowMap._usesDepthTexture; const polygonOffsetSupported = shadowMap._polygonOffsetSupported; @@ -143,7 +143,7 @@ ShadowMapShader.getShadowReceiveShaderKeyword = function ( ShadowMapShader.createShadowReceiveVertexShader = function ( vs, isTerrain, - hasTerrainNormal + hasTerrainNormal, ) { const defines = vs.defines.slice(0); const sources = vs.sources.slice(0); @@ -169,7 +169,7 @@ ShadowMapShader.createShadowReceiveFragmentShader = function ( shadowMap, castShadows, isTerrain, - hasTerrainNormal + hasTerrainNormal, ) { const normalVaryingName = ShaderSource.findNormalVarying(fs); const hasNormalVarying = @@ -189,8 +189,8 @@ ShadowMapShader.createShadowReceiveFragmentShader = function ( const bias = isPointLight ? shadowMap._pointBias : isTerrain - ? shadowMap._terrainBias - : shadowMap._primitiveBias; + ? shadowMap._terrainBias + : shadowMap._primitiveBias; const defines = fs.defines.slice(0); const sources = fs.sources.slice(0); @@ -199,7 +199,7 @@ ShadowMapShader.createShadowReceiveFragmentShader = function ( for (let i = 0; i < length; ++i) { sources[i] = ShaderSource.replaceMain( sources[i], - "czm_shadow_receive_main" + "czm_shadow_receive_main", ); } diff --git a/packages/engine/Source/Scene/ShadowVolumeAppearance.js b/packages/engine/Source/Scene/ShadowVolumeAppearance.js index 1e6f0603486f..0e16baa57971 100644 --- a/packages/engine/Source/Scene/ShadowVolumeAppearance.js +++ b/packages/engine/Source/Scene/ShadowVolumeAppearance.js @@ -77,7 +77,7 @@ function ShadowVolumeAppearance(extentsCulling, planarExtents, appearance) { * @returns {ShaderSource} Shader source for the fragment shader. */ ShadowVolumeAppearance.prototype.createFragmentShader = function ( - columbusView2D + columbusView2D, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.bool("columbusView2D", columbusView2D); @@ -141,7 +141,7 @@ ShadowVolumeAppearance.prototype.createFragmentShader = function ( }; ShadowVolumeAppearance.prototype.createPickFragmentShader = function ( - columbusView2D + columbusView2D, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.bool("columbusView2D", columbusView2D); @@ -185,7 +185,7 @@ ShadowVolumeAppearance.prototype.createVertexShader = function ( defines, vertexShaderSource, columbusView2D, - mapProjection + mapProjection, ) { //>>includeStart('debug', pragmas.debug); Check.defined("defines", defines); @@ -201,7 +201,7 @@ ShadowVolumeAppearance.prototype.createVertexShader = function ( vertexShaderSource, this._appearance, mapProjection, - this._projectionExtentDefines + this._projectionExtentDefines, ); }; @@ -218,7 +218,7 @@ ShadowVolumeAppearance.prototype.createPickVertexShader = function ( defines, vertexShaderSource, columbusView2D, - mapProjection + mapProjection, ) { //>>includeStart('debug', pragmas.debug); Check.defined("defines", defines); @@ -234,7 +234,7 @@ ShadowVolumeAppearance.prototype.createPickVertexShader = function ( vertexShaderSource, undefined, mapProjection, - this._projectionExtentDefines + this._projectionExtentDefines, ); }; @@ -252,7 +252,7 @@ function createShadowVolumeAppearanceVS( vertexShaderSource, appearance, mapProjection, - projectionExtentDefines + projectionExtentDefines, ) { const allDefines = defines.slice(); @@ -263,17 +263,17 @@ function createShadowVolumeAppearanceVS( eastMostCartographic.height = 0.0; const eastMostCartesian = mapProjection.project( eastMostCartographic, - longitudeExtentsCartesianScratch + longitudeExtentsCartesianScratch, ); let encoded = EncodedCartesian3.encode( eastMostCartesian.x, - longitudeExtentsEncodeScratch + longitudeExtentsEncodeScratch, ); projectionExtentDefines.eastMostYhighDefine = `EAST_MOST_X_HIGH ${encoded.high.toFixed( - `${encoded.high}`.length + 1 + `${encoded.high}`.length + 1, )}`; projectionExtentDefines.eastMostYlowDefine = `EAST_MOST_X_LOW ${encoded.low.toFixed( - `${encoded.low}`.length + 1 + `${encoded.low}`.length + 1, )}`; const westMostCartographic = longitudeExtentsCartographicScratch; @@ -282,17 +282,17 @@ function createShadowVolumeAppearanceVS( westMostCartographic.height = 0.0; const westMostCartesian = mapProjection.project( westMostCartographic, - longitudeExtentsCartesianScratch + longitudeExtentsCartesianScratch, ); encoded = EncodedCartesian3.encode( westMostCartesian.x, - longitudeExtentsEncodeScratch + longitudeExtentsEncodeScratch, ); projectionExtentDefines.westMostYhighDefine = `WEST_MOST_X_HIGH ${encoded.high.toFixed( - `${encoded.high}`.length + 1 + `${encoded.high}`.length + 1, )}`; projectionExtentDefines.westMostYlowDefine = `WEST_MOST_X_LOW ${encoded.low.toFixed( - `${encoded.low}`.length + 1 + `${encoded.low}`.length + 1, )}`; } @@ -422,7 +422,7 @@ function pointLineDistance(point1, point2, point) { (point2.y - point1.y) * point.x - (point2.x - point1.x) * point.y + point2.x * point1.y - - point2.y * point1.x + point2.y * point1.x, ) / Cartesian2.distance(point2, point1) ); } @@ -438,24 +438,24 @@ const points2DScratch = [ // This allows simulation of baked texture coordinates for EllipseGeometry, RectangleGeometry, and PolygonGeometry. function addTextureCoordinateRotationAttributes( attributes, - textureCoordinateRotationPoints + textureCoordinateRotationPoints, ) { const points2D = points2DScratch; const minXYCorner = Cartesian2.unpack( textureCoordinateRotationPoints, 0, - points2D[0] + points2D[0], ); const maxYCorner = Cartesian2.unpack( textureCoordinateRotationPoints, 2, - points2D[1] + points2D[1], ); const maxXCorner = Cartesian2.unpack( textureCoordinateRotationPoints, 4, - points2D[2] + points2D[2], ); attributes.uMaxVmax = new GeometryInstanceAttribute({ @@ -570,23 +570,23 @@ function computeRectangleBounds( height, southWestCornerResult, eastVectorResult, - northVectorResult + northVectorResult, ) { // Compute center of rectangle const centerCartographic = Rectangle.center( rectangle, - rectangleCenterScratch + rectangleCenterScratch, ); centerCartographic.height = height; const centerCartesian = Cartographic.toCartesian( centerCartographic, ellipsoid, - rectanglePointCartesianScratch + rectanglePointCartesianScratch, ); const enuMatrix = Transforms.eastNorthUpToFixedFrame( centerCartesian, ellipsoid, - enuMatrixScratch + enuMatrixScratch, ); const inverseEnu = Matrix4.inverse(enuMatrix, inverseEnuScratch); @@ -626,7 +626,7 @@ function computeRectangleBounds( const pointCartesian = Cartographic.toCartesian( cartographics[i], ellipsoid, - rectanglePointCartesianScratch + rectanglePointCartesianScratch, ); Matrix4.multiplyByPoint(inverseEnu, pointCartesian, pointCartesian); pointCartesian.z = 0.0; // flatten into XY plane of ENU coordinate system @@ -686,13 +686,13 @@ ShadowVolumeAppearance.getPlanarTextureCoordinateAttributes = function ( textureCoordinateRotationPoints, ellipsoid, projection, - height + height, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.object("boundingRectangle", boundingRectangle); Check.defined( "textureCoordinateRotationPoints", - textureCoordinateRotationPoints + textureCoordinateRotationPoints, ); Check.typeOf.object("ellipsoid", ellipsoid); Check.typeOf.object("projection", projection); @@ -707,13 +707,13 @@ ShadowVolumeAppearance.getPlanarTextureCoordinateAttributes = function ( defaultValue(height, 0.0), corner, eastward, - northward + northward, ); const attributes = {}; addTextureCoordinateRotationAttributes( attributes, - textureCoordinateRotationPoints + textureCoordinateRotationPoints, ); const encoded = EncodedCartesian3.fromCartesian(corner, encodeScratch); @@ -757,19 +757,19 @@ function latLongToSpherical(latitude, longitude, ellipsoid, result) { const spherePoint = Cartographic.toCartesian( cartographic, ellipsoid, - spherePointScratch + spherePointScratch, ); // Project into plane with vertical for latitude const magXY = Math.sqrt( - spherePoint.x * spherePoint.x + spherePoint.y * spherePoint.y + spherePoint.x * spherePoint.x + spherePoint.y * spherePoint.y, ); // Use fastApproximateAtan2 for alignment with shader const sphereLatitude = CesiumMath.fastApproximateAtan2(magXY, spherePoint.z); const sphereLongitude = CesiumMath.fastApproximateAtan2( spherePoint.x, - spherePoint.y + spherePoint.y, ); result.x = sphereLatitude; @@ -802,13 +802,13 @@ ShadowVolumeAppearance.getSphericalExtentGeometryInstanceAttributes = function ( boundingRectangle, textureCoordinateRotationPoints, ellipsoid, - projection + projection, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.object("boundingRectangle", boundingRectangle); Check.defined( "textureCoordinateRotationPoints", - textureCoordinateRotationPoints + textureCoordinateRotationPoints, ); Check.typeOf.object("ellipsoid", ellipsoid); Check.typeOf.object("projection", projection); @@ -819,7 +819,7 @@ ShadowVolumeAppearance.getSphericalExtentGeometryInstanceAttributes = function ( boundingRectangle.south, boundingRectangle.west, ellipsoid, - sphericalScratch + sphericalScratch, ); let south = southWestExtents.x; @@ -829,7 +829,7 @@ ShadowVolumeAppearance.getSphericalExtentGeometryInstanceAttributes = function ( boundingRectangle.north, boundingRectangle.east, ellipsoid, - sphericalScratch + sphericalScratch, ); let north = northEastExtents.x; let east = northEastExtents.y; @@ -869,14 +869,14 @@ ShadowVolumeAppearance.getSphericalExtentGeometryInstanceAttributes = function ( addTextureCoordinateRotationAttributes( attributes, - textureCoordinateRotationPoints + textureCoordinateRotationPoints, ); add2DTextureCoordinateAttributes(boundingRectangle, projection, attributes); return attributes; }; ShadowVolumeAppearance.hasAttributesForTextureCoordinatePlanes = function ( - attributes + attributes, ) { return ( defined(attributes.southWest_HIGH) && @@ -891,7 +891,7 @@ ShadowVolumeAppearance.hasAttributesForTextureCoordinatePlanes = function ( }; ShadowVolumeAppearance.hasAttributesForSphericalExtents = function ( - attributes + attributes, ) { return ( defined(attributes.sphericalExtents) && diff --git a/packages/engine/Source/Scene/SingleTileImageryProvider.js b/packages/engine/Source/Scene/SingleTileImageryProvider.js index 0487027ae47f..6e51fb8d22dc 100644 --- a/packages/engine/Source/Scene/SingleTileImageryProvider.js +++ b/packages/engine/Source/Scene/SingleTileImageryProvider.js @@ -261,7 +261,7 @@ function failure(resource, error, provider, previousError) { 0, 0, 0, - error + error, ); if (reportedError.retry) { return doRequest(resource, provider, reportedError); @@ -345,7 +345,7 @@ SingleTileImageryProvider.prototype.requestImage = async function ( x, y, level, - request + request, ) { if (!this._hasError && !defined(this._image)) { const image = await doRequest(this._resource, this); @@ -373,7 +373,7 @@ SingleTileImageryProvider.prototype.pickFeatures = function ( y, level, longitude, - latitude + latitude, ) { return undefined; }; diff --git a/packages/engine/Source/Scene/SkyAtmosphere.js b/packages/engine/Source/Scene/SkyAtmosphere.js index 628737ce5610..62bffed2f0ef 100644 --- a/packages/engine/Source/Scene/SkyAtmosphere.js +++ b/packages/engine/Source/Scene/SkyAtmosphere.js @@ -66,7 +66,7 @@ function SkyAtmosphere(ellipsoid) { const scaleVector = Cartesian3.multiplyByScalar( ellipsoid.radii, outerEllipsoidScale, - new Cartesian3() + new Cartesian3(), ); this._scaleMatrix = Matrix4.fromScale(scaleVector); this._modelMatrix = new Matrix4(); @@ -251,17 +251,17 @@ SkyAtmosphere.prototype.update = function (frameState, globe) { const rotationMatrix = Matrix4.fromRotationTranslation( frameState.context.uniformState.inverseViewRotation, Cartesian3.ZERO, - scratchModelMatrix + scratchModelMatrix, ); const rotationOffsetMatrix = Matrix4.multiplyTransformation( rotationMatrix, Axis.Y_UP_TO_Z_UP, - scratchModelMatrix + scratchModelMatrix, ); const modelMatrix = Matrix4.multiply( this._scaleMatrix, rotationOffsetMatrix, - scratchModelMatrix + scratchModelMatrix, ); Matrix4.clone(modelMatrix, this._modelMatrix); @@ -281,7 +281,7 @@ SkyAtmosphere.prototype.update = function (frameState, globe) { slicePartitions: 256, stackPartitions: 256, vertexFormat: VertexFormat.POSITION_ONLY, - }) + }), ); command.vertexArray = VertexArray.fromGeometry({ context: context, @@ -346,17 +346,17 @@ function hasColorCorrection(skyAtmosphere) { CesiumMath.equalsEpsilon( skyAtmosphere.hueShift, 0.0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ) && CesiumMath.equalsEpsilon( skyAtmosphere.saturationShift, 0.0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ) && CesiumMath.equalsEpsilon( skyAtmosphere.brightnessShift, 0.0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ) ); } diff --git a/packages/engine/Source/Scene/SkyBox.js b/packages/engine/Source/Scene/SkyBox.js index 66c374c76099..aa175b9d405b 100644 --- a/packages/engine/Source/Scene/SkyBox.js +++ b/packages/engine/Source/Scene/SkyBox.js @@ -119,22 +119,22 @@ SkyBox.prototype.update = function (frameState, useHdr) { Check.defined("this.sources", sources); if ( Object.values(CubeMap.FaceName).some( - (faceName) => !defined(sources[faceName]) + (faceName) => !defined(sources[faceName]), ) ) { throw new DeveloperError( - "this.sources must have positiveX, negativeX, positiveY, negativeY, positiveZ, and negativeZ properties." + "this.sources must have positiveX, negativeX, positiveY, negativeY, positiveZ, and negativeZ properties.", ); } const sourceType = typeof sources.positiveX; if ( Object.values(CubeMap.FaceName).some( - (faceName) => typeof sources[faceName] !== sourceType + (faceName) => typeof sources[faceName] !== sourceType, ) ) { throw new DeveloperError( - "this.sources properties must all be the same type." + "this.sources properties must all be the same type.", ); } //>>includeEnd('debug'); @@ -167,11 +167,10 @@ SkyBox.prototype.update = function (frameState, useHdr) { BoxGeometry.fromDimensions({ dimensions: new Cartesian3(2.0, 2.0, 2.0), vertexFormat: VertexFormat.POSITION_ONLY, - }) + }), ); - const attributeLocations = (this._attributeLocations = GeometryPipeline.createAttributeLocations( - geometry - )); + const attributeLocations = (this._attributeLocations = + GeometryPipeline.createAttributeLocations(geometry)); command.vertexArray = VertexArray.fromGeometry({ context: context, diff --git a/packages/engine/Source/Scene/SpatialNode.js b/packages/engine/Source/Scene/SpatialNode.js index 2cd71600211c..1617bb41ab23 100644 --- a/packages/engine/Source/Scene/SpatialNode.js +++ b/packages/engine/Source/Scene/SpatialNode.js @@ -75,12 +75,12 @@ SpatialNode.prototype.computeBoundingVolumes = function (shape) { this.x, this.y, this.z, - this.orientedBoundingBox + this.orientedBoundingBox, ); const halfScale = Matrix3.getScale( this.orientedBoundingBox.halfAxes, - scratchObbHalfScale + scratchObbHalfScale, ); const maximumScale = 2.0 * Cartesian3.maximumComponent(halfScale); this.approximateVoxelSize = @@ -134,7 +134,7 @@ SpatialNode.prototype.visibility = function (frameState, visibilityPlaneMask) { */ SpatialNode.prototype.computeScreenSpaceError = function ( cameraPosition, - screenSpaceErrorMultiplier + screenSpaceErrorMultiplier, ) { const obb = this.orientedBoundingBox; @@ -164,7 +164,7 @@ function findKeyframeIndex(keyframe, keyframeNodes) { return binarySearch( keyframeNodes, scratchBinarySearchKeyframeNode, - KeyframeNode.searchComparator + KeyframeNode.searchComparator, ); } @@ -174,7 +174,7 @@ function findKeyframeIndex(keyframe, keyframeNodes) { * @param {number} keyframeLocation */ SpatialNode.prototype.computeSurroundingRenderableKeyframeNodes = function ( - keyframeLocation + keyframeLocation, ) { let spatialNode = this; const startLevel = spatialNode.level; @@ -193,7 +193,7 @@ SpatialNode.prototype.computeSurroundingRenderableKeyframeNodes = function ( if (renderableKeyframeNodes.length >= 1) { const indexPrev = getKeyframeIndexPrev( targetKeyframePrev, - renderableKeyframeNodes + renderableKeyframeNodes, ); const keyframeNodePrev = renderableKeyframeNodes[indexPrev]; @@ -207,7 +207,7 @@ SpatialNode.prototype.computeSurroundingRenderableKeyframeNodes = function ( const distancePrev = targetKeyframePrev - keyframeNodePrev.keyframe; const weightedDistancePrev = getWeightedKeyframeDistance( startLevel - spatialNode.level, - distancePrev + distancePrev, ); if (weightedDistancePrev < minimumDistancePrev) { minimumDistancePrev = weightedDistancePrev; @@ -217,7 +217,7 @@ SpatialNode.prototype.computeSurroundingRenderableKeyframeNodes = function ( const distanceNext = keyframeNodeNext.keyframe - targetKeyframeNext; const weightedDistanceNext = getWeightedKeyframeDistance( startLevel - spatialNode.level, - distanceNext + distanceNext, ); if (weightedDistanceNext < minimumDistanceNext) { minimumDistanceNext = weightedDistanceNext; @@ -249,7 +249,7 @@ SpatialNode.prototype.computeSurroundingRenderableKeyframeNodes = function ( (keyframeLocation - bestKeyframePrev) / (bestKeyframeNext - bestKeyframePrev), 0.0, - 1.0 + 1.0, ); }; @@ -294,7 +294,7 @@ SpatialNode.prototype.createKeyframeNode = function (keyframe) { */ SpatialNode.prototype.destroyKeyframeNode = function ( keyframeNode, - megatextures + megatextures, ) { const keyframe = keyframeNode.keyframe; const keyframeIndex = findKeyframeIndex(keyframe, this.keyframeNodes); @@ -311,7 +311,7 @@ SpatialNode.prototype.destroyKeyframeNode = function ( const renderableKeyframeNodeIndex = findKeyframeIndex( keyframe, - this.renderableKeyframeNodes + this.renderableKeyframeNodes, ); if (renderableKeyframeNodeIndex < 0) { throw new DeveloperError("Renderable keyframe node does not exist."); @@ -334,7 +334,7 @@ SpatialNode.prototype.destroyKeyframeNode = function ( */ SpatialNode.prototype.addKeyframeNodeToMegatextures = function ( keyframeNode, - megatextures + megatextures, ) { if ( keyframeNode.state !== KeyframeNode.LoadState.RECEIVED || @@ -354,7 +354,7 @@ SpatialNode.prototype.addKeyframeNodeToMegatextures = function ( const renderableKeyframeNodes = this.renderableKeyframeNodes; let renderableKeyframeNodeIndex = findKeyframeIndex( keyframeNode.keyframe, - renderableKeyframeNodes + renderableKeyframeNodes, ); if (renderableKeyframeNodeIndex >= 0) { throw new DeveloperError("Keyframe already renderable"); diff --git a/packages/engine/Source/Scene/SphereEmitter.js b/packages/engine/Source/Scene/SphereEmitter.js index 4925d0847225..bb58256c0168 100644 --- a/packages/engine/Source/Scene/SphereEmitter.js +++ b/packages/engine/Source/Scene/SphereEmitter.js @@ -60,7 +60,7 @@ SphereEmitter.prototype.emit = function (particle) { particle.position = Cartesian3.fromElements(x, y, z, particle.position); particle.velocity = Cartesian3.normalize( particle.position, - particle.velocity + particle.velocity, ); }; export default SphereEmitter; diff --git a/packages/engine/Source/Scene/StructuralMetadata.js b/packages/engine/Source/Scene/StructuralMetadata.js index 5d9fae62e338..f97c513041ad 100644 --- a/packages/engine/Source/Scene/StructuralMetadata.js +++ b/packages/engine/Source/Scene/StructuralMetadata.js @@ -231,7 +231,7 @@ StructuralMetadata.prototype.getPropertyTexture = function (propertyTextureId) { * @private */ StructuralMetadata.prototype.getPropertyAttribute = function ( - propertyAttributeId + propertyAttributeId, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.number("propertyAttributeId", propertyAttributeId); diff --git a/packages/engine/Source/Scene/StyleExpression.js b/packages/engine/Source/Scene/StyleExpression.js index 10e49410f645..d8ad4339084d 100644 --- a/packages/engine/Source/Scene/StyleExpression.js +++ b/packages/engine/Source/Scene/StyleExpression.js @@ -67,7 +67,7 @@ StyleExpression.prototype.getShaderFunction = function ( functionSignature, variableSubstitutionMap, shaderState, - returnType + returnType, ) { DeveloperError.throwInstantiationError(); }; diff --git a/packages/engine/Source/Scene/Sun.js b/packages/engine/Source/Scene/Sun.js index 45eeb785a7c1..5a3d9d069b51 100644 --- a/packages/engine/Source/Scene/Sun.js +++ b/packages/engine/Source/Scene/Sun.js @@ -270,11 +270,15 @@ Sun.prototype.update = function (frameState, passState, useHdr) { const position = SceneTransforms.computeActualEllipsoidPosition( frameState, sunPosition, - scratchCartesian4 + scratchCartesian4, ); const dist = Cartesian3.magnitude( - Cartesian3.subtract(position, frameState.camera.position, scratchCartesian4) + Cartesian3.subtract( + position, + frameState.camera.position, + scratchCartesian4, + ), ); const projMatrix = context.uniformState.projection; @@ -287,28 +291,28 @@ Sun.prototype.update = function (frameState, passState, useHdr) { const positionCC = Matrix4.multiplyByVector( projMatrix, positionEC, - scratchCartesian4 + scratchCartesian4, ); const positionWC = SceneTransforms.clipToGLWindowCoordinates( passState.viewport, positionCC, - scratchPositionWC + scratchPositionWC, ); positionEC.x = CesiumMath.SOLAR_RADIUS; const limbCC = Matrix4.multiplyByVector( projMatrix, positionEC, - scratchCartesian4 + scratchCartesian4, ); const limbWC = SceneTransforms.clipToGLWindowCoordinates( passState.viewport, limbCC, - scratchLimbWC + scratchLimbWC, ); this._size = Cartesian2.magnitude( - Cartesian2.subtract(limbWC, positionWC, scratchCartesian4) + Cartesian2.subtract(limbWC, positionWC, scratchCartesian4), ); this._size = 2.0 * this._size * (1.0 + 2.0 * this._glowLengthTS); this._size = Math.ceil(this._size); diff --git a/packages/engine/Source/Scene/SunPostProcess.js b/packages/engine/Source/Scene/SunPostProcess.js index 81277c2ef6ca..ab025a5d4889 100644 --- a/packages/engine/Source/Scene/SunPostProcess.js +++ b/packages/engine/Source/Scene/SunPostProcess.js @@ -154,18 +154,18 @@ function updateSunPosition(postProcess, context, viewport) { viewport, 0.0, 1.0, - postProcessMatrix4Scratch + postProcessMatrix4Scratch, ); const sunPositionEC = Matrix4.multiplyByPoint( viewMatrix, sunPosition, - sunPositionECScratch + sunPositionECScratch, ); let sunPositionWC = Transforms.pointToGLWindowCoordinates( viewProjectionMatrix, viewportTransformation, sunPosition, - sunPositionWCScratch + sunPositionWCScratch, ); sunPositionEC.x += CesiumMath.SOLAR_RADIUS; @@ -173,7 +173,7 @@ function updateSunPosition(postProcess, context, viewport) { projectionMatrix, viewportTransformation, sunPositionEC, - sunPositionEC + sunPositionEC, ); const sunSize = Cartesian2.magnitude(Cartesian2.subtract(limbWC, sunPositionWC, limbWC)) * @@ -205,13 +205,13 @@ function updateSunPosition(postProcess, context, viewport) { downSampleViewport, 0.0, 1.0, - postProcessMatrix4Scratch + postProcessMatrix4Scratch, ); sunPositionWC = Transforms.pointToGLWindowCoordinates( viewProjectionMatrix, viewportTransformation, sunPosition, - sunPositionWCScratch + sunPositionWCScratch, ); size.x *= downSampleWidth / width; diff --git a/packages/engine/Source/Scene/TerrainFillMesh.js b/packages/engine/Source/Scene/TerrainFillMesh.js index 9d1671493a63..a23c50ce30c5 100644 --- a/packages/engine/Source/Scene/TerrainFillMesh.js +++ b/packages/engine/Source/Scene/TerrainFillMesh.js @@ -50,7 +50,7 @@ function TerrainFillMesh(tile) { TerrainFillMesh.prototype.update = function ( tileProvider, frameState, - vertexArraysToDestroy + vertexArraysToDestroy, ) { if (this.changedThisFrame) { createFillMesh(tileProvider, frameState, this.tile, vertexArraysToDestroy); @@ -73,7 +73,7 @@ TerrainFillMesh.prototype.destroy = function (vertexArraysToDestroy) { }; TerrainFillMesh.prototype._destroyVertexArray = function ( - vertexArraysToDestroy + vertexArraysToDestroy, ) { if (defined(this.vertexArray)) { if (defined(vertexArraysToDestroy)) { @@ -91,7 +91,7 @@ TerrainFillMesh.updateFillTiles = function ( tileProvider, renderedTiles, frameState, - vertexArraysToDestroy + vertexArraysToDestroy, ) { // We want our fill tiles to look natural, which means they should align perfectly with // adjacent loaded tiles, and their edges that are not adjacent to loaded tiles should have @@ -136,7 +136,7 @@ TerrainFillMesh.updateFillTiles = function ( TileEdge.EAST, false, traversalQueue, - vertexArraysToDestroy + vertexArraysToDestroy, ); visitRenderedTiles( tileProvider, @@ -147,7 +147,7 @@ TerrainFillMesh.updateFillTiles = function ( TileEdge.NORTH, false, traversalQueue, - vertexArraysToDestroy + vertexArraysToDestroy, ); visitRenderedTiles( tileProvider, @@ -158,7 +158,7 @@ TerrainFillMesh.updateFillTiles = function ( TileEdge.WEST, false, traversalQueue, - vertexArraysToDestroy + vertexArraysToDestroy, ); visitRenderedTiles( tileProvider, @@ -169,7 +169,7 @@ TerrainFillMesh.updateFillTiles = function ( TileEdge.SOUTH, false, traversalQueue, - vertexArraysToDestroy + vertexArraysToDestroy, ); const tileToNorthwest = tileToWest.findTileToNorth(levelZeroTiles); @@ -185,7 +185,7 @@ TerrainFillMesh.updateFillTiles = function ( TileEdge.SOUTHEAST, false, traversalQueue, - vertexArraysToDestroy + vertexArraysToDestroy, ); visitRenderedTiles( tileProvider, @@ -196,7 +196,7 @@ TerrainFillMesh.updateFillTiles = function ( TileEdge.SOUTHWEST, false, traversalQueue, - vertexArraysToDestroy + vertexArraysToDestroy, ); visitRenderedTiles( tileProvider, @@ -207,7 +207,7 @@ TerrainFillMesh.updateFillTiles = function ( TileEdge.NORTHEAST, false, traversalQueue, - vertexArraysToDestroy + vertexArraysToDestroy, ); visitRenderedTiles( tileProvider, @@ -218,7 +218,7 @@ TerrainFillMesh.updateFillTiles = function ( TileEdge.NORTHWEST, false, traversalQueue, - vertexArraysToDestroy + vertexArraysToDestroy, ); tile = traversalQueue.dequeue(); @@ -234,7 +234,7 @@ function visitRenderedTiles( tileEdge, downOnly, traversalQueue, - vertexArraysToDestroy + vertexArraysToDestroy, ) { if (startTile === undefined) { // There are no tiles North or South of the poles. @@ -295,7 +295,7 @@ function visitRenderedTiles( tileEdge, currentFrameNumber, traversalQueue, - vertexArraysToDestroy + vertexArraysToDestroy, ); return; } @@ -320,7 +320,7 @@ function visitRenderedTiles( tileEdge, true, traversalQueue, - vertexArraysToDestroy + vertexArraysToDestroy, ); visitRenderedTiles( tileProvider, @@ -331,7 +331,7 @@ function visitRenderedTiles( tileEdge, true, traversalQueue, - vertexArraysToDestroy + vertexArraysToDestroy, ); break; case TileEdge.EAST: @@ -344,7 +344,7 @@ function visitRenderedTiles( tileEdge, true, traversalQueue, - vertexArraysToDestroy + vertexArraysToDestroy, ); visitRenderedTiles( tileProvider, @@ -355,7 +355,7 @@ function visitRenderedTiles( tileEdge, true, traversalQueue, - vertexArraysToDestroy + vertexArraysToDestroy, ); break; case TileEdge.SOUTH: @@ -368,7 +368,7 @@ function visitRenderedTiles( tileEdge, true, traversalQueue, - vertexArraysToDestroy + vertexArraysToDestroy, ); visitRenderedTiles( tileProvider, @@ -379,7 +379,7 @@ function visitRenderedTiles( tileEdge, true, traversalQueue, - vertexArraysToDestroy + vertexArraysToDestroy, ); break; case TileEdge.NORTH: @@ -392,7 +392,7 @@ function visitRenderedTiles( tileEdge, true, traversalQueue, - vertexArraysToDestroy + vertexArraysToDestroy, ); visitRenderedTiles( tileProvider, @@ -403,7 +403,7 @@ function visitRenderedTiles( tileEdge, true, traversalQueue, - vertexArraysToDestroy + vertexArraysToDestroy, ); break; case TileEdge.NORTHWEST: @@ -416,7 +416,7 @@ function visitRenderedTiles( tileEdge, true, traversalQueue, - vertexArraysToDestroy + vertexArraysToDestroy, ); break; case TileEdge.NORTHEAST: @@ -429,7 +429,7 @@ function visitRenderedTiles( tileEdge, true, traversalQueue, - vertexArraysToDestroy + vertexArraysToDestroy, ); break; case TileEdge.SOUTHWEST: @@ -442,7 +442,7 @@ function visitRenderedTiles( tileEdge, true, traversalQueue, - vertexArraysToDestroy + vertexArraysToDestroy, ); break; case TileEdge.SOUTHEAST: @@ -455,7 +455,7 @@ function visitRenderedTiles( tileEdge, true, traversalQueue, - vertexArraysToDestroy + vertexArraysToDestroy, ); break; default: @@ -471,7 +471,7 @@ function visitTile( tileEdge, frameNumber, traversalQueue, - vertexArraysToDestroy + vertexArraysToDestroy, ) { const destinationSurfaceTile = destinationTile.data; @@ -495,7 +495,7 @@ function visitTile( sourceTile, destinationTile, tileEdge, - vertexArraysToDestroy + vertexArraysToDestroy, ); } @@ -505,7 +505,7 @@ function propagateEdge( sourceTile, destinationTile, tileEdge, - vertexArraysToDestroy + vertexArraysToDestroy, ) { const destinationFill = destinationTile.data.fill; @@ -520,7 +520,7 @@ function propagateEdge( tileProvider, frameState, sourceTile, - vertexArraysToDestroy + vertexArraysToDestroy, ); sourceFill.changedThisFrame = false; } @@ -614,7 +614,7 @@ function propagateEdge( CesiumMath.greaterThan( sourceRectangle.north, existingRectangle.south, - epsilon + epsilon, ) ) { break; @@ -627,7 +627,7 @@ function propagateEdge( CesiumMath.greaterThanOrEquals( sourceRectangle.south, existingRectangle.north, - epsilon + epsilon, ) ) { break; @@ -646,7 +646,7 @@ function propagateEdge( CesiumMath.lessThan( sourceRectangle.west, existingRectangle.east, - epsilon + epsilon, ) ) { break; @@ -659,7 +659,7 @@ function propagateEdge( CesiumMath.lessThanOrEquals( sourceRectangle.east, existingRectangle.west, - epsilon + epsilon, ) ) { break; @@ -678,7 +678,7 @@ function propagateEdge( CesiumMath.lessThan( sourceRectangle.south, existingRectangle.north, - epsilon + epsilon, ) ) { break; @@ -691,7 +691,7 @@ function propagateEdge( CesiumMath.lessThanOrEquals( sourceRectangle.north, existingRectangle.south, - epsilon + epsilon, ) ) { break; @@ -710,7 +710,7 @@ function propagateEdge( CesiumMath.greaterThan( sourceRectangle.east, existingRectangle.west, - epsilon + epsilon, ) ) { break; @@ -723,7 +723,7 @@ function propagateEdge( CesiumMath.greaterThanOrEquals( sourceRectangle.west, existingRectangle.east, - epsilon + epsilon, ) ) { break; @@ -766,7 +766,7 @@ function fillMissingCorner( adjacentCorner1, adjacentCorner2, oppositeCorner, - vertex + vertex, ) { if (defined(corner)) { return corner; @@ -823,7 +823,7 @@ function createFillMesh(tileProvider, frameState, tile, vertexArraysToDestroy) { GlobeSurfaceTile.initialize( tile, tileProvider.terrainProvider, - tileProvider._imageryLayers + tileProvider._imageryLayers, ); const surfaceTile = tile.data; @@ -848,7 +848,7 @@ function createFillMesh(tileProvider, frameState, tile, vertexArraysToDestroy) { fill.northMeshes, fill.westTiles, fill.westMeshes, - nwVertexScratch + nwVertexScratch, ); let swCorner = getCorner( fill, @@ -861,7 +861,7 @@ function createFillMesh(tileProvider, frameState, tile, vertexArraysToDestroy) { fill.westMeshes, fill.southTiles, fill.southMeshes, - swVertexScratch + swVertexScratch, ); let seCorner = getCorner( fill, @@ -874,7 +874,7 @@ function createFillMesh(tileProvider, frameState, tile, vertexArraysToDestroy) { fill.southMeshes, fill.eastTiles, fill.eastMeshes, - seVertexScratch + seVertexScratch, ); let neCorner = getCorner( fill, @@ -887,7 +887,7 @@ function createFillMesh(tileProvider, frameState, tile, vertexArraysToDestroy) { fill.eastMeshes, fill.northTiles, fill.northMeshes, - neVertexScratch + neVertexScratch, ); nwCorner = fillMissingCorner( @@ -899,7 +899,7 @@ function createFillMesh(tileProvider, frameState, tile, vertexArraysToDestroy) { swCorner, neCorner, seCorner, - nwVertexScratch + nwVertexScratch, ); swCorner = fillMissingCorner( fill, @@ -910,7 +910,7 @@ function createFillMesh(tileProvider, frameState, tile, vertexArraysToDestroy) { nwCorner, seCorner, neCorner, - swVertexScratch + swVertexScratch, ); seCorner = fillMissingCorner( fill, @@ -921,7 +921,7 @@ function createFillMesh(tileProvider, frameState, tile, vertexArraysToDestroy) { swCorner, neCorner, nwCorner, - seVertexScratch + seVertexScratch, ); neCorner = fillMissingCorner( fill, @@ -932,7 +932,7 @@ function createFillMesh(tileProvider, frameState, tile, vertexArraysToDestroy) { seCorner, nwCorner, swCorner, - neVertexScratch + neVertexScratch, ); const southwestHeight = swCorner.height; @@ -944,13 +944,13 @@ function createFillMesh(tileProvider, frameState, tile, vertexArraysToDestroy) { southwestHeight, southeastHeight, northwestHeight, - northeastHeight + northeastHeight, ); let maximumHeight = Math.max( southwestHeight, southeastHeight, northwestHeight, - northeastHeight + northeastHeight, ); const middleHeight = (minimumHeight + maximumHeight) * 0.5; @@ -997,19 +997,20 @@ function createFillMesh(tileProvider, frameState, tile, vertexArraysToDestroy) { createMeshSyncOptions.y = tile.y; createMeshSyncOptions.level = tile.level; createMeshSyncOptions.exaggeration = exaggeration; - createMeshSyncOptions.exaggerationRelativeHeight = exaggerationRelativeHeight; + createMeshSyncOptions.exaggerationRelativeHeight = + exaggerationRelativeHeight; fill.mesh = terrainData._createMeshSync(createMeshSyncOptions); } else { const hasGeodeticSurfaceNormals = hasExaggeration; const centerCartographic = Rectangle.center( rectangle, - centerCartographicScratch + centerCartographicScratch, ); centerCartographic.height = middleHeight; const center = ellipsoid.cartographicToCartesian( centerCartographic, - scratchCenter + scratchCenter, ); const encoding = new TerrainEncoding( center, @@ -1021,7 +1022,7 @@ function createFillMesh(tileProvider, frameState, tile, vertexArraysToDestroy) { true, hasGeodeticSurfaceNormals, exaggeration, - exaggerationRelativeHeight + exaggerationRelativeHeight, ); // At _most_, we have vertices for the 4 corners, plus 1 center, plus every adjacent edge vertex. @@ -1071,7 +1072,7 @@ function createFillMesh(tileProvider, frameState, tile, vertexArraysToDestroy) { nwCorner.height, nwCorner.encodedNormal, 1.0, - heightRange + heightRange, ); nextIndex = addEdge( fill, @@ -1082,7 +1083,7 @@ function createFillMesh(tileProvider, frameState, tile, vertexArraysToDestroy) { fill.westTiles, fill.westMeshes, TileEdge.EAST, - heightRange + heightRange, ); const southwestIndex = nextIndex; nextIndex = addVertexWithComputedPosition( @@ -1096,7 +1097,7 @@ function createFillMesh(tileProvider, frameState, tile, vertexArraysToDestroy) { swCorner.height, swCorner.encodedNormal, 0.0, - heightRange + heightRange, ); nextIndex = addEdge( fill, @@ -1107,7 +1108,7 @@ function createFillMesh(tileProvider, frameState, tile, vertexArraysToDestroy) { fill.southTiles, fill.southMeshes, TileEdge.NORTH, - heightRange + heightRange, ); const southeastIndex = nextIndex; nextIndex = addVertexWithComputedPosition( @@ -1121,7 +1122,7 @@ function createFillMesh(tileProvider, frameState, tile, vertexArraysToDestroy) { seCorner.height, seCorner.encodedNormal, 0.0, - heightRange + heightRange, ); nextIndex = addEdge( fill, @@ -1132,7 +1133,7 @@ function createFillMesh(tileProvider, frameState, tile, vertexArraysToDestroy) { fill.eastTiles, fill.eastMeshes, TileEdge.WEST, - heightRange + heightRange, ); const northeastIndex = nextIndex; nextIndex = addVertexWithComputedPosition( @@ -1146,7 +1147,7 @@ function createFillMesh(tileProvider, frameState, tile, vertexArraysToDestroy) { neCorner.height, neCorner.encodedNormal, 1.0, - heightRange + heightRange, ); nextIndex = addEdge( fill, @@ -1157,7 +1158,7 @@ function createFillMesh(tileProvider, frameState, tile, vertexArraysToDestroy) { fill.northTiles, fill.northMeshes, TileEdge.SOUTH, - heightRange + heightRange, ); minimumHeight = heightRange.minimumHeight; @@ -1167,31 +1168,30 @@ function createFillMesh(tileProvider, frameState, tile, vertexArraysToDestroy) { rectangle, minimumHeight, maximumHeight, - tile.tilingScheme.ellipsoid + tile.tilingScheme.ellipsoid, ); // Add a single vertex at the center of the tile. - const southMercatorY = WebMercatorProjection.geodeticLatitudeToMercatorAngle( - rectangle.south - ); + const southMercatorY = + WebMercatorProjection.geodeticLatitudeToMercatorAngle(rectangle.south); const oneOverMercatorHeight = 1.0 / (WebMercatorProjection.geodeticLatitudeToMercatorAngle(rectangle.north) - southMercatorY); const centerWebMercatorT = (WebMercatorProjection.geodeticLatitudeToMercatorAngle( - centerCartographic.latitude + centerCartographic.latitude, ) - southMercatorY) * oneOverMercatorHeight; const geodeticSurfaceNormal = ellipsoid.geodeticSurfaceNormalCartographic( cartographicScratch, - normalScratch + normalScratch, ); const centerEncodedNormal = AttributeCompression.octEncode( geodeticSurfaceNormal, - octEncodedNormalScratch + octEncodedNormalScratch, ); const centerIndex = nextIndex; @@ -1203,7 +1203,7 @@ function createFillMesh(tileProvider, frameState, tile, vertexArraysToDestroy) { middleHeight, centerEncodedNormal, centerWebMercatorT, - geodeticSurfaceNormal + geodeticSurfaceNormal, ); ++nextIndex; @@ -1280,7 +1280,7 @@ function createFillMesh(tileProvider, frameState, tile, vertexArraysToDestroy) { obb.center, rectangle, minimumHeight, - maximumHeight + maximumHeight, ), encoding.stride, obb, @@ -1288,7 +1288,7 @@ function createFillMesh(tileProvider, frameState, tile, vertexArraysToDestroy) { westIndicesSouthToNorth, southIndicesEastToWest, eastIndicesNorthToSouth, - northIndicesWestToEast + northIndicesWestToEast, ); } @@ -1298,13 +1298,13 @@ function createFillMesh(tileProvider, frameState, tile, vertexArraysToDestroy) { fill.vertexArray = GlobeSurfaceTile._createVertexArrayForMesh( context, - fill.mesh + fill.mesh, ); surfaceTile.processImagery( tile, tileProvider.terrainProvider, frameState, - true + true, ); const oldTexture = fill.waterMaskTexture; @@ -1321,7 +1321,7 @@ function createFillMesh(tileProvider, frameState, tile, vertexArraysToDestroy) { surfaceTile._computeWaterMaskTranslationAndScale( tile, waterSourceTile, - fill.waterMaskTranslationAndScale + fill.waterMaskTranslationAndScale, ); } } @@ -1345,7 +1345,7 @@ function addVertexWithComputedPosition( height, encodedNormal, webMercatorT, - heightRange + heightRange, ) { const cartographic = cartographicScratch; cartographic.longitude = CesiumMath.lerp(rectangle.west, rectangle.east, u); @@ -1353,14 +1353,14 @@ function addVertexWithComputedPosition( cartographic.height = height; const position = ellipsoid.cartographicToCartesian( cartographic, - cartesianScratch + cartesianScratch, ); let geodeticSurfaceNormal; if (encoding.hasGeodeticSurfaceNormals) { geodeticSurfaceNormal = ellipsoid.geodeticSurfaceNormal( position, - normalScratch + normalScratch, ); } @@ -1376,7 +1376,7 @@ function addVertexWithComputedPosition( height, encodedNormal, webMercatorT, - geodeticSurfaceNormal + geodeticSurfaceNormal, ); heightRange.minimumHeight = Math.min(heightRange.minimumHeight, height); @@ -1391,7 +1391,7 @@ function transformTextureCoordinates( sourceTile, targetTile, coordinates, - result + result, ) { let sourceRectangle = sourceTile.rectangle; const targetRectangle = targetTile.rectangle; @@ -1405,7 +1405,7 @@ function transformTextureCoordinates( ) { sourceRectangle = Rectangle.clone( sourceTile.rectangle, - sourceRectangleScratch + sourceRectangleScratch, ); sourceRectangle.west -= CesiumMath.TWO_PI; sourceRectangle.east -= CesiumMath.TWO_PI; @@ -1417,7 +1417,7 @@ function transformTextureCoordinates( ) { sourceRectangle = Rectangle.clone( sourceTile.rectangle, - sourceRectangleScratch + sourceRectangleScratch, ); sourceRectangle.west += CesiumMath.TWO_PI; sourceRectangle.east += CesiumMath.TWO_PI; @@ -1464,7 +1464,7 @@ function getVertexFromTileAtCorner(sourceMesh, sourceIndex, u, v, vertex) { sourceEncoding.getOctEncodedNormal( sourceVertices, sourceIndex, - vertex.encodedNormal + vertex.encodedNormal, ); } else { const normal = vertex.encodedNormal; @@ -1486,7 +1486,7 @@ function getInterpolatedVertexAtCorner( u, v, interpolateU, - vertex + vertex, ) { const sourceEncoding = sourceMesh.encoding; const sourceVertices = sourceMesh.vertices; @@ -1497,9 +1497,9 @@ function getInterpolatedVertexAtCorner( sourceEncoding.decodeTextureCoordinates( sourceVertices, previousIndex, - uvScratch + uvScratch, ), - uvScratch + uvScratch, ); const nextUv = transformTextureCoordinates( sourceTile, @@ -1507,9 +1507,9 @@ function getInterpolatedVertexAtCorner( sourceEncoding.decodeTextureCoordinates( sourceVertices, nextIndex, - uvScratch2 + uvScratch2, ), - uvScratch2 + uvScratch2, ); let ratio; @@ -1526,17 +1526,17 @@ function getInterpolatedVertexAtCorner( cartographicScratch.longitude = CesiumMath.lerp( targetRectangle.west, targetRectangle.east, - u + u, ); cartographicScratch.latitude = CesiumMath.lerp( targetRectangle.south, targetRectangle.north, - v + v, ); vertex.height = cartographicScratch.height = CesiumMath.lerp( height1, height2, - ratio + ratio, ); let normal; @@ -1544,22 +1544,22 @@ function getInterpolatedVertexAtCorner( const encodedNormal1 = sourceEncoding.getOctEncodedNormal( sourceVertices, previousIndex, - encodedNormalScratch + encodedNormalScratch, ); const encodedNormal2 = sourceEncoding.getOctEncodedNormal( sourceVertices, nextIndex, - encodedNormalScratch2 + encodedNormalScratch2, ); const normal1 = AttributeCompression.octDecode( encodedNormal1.x, encodedNormal1.y, - cartesianScratch + cartesianScratch, ); const normal2 = AttributeCompression.octDecode( encodedNormal2.x, encodedNormal2.y, - cartesianScratch2 + cartesianScratch2, ); normal = Cartesian3.lerp(normal1, normal2, ratio, cartesianScratch); Cartesian3.normalize(normal, normal); @@ -1567,7 +1567,7 @@ function getInterpolatedVertexAtCorner( } else { normal = ellipsoid.geodeticSurfaceNormalCartographic( cartographicScratch, - cartesianScratch + cartesianScratch, ); AttributeCompression.octEncode(normal, vertex.encodedNormal); } @@ -1579,12 +1579,12 @@ function getVertexWithHeightAtCorner( u, v, height, - vertex + vertex, ) { vertex.height = height; const normal = ellipsoid.geodeticSurfaceNormalCartographic( cartographicScratch, - cartesianScratch + cartesianScratch, ); AttributeCompression.octEncode(normal, vertex.encodedNormal); } @@ -1600,7 +1600,7 @@ function getCorner( previousEdgeMeshes, nextEdgeTiles, nextEdgeMeshes, - vertex + vertex, ) { const gotCorner = getCornerFromEdge( @@ -1611,7 +1611,7 @@ function getCorner( false, u, v, - vertex + vertex, ) || getCornerFromEdge( terrainFillMesh, @@ -1621,7 +1621,7 @@ function getCorner( true, u, v, - vertex + vertex, ); if (gotCorner) { return vertex; @@ -1667,7 +1667,7 @@ function getCorner( terrainFillMesh.southTiles, TileEdge.NORTH, u, - v + v, ); } else { // northwest @@ -1679,7 +1679,7 @@ function getCorner( terrainFillMesh.westTiles, TileEdge.EAST, u, - v + v, ); } } else if (v === 0.0) { @@ -1692,7 +1692,7 @@ function getCorner( terrainFillMesh.eastTiles, TileEdge.WEST, u, - v + v, ); } else { // northeast @@ -1704,7 +1704,7 @@ function getCorner( terrainFillMesh.northTiles, TileEdge.SOUTH, u, - v + v, ); } @@ -1715,7 +1715,7 @@ function getCorner( u, v, height, - vertex + vertex, ); return vertex; } @@ -1732,7 +1732,7 @@ function getClosestHeightToCorner( nextTiles, nextEdge, u, - v + v, ) { const height1 = getNearestHeightOnEdge( previousMeshes, @@ -1740,7 +1740,7 @@ function getClosestHeightToCorner( false, previousEdge, u, - v + v, ); const height2 = getNearestHeightOnEdge( nextMeshes, @@ -1748,7 +1748,7 @@ function getClosestHeightToCorner( true, nextEdge, u, - v + v, ); if (defined(height1) && defined(height2)) { // It would be slightly better to do a weighted average of the two heights @@ -1769,7 +1769,7 @@ function addEdge( edgeTiles, edgeMeshes, tileEdge, - heightRange + heightRange, ) { for (let i = 0; i < edgeTiles.length; ++i) { nextIndex = addEdgeMesh( @@ -1781,7 +1781,7 @@ function addEdge( edgeTiles[i], edgeMeshes[i], tileEdge, - heightRange + heightRange, ); } return nextIndex; @@ -1796,21 +1796,21 @@ function addEdgeMesh( edgeTile, edgeMesh, tileEdge, - heightRange + heightRange, ) { // Handle copying edges across the anti-meridian. let sourceRectangle = edgeTile.rectangle; if (tileEdge === TileEdge.EAST && terrainFillMesh.tile.x === 0) { sourceRectangle = Rectangle.clone( edgeTile.rectangle, - sourceRectangleScratch + sourceRectangleScratch, ); sourceRectangle.west -= CesiumMath.TWO_PI; sourceRectangle.east -= CesiumMath.TWO_PI; } else if (tileEdge === TileEdge.WEST && edgeTile.x === 0) { sourceRectangle = Rectangle.clone( edgeTile.rectangle, - sourceRectangleScratch + sourceRectangleScratch, ); sourceRectangle.west += CesiumMath.TWO_PI; sourceRectangle.east += CesiumMath.TWO_PI; @@ -1859,12 +1859,12 @@ function addEdgeMesh( let oneOverMercatorHeight; if (sourceEncoding.hasWebMercatorT) { southMercatorY = WebMercatorProjection.geodeticLatitudeToMercatorAngle( - targetRectangle.south + targetRectangle.south, ); oneOverMercatorHeight = 1.0 / (WebMercatorProjection.geodeticLatitudeToMercatorAngle( - targetRectangle.north + targetRectangle.north, ) - southMercatorY); } @@ -1875,7 +1875,7 @@ function addEdgeMesh( const uv = sourceEncoding.decodeTextureCoordinates( sourceVertices, index, - uvScratch + uvScratch, ); transformTextureCoordinates(sourceTile, targetTile, uv, uv); const u = uv.x; @@ -1910,7 +1910,7 @@ function addEdgeMesh( const position = sourceEncoding.decodePosition( sourceVertices, index, - cartesianScratch + cartesianScratch, ); const height = sourceEncoding.decodeHeight(sourceVertices, index); @@ -1919,7 +1919,7 @@ function addEdgeMesh( normal = sourceEncoding.getOctEncodedNormal( sourceVertices, index, - octEncodedNormalScratch + octEncodedNormalScratch, ); } else { normal = octEncodedNormalScratch; @@ -1932,7 +1932,7 @@ function addEdgeMesh( const latitude = CesiumMath.lerp( targetRectangle.south, targetRectangle.north, - v + v, ); webMercatorT = (WebMercatorProjection.geodeticLatitudeToMercatorAngle(latitude) - @@ -1944,7 +1944,7 @@ function addEdgeMesh( if (encoding.hasGeodeticSurfaceNormals) { geodeticSurfaceNormal = ellipsoid.geodeticSurfaceNormal( position, - normalScratch + normalScratch, ); } @@ -1956,7 +1956,7 @@ function addEdgeMesh( height, normal, webMercatorT, - geodeticSurfaceNormal + geodeticSurfaceNormal, ); heightRange.minimumHeight = Math.min(heightRange.minimumHeight, height); @@ -2034,7 +2034,7 @@ function getCornerFromEdge( isNext, u, v, - vertex + vertex, ) { let edgeVertices; let compareU; @@ -2085,13 +2085,13 @@ function getCornerFromEdge( sourceMesh.encoding.decodeTextureCoordinates( sourceMesh.vertices, vertexIndex, - uvScratch + uvScratch, ); const targetUv = transformTextureCoordinates( sourceTile, terrainFillMesh.tile, uvScratch, - uvScratch + uvScratch, ); if (targetUv.x === u && targetUv.y === v) { // Vertex is good! @@ -2100,31 +2100,32 @@ function getCornerFromEdge( } // The last vertex is not the one we need, try binary searching for the right one. - vertexIndexIndex = binarySearch(edgeVertices, compareU ? u : v, function ( - vertexIndex, - textureCoordinate - ) { - sourceMesh.encoding.decodeTextureCoordinates( - sourceMesh.vertices, - vertexIndex, - uvScratch - ); - const targetUv = transformTextureCoordinates( - sourceTile, - terrainFillMesh.tile, - uvScratch, - uvScratch - ); - if (increasing) { - if (compareU) { - return targetUv.x - u; + vertexIndexIndex = binarySearch( + edgeVertices, + compareU ? u : v, + function (vertexIndex, textureCoordinate) { + sourceMesh.encoding.decodeTextureCoordinates( + sourceMesh.vertices, + vertexIndex, + uvScratch, + ); + const targetUv = transformTextureCoordinates( + sourceTile, + terrainFillMesh.tile, + uvScratch, + uvScratch, + ); + if (increasing) { + if (compareU) { + return targetUv.x - u; + } + return targetUv.y - v; + } else if (compareU) { + return u - targetUv.x; } - return targetUv.y - v; - } else if (compareU) { - return u - targetUv.x; - } - return v - targetUv.y; - }); + return v - targetUv.y; + }, + ); if (vertexIndexIndex < 0) { vertexIndexIndex = ~vertexIndexIndex; @@ -2141,7 +2142,7 @@ function getCornerFromEdge( u, v, compareU, - vertex + vertex, ); return true; } @@ -2152,7 +2153,7 @@ function getCornerFromEdge( edgeVertices[vertexIndexIndex], u, v, - vertex + vertex, ); return true; } @@ -2175,7 +2176,7 @@ function computeOccludeePoint( rectangle, minimumHeight, maximumHeight, - result + result, ) { const ellipsoidalOccluder = tileProvider.quadtree._occluders.ellipsoid; const ellipsoid = ellipsoidalOccluder.ellipsoid; @@ -2186,35 +2187,35 @@ function computeOccludeePoint( rectangle.south, maximumHeight, ellipsoid, - cornerPositions[0] + cornerPositions[0], ); Cartesian3.fromRadians( rectangle.east, rectangle.south, maximumHeight, ellipsoid, - cornerPositions[1] + cornerPositions[1], ); Cartesian3.fromRadians( rectangle.west, rectangle.north, maximumHeight, ellipsoid, - cornerPositions[2] + cornerPositions[2], ); Cartesian3.fromRadians( rectangle.east, rectangle.north, maximumHeight, ellipsoid, - cornerPositions[3] + cornerPositions[3], ); return ellipsoidalOccluder.computeHorizonCullingPointPossiblyUnderEllipsoid( center, cornerPositions, minimumHeight, - result + result, ); } export default TerrainFillMesh; diff --git a/packages/engine/Source/Scene/TextureAtlas.js b/packages/engine/Source/Scene/TextureAtlas.js index d53cf10b1ac9..e094cd7f3de7 100644 --- a/packages/engine/Source/Scene/TextureAtlas.js +++ b/packages/engine/Source/Scene/TextureAtlas.js @@ -17,7 +17,7 @@ function TextureAtlasNode( topRight, childNode1, childNode2, - imageIndex + imageIndex, ) { this.bottomLeft = defaultValue(bottomLeft, Cartesian2.ZERO); this.topRight = defaultValue(topRight, Cartesian2.ZERO); @@ -60,7 +60,7 @@ function TextureAtlas(options) { } if (borderWidthInPixels < 0) { throw new DeveloperError( - "borderWidthInPixels must be greater than or equal to zero." + "borderWidthInPixels must be greater than or equal to zero.", ); } if (initialSize.x < 1 || initialSize.y < 1) { @@ -172,23 +172,23 @@ function resizeAtlas(textureAtlas, image) { // Create new node structure, putting the old root node in the bottom left. const nodeBottomRight = new TextureAtlasNode( new Cartesian2(oldAtlasWidth + borderWidthInPixels, borderWidthInPixels), - new Cartesian2(atlasWidth, oldAtlasHeight) + new Cartesian2(atlasWidth, oldAtlasHeight), ); const nodeBottomHalf = new TextureAtlasNode( new Cartesian2(), new Cartesian2(atlasWidth, oldAtlasHeight), textureAtlas._root, - nodeBottomRight + nodeBottomRight, ); const nodeTopHalf = new TextureAtlasNode( new Cartesian2(borderWidthInPixels, oldAtlasHeight + borderWidthInPixels), - new Cartesian2(atlasWidth, atlasHeight) + new Cartesian2(atlasWidth, atlasHeight), ); const nodeMain = new TextureAtlasNode( new Cartesian2(), new Cartesian2(atlasWidth, atlasHeight), nodeBottomHalf, - nodeTopHalf + nodeTopHalf, ); // Resize texture coordinates. @@ -245,7 +245,7 @@ function resizeAtlas(textureAtlas, image) { }); textureAtlas._root = new TextureAtlasNode( new Cartesian2(borderWidthInPixels, borderWidthInPixels), - new Cartesian2(initialWidth, initialHeight) + new Cartesian2(initialWidth, initialHeight), ); } } @@ -284,7 +284,7 @@ function findNode(textureAtlas, node, image) { if (widthDifference > heightDifference) { node.childNode1 = new TextureAtlasNode( new Cartesian2(node.bottomLeft.x, node.bottomLeft.y), - new Cartesian2(node.bottomLeft.x + image.width, node.topRight.y) + new Cartesian2(node.bottomLeft.x + image.width, node.topRight.y), ); // Only make a second child if the border gives enough space. const childNode2BottomLeftX = @@ -292,7 +292,7 @@ function findNode(textureAtlas, node, image) { if (childNode2BottomLeftX < node.topRight.x) { node.childNode2 = new TextureAtlasNode( new Cartesian2(childNode2BottomLeftX, node.bottomLeft.y), - new Cartesian2(node.topRight.x, node.topRight.y) + new Cartesian2(node.topRight.x, node.topRight.y), ); } } @@ -300,7 +300,7 @@ function findNode(textureAtlas, node, image) { else { node.childNode1 = new TextureAtlasNode( new Cartesian2(node.bottomLeft.x, node.bottomLeft.y), - new Cartesian2(node.topRight.x, node.bottomLeft.y + image.height) + new Cartesian2(node.topRight.x, node.bottomLeft.y + image.height), ); // Only make a second child if the border gives enough space. const childNode2BottomLeftY = @@ -308,7 +308,7 @@ function findNode(textureAtlas, node, image) { if (childNode2BottomLeftY < node.topRight.y) { node.childNode2 = new TextureAtlasNode( new Cartesian2(node.bottomLeft.x, childNode2BottomLeftY), - new Cartesian2(node.topRight.x, node.topRight.y) + new Cartesian2(node.topRight.x, node.topRight.y), ); } } diff --git a/packages/engine/Source/Scene/TileBoundingRegion.js b/packages/engine/Source/Scene/TileBoundingRegion.js index 733907695de2..34fd5847aaa0 100644 --- a/packages/engine/Source/Scene/TileBoundingRegion.js +++ b/packages/engine/Source/Scene/TileBoundingRegion.js @@ -145,11 +145,11 @@ TileBoundingRegion.prototype.computeBoundingVolumes = function (ellipsoid) { this.rectangle, this.minimumHeight, this.maximumHeight, - ellipsoid + ellipsoid, ); this._boundingSphere = BoundingSphere.fromOrientedBoundingBox( - this._orientedBoundingBox + this._orientedBoundingBox, ); }; @@ -167,11 +167,11 @@ const rayScratch = new Ray(); function computeBox(tileBB, rectangle, ellipsoid) { ellipsoid.cartographicToCartesian( Rectangle.southwest(rectangle), - tileBB.southwestCornerCartesian + tileBB.southwestCornerCartesian, ); ellipsoid.cartographicToCartesian( Rectangle.northeast(rectangle), - tileBB.northeastCornerCartesian + tileBB.northeastCornerCartesian, ); // The middle latitude on the western edge. @@ -180,14 +180,14 @@ function computeBox(tileBB, rectangle, ellipsoid) { cartographicScratch.height = 0.0; const westernMidpointCartesian = ellipsoid.cartographicToCartesian( cartographicScratch, - westernMidpointScratch + westernMidpointScratch, ); // Compute the normal of the plane on the western edge of the tile. const westNormal = Cartesian3.cross( westernMidpointCartesian, Cartesian3.UNIT_Z, - westNormalScratch + westNormalScratch, ); Cartesian3.normalize(westNormal, tileBB.westNormal); @@ -195,21 +195,21 @@ function computeBox(tileBB, rectangle, ellipsoid) { cartographicScratch.longitude = rectangle.east; const easternMidpointCartesian = ellipsoid.cartographicToCartesian( cartographicScratch, - easternMidpointScratch + easternMidpointScratch, ); // Compute the normal of the plane on the eastern edge of the tile. const eastNormal = Cartesian3.cross( Cartesian3.UNIT_Z, easternMidpointCartesian, - cartesian3Scratch + cartesian3Scratch, ); Cartesian3.normalize(eastNormal, tileBB.eastNormal); let westVector = Cartesian3.subtract( westernMidpointCartesian, easternMidpointCartesian, - cartesian3Scratch + cartesian3Scratch, ); if (Cartesian3.magnitude(westVector) === 0.0) { @@ -218,7 +218,7 @@ function computeBox(tileBB, rectangle, ellipsoid) { const eastWestNormal = Cartesian3.normalize( westVector, - eastWestNormalScratch + eastWestNormalScratch, ); // Compute the normal of the plane bounding the southern edge of the tile. @@ -231,34 +231,34 @@ function computeBox(tileBB, rectangle, ellipsoid) { cartographicScratch.latitude = south; const southCenterCartesian = ellipsoid.cartographicToCartesian( cartographicScratch, - rayScratch.origin + rayScratch.origin, ); Cartesian3.clone(eastWestNormal, rayScratch.direction); const westPlane = Plane.fromPointNormal( tileBB.southwestCornerCartesian, tileBB.westNormal, - planeScratch + planeScratch, ); // Find a point that is on the west and the south planes IntersectionTests.rayPlane( rayScratch, westPlane, - tileBB.southwestCornerCartesian + tileBB.southwestCornerCartesian, ); southSurfaceNormal = ellipsoid.geodeticSurfaceNormal( southCenterCartesian, - cartesian3Scratch2 + cartesian3Scratch2, ); } else { southSurfaceNormal = ellipsoid.geodeticSurfaceNormalCartographic( Rectangle.southeast(rectangle), - cartesian3Scratch2 + cartesian3Scratch2, ); } const southNormal = Cartesian3.cross( southSurfaceNormal, westVector, - cartesian3Scratch3 + cartesian3Scratch3, ); Cartesian3.normalize(southNormal, tileBB.southNormal); @@ -272,34 +272,34 @@ function computeBox(tileBB, rectangle, ellipsoid) { cartographicScratch.latitude = north; const northCenterCartesian = ellipsoid.cartographicToCartesian( cartographicScratch, - rayScratch.origin + rayScratch.origin, ); Cartesian3.negate(eastWestNormal, rayScratch.direction); const eastPlane = Plane.fromPointNormal( tileBB.northeastCornerCartesian, tileBB.eastNormal, - planeScratch + planeScratch, ); // Find a point that is on the east and the north planes IntersectionTests.rayPlane( rayScratch, eastPlane, - tileBB.northeastCornerCartesian + tileBB.northeastCornerCartesian, ); northSurfaceNormal = ellipsoid.geodeticSurfaceNormal( northCenterCartesian, - cartesian3Scratch2 + cartesian3Scratch2, ); } else { northSurfaceNormal = ellipsoid.geodeticSurfaceNormalCartographic( Rectangle.northwest(rectangle), - cartesian3Scratch2 + cartesian3Scratch2, ); } const northNormal = Cartesian3.cross( westVector, northSurfaceNormal, - cartesian3Scratch3 + cartesian3Scratch3, ); Cartesian3.normalize(northNormal, tileBB.northNormal); } @@ -327,14 +327,14 @@ function distanceToCameraRegion(tileBB, frameState) { if (frameState.mode !== SceneMode.SCENE3D) { southwestCornerCartesian = frameState.mapProjection.project( Rectangle.southwest(tileBB.rectangle), - southwestCornerScratch + southwestCornerScratch, ); southwestCornerCartesian.z = southwestCornerCartesian.y; southwestCornerCartesian.y = southwestCornerCartesian.x; southwestCornerCartesian.x = 0.0; northeastCornerCartesian = frameState.mapProjection.project( Rectangle.northeast(tileBB.rectangle), - northeastCornerScratch + northeastCornerScratch, ); northeastCornerCartesian.z = northeastCornerCartesian.y; northeastCornerCartesian.y = northeastCornerCartesian.x; @@ -348,29 +348,29 @@ function distanceToCameraRegion(tileBB, frameState) { const vectorFromSouthwestCorner = Cartesian3.subtract( cameraCartesianPosition, southwestCornerCartesian, - vectorScratch + vectorScratch, ); const distanceToWestPlane = Cartesian3.dot( vectorFromSouthwestCorner, - westNormal + westNormal, ); const distanceToSouthPlane = Cartesian3.dot( vectorFromSouthwestCorner, - southNormal + southNormal, ); const vectorFromNortheastCorner = Cartesian3.subtract( cameraCartesianPosition, northeastCornerCartesian, - vectorScratch + vectorScratch, ); const distanceToEastPlane = Cartesian3.dot( vectorFromNortheastCorner, - eastNormal + eastNormal, ); const distanceToNorthPlane = Cartesian3.dot( vectorFromNortheastCorner, - northNormal + northNormal, ); if (distanceToWestPlane > 0.0) { @@ -427,7 +427,7 @@ TileBoundingRegion.prototype.distanceToCamera = function (frameState) { defined(this._orientedBoundingBox) ) { const obbResult = Math.sqrt( - this._orientedBoundingBox.distanceSquaredTo(frameState.camera.positionWC) + this._orientedBoundingBox.distanceSquaredTo(frameState.camera.positionWC), ); return Math.max(regionResult, obbResult); } diff --git a/packages/engine/Source/Scene/TileBoundingS2Cell.js b/packages/engine/Source/Scene/TileBoundingS2Cell.js index 307fa36c8e39..2656f5817517 100644 --- a/packages/engine/Source/Scene/TileBoundingS2Cell.js +++ b/packages/engine/Source/Scene/TileBoundingS2Cell.js @@ -53,7 +53,7 @@ function TileBoundingS2Cell(options) { s2Cell, minimumHeight, maximumHeight, - ellipsoid + ellipsoid, ); this._boundingPlanes = boundingPlanes; @@ -66,7 +66,7 @@ function TileBoundingS2Cell(options) { this._edgeNormals[0] = computeEdgeNormals( boundingPlanes[0], - vertices.slice(0, 4) + vertices.slice(0, 4), ); let i; // Based on the way the edge normals are computed, the edge normals all point away from the "face" @@ -75,13 +75,13 @@ function TileBoundingS2Cell(options) { for (i = 0; i < 4; i++) { this._edgeNormals[0][i] = Cartesian3.negate( this._edgeNormals[0][i], - this._edgeNormals[0][i] + this._edgeNormals[0][i], ); } this._edgeNormals[1] = computeEdgeNormals( boundingPlanes[1], - vertices.slice(4, 8) + vertices.slice(4, 8), ); for (i = 0; i < 4; i++) { // For each plane, iterate through the vertices in CCW order. @@ -109,12 +109,12 @@ function TileBoundingS2Cell(options) { const center = s2Cell.getCenter(); centerCartographicScratch = ellipsoid.cartesianToCartographic( center, - centerCartographicScratch + centerCartographicScratch, ); centerCartographicScratch.height = (maximumHeight + minimumHeight) / 2; this.center = ellipsoid.cartographicToCartesian( centerCartographicScratch, - center + center, ); this._boundingSphere = BoundingSphere.fromPoints(vertices); @@ -136,7 +136,7 @@ function computeBoundingPlanes( s2Cell, minimumHeight, maximumHeight, - ellipsoid + ellipsoid, ) { const planes = new Array(6); const centerPoint = s2Cell.getCenter(); @@ -147,11 +147,11 @@ function computeBoundingPlanes( // - Create top plane from surface normal and top point. const centerSurfaceNormal = ellipsoid.geodeticSurfaceNormal( centerPoint, - centerGeodeticNormalScratch + centerGeodeticNormalScratch, ); const topCartographic = ellipsoid.cartesianToCartographic( centerPoint, - topCartographicScratch + topCartographicScratch, ); topCartographic.height = maximumHeight; const top = ellipsoid.cartographicToCartesian(topCartographic, topScratch); @@ -172,12 +172,12 @@ function computeBoundingPlanes( vertices[i] = vertex; vertexCartographic = ellipsoid.cartesianToCartographic( vertex, - vertexCartographicScratch + vertexCartographicScratch, ); vertexCartographic.height = minimumHeight; const distance = Plane.getPointDistance( topPlane, - ellipsoid.cartographicToCartesian(vertexCartographic, vertexScratch) + ellipsoid.cartographicToCartesian(vertexCartographic, vertexScratch), ); if (distance < maxDistance) { maxDistance = distance; @@ -187,7 +187,7 @@ function computeBoundingPlanes( // Negate the normal of the bottom plane since we want all normals to point "outwards". bottomPlane.normal = Cartesian3.negate( bottomPlane.normal, - bottomPlane.normal + bottomPlane.normal, ); bottomPlane.distance = bottomPlane.distance * -1 + maxDistance; planes[1] = bottomPlane; @@ -203,7 +203,7 @@ function computeBoundingPlanes( const adjacentVertex = vertices[(i + 1) % 4]; const geodeticNormal = ellipsoid.geodeticSurfaceNormal( vertex, - vertexGeodeticNormalScratch + vertexGeodeticNormalScratch, ); const side = Cartesian3.subtract(adjacentVertex, vertex, sideScratch); let sideNormal = Cartesian3.cross(side, geodeticNormal, sideNormalScratch); @@ -244,17 +244,17 @@ function computeIntersection(p0, p1, p2) { f0Scratch = Cartesian3.multiplyByScalar( Cartesian3.cross(n1Scratch, n2Scratch, t0Scratch), Cartesian3.dot(x0Scratch, n0Scratch), - f0Scratch + f0Scratch, ); f1Scratch = Cartesian3.multiplyByScalar( Cartesian3.cross(n2Scratch, n0Scratch, t1Scratch), Cartesian3.dot(x1Scratch, n1Scratch), - f1Scratch + f1Scratch, ); f2Scratch = Cartesian3.multiplyByScalar( Cartesian3.cross(n0Scratch, n1Scratch, t2Scratch), Cartesian3.dot(x2Scratch, n2Scratch), - f2Scratch + f2Scratch, ); matrixScratch[0] = n0Scratch.x; @@ -272,7 +272,7 @@ function computeIntersection(p0, p1, p2) { return new Cartesian3( sScratch.x / determinant, sScratch.y / determinant, - sScratch.z / determinant + sScratch.z / determinant, ); } /** @@ -286,13 +286,13 @@ function computeVertices(boundingPlanes) { vertices[i] = computeIntersection( boundingPlanes[0], boundingPlanes[2 + ((i + 3) % 4)], - boundingPlanes[2 + (i % 4)] + boundingPlanes[2 + (i % 4)], ); // Vertices on the bottom plane. vertices[i + 4] = computeIntersection( boundingPlanes[1], boundingPlanes[2 + ((i + 3) % 4)], - boundingPlanes[2 + (i % 4)] + boundingPlanes[2 + (i % 4)], ); } return vertices; @@ -310,16 +310,16 @@ function computeEdgeNormals(plane, vertices) { edgeScratch = Cartesian3.subtract( vertices[(i + 1) % 4], vertices[i], - edgeScratch + edgeScratch, ); edgeNormalScratch = Cartesian3.cross( plane.normal, edgeScratch, - edgeNormalScratch + edgeNormalScratch, ); edgeNormalScratch = Cartesian3.normalize( edgeNormalScratch, - edgeNormalScratch + edgeNormalScratch, ); edgeNormals[i] = Cartesian3.clone(edgeNormalScratch); } @@ -438,7 +438,7 @@ TileBoundingS2Cell.prototype.distanceToCamera = function (frameState) { Plane.projectPointOntoPlane(selectedPlane, point, facePointScratch), vertices[0], selectedPlane, - edgeNormals + edgeNormals, ); return Cartesian3.distance(facePoint, point); @@ -466,7 +466,7 @@ TileBoundingS2Cell.prototype.distanceToCamera = function (frameState) { Plane.projectPointOntoPlane(selectedPlane, point, facePointScratch), vertices[i], selectedPlane, - this._edgeNormals[selectedPlaneIndices[i]] + this._edgeNormals[selectedPlaneIndices[i]], ); distance = Cartesian3.distanceSquared(facePoint, point); @@ -481,11 +481,11 @@ TileBoundingS2Cell.prototype.distanceToCamera = function (frameState) { Plane.projectPointOntoPlane( this._boundingPlanes[1], point, - facePointScratch + facePointScratch, ), this._planeVertices[1], this._boundingPlanes[1], - this._edgeNormals[1] + this._edgeNormals[1], ); return Cartesian3.distance(facePoint, point); } @@ -498,14 +498,14 @@ TileBoundingS2Cell.prototype.distanceToCamera = function (frameState) { if (selectedPlaneIndices[0] === 0) { return Cartesian3.distance( point, - this._vertices[(selectedPlaneIndices[1] - 2 + skip) % 4] + this._vertices[(selectedPlaneIndices[1] - 2 + skip) % 4], ); } // Vertex is on bottom plane. return Cartesian3.distance( point, - this._vertices[4 + ((selectedPlaneIndices[1] - 2 + skip) % 4)] + this._vertices[4 + ((selectedPlaneIndices[1] - 2 + skip) % 4)], ); }; @@ -533,7 +533,7 @@ function closestPointLineSegment(p, l0, l1) { return new Cartesian3( (1 - t) * l0.x + t * l1.x, (1 - t) * l0.y + t * l1.y, - (1 - t) * l0.z + t * l1.z + (1 - t) * l0.z + t * l1.z, ); } @@ -553,7 +553,7 @@ function closestPointPolygon(p, vertices, plane, edgeNormals) { const edgePlane = Plane.fromPointNormal( vertices[i], edgeNormals[i], - edgePlaneScratch + edgePlaneScratch, ); const edgePlaneDistance = Plane.getPointDistance(edgePlane, p); @@ -566,7 +566,7 @@ function closestPointPolygon(p, vertices, plane, edgeNormals) { closestPointOnEdge = closestPointLineSegment( p, vertices[i], - vertices[(i + 1) % 4] + vertices[(i + 1) % 4], ); distance = Cartesian3.distance(p, closestPointOnEdge); @@ -634,9 +634,8 @@ TileBoundingS2Cell.prototype.createDebugVolume = function (color) { positions: this._planeVertices[0], }, }); - const topPlaneGeometry = CoplanarPolygonOutlineGeometry.createGeometry( - topPlanePolygon - ); + const topPlaneGeometry = + CoplanarPolygonOutlineGeometry.createGeometry(topPlanePolygon); const topPlaneInstance = new GeometryInstance({ geometry: topPlaneGeometry, id: "outline", @@ -651,9 +650,8 @@ TileBoundingS2Cell.prototype.createDebugVolume = function (color) { positions: this._planeVertices[1], }, }); - const bottomPlaneGeometry = CoplanarPolygonOutlineGeometry.createGeometry( - bottomPlanePolygon - ); + const bottomPlaneGeometry = + CoplanarPolygonOutlineGeometry.createGeometry(bottomPlanePolygon); const bottomPlaneInstance = new GeometryInstance({ geometry: bottomPlaneGeometry, id: "outline", @@ -670,9 +668,8 @@ TileBoundingS2Cell.prototype.createDebugVolume = function (color) { positions: this._planeVertices[2 + i], }, }); - const sidePlaneGeometry = CoplanarPolygonOutlineGeometry.createGeometry( - sidePlanePolygon - ); + const sidePlaneGeometry = + CoplanarPolygonOutlineGeometry.createGeometry(sidePlanePolygon); sideInstances[i] = new GeometryInstance({ geometry: sidePlaneGeometry, id: "outline", diff --git a/packages/engine/Source/Scene/TileBoundingSphere.js b/packages/engine/Source/Scene/TileBoundingSphere.js index 3e517c1a1fa1..7d6136d28357 100644 --- a/packages/engine/Source/Scene/TileBoundingSphere.js +++ b/packages/engine/Source/Scene/TileBoundingSphere.js @@ -98,7 +98,7 @@ TileBoundingSphere.prototype.distanceToCamera = function (frameState) { return Math.max( 0.0, Cartesian3.distance(boundingSphere.center, frameState.camera.positionWC) - - boundingSphere.radius + boundingSphere.radius, ); }; @@ -144,7 +144,7 @@ TileBoundingSphere.prototype.createDebugVolume = function (color) { }); const modelMatrix = Matrix4.fromTranslation( this.center, - new Matrix4.clone(Matrix4.IDENTITY) + new Matrix4.clone(Matrix4.IDENTITY), ); const instance = new GeometryInstance({ geometry: geometry, diff --git a/packages/engine/Source/Scene/TileCoordinatesImageryProvider.js b/packages/engine/Source/Scene/TileCoordinatesImageryProvider.js index dccdae0df9af..5983a38e3e89 100644 --- a/packages/engine/Source/Scene/TileCoordinatesImageryProvider.js +++ b/packages/engine/Source/Scene/TileCoordinatesImageryProvider.js @@ -205,7 +205,7 @@ Object.defineProperties(TileCoordinatesImageryProvider.prototype, { TileCoordinatesImageryProvider.prototype.getTileCredits = function ( x, y, - level + level, ) { return undefined; }; @@ -223,7 +223,7 @@ TileCoordinatesImageryProvider.prototype.requestImage = function ( x, y, level, - request + request, ) { const canvas = document.createElement("canvas"); canvas.width = 256; @@ -262,7 +262,7 @@ TileCoordinatesImageryProvider.prototype.pickFeatures = function ( y, level, longitude, - latitude + latitude, ) { return undefined; }; diff --git a/packages/engine/Source/Scene/TileImagery.js b/packages/engine/Source/Scene/TileImagery.js index 5032408bdc38..08e79ac95d9e 100644 --- a/packages/engine/Source/Scene/TileImagery.js +++ b/packages/engine/Source/Scene/TileImagery.js @@ -46,7 +46,7 @@ TileImagery.prototype.freeResources = function () { TileImagery.prototype.processStateMachine = function ( tile, frameState, - skipLoading + skipLoading, ) { const loadingImagery = this.loadingImagery; const imageryLayer = loadingImagery.imageryLayer; @@ -54,7 +54,7 @@ TileImagery.prototype.processStateMachine = function ( loadingImagery.processStateMachine( frameState, !this.useWebMercatorT, - skipLoading + skipLoading, ); if (loadingImagery.state === ImageryState.READY) { @@ -63,10 +63,8 @@ TileImagery.prototype.processStateMachine = function ( } this.readyImagery = this.loadingImagery; this.loadingImagery = undefined; - this.textureTranslationAndScale = imageryLayer._calculateTextureTranslationAndScale( - tile, - this - ); + this.textureTranslationAndScale = + imageryLayer._calculateTextureTranslationAndScale(tile, this); return true; // done loading } @@ -98,10 +96,8 @@ TileImagery.prototype.processStateMachine = function ( if (defined(ancestor)) { ancestor.addReference(); - this.textureTranslationAndScale = imageryLayer._calculateTextureTranslationAndScale( - tile, - this - ); + this.textureTranslationAndScale = + imageryLayer._calculateTextureTranslationAndScale(tile, this); } } @@ -117,7 +113,7 @@ TileImagery.prototype.processStateMachine = function ( closestAncestorThatNeedsLoading.processStateMachine( frameState, !this.useWebMercatorT, - skipLoading + skipLoading, ); return false; // not done loading } diff --git a/packages/engine/Source/Scene/TileMapServiceImageryProvider.js b/packages/engine/Source/Scene/TileMapServiceImageryProvider.js index 1797c545e702..b195701fbe0f 100644 --- a/packages/engine/Source/Scene/TileMapServiceImageryProvider.js +++ b/packages/engine/Source/Scene/TileMapServiceImageryProvider.js @@ -81,7 +81,7 @@ TileMapServiceImageryProvider._requestMetadata = async function ( options, tmsResource, xmlResource, - provider + provider, ) { // Try to load remaining parameters from XML try { @@ -91,13 +91,13 @@ TileMapServiceImageryProvider._requestMetadata = async function ( options, tmsResource, xmlResource, - provider + provider, ); } catch (e) { if (e instanceof RequestErrorEvent) { return TileMapServiceImageryProvider._metadataFailure( options, - tmsResource + tmsResource, ); } @@ -143,7 +143,7 @@ TileMapServiceImageryProvider.fromUrl = async function (url, options) { const metadata = await TileMapServiceImageryProvider._requestMetadata( options, tmsResource, - xmlResource + xmlResource, ); return new TileMapServiceImageryProvider(metadata); @@ -151,9 +151,10 @@ TileMapServiceImageryProvider.fromUrl = async function (url, options) { if (defined(Object.create)) { TileMapServiceImageryProvider.prototype = Object.create( - UrlTemplateImageryProvider.prototype + UrlTemplateImageryProvider.prototype, ); - TileMapServiceImageryProvider.prototype.constructor = TileMapServiceImageryProvider; + TileMapServiceImageryProvider.prototype.constructor = + TileMapServiceImageryProvider; } /** @@ -179,18 +180,18 @@ function confineRectangleToTilingScheme(rectangle, tilingScheme) { function calculateSafeMinimumDetailLevel( tilingScheme, rectangle, - minimumLevel + minimumLevel, ) { // Check the number of tiles at the minimum level. If it's more than four, // try requesting the lower levels anyway, because starting at the higher minimum // level will cause too many tiles to be downloaded and rendered. const swTile = tilingScheme.positionToTileXY( Rectangle.southwest(rectangle), - minimumLevel + minimumLevel, ); const neTile = tilingScheme.positionToTileXY( Rectangle.northeast(rectangle), - minimumLevel + minimumLevel, ); const tileCount = (Math.abs(neTile.x - swTile.x) + 1) * (Math.abs(neTile.y - swTile.y) + 1); @@ -215,7 +216,7 @@ TileMapServiceImageryProvider._metadataSuccess = function ( options, tmsResource, xmlResource, - provider + provider, ) { const tileFormatRegex = /tileformat/i; const tileSetRegex = /tileset/i; @@ -254,7 +255,7 @@ TileMapServiceImageryProvider._metadataSuccess = function ( undefined, provider, provider.errorEvent, - message + message, ); } @@ -263,23 +264,23 @@ TileMapServiceImageryProvider._metadataSuccess = function ( const fileExtension = defaultValue( options.fileExtension, - format.getAttribute("extension") + format.getAttribute("extension"), ); const tileWidth = defaultValue( options.tileWidth, - parseInt(format.getAttribute("width"), 10) + parseInt(format.getAttribute("width"), 10), ); const tileHeight = defaultValue( options.tileHeight, - parseInt(format.getAttribute("height"), 10) + parseInt(format.getAttribute("height"), 10), ); let minimumLevel = defaultValue( options.minimumLevel, - parseInt(tilesetsList[0].getAttribute("order"), 10) + parseInt(tilesetsList[0].getAttribute("order"), 10), ); const maximumLevel = defaultValue( options.maximumLevel, - parseInt(tilesetsList[tilesetsList.length - 1].getAttribute("order"), 10) + parseInt(tilesetsList[tilesetsList.length - 1].getAttribute("order"), 10), ); const tilingSchemeName = tilesets.getAttribute("profile"); let tilingScheme = options.tilingScheme; @@ -306,7 +307,7 @@ TileMapServiceImageryProvider._metadataSuccess = function ( undefined, provider, provider.errorEvent, - message + message, ); } @@ -329,20 +330,20 @@ TileMapServiceImageryProvider._metadataSuccess = function ( if (flipXY) { swXY = new Cartesian2( parseFloat(bbox.getAttribute("miny")), - parseFloat(bbox.getAttribute("minx")) + parseFloat(bbox.getAttribute("minx")), ); neXY = new Cartesian2( parseFloat(bbox.getAttribute("maxy")), - parseFloat(bbox.getAttribute("maxx")) + parseFloat(bbox.getAttribute("maxx")), ); } else { swXY = new Cartesian2( parseFloat(bbox.getAttribute("minx")), - parseFloat(bbox.getAttribute("miny")) + parseFloat(bbox.getAttribute("miny")), ); neXY = new Cartesian2( parseFloat(bbox.getAttribute("maxx")), - parseFloat(bbox.getAttribute("maxy")) + parseFloat(bbox.getAttribute("maxy")), ); } @@ -368,7 +369,7 @@ TileMapServiceImageryProvider._metadataSuccess = function ( sw.longitude, sw.latitude, ne.longitude, - ne.latitude + ne.latitude, ); } @@ -378,7 +379,7 @@ TileMapServiceImageryProvider._metadataSuccess = function ( minimumLevel = calculateSafeMinimumDetailLevel( tilingScheme, rectangle, - minimumLevel + minimumLevel, ); const templateResource = tmsResource.getDerivedResource({ @@ -408,7 +409,7 @@ TileMapServiceImageryProvider._metadataSuccess = function ( */ TileMapServiceImageryProvider._metadataFailure = function ( options, - tmsResource + tmsResource, ) { // Can't load XML, still allow options and defaults const fileExtension = defaultValue(options.fileExtension, "png"); @@ -427,7 +428,7 @@ TileMapServiceImageryProvider._metadataFailure = function ( const minimumLevel = calculateSafeMinimumDetailLevel( tilingScheme, rectangle, - options.minimumLevel + options.minimumLevel, ); const templateResource = tmsResource.getDerivedResource({ diff --git a/packages/engine/Source/Scene/TileMetadata.js b/packages/engine/Source/Scene/TileMetadata.js index c2a62d9643d9..c93462c1a578 100644 --- a/packages/engine/Source/Scene/TileMetadata.js +++ b/packages/engine/Source/Scene/TileMetadata.js @@ -100,7 +100,7 @@ TileMetadata.prototype.hasPropertyBySemantic = function (semantic) { return MetadataEntity.hasPropertyBySemantic( semantic, this._properties, - this._class + this._class, ); }; @@ -145,7 +145,7 @@ TileMetadata.prototype.setProperty = function (propertyId, value) { propertyId, value, this._properties, - this._class + this._class, ); }; @@ -160,7 +160,7 @@ TileMetadata.prototype.getPropertyBySemantic = function (semantic) { return MetadataEntity.getPropertyBySemantic( semantic, this._properties, - this._class + this._class, ); }; @@ -177,7 +177,7 @@ TileMetadata.prototype.setPropertyBySemantic = function (semantic, value) { semantic, value, this._properties, - this._class + this._class, ); }; diff --git a/packages/engine/Source/Scene/TileOrientedBoundingBox.js b/packages/engine/Source/Scene/TileOrientedBoundingBox.js index 40d60451f51f..2fe2b925ce45 100644 --- a/packages/engine/Source/Scene/TileOrientedBoundingBox.js +++ b/packages/engine/Source/Scene/TileOrientedBoundingBox.js @@ -22,7 +22,7 @@ function computeMissingVector(a, b, result) { return Cartesian3.multiplyByScalar( result, CesiumMath.EPSILON7 / magnitude, - result + result, ); } @@ -31,7 +31,7 @@ function findOrthogonalVector(a, result) { const b = Cartesian3.equalsEpsilon( temp, Cartesian3.UNIT_X, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ) ? Cartesian3.UNIT_Y : Cartesian3.UNIT_X; @@ -96,7 +96,7 @@ function TileOrientedBoundingBox(center, halfAxes) { halfAxes = checkHalfAxes(halfAxes); this._orientedBoundingBox = new OrientedBoundingBox(center, halfAxes); this._boundingSphere = BoundingSphere.fromOrientedBoundingBox( - this._orientedBoundingBox + this._orientedBoundingBox, ); } @@ -140,7 +140,7 @@ TileOrientedBoundingBox.prototype.distanceToCamera = function (frameState) { Check.defined("frameState", frameState); //>>includeEnd('debug'); return Math.sqrt( - this._orientedBoundingBox.distanceSquaredTo(frameState.camera.positionWC) + this._orientedBoundingBox.distanceSquaredTo(frameState.camera.positionWC), ); }; @@ -174,7 +174,7 @@ TileOrientedBoundingBox.prototype.update = function (center, halfAxes) { Matrix3.clone(halfAxes, this._orientedBoundingBox.halfAxes); BoundingSphere.fromOrientedBoundingBox( this._orientedBoundingBox, - this._boundingSphere + this._boundingSphere, ); }; @@ -196,7 +196,7 @@ TileOrientedBoundingBox.prototype.createDebugVolume = function (color) { }); const modelMatrix = Matrix4.fromRotationTranslation( this.boundingVolume.halfAxes, - this.boundingVolume.center + this.boundingVolume.center, ); const instance = new GeometryInstance({ geometry: geometry, diff --git a/packages/engine/Source/Scene/Tileset3DTileContent.js b/packages/engine/Source/Scene/Tileset3DTileContent.js index 41d1652453c1..df9102f73cfb 100644 --- a/packages/engine/Source/Scene/Tileset3DTileContent.js +++ b/packages/engine/Source/Scene/Tileset3DTileContent.js @@ -161,7 +161,7 @@ Tileset3DTileContent.prototype.getFeature = function (batchId) { Tileset3DTileContent.prototype.applyDebugSettings = function ( enabled, - color + color, ) {}; Tileset3DTileContent.prototype.applyStyle = function (style) {}; diff --git a/packages/engine/Source/Scene/TilesetMetadata.js b/packages/engine/Source/Scene/TilesetMetadata.js index ef79ef3ec369..f5f23d1d6315 100644 --- a/packages/engine/Source/Scene/TilesetMetadata.js +++ b/packages/engine/Source/Scene/TilesetMetadata.js @@ -102,7 +102,7 @@ TilesetMetadata.prototype.hasPropertyBySemantic = function (semantic) { return MetadataEntity.hasPropertyBySemantic( semantic, this._properties, - this._class + this._class, ); }; @@ -147,7 +147,7 @@ TilesetMetadata.prototype.setProperty = function (propertyId, value) { propertyId, value, this._properties, - this._class + this._class, ); }; @@ -162,7 +162,7 @@ TilesetMetadata.prototype.getPropertyBySemantic = function (semantic) { return MetadataEntity.getPropertyBySemantic( semantic, this._properties, - this._class + this._class, ); }; @@ -179,7 +179,7 @@ TilesetMetadata.prototype.setPropertyBySemantic = function (semantic, value) { semantic, value, this._properties, - this._class + this._class, ); }; diff --git a/packages/engine/Source/Scene/TimeDynamicImagery.js b/packages/engine/Source/Scene/TimeDynamicImagery.js index 8a12e8902b7c..93c31eb5eede 100644 --- a/packages/engine/Source/Scene/TimeDynamicImagery.js +++ b/packages/engine/Source/Scene/TimeDynamicImagery.js @@ -26,7 +26,7 @@ function TimeDynamicImagery(options) { Check.typeOf.object("options.times", options.times); Check.typeOf.func( "options.requestImageFunction", - options.requestImageFunction + options.requestImageFunction, ); Check.typeOf.func("options.reloadFunction", options.reloadFunction); //>>includeEnd('debug'); @@ -144,7 +144,7 @@ TimeDynamicImagery.prototype.checkApproachingInterval = function ( x, y, level, - request + request, ) { const key = getKey(x, y, level); const tilesRequestedForInterval = this._tilesRequestedForInterval; @@ -292,7 +292,7 @@ function addToCache(that, tile, interval) { keyElements.y, keyElements.level, request, - interval + interval, ); if (!defined(promise)) { return false; diff --git a/packages/engine/Source/Scene/TimeDynamicPointCloud.js b/packages/engine/Source/Scene/TimeDynamicPointCloud.js index 3bd7e3fbb94f..2d52a8ef21cd 100644 --- a/packages/engine/Source/Scene/TimeDynamicPointCloud.js +++ b/packages/engine/Source/Scene/TimeDynamicPointCloud.js @@ -61,7 +61,7 @@ function TimeDynamicPointCloud(options) { * @default Matrix4.IDENTITY */ this.modelMatrix = Matrix4.clone( - defaultValue(options.modelMatrix, Matrix4.IDENTITY) + defaultValue(options.modelMatrix, Matrix4.IDENTITY), ); /** @@ -306,7 +306,7 @@ function getNextInterval(that, currentInterval) { const time = JulianDate.addSeconds( clock.currentTime, averageLoadTime * multiplier, - scratchDate + scratchDate, ); let index = intervals.indexOf(time); @@ -404,7 +404,7 @@ function updateAverageLoadTime(that, loadTime) { that._runningSamples[that._runningIndex] = loadTime; that._runningLength = Math.min( that._runningLength + 1, - that._runningSamples.length + that._runningSamples.length, ); that._runningIndex = (that._runningIndex + 1) % that._runningSamples.length; that._runningAverage = that._runningSum / that._runningLength; @@ -449,7 +449,7 @@ function getGeometricError(that, pointCloud) { return shading.baseResolution; } else if (defined(pointCloud.boundingSphere)) { return CesiumMath.cbrt( - pointCloud.boundingSphere.volume() / pointCloud.pointsLength + pointCloud.boundingSphere.volume() / pointCloud.pointsLength, ); } return 0.0; @@ -474,7 +474,7 @@ function renderFrame(that, frame, updateState, frameState) { pointCloud.modelMatrix = Matrix4.multiplyTransformation( that.modelMatrix, transform, - scratchModelMatrix + scratchModelMatrix, ); pointCloud.style = that.style; pointCloud.time = updateState.timeSinceLoad; @@ -556,7 +556,7 @@ function getNearestReadyInterval( previousInterval, currentInterval, updateState, - frameState + frameState, ) { let i; let interval; @@ -633,7 +633,7 @@ TimeDynamicPointCloud.prototype.update = function (frameState) { // For styling const timeSinceLoad = Math.max( JulianDate.secondsDifference(frameState.time, this._loadTimestamp) * 1000, - 0.0 + 0.0, ); // Update clipping planes @@ -701,7 +701,7 @@ TimeDynamicPointCloud.prototype.update = function (frameState) { previousInterval, currentInterval, updateState, - frameState + frameState, ); let frame = getFrame(this, previousInterval); @@ -761,7 +761,7 @@ TimeDynamicPointCloud.prototype.update = function (frameState) { frameState, lengthBeforeUpdate, shading, - this.boundingSphere + this.boundingSphere, ); } }; diff --git a/packages/engine/Source/Scene/TranslucentTileClassification.js b/packages/engine/Source/Scene/TranslucentTileClassification.js index e013aa226581..c73d3ba732c9 100644 --- a/packages/engine/Source/Scene/TranslucentTileClassification.js +++ b/packages/engine/Source/Scene/TranslucentTileClassification.js @@ -117,12 +117,12 @@ function updateTextures(transpClass, context, width, height) { function updateFramebuffers(transpClass, context, width, height) { destroyFramebuffers(transpClass); transpClass._drawClassificationFBO.setDepthStencilTexture( - transpClass._translucentDepthStencilTexture + transpClass._translucentDepthStencilTexture, ); transpClass._drawClassificationFBO.update(context, width, height); transpClass._accumulationFBO.setDepthStencilTexture( - transpClass._translucentDepthStencilTexture + transpClass._translucentDepthStencilTexture, ); transpClass._accumulationFBO.update(context, width, height); @@ -133,7 +133,7 @@ function updateResources( transpClass, context, passState, - globeDepthStencilTexture + globeDepthStencilTexture, ) { if (!transpClass.isSupported()) { return; @@ -206,7 +206,7 @@ function updateResources( defines: ["PICK"], }), attributeLocations: compositeProgram._attributeLocations, - } + }, ); const compositePickCommand = DrawCommand.shallowClone(compositeCommand); compositePickCommand.shaderProgram = compositePickProgram; @@ -252,7 +252,7 @@ function updateResources( const useScissorTest = !BoundingRectangle.equals( transpClass._viewport, - passState.viewport + passState.viewport, ); let updateScissor = useScissorTest !== transpClass._useScissorTest; transpClass._useScissorTest = useScissorTest; @@ -262,7 +262,7 @@ function updateResources( ) { transpClass._scissorRectangle = BoundingRectangle.clone( passState.viewport, - transpClass._scissorRectangle + transpClass._scissorRectangle, ); updateScissor = true; } @@ -271,7 +271,7 @@ function updateResources( !defined(transpClass._rsDepth) || !BoundingRectangle.equals( transpClass._viewport, - transpClass._rsDepth.viewport + transpClass._rsDepth.viewport, ) || updateScissor ) { @@ -292,7 +292,7 @@ function updateResources( !defined(transpClass._rsAccumulate) || !BoundingRectangle.equals( transpClass._viewport, - transpClass._rsAccumulate.viewport + transpClass._rsAccumulate.viewport, ) || updateScissor ) { @@ -318,7 +318,7 @@ function updateResources( !defined(transpClass._rsComp) || !BoundingRectangle.equals( transpClass._viewport, - transpClass._rsComp.viewport + transpClass._rsComp.viewport, ) || updateScissor ) { @@ -344,7 +344,7 @@ TranslucentTileClassification.prototype.executeTranslucentCommands = function ( executeCommand, passState, commands, - globeDepthStencilTexture + globeDepthStencilTexture, ) { // Check for translucent commands that should be classified const useLogDepth = scene.frameState.useLogDepth; @@ -397,49 +397,46 @@ TranslucentTileClassification.prototype.executeTranslucentCommands = function ( passState.framebuffer = framebuffer; }; -TranslucentTileClassification.prototype.executeClassificationCommands = function ( - scene, - executeCommand, - passState, - frustumCommands -) { - if (!this._hasTranslucentDepth) { - return; - } +TranslucentTileClassification.prototype.executeClassificationCommands = + function (scene, executeCommand, passState, frustumCommands) { + if (!this._hasTranslucentDepth) { + return; + } - const context = scene.context; - const uniformState = context.uniformState; - const framebuffer = passState.framebuffer; + const context = scene.context; + const uniformState = context.uniformState; + const framebuffer = passState.framebuffer; - passState.framebuffer = this._accumulationFBO.framebuffer; - this._accumulateCommand.execute(context, passState); + passState.framebuffer = this._accumulationFBO.framebuffer; + this._accumulateCommand.execute(context, passState); - passState.framebuffer = this._drawClassificationFBO.framebuffer; - if (this._frustumsDrawn > 1) { - this._clearColorCommand.execute(context, passState); - } + passState.framebuffer = this._drawClassificationFBO.framebuffer; + if (this._frustumsDrawn > 1) { + this._clearColorCommand.execute(context, passState); + } - uniformState.updatePass(Pass.CESIUM_3D_TILE_CLASSIFICATION); - const swapGlobeDepth = uniformState.globeDepthTexture; - uniformState.globeDepthTexture = this._packFBO.getColorTexture(); - const commands = frustumCommands.commands[Pass.CESIUM_3D_TILE_CLASSIFICATION]; - const length = frustumCommands.indices[Pass.CESIUM_3D_TILE_CLASSIFICATION]; - for (let i = 0; i < length; ++i) { - executeCommand(commands[i], scene, passState); - } + uniformState.updatePass(Pass.CESIUM_3D_TILE_CLASSIFICATION); + const swapGlobeDepth = uniformState.globeDepthTexture; + uniformState.globeDepthTexture = this._packFBO.getColorTexture(); + const commands = + frustumCommands.commands[Pass.CESIUM_3D_TILE_CLASSIFICATION]; + const length = frustumCommands.indices[Pass.CESIUM_3D_TILE_CLASSIFICATION]; + for (let i = 0; i < length; ++i) { + executeCommand(commands[i], scene, passState); + } - uniformState.globeDepthTexture = swapGlobeDepth; - passState.framebuffer = framebuffer; + uniformState.globeDepthTexture = swapGlobeDepth; + passState.framebuffer = framebuffer; - if (this._frustumsDrawn === 1) { - return; - } + if (this._frustumsDrawn === 1) { + return; + } - passState.framebuffer = this._accumulationFBO.framebuffer; - this._accumulateCommand.execute(context, passState); + passState.framebuffer = this._accumulationFBO.framebuffer; + this._accumulateCommand.execute(context, passState); - passState.framebuffer = framebuffer; -}; + passState.framebuffer = framebuffer; + }; TranslucentTileClassification.prototype.execute = function (scene, passState) { if (!this._hasTranslucentDepth) { @@ -470,7 +467,7 @@ function clear(translucentTileClassification, scene, passState) { translucentTileClassification._drawClassificationFBO.framebuffer; translucentTileClassification._clearColorCommand.execute( scene._context, - passState + passState, ); passState.framebuffer = framebuffer; @@ -480,7 +477,7 @@ function clear(translucentTileClassification, scene, passState) { translucentTileClassification._accumulationFBO.framebuffer; translucentTileClassification._clearColorCommand.execute( scene._context, - passState + passState, ); } diff --git a/packages/engine/Source/Scene/TweenCollection.js b/packages/engine/Source/Scene/TweenCollection.js index e49bad844f57..8ace4f73ed8f 100644 --- a/packages/engine/Source/Scene/TweenCollection.js +++ b/packages/engine/Source/Scene/TweenCollection.js @@ -26,7 +26,7 @@ function Tween( easingFunction, update, complete, - cancel + cancel, ) { this._tweens = tweens; this._tweenjs = tweenjs; @@ -217,13 +217,13 @@ TweenCollection.prototype.add = function (options) { //>>includeStart('debug', pragmas.debug); if (!defined(options.startObject) || !defined(options.stopObject)) { throw new DeveloperError( - "options.startObject and options.stopObject are required." + "options.startObject and options.stopObject are required.", ); } if (!defined(options.duration) || options.duration < 0.0) { throw new DeveloperError( - "options.duration is required and must be positive." + "options.duration is required and must be positive.", ); } //>>includeEnd('debug'); @@ -240,7 +240,7 @@ TweenCollection.prototype.add = function (options) { const delay = delayInSeconds / TimeConstants.SECONDS_PER_MILLISECOND; const easingFunction = defaultValue( options.easingFunction, - EasingFunction.LINEAR_NONE + EasingFunction.LINEAR_NONE, ); const value = options.startObject; @@ -266,7 +266,7 @@ TweenCollection.prototype.add = function (options) { easingFunction, options.update, options.complete, - options.cancel + options.cancel, ); this._tweens.push(tween); return tween; @@ -303,17 +303,17 @@ TweenCollection.prototype.addProperty = function (options) { //>>includeStart('debug', pragmas.debug); if (!defined(object) || !defined(options.property)) { throw new DeveloperError( - "options.object and options.property are required." + "options.object and options.property are required.", ); } if (!defined(object[property])) { throw new DeveloperError( - "options.object must have the specified property." + "options.object must have the specified property.", ); } if (!defined(startValue) || !defined(stopValue)) { throw new DeveloperError( - "options.startValue and options.stopValue are required." + "options.startValue and options.stopValue are required.", ); } //>>includeEnd('debug'); @@ -384,7 +384,7 @@ TweenCollection.prototype.addAlpha = function (options) { //>>includeStart('debug', pragmas.debug); if (properties.length === 0) { throw new DeveloperError( - "material has no properties with alpha components." + "material has no properties with alpha components.", ); } //>>includeEnd('debug'); diff --git a/packages/engine/Source/Scene/UrlTemplateImageryProvider.js b/packages/engine/Source/Scene/UrlTemplateImageryProvider.js index 9eee9536831b..48dcab645f46 100644 --- a/packages/engine/Source/Scene/UrlTemplateImageryProvider.js +++ b/packages/engine/Source/Scene/UrlTemplateImageryProvider.js @@ -219,16 +219,16 @@ function UrlTemplateImageryProvider(options) { this._maximumLevel = options.maximumLevel; this._tilingScheme = defaultValue( options.tilingScheme, - new WebMercatorTilingScheme({ ellipsoid: options.ellipsoid }) + new WebMercatorTilingScheme({ ellipsoid: options.ellipsoid }), ); this._rectangle = defaultValue( options.rectangle, - this._tilingScheme.rectangle + this._tilingScheme.rectangle, ); this._rectangle = Rectangle.intersection( this._rectangle, - this._tilingScheme.rectangle + this._tilingScheme.rectangle, ); this._tileDiscardPolicy = options.tileDiscardPolicy; @@ -527,11 +527,11 @@ UrlTemplateImageryProvider.prototype.requestImage = function ( x, y, level, - request + request, ) { return ImageryProvider.loadImage( this, - buildImageResource(this, x, y, level, request) + buildImageResource(this, x, y, level, request), ); }; @@ -554,7 +554,7 @@ UrlTemplateImageryProvider.prototype.pickFeatures = function ( y, level, longitude, - latitude + latitude, ) { if ( !this.enablePickFeatures || @@ -586,7 +586,7 @@ UrlTemplateImageryProvider.prototype.pickFeatures = function ( level, longitude, latitude, - format.format + format.format, ); ++formatIndex; @@ -650,7 +650,7 @@ function buildPickFeaturesResource( level, longitude, latitude, - format + format, ) { degreesScratchComputed = false; projectedScratchComputed = false; @@ -673,7 +673,7 @@ function buildPickFeaturesResource( level, longitude, latitude, - format + format, ); } }); @@ -698,7 +698,7 @@ function padWithZerosIfNecessary(imageryProvider, key, value) { value.length >= paddingTemplateWidth ? value : new Array( - paddingTemplateWidth - value.toString().length + 1 + paddingTemplateWidth - value.toString().length + 1, ).join("0") + value; } } @@ -787,7 +787,7 @@ function computeProjected(imageryProvider, x, y, level) { x, y, level, - projectedScratch + projectedScratch, ); projectedScratchComputed = true; @@ -838,7 +838,7 @@ function reverseITag( level, longitude, latitude, - format + format, ) { computeIJ(imageryProvider, x, y, level, longitude, latitude); return imageryProvider.tileWidth - ijScratch.x - 1; @@ -851,7 +851,7 @@ function reverseJTag( level, longitude, latitude, - format + format, ) { computeIJ(imageryProvider, x, y, level, longitude, latitude); return imageryProvider.tileHeight - ijScratch.y - 1; @@ -871,7 +871,7 @@ function computeIJ(imageryProvider, x, y, level, longitude, latitude, format) { y, level, longitude, - latitude + latitude, ); const projected = longitudeLatitudeProjectedScratch; @@ -879,7 +879,7 @@ function computeIJ(imageryProvider, x, y, level, longitude, latitude, format) { x, y, level, - rectangleScratch + rectangleScratch, ); ijScratch.x = ((imageryProvider.tileWidth * (projected.x - rectangle.west)) / @@ -899,7 +899,7 @@ function longitudeDegreesTag( level, longitude, latitude, - format + format, ) { return CesiumMath.toDegrees(longitude); } @@ -911,7 +911,7 @@ function latitudeDegreesTag( level, longitude, latitude, - format + format, ) { return CesiumMath.toDegrees(latitude); } @@ -923,7 +923,7 @@ function longitudeProjectedTag( level, longitude, latitude, - format + format, ) { computeLongitudeLatitudeProjected( imageryProvider, @@ -931,7 +931,7 @@ function longitudeProjectedTag( y, level, longitude, - latitude + latitude, ); return longitudeLatitudeProjectedScratch.x; } @@ -943,7 +943,7 @@ function latitudeProjectedTag( level, longitude, latitude, - format + format, ) { computeLongitudeLatitudeProjected( imageryProvider, @@ -951,7 +951,7 @@ function latitudeProjectedTag( y, level, longitude, - latitude + latitude, ); return longitudeLatitudeProjectedScratch.y; } @@ -965,7 +965,7 @@ function computeLongitudeLatitudeProjected( level, longitude, latitude, - format + format, ) { if (longitudeLatitudeProjectedScratchComputed) { return; @@ -980,7 +980,7 @@ function computeLongitudeLatitudeProjected( cartographic.latitude = latitude; imageryProvider.tilingScheme.projection.project( cartographic, - longitudeLatitudeProjectedScratch + longitudeLatitudeProjectedScratch, ); } diff --git a/packages/engine/Source/Scene/Vector3DTileClampedPolylines.js b/packages/engine/Source/Scene/Vector3DTileClampedPolylines.js index 657599492973..30a07500ce07 100644 --- a/packages/engine/Source/Scene/Vector3DTileClampedPolylines.js +++ b/packages/engine/Source/Scene/Vector3DTileClampedPolylines.js @@ -80,13 +80,13 @@ function Vector3DTileClampedPolylines(options) { this._packedBuffer = undefined; this._minimumMaximumVectorHeights = new Cartesian2( ApproximateTerrainHeights._defaultMinTerrainHeight, - ApproximateTerrainHeights._defaultMaxTerrainHeight + ApproximateTerrainHeights._defaultMaxTerrainHeight, ); this._boundingVolume = OrientedBoundingBox.fromRectangle( options.rectangle, ApproximateTerrainHeights._defaultMinTerrainHeight, ApproximateTerrainHeights._defaultMaxTerrainHeight, - this._ellipsoid + this._ellipsoid, ); this._classificationType = options.classificationType; @@ -161,7 +161,7 @@ Object.defineProperties(Vector3DTileClampedPolylines.prototype, { function updateMinimumMaximumHeights(polylines, rectangle, ellipsoid) { const result = ApproximateTerrainHeights.getMinimumMaximumHeights( rectangle, - ellipsoid + ellipsoid, ); const min = result.minimumTerrainHeight; const max = result.maximumTerrainHeight; @@ -204,7 +204,7 @@ function packBuffer(polylines) { } const createVerticesTaskProcessor = new TaskProcessor( - "createVectorTileClampedPolylines" + "createVectorTileClampedPolylines", ); const attributeLocations = { startEllipsoidNormal: 0, @@ -257,7 +257,7 @@ function createVertexArray(polylines, context) { const verticesPromise = createVerticesTaskProcessor.scheduleTask( parameters, - transferrableObjects + transferrableObjects, ); if (!defined(verticesPromise)) { // Postponed @@ -273,27 +273,27 @@ function createVertexArray(polylines, context) { if (polylines._keepDecodedPositions) { polylines._decodedPositions = new Float64Array(result.decodedPositions); polylines._decodedPositionOffsets = new Uint32Array( - result.decodedPositionOffsets + result.decodedPositionOffsets, ); } polylines._startEllipsoidNormals = new Float32Array( - result.startEllipsoidNormals + result.startEllipsoidNormals, ); polylines._endEllipsoidNormals = new Float32Array( - result.endEllipsoidNormals + result.endEllipsoidNormals, ); polylines._startPositionAndHeights = new Float32Array( - result.startPositionAndHeights + result.startPositionAndHeights, ); polylines._startFaceNormalAndVertexCornerIds = new Float32Array( - result.startFaceNormalAndVertexCornerIds + result.startFaceNormalAndVertexCornerIds, ); polylines._endPositionAndHeights = new Float32Array( - result.endPositionAndHeights + result.endPositionAndHeights, ); polylines._endFaceNormalAndHalfWidths = new Float32Array( - result.endFaceNormalAndHalfWidths + result.endFaceNormalAndHalfWidths, ); polylines._vertexBatchIds = new Uint16Array(result.vertexBatchIds); @@ -477,12 +477,12 @@ function createUniformMap(primitive, context) { Matrix4.multiplyByPoint( modifiedModelViewScratch, primitive._center, - rtcScratch + rtcScratch, ); Matrix4.setTranslation( modifiedModelViewScratch, rtcScratch, - modifiedModelViewScratch + modifiedModelViewScratch, ); return modifiedModelViewScratch; }, @@ -549,12 +549,12 @@ function createShaders(primitive, context) { const vsSource = batchTable.getVertexShaderCallback( false, "a_batchId", - undefined + undefined, )(Vector3DTileClampedPolylinesVS); const fsSource = batchTable.getFragmentShaderCallback( false, undefined, - true + true, )(Vector3DTileClampedPolylinesFS); const vs = new ShaderSource({ @@ -581,7 +581,7 @@ function queueCommands(primitive, frameState) { let command = primitive._command; if (!defined(primitive._command)) { const uniformMap = primitive._batchTable.getUniformMapCallback()( - primitive._uniformMap + primitive._uniformMap, ); command = primitive._command = new DrawCommand({ owner: primitive, @@ -596,7 +596,7 @@ function queueCommands(primitive, frameState) { const derivedTilesetCommand = DrawCommand.shallowClone( command, - command.derivedCommands.tileset + command.derivedCommands.tileset, ); derivedTilesetCommand.renderState = primitive._rs3DTiles; derivedTilesetCommand.pass = Pass.CESIUM_3D_TILE_CLASSIFICATION; @@ -635,7 +635,7 @@ Vector3DTileClampedPolylines.prototype.getPositions = function (batchId) { */ Vector3DTileClampedPolylines.prototype.createFeatures = function ( content, - features + features, ) { const batchIds = this._batchIds; const length = batchIds.length; @@ -653,7 +653,7 @@ Vector3DTileClampedPolylines.prototype.createFeatures = function ( */ Vector3DTileClampedPolylines.prototype.applyDebugSettings = function ( enabled, - color + color, ) { this._highlightColor = enabled ? color : this._constantColor; }; @@ -708,7 +708,7 @@ function initialize(polylines) { updateMinimumMaximumHeights( polylines, polylines._rectangle, - polylines._ellipsoid + polylines._ellipsoid, ); }) .catch((error) => { diff --git a/packages/engine/Source/Scene/Vector3DTileContent.js b/packages/engine/Source/Scene/Vector3DTileContent.js index 120a22db5801..1975f60b6055 100644 --- a/packages/engine/Source/Scene/Vector3DTileContent.js +++ b/packages/engine/Source/Scene/Vector3DTileContent.js @@ -204,7 +204,7 @@ function getBatchIds(featureTableJson, featureTableBinary) { polygonBatchIds = new Uint16Array( featureTableBinary.buffer, polygonBatchIdsByteOffset, - numberOfPolygons + numberOfPolygons, ); } @@ -215,7 +215,7 @@ function getBatchIds(featureTableJson, featureTableBinary) { polylineBatchIds = new Uint16Array( featureTableBinary.buffer, polylineBatchIdsByteOffset, - numberOfPolylines + numberOfPolylines, ); } @@ -226,7 +226,7 @@ function getBatchIds(featureTableJson, featureTableBinary) { pointBatchIds = new Uint16Array( featureTableBinary.buffer, pointBatchIdsByteOffset, - numberOfPoints + numberOfPoints, ); } @@ -241,7 +241,7 @@ function getBatchIds(featureTableJson, featureTableBinary) { if (atLeastOneDefined && atLeastOneUndefined) { throw new RuntimeError( - "If one group of batch ids is defined, then all batch ids must be defined" + "If one group of batch ids is defined, then all batch ids must be defined", ); } @@ -298,7 +298,7 @@ function initialize(content, arrayBuffer, byteOffset) { const version = view.getUint32(byteOffset, true); if (version !== 1) { throw new RuntimeError( - `Only Vector tile version 1 is supported. Version ${version} is not.` + `Only Vector tile version 1 is supported. Version ${version} is not.`, ); } byteOffset += sizeOfUint32; @@ -316,7 +316,7 @@ function initialize(content, arrayBuffer, byteOffset) { if (featureTableJSONByteLength === 0) { throw new RuntimeError( - "Feature table must have a byte length greater than zero" + "Feature table must have a byte length greater than zero", ); } @@ -338,14 +338,14 @@ function initialize(content, arrayBuffer, byteOffset) { const featureTableJson = getJsonFromTypedArray( uint8Array, byteOffset, - featureTableJSONByteLength + featureTableJSONByteLength, ); byteOffset += featureTableJSONByteLength; const featureTableBinary = new Uint8Array( arrayBuffer, byteOffset, - featureTableBinaryByteLength + featureTableBinaryByteLength, ); byteOffset += featureTableBinaryByteLength; @@ -360,7 +360,7 @@ function initialize(content, arrayBuffer, byteOffset) { batchTableJson = getJsonFromTypedArray( uint8Array, byteOffset, - batchTableJSONByteLength + batchTableJSONByteLength, ); byteOffset += batchTableJSONByteLength; @@ -369,7 +369,7 @@ function initialize(content, arrayBuffer, byteOffset) { batchTableBinary = new Uint8Array( arrayBuffer, byteOffset, - batchTableBinaryByteLength + batchTableBinaryByteLength, ); // Copy the batchTableBinary section and let the underlying ArrayBuffer be freed batchTableBinary = new Uint8Array(batchTableBinary); @@ -387,7 +387,7 @@ function initialize(content, arrayBuffer, byteOffset) { totalPrimitives, batchTableJson, batchTableBinary, - createColorChangedCallback(content) + createColorChangedCallback(content), ); content._batchTable = batchTable; @@ -397,12 +397,12 @@ function initialize(content, arrayBuffer, byteOffset) { const featureTable = new Cesium3DTileFeatureTable( featureTableJson, - featureTableBinary + featureTableBinary, ); const region = featureTable.getGlobalProperty("REGION"); if (!defined(region)) { throw new RuntimeError( - "Feature table global property: REGION must be defined" + "Feature table global property: REGION must be defined", ); } const rectangle = Rectangle.unpack(region); @@ -414,7 +414,7 @@ function initialize(content, arrayBuffer, byteOffset) { let center = featureTable.getGlobalProperty( "RTC_CENTER", ComponentDatatype.FLOAT, - 3 + 3, ); if (defined(center)) { center = Cartesian3.unpack(center); @@ -435,18 +435,18 @@ function initialize(content, arrayBuffer, byteOffset) { featureTable.getPropertyArray( "POLYGON_COUNTS", ComponentDatatype.UNSIGNED_INT, - 1 + 1, ), featureTable.getPropertyArray( "POLYGON_COUNT", ComponentDatatype.UNSIGNED_INT, - 1 - ) // Workaround for old vector tilesets using the non-plural name + 1, + ), // Workaround for old vector tilesets using the non-plural name ); if (!defined(polygonCounts)) { throw new RuntimeError( - "Feature table property: POLYGON_COUNTS must be defined when POLYGONS_LENGTH is greater than 0" + "Feature table property: POLYGON_COUNTS must be defined when POLYGONS_LENGTH is greater than 0", ); } @@ -454,18 +454,18 @@ function initialize(content, arrayBuffer, byteOffset) { featureTable.getPropertyArray( "POLYGON_INDEX_COUNTS", ComponentDatatype.UNSIGNED_INT, - 1 + 1, ), featureTable.getPropertyArray( "POLYGON_INDEX_COUNT", ComponentDatatype.UNSIGNED_INT, - 1 - ) // Workaround for old vector tilesets using the non-plural name + 1, + ), // Workaround for old vector tilesets using the non-plural name ); if (!defined(polygonIndexCounts)) { throw new RuntimeError( - "Feature table property: POLYGON_INDEX_COUNTS must be defined when POLYGONS_LENGTH is greater than 0" + "Feature table property: POLYGON_INDEX_COUNTS must be defined when POLYGONS_LENGTH is greater than 0", ); } @@ -477,11 +477,10 @@ function initialize(content, arrayBuffer, byteOffset) { const numPolygonIndices = polygonIndexCounts.reduce(function ( total, - count + count, ) { return total + count; - }, - 0); + }, 0); const indices = new Uint32Array(arrayBuffer, byteOffset, numPolygonIndices); byteOffset += indicesByteLength; @@ -489,7 +488,7 @@ function initialize(content, arrayBuffer, byteOffset) { const polygonPositions = new Uint16Array( arrayBuffer, byteOffset, - numPolygonPositions + numPolygonPositions, ); byteOffset += positionByteLength; @@ -502,12 +501,12 @@ function initialize(content, arrayBuffer, byteOffset) { polygonMinimumHeights = featureTable.getPropertyArray( "POLYGON_MINIMUM_HEIGHTS", ComponentDatatype.FLOAT, - 1 + 1, ); polygonMaximumHeights = featureTable.getPropertyArray( "POLYGON_MAXIMUM_HEIGHTS", ComponentDatatype.FLOAT, - 1 + 1, ); } @@ -536,25 +535,25 @@ function initialize(content, arrayBuffer, byteOffset) { featureTable.getPropertyArray( "POLYLINE_COUNTS", ComponentDatatype.UNSIGNED_INT, - 1 + 1, ), featureTable.getPropertyArray( "POLYLINE_COUNT", ComponentDatatype.UNSIGNED_INT, - 1 - ) // Workaround for old vector tilesets using the non-plural name + 1, + ), // Workaround for old vector tilesets using the non-plural name ); if (!defined(polylineCounts)) { throw new RuntimeError( - "Feature table property: POLYLINE_COUNTS must be defined when POLYLINES_LENGTH is greater than 0" + "Feature table property: POLYLINE_COUNTS must be defined when POLYLINES_LENGTH is greater than 0", ); } let widths = featureTable.getPropertyArray( "POLYLINE_WIDTHS", ComponentDatatype.UNSIGNED_SHORT, - 1 + 1, ); if (!defined(widths)) { widths = new Uint16Array(numberOfPolylines); @@ -571,7 +570,7 @@ function initialize(content, arrayBuffer, byteOffset) { const polylinePositions = new Uint16Array( arrayBuffer, byteOffset, - numPolylinePositions + numPolylinePositions, ); byteOffset += polylinePositionByteLength; @@ -583,7 +582,7 @@ function initialize(content, arrayBuffer, byteOffset) { rectangle, minHeight, maxHeight, - Ellipsoid.WGS84 + Ellipsoid.WGS84, ); examineVectorLines( decodedPositions, @@ -591,7 +590,7 @@ function initialize(content, arrayBuffer, byteOffset) { batchIds.polylines, batchTable, content.url, - examineVectorLinesFunction + examineVectorLinesFunction, ); } @@ -620,7 +619,7 @@ function initialize(content, arrayBuffer, byteOffset) { const pointPositions = new Uint16Array( arrayBuffer, byteOffset, - numberOfPoints * 3 + numberOfPoints * 3, ); byteOffset += pointsPositionByteLength; content._points = new Vector3DTilePoints({ @@ -663,7 +662,7 @@ Vector3DTileContent.prototype.getFeature = function (batchId) { throw new DeveloperError( `batchId is required and between zero and featuresLength - 1 (${ featuresLength - 1 - }).` + }).`, ); } //>>includeEnd('debug'); @@ -758,7 +757,7 @@ function examineVectorLines( batchIds, batchTable, url, - callback + callback, ) { const countsLength = counts.length; let polylineStart = 0; diff --git a/packages/engine/Source/Scene/Vector3DTileGeometry.js b/packages/engine/Source/Scene/Vector3DTileGeometry.js index c6e4c7688079..682ca7dbb5e5 100644 --- a/packages/engine/Source/Scene/Vector3DTileGeometry.js +++ b/packages/engine/Source/Scene/Vector3DTileGeometry.js @@ -160,7 +160,7 @@ Vector3DTileGeometry.packedSphereLength = Cartesian3.packedLength + 1; function packBuffer(geometries) { const packedBuffer = new Float64Array( - Matrix4.packedLength + Cartesian3.packedLength + Matrix4.packedLength + Cartesian3.packedLength, ); let offset = 0; @@ -213,7 +213,7 @@ function unpackBuffer(geometries, packedBuffer) { const createVerticesTaskProcessor = new TaskProcessor( "createVectorTileGeometries", - 5 + 5, ); const scratchColor = new Color(); @@ -245,12 +245,14 @@ function createPrimitive(geometries) { } if (defined(geometries._cylinders)) { cylinders = geometries._cylinders = cylinders.slice(); - cylinderBatchIds = geometries._cylinderBatchIds = cylinderBatchIds.slice(); + cylinderBatchIds = geometries._cylinderBatchIds = + cylinderBatchIds.slice(); length += cylinderBatchIds.length; } if (defined(geometries._ellipsoids)) { ellipsoids = geometries._ellipsoids = ellipsoids.slice(); - ellipsoidBatchIds = geometries._ellipsoidBatchIds = ellipsoidBatchIds.slice(); + ellipsoidBatchIds = geometries._ellipsoidBatchIds = + ellipsoidBatchIds.slice(); length += ellipsoidBatchIds.length; } if (defined(geometries._spheres)) { @@ -302,10 +304,11 @@ function createPrimitive(geometries) { packedBuffer: packedBuffer.buffer, }; - const verticesPromise = (geometries._verticesPromise = createVerticesTaskProcessor.scheduleTask( - parameters, - transferrableObjects - )); + const verticesPromise = (geometries._verticesPromise = + createVerticesTaskProcessor.scheduleTask( + parameters, + transferrableObjects, + )); if (!defined(verticesPromise)) { // Postponed return; diff --git a/packages/engine/Source/Scene/Vector3DTilePoints.js b/packages/engine/Source/Scene/Vector3DTilePoints.js index 7bea9c2c9022..e985e226bf20 100644 --- a/packages/engine/Source/Scene/Vector3DTilePoints.js +++ b/packages/engine/Source/Scene/Vector3DTilePoints.js @@ -101,8 +101,8 @@ Object.defineProperties(Vector3DTilePoints.prototype, { */ texturesByteLength: { get: function () { - const billboardSize = this._billboardCollection.textureAtlas.texture - .sizeInBytes; + const billboardSize = + this._billboardCollection.textureAtlas.texture.sizeInBytes; const labelSize = this._labelCollection._textureAtlas.texture.sizeInBytes; return billboardSize + labelSize; }, @@ -131,7 +131,7 @@ function packBuffer(points, ellipsoid) { const createVerticesTaskProcessor = new TaskProcessor( "createVectorTilePoints", - 5 + 5, ); const scratchPosition = new Cartesian3(); @@ -155,7 +155,7 @@ function createPoints(points, ellipsoid) { const verticesPromise = createVerticesTaskProcessor.scheduleTask( parameters, - transferrableObjects + transferrableObjects, ); if (!defined(verticesPromise)) { // Postponed @@ -233,7 +233,7 @@ Vector3DTilePoints.prototype.createFeatures = function (content, features) { batchId, billboard, label, - polyline + polyline, ); } }; @@ -337,7 +337,7 @@ Vector3DTilePoints.prototype.applyStyle = function (style, features) { if (defined(style.pointOutlineColor)) { feature.pointOutlineColor = style.pointOutlineColor.evaluateColor( feature, - scratchColor2 + scratchColor2, ); } @@ -348,14 +348,14 @@ Vector3DTilePoints.prototype.applyStyle = function (style, features) { if (defined(style.labelColor)) { feature.labelColor = style.labelColor.evaluateColor( feature, - scratchColor3 + scratchColor3, ); } if (defined(style.labelOutlineColor)) { feature.labelOutlineColor = style.labelOutlineColor.evaluateColor( feature, - scratchColor4 + scratchColor4, ); } @@ -380,7 +380,7 @@ Vector3DTilePoints.prototype.applyStyle = function (style, features) { if (defined(style.backgroundColor)) { feature.backgroundColor = style.backgroundColor.evaluateColor( feature, - scratchColor5 + scratchColor5, ); } @@ -408,9 +408,8 @@ Vector3DTilePoints.prototype.applyStyle = function (style, features) { } if (defined(style.translucencyByDistance)) { - const translucencyByDistanceCart4 = style.translucencyByDistance.evaluate( - feature - ); + const translucencyByDistanceCart4 = + style.translucencyByDistance.evaluate(feature); if (defined(translucencyByDistanceCart4)) { scratchTranslucencyByDistance.near = translucencyByDistanceCart4.x; scratchTranslucencyByDistance.nearValue = translucencyByDistanceCart4.y; @@ -425,9 +424,8 @@ Vector3DTilePoints.prototype.applyStyle = function (style, features) { } if (defined(style.distanceDisplayCondition)) { - const distanceDisplayConditionCart2 = style.distanceDisplayCondition.evaluate( - feature - ); + const distanceDisplayConditionCart2 = + style.distanceDisplayCondition.evaluate(feature); if (defined(distanceDisplayConditionCart2)) { scratchDistanceDisplayCondition.near = distanceDisplayConditionCart2.x; scratchDistanceDisplayCondition.far = distanceDisplayConditionCart2.y; @@ -450,7 +448,7 @@ Vector3DTilePoints.prototype.applyStyle = function (style, features) { if (defined(style.anchorLineColor)) { feature.anchorLineColor = style.anchorLineColor.evaluateColor( feature, - scratchColor6 + scratchColor6, ); } @@ -461,9 +459,8 @@ Vector3DTilePoints.prototype.applyStyle = function (style, features) { } if (defined(style.disableDepthTestDistance)) { - feature.disableDepthTestDistance = style.disableDepthTestDistance.evaluate( - feature - ); + feature.disableDepthTestDistance = + style.disableDepthTestDistance.evaluate(feature); } if (defined(style.horizontalOrigin)) { @@ -475,9 +472,8 @@ Vector3DTilePoints.prototype.applyStyle = function (style, features) { } if (defined(style.labelHorizontalOrigin)) { - feature.labelHorizontalOrigin = style.labelHorizontalOrigin.evaluate( - feature - ); + feature.labelHorizontalOrigin = + style.labelHorizontalOrigin.evaluate(feature); } if (defined(style.labelVerticalOrigin)) { diff --git a/packages/engine/Source/Scene/Vector3DTilePolygons.js b/packages/engine/Source/Scene/Vector3DTilePolygons.js index 06a6d3de907e..d11e4424a339 100644 --- a/packages/engine/Source/Scene/Vector3DTilePolygons.js +++ b/packages/engine/Source/Scene/Vector3DTilePolygons.js @@ -156,7 +156,7 @@ function packBuffer(polygons) { 3 + Cartesian3.packedLength + Ellipsoid.packedLength + - Rectangle.packedLength + Rectangle.packedLength, ); let offset = 0; @@ -215,7 +215,7 @@ function unpackBuffer(polygons, packedBuffer) { const createVerticesTaskProcessor = new TaskProcessor( "createVectorTilePolygons", - 5 + 5, ); const scratchColor = new Color(); @@ -242,14 +242,14 @@ function createPrimitive(polygons) { indices = polygons._indices = polygons._indices.slice(); polygons._center = polygons._ellipsoid.cartographicToCartesian( - Rectangle.center(polygons._rectangle) + Rectangle.center(polygons._rectangle), ); batchIds = polygons._transferrableBatchIds = new Uint32Array( - polygons._batchIds + polygons._batchIds, ); batchTableColors = polygons._batchTableColors = new Uint32Array( - batchIds.length + batchIds.length, ); const batchTable = polygons._batchTable; @@ -294,7 +294,7 @@ function createPrimitive(polygons) { const verticesPromise = createVerticesTaskProcessor.scheduleTask( parameters, - transferrableObjects + transferrableObjects, ); if (!defined(verticesPromise)) { // Postponed diff --git a/packages/engine/Source/Scene/Vector3DTilePolylines.js b/packages/engine/Source/Scene/Vector3DTilePolylines.js index 781f568b5118..d495a024efcd 100644 --- a/packages/engine/Source/Scene/Vector3DTilePolylines.js +++ b/packages/engine/Source/Scene/Vector3DTilePolylines.js @@ -167,7 +167,7 @@ function packBuffer(polylines) { const createVerticesTaskProcessor = new TaskProcessor( "createVectorTilePolylines", - 5 + 5, ); const attributeLocations = { previousPosition: 0, @@ -218,7 +218,7 @@ function createVertexArray(polylines, context) { const verticesPromise = createVerticesTaskProcessor.scheduleTask( parameters, - transferrableObjects + transferrableObjects, ); if (!defined(verticesPromise)) { // Postponed @@ -234,7 +234,7 @@ function createVertexArray(polylines, context) { if (polylines._keepDecodedPositions) { polylines._decodedPositions = new Float64Array(result.decodedPositions); polylines._decodedPositionOffsets = new Uint32Array( - result.decodedPositionOffsets + result.decodedPositionOffsets, ); } @@ -395,12 +395,12 @@ function createUniformMap(primitive, context) { Matrix4.multiplyByPoint( modifiedModelViewScratch, primitive._center, - rtcScratch + rtcScratch, ); Matrix4.setTranslation( modifiedModelViewScratch, rtcScratch, - modifiedModelViewScratch + modifiedModelViewScratch, ); return modifiedModelViewScratch; }, @@ -448,12 +448,12 @@ function createShaders(primitive, context) { const vsSource = batchTable.getVertexShaderCallback( false, "a_batchId", - undefined + undefined, )(Vector3DTilePolylinesVS); const fsSource = batchTable.getFragmentShaderCallback( false, undefined, - false + false, )(PolylineFS); const vs = new ShaderSource({ @@ -479,7 +479,7 @@ function createShaders(primitive, context) { function queueCommands(primitive, frameState) { if (!defined(primitive._command)) { const uniformMap = primitive._batchTable.getUniformMapCallback()( - primitive._uniformMap + primitive._uniformMap, ); primitive._command = new DrawCommand({ owner: primitive, diff --git a/packages/engine/Source/Scene/Vector3DTilePrimitive.js b/packages/engine/Source/Scene/Vector3DTilePrimitive.js index 0f16df4a98fb..bb5cb4825a57 100644 --- a/packages/engine/Source/Scene/Vector3DTilePrimitive.js +++ b/packages/engine/Source/Scene/Vector3DTilePrimitive.js @@ -129,7 +129,7 @@ function Vector3DTilePrimitive(options) { */ this.classificationType = defaultValue( options.classificationType, - ClassificationType.BOTH + ClassificationType.BOTH, ); // Hidden options @@ -221,7 +221,7 @@ function createVertexArray(primitive, context) { index: 1, vertexBuffer: idBuffer, componentDatatype: ComponentDatatype.fromTypedArray( - primitive._vertexBatchIds + primitive._vertexBatchIds, ), componentsPerAttribute: 1, }, @@ -259,7 +259,7 @@ function createShaders(primitive, context) { const batchTable = primitive._batchTable; const attributeLocations = defaultValue( primitive._attributeLocations, - defaultAttributeLocations + defaultAttributeLocations, ); let pickId = primitive._pickId; @@ -276,7 +276,7 @@ function createShaders(primitive, context) { fragmentShaderSource = ShaderSource.replaceMain( fragmentShaderSource, - "czm_non_pick_main" + "czm_non_pick_main", ); fragmentShaderSource = `${fragmentShaderSource}void main() \n` + @@ -296,12 +296,12 @@ function createShaders(primitive, context) { const vsSource = batchTable.getVertexShaderCallback( false, "a_batchId", - undefined + undefined, )(VectorTileVS); let fsSource = batchTable.getFragmentShaderCallback( false, undefined, - true + true, )(ShadowVolumeFS); pickId = batchTable.getPickId(); @@ -454,10 +454,10 @@ function createRenderStates(primitive) { } primitive._rsStencilDepthPass = RenderState.fromCache( - getStencilDepthRenderState(false) + getStencilDepthRenderState(false), ); primitive._rsStencilDepthPass3DTiles = RenderState.fromCache( - getStencilDepthRenderState(true) + getStencilDepthRenderState(true), ); primitive._rsColorPass = RenderState.fromCache(colorRenderState); primitive._rsPickPass = RenderState.fromCache(pickRenderState); @@ -479,17 +479,17 @@ function createUniformMap(primitive, context) { Matrix4.multiplyByPoint( modifiedModelViewScratch, primitive._center, - rtcScratch + rtcScratch, ); Matrix4.setTranslation( modifiedModelViewScratch, rtcScratch, - modifiedModelViewScratch + modifiedModelViewScratch, ); Matrix4.multiply( projectionMatrix, modifiedModelViewScratch, - modifiedModelViewScratch + modifiedModelViewScratch, ); return modifiedModelViewScratch; }, @@ -498,9 +498,8 @@ function createUniformMap(primitive, context) { }, }; - primitive._uniformMap = primitive._batchTable.getUniformMapCallback()( - uniformMap - ); + primitive._uniformMap = + primitive._batchTable.getUniformMapCallback()(uniformMap); } function copyIndicesCPU( @@ -510,7 +509,7 @@ function copyIndicesCPU( offsets, counts, batchIds, - batchIdLookUp + batchIdLookUp, ) { const sizeInBytes = indices.constructor.BYTES_PER_ELEMENT; @@ -524,7 +523,7 @@ function copyIndicesCPU( const subarray = new indices.constructor( indices.buffer, sizeInBytes * offset, - count + count, ); newIndices.set(subarray, currentOffset); @@ -553,7 +552,7 @@ function rebatchCPU(primitive, batchedIndices) { indexOffsets, indexCounts, current.batchIds, - batchIdLookUp + batchIdLookUp, ); current.offset = 0; @@ -569,7 +568,7 @@ function rebatchCPU(primitive, batchedIndices) { indexOffsets, indexCounts, next.batchIds, - batchIdLookUp + batchIdLookUp, ); current.batchIds = current.batchIds.concat(next.batchIds); current.count = currentOffset - current.offset; @@ -582,7 +581,7 @@ function rebatchCPU(primitive, batchedIndices) { indexOffsets, indexCounts, next.batchIds, - batchIdLookUp + batchIdLookUp, ); next.offset = offset; @@ -605,7 +604,7 @@ function copyIndicesGPU( offsets, counts, batchIds, - batchIdLookUp + batchIdLookUp, ) { const sizeInBytes = readBuffer.bytesPerIndex; @@ -620,7 +619,7 @@ function copyIndicesGPU( readBuffer, offset * sizeInBytes, currentOffset * sizeInBytes, - count * sizeInBytes + count * sizeInBytes, ); offsets[index] = currentOffset; @@ -648,7 +647,7 @@ function rebatchGPU(primitive, batchedIndices) { indexOffsets, indexCounts, current.batchIds, - batchIdLookUp + batchIdLookUp, ); current.offset = 0; @@ -664,7 +663,7 @@ function rebatchGPU(primitive, batchedIndices) { indexOffsets, indexCounts, next.batchIds, - batchIdLookUp + batchIdLookUp, ); current.batchIds = current.batchIds.concat(next.batchIds); current.count = currentOffset - current.offset; @@ -677,7 +676,7 @@ function rebatchGPU(primitive, batchedIndices) { indexOffsets, indexCounts, next.batchIds, - batchIdLookUp + batchIdLookUp, ); next.offset = offset; next.count = currentOffset - offset; @@ -798,7 +797,7 @@ function createColorCommands(primitive, context) { const stencilDepthDerivedCommand = DrawCommand.shallowClone( stencilDepthCommand, - stencilDepthCommand.derivedCommands.tileset + stencilDepthCommand.derivedCommands.tileset, ); stencilDepthDerivedCommand.renderState = primitive._rsStencilDepthPass3DTiles; @@ -825,7 +824,7 @@ function createColorCommands(primitive, context) { const colorDerivedCommand = DrawCommand.shallowClone( colorCommand, - colorCommand.derivedCommands.tileset + colorCommand.derivedCommands.tileset, ); colorDerivedCommand.pass = Pass.CESIUM_3D_TILE_CLASSIFICATION; colorCommand.derivedCommands.tileset = colorDerivedCommand; @@ -854,7 +853,7 @@ function createColorCommandsIgnoreShow(primitive, frameState) { for (let j = 0; j < length; ++j) { const commandIgnoreShow = (commandsIgnoreShow[j] = DrawCommand.shallowClone( commands[commandIndex], - commandsIgnoreShow[j] + commandsIgnoreShow[j], )); commandIgnoreShow.shaderProgram = spStencil; commandIgnoreShow.pass = Pass.CESIUM_3D_TILE_CLASSIFICATION_IGNORE_SHOW; @@ -907,7 +906,7 @@ function createPickCommands(primitive) { const stencilDepthDerivedCommand = DrawCommand.shallowClone( stencilDepthCommand, - stencilDepthCommand.derivedCommands.tileset + stencilDepthCommand.derivedCommands.tileset, ); stencilDepthDerivedCommand.renderState = primitive._rsStencilDepthPass3DTiles; @@ -934,7 +933,7 @@ function createPickCommands(primitive) { const colorDerivedCommand = DrawCommand.shallowClone( colorCommand, - colorCommand.derivedCommands.tileset + colorCommand.derivedCommands.tileset, ); colorDerivedCommand.pass = Pass.CESIUM_3D_TILE_CLASSIFICATION; colorCommand.derivedCommands.tileset = colorDerivedCommand; @@ -1091,7 +1090,7 @@ Vector3DTilePrimitive.prototype.updateCommands = function (batchId, color) { offset: offset, count: count, batchIds: [batchId], - }) + }), ); const startIds = []; @@ -1122,7 +1121,7 @@ Vector3DTilePrimitive.prototype.updateCommands = function (batchId, color) { count: batchedIndices[i].offset + batchedIndices[i].count - (offset + count), batchIds: endIds, - }) + }), ); } diff --git a/packages/engine/Source/Scene/View.js b/packages/engine/Source/Scene/View.js index bb7bcfbcbf7f..ec8cb870f681 100644 --- a/packages/engine/Source/Scene/View.js +++ b/packages/engine/Source/Scene/View.js @@ -67,7 +67,7 @@ function View(scene, camera, viewport) { this.globeTranslucencyFramebuffer = new GlobeTranslucencyFramebuffer(); this.oit = oit; this.translucentTileClassification = new TranslucentTileClassification( - context + context, ); /** * @type {PickDepth[]} @@ -96,11 +96,11 @@ const scratchPosition1 = new Cartesian3(); function cameraEqual(camera0, camera1, epsilon) { const maximumPositionComponent = Math.max( Cartesian3.maximumComponent( - Cartesian3.abs(camera0.position, scratchPosition0) + Cartesian3.abs(camera0.position, scratchPosition0), ), Cartesian3.maximumComponent( - Cartesian3.abs(camera1.position, scratchPosition1) - ) + Cartesian3.abs(camera1.position, scratchPosition1), + ), ); const scalar = 1 / Math.max(1, maximumPositionComponent); Cartesian3.multiplyByScalar(camera0.position, scalar, scratchPosition0); @@ -186,7 +186,7 @@ function updateFrustums(view, scene, near, far) { far = Math.min(far, camera.position.z + scene.nearToFarDistance2D); near = Math.min(near, far); numFrustums = Math.ceil( - Math.max(1.0, far - near) / scene.nearToFarDistance2D + Math.max(1.0, far - near) / scene.nearToFarDistance2D, ); } else { // The multifrustum for 3D/CV is non-uniformly distributed. @@ -202,7 +202,7 @@ function updateFrustums(view, scene, near, far) { if (is2D) { curNear = Math.min( far - nearToFarDistance2D, - near + m * nearToFarDistance2D + near + m * nearToFarDistance2D, ); curFar = Math.min(far, curNear + nearToFarDistance2D); } else { @@ -213,7 +213,7 @@ function updateFrustums(view, scene, near, far) { if (!defined(frustumCommands)) { frustumCommands = frustumCommandsList[m] = new FrustumCommands( curNear, - curFar + curFar, ); } else { frustumCommands.near = curNear; @@ -349,7 +349,7 @@ View.prototype.createPotentiallyVisibleSet = function (scene) { const nearFarInterval = boundingVolume.computePlaneDistances( positionWC, directionWC, - scratchNearFarInterval + scratchNearFarInterval, ); commandNear = nearFarInterval.start; commandFar = nearFarInterval.stop; diff --git a/packages/engine/Source/Scene/VoxelBoxShape.js b/packages/engine/Source/Scene/VoxelBoxShape.js index 6cbe40f7e026..fb17d83cc36d 100644 --- a/packages/engine/Source/Scene/VoxelBoxShape.js +++ b/packages/engine/Source/Scene/VoxelBoxShape.js @@ -59,7 +59,7 @@ function VoxelBoxShape() { */ this._minBounds = Cartesian3.clone( VoxelBoxShape.DefaultMinBounds, - new Cartesian3() + new Cartesian3(), ); /** @@ -68,7 +68,7 @@ function VoxelBoxShape() { */ this._maxBounds = Cartesian3.clone( VoxelBoxShape.DefaultMaxBounds, - new Cartesian3() + new Cartesian3(), ); /** @@ -110,7 +110,7 @@ const scratchRenderMaxBounds = new Cartesian3(); const transformLocalToUv = Matrix4.fromRotationTranslation( Matrix3.fromUniformScale(0.5, new Matrix3()), new Cartesian3(0.5, 0.5, 0.5), - new Matrix4() + new Matrix4(), ); /** @@ -128,7 +128,7 @@ VoxelBoxShape.prototype.update = function ( minBounds, maxBounds, clipMinBounds, - clipMaxBounds + clipMaxBounds, ) { clipMinBounds = defaultValue(clipMinBounds, VoxelBoxShape.DefaultMinBounds); clipMaxBounds = defaultValue(clipMaxBounds, VoxelBoxShape.DefaultMaxBounds); @@ -145,42 +145,42 @@ VoxelBoxShape.prototype.update = function ( minBounds, defaultMinBounds, defaultMaxBounds, - this._minBounds + this._minBounds, ); maxBounds = this._maxBounds = Cartesian3.clamp( maxBounds, defaultMinBounds, defaultMaxBounds, - this._maxBounds + this._maxBounds, ); clipMinBounds = Cartesian3.clamp( clipMinBounds, defaultMinBounds, defaultMaxBounds, - scratchClipMinBounds + scratchClipMinBounds, ); clipMaxBounds = Cartesian3.clamp( clipMaxBounds, defaultMinBounds, defaultMaxBounds, - scratchClipMaxBounds + scratchClipMaxBounds, ); const renderMinBounds = Cartesian3.clamp( minBounds, clipMinBounds, clipMaxBounds, - scratchRenderMinBounds + scratchRenderMinBounds, ); const renderMaxBounds = Cartesian3.clamp( maxBounds, clipMinBounds, clipMaxBounds, - scratchRenderMaxBounds + scratchRenderMaxBounds, ); const scale = Matrix4.getScale(modelMatrix, scratchScale); @@ -214,7 +214,7 @@ VoxelBoxShape.prototype.update = function ( renderMinBounds, renderMaxBounds, this.shapeTransform, - this.orientedBoundingBox + this.orientedBoundingBox, ); // All of the box bounds go from -1 to +1, so the model matrix scale can be @@ -222,12 +222,12 @@ VoxelBoxShape.prototype.update = function ( this.boundTransform = Matrix4.fromRotationTranslation( this.orientedBoundingBox.halfAxes, this.orientedBoundingBox.center, - this.boundTransform + this.boundTransform, ); this.boundingSphere = BoundingSphere.fromOrientedBoundingBox( this.orientedBoundingBox, - this.boundingSphere + this.boundingSphere, ); const { shaderUniforms, shaderDefines } = this; @@ -252,12 +252,12 @@ VoxelBoxShape.prototype.update = function ( shaderUniforms.renderMinBounds = Matrix4.multiplyByPoint( transformLocalToUv, renderMinBounds, - shaderUniforms.renderMinBounds + shaderUniforms.renderMinBounds, ); shaderUniforms.renderMaxBounds = Matrix4.multiplyByPoint( transformLocalToUv, renderMaxBounds, - shaderUniforms.renderMaxBounds + shaderUniforms.renderMaxBounds, ); if (hasShapeBounds) { @@ -280,14 +280,14 @@ VoxelBoxShape.prototype.update = function ( 2.0 / (min.x === max.x ? 1.0 : max.x - min.x), 2.0 / (min.y === max.y ? 1.0 : max.y - min.y), 2.0 / (min.z === max.z ? 1.0 : max.z - min.z), - shaderUniforms.boxUvToShapeUvScale + shaderUniforms.boxUvToShapeUvScale, ); shaderUniforms.boxUvToShapeUvTranslate = Cartesian3.fromElements( -shaderUniforms.boxUvToShapeUvScale.x * (min.x * 0.5 + 0.5), -shaderUniforms.boxUvToShapeUvScale.y * (min.y * 0.5 + 0.5), -shaderUniforms.boxUvToShapeUvScale.z * (min.z * 0.5 + 0.5), - shaderUniforms.boxUvToShapeUvTranslate + shaderUniforms.boxUvToShapeUvTranslate, ); } @@ -315,7 +315,7 @@ VoxelBoxShape.prototype.computeOrientedBoundingBoxForTile = function ( tileX, tileY, tileZ, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.number("tileLevel", tileLevel); @@ -333,21 +333,21 @@ VoxelBoxShape.prototype.computeOrientedBoundingBoxForTile = function ( CesiumMath.lerp(minBounds.x, maxBounds.x, sizeAtLevel * tileX), CesiumMath.lerp(minBounds.y, maxBounds.y, sizeAtLevel * tileY), CesiumMath.lerp(minBounds.z, maxBounds.z, sizeAtLevel * tileZ), - scratchTileMinBounds + scratchTileMinBounds, ); const tileMaxBounds = Cartesian3.fromElements( CesiumMath.lerp(minBounds.x, maxBounds.x, sizeAtLevel * (tileX + 1)), CesiumMath.lerp(minBounds.y, maxBounds.y, sizeAtLevel * (tileY + 1)), CesiumMath.lerp(minBounds.z, maxBounds.z, sizeAtLevel * (tileZ + 1)), - scratchTileMaxBounds + scratchTileMaxBounds, ); return getBoxChunkObb( tileMinBounds, tileMaxBounds, this.shapeTransform, - result + result, ); }; @@ -367,7 +367,7 @@ VoxelBoxShape.prototype.computeOrientedBoundingBoxForSample = function ( spatialNode, tileDimensions, tileUv, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.object("spatialNode", spatialNode); @@ -380,12 +380,12 @@ VoxelBoxShape.prototype.computeOrientedBoundingBoxForSample = function ( const sampleSize = Cartesian3.divideComponents( Cartesian3.ONE, tileDimensions, - sampleSizeScratch + sampleSizeScratch, ); const sampleSizeAtLevel = Cartesian3.multiplyByScalar( sampleSize, tileSizeAtLevel, - sampleSizeScratch + sampleSizeScratch, ); const minLerp = Cartesian3.multiplyByScalar( @@ -393,15 +393,15 @@ VoxelBoxShape.prototype.computeOrientedBoundingBoxForSample = function ( spatialNode.x + tileUv.x, spatialNode.y + tileUv.y, spatialNode.z + tileUv.z, - scratchTileMinBounds + scratchTileMinBounds, ), tileSizeAtLevel, - scratchTileMinBounds + scratchTileMinBounds, ); const maxLerp = Cartesian3.add( minLerp, sampleSizeAtLevel, - scratchTileMaxBounds + scratchTileMaxBounds, ); const minBounds = this._minBounds; @@ -410,20 +410,20 @@ VoxelBoxShape.prototype.computeOrientedBoundingBoxForSample = function ( CesiumMath.lerp(minBounds.x, maxBounds.x, minLerp.x), CesiumMath.lerp(minBounds.y, maxBounds.y, minLerp.y), CesiumMath.lerp(minBounds.z, maxBounds.z, minLerp.z), - scratchTileMinBounds + scratchTileMinBounds, ); const sampleMaxBounds = Cartesian3.fromElements( CesiumMath.lerp(minBounds.x, maxBounds.x, maxLerp.x), CesiumMath.lerp(minBounds.y, maxBounds.y, maxLerp.y), CesiumMath.lerp(minBounds.z, maxBounds.z, maxLerp.z), - scratchTileMaxBounds + scratchTileMaxBounds, ); return getBoxChunkObb( sampleMinBounds, sampleMaxBounds, this.shapeTransform, - result + result, ); }; @@ -435,7 +435,7 @@ VoxelBoxShape.prototype.computeOrientedBoundingBoxForSample = function ( * @readonly */ VoxelBoxShape.DefaultMinBounds = Object.freeze( - new Cartesian3(-1.0, -1.0, -1.0) + new Cartesian3(-1.0, -1.0, -1.0), ); /** @@ -446,7 +446,7 @@ VoxelBoxShape.DefaultMinBounds = Object.freeze( * @readonly */ VoxelBoxShape.DefaultMaxBounds = Object.freeze( - new Cartesian3(+1.0, +1.0, +1.0) + new Cartesian3(+1.0, +1.0, +1.0), ); /** @@ -478,14 +478,14 @@ function getBoxChunkObb(minimumBounds, maximumBounds, matrix, result) { const localCenter = Cartesian3.midpoint( minimumBounds, maximumBounds, - scratchCenter + scratchCenter, ); result.center = Matrix4.multiplyByPoint(matrix, localCenter, result.center); scale = Cartesian3.fromElements( scale.x * 0.5 * (maximumBounds.x - minimumBounds.x), scale.y * 0.5 * (maximumBounds.y - minimumBounds.y), scale.z * 0.5 * (maximumBounds.z - minimumBounds.z), - scratchScale + scratchScale, ); const rotation = Matrix4.getRotation(matrix, scratchRotation); result.halfAxes = Matrix3.setScale(rotation, scale, result.halfAxes); diff --git a/packages/engine/Source/Scene/VoxelCell.js b/packages/engine/Source/Scene/VoxelCell.js index 5880f71c0ac6..b87d0e235bde 100644 --- a/packages/engine/Source/Scene/VoxelCell.js +++ b/packages/engine/Source/Scene/VoxelCell.js @@ -61,7 +61,7 @@ VoxelCell.fromKeyframeNode = function ( primitive, tileIndex, sampleIndex, - keyframeNode + keyframeNode, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.object("primitive", primitive); @@ -77,7 +77,7 @@ VoxelCell.fromKeyframeNode = function ( primitive, spatialNode, sampleIndex, - voxelCell._orientedBoundingBox + voxelCell._orientedBoundingBox, ); return voxelCell; }; @@ -100,7 +100,7 @@ function getMetadataForSample(primitive, metadata, sampleIndex) { const componentCount = MetadataType.getComponentCount(types[i]); const samples = metadata[i].slice( sampleIndex * componentCount, - (sampleIndex + 1) * componentCount + (sampleIndex + 1) * componentCount, ); metadataMap[name] = samples; } @@ -130,7 +130,7 @@ function getOrientedBoundingBox(primitive, spatialNode, sampleIndex, result) { xIndex, yIndex, zIndex, - tileCoordinateScratch + tileCoordinateScratch, ); // Remove padding, and convert to a fraction in [0, 1], where the limits are @@ -139,10 +139,10 @@ function getOrientedBoundingBox(primitive, spatialNode, sampleIndex, result) { Cartesian3.subtract( tileCoordinate, primitive._paddingBefore, - tileCoordinateScratch + tileCoordinateScratch, ), primitive.dimensions, - tileUvScratch + tileUvScratch, ); const shape = primitive._shape; @@ -150,7 +150,7 @@ function getOrientedBoundingBox(primitive, spatialNode, sampleIndex, result) { spatialNode, primitive.dimensions, tileUv, - result + result, ); } diff --git a/packages/engine/Source/Scene/VoxelContent.js b/packages/engine/Source/Scene/VoxelContent.js index 4d566c89d0d0..451be03d2493 100644 --- a/packages/engine/Source/Scene/VoxelContent.js +++ b/packages/engine/Source/Scene/VoxelContent.js @@ -54,7 +54,7 @@ VoxelContent.fromJson = async function ( resource, json, binary, - metadataSchema + metadataSchema, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.object("resource", resource); diff --git a/packages/engine/Source/Scene/VoxelCylinderShape.js b/packages/engine/Source/Scene/VoxelCylinderShape.js index eb158d9ccc96..7418193bdfc9 100644 --- a/packages/engine/Source/Scene/VoxelCylinderShape.js +++ b/packages/engine/Source/Scene/VoxelCylinderShape.js @@ -155,15 +155,15 @@ VoxelCylinderShape.prototype.update = function ( minBounds, maxBounds, clipMinBounds, - clipMaxBounds + clipMaxBounds, ) { clipMinBounds = defaultValue( clipMinBounds, - VoxelCylinderShape.DefaultMinBounds + VoxelCylinderShape.DefaultMinBounds, ); clipMaxBounds = defaultValue( clipMaxBounds, - VoxelCylinderShape.DefaultMaxBounds + VoxelCylinderShape.DefaultMaxBounds, ); //>>includeStart('debug', pragmas.debug); Check.typeOf.object("modelMatrix", modelMatrix); @@ -188,22 +188,22 @@ VoxelCylinderShape.prototype.update = function ( const shapeMinRadius = CesiumMath.clamp( minBounds.x, defaultMinRadius, - defaultMaxRadius + defaultMaxRadius, ); const shapeMaxRadius = CesiumMath.clamp( maxBounds.x, defaultMinRadius, - defaultMaxRadius + defaultMaxRadius, ); const clipMinRadius = CesiumMath.clamp( clipMinBounds.x, defaultMinRadius, - defaultMaxRadius + defaultMaxRadius, ); const clipMaxRadius = CesiumMath.clamp( clipMaxBounds.x, defaultMinRadius, - defaultMaxRadius + defaultMaxRadius, ); const renderMinRadius = Math.max(shapeMinRadius, clipMinRadius); const renderMaxRadius = Math.min(shapeMaxRadius, clipMaxRadius); @@ -212,22 +212,22 @@ VoxelCylinderShape.prototype.update = function ( const shapeMinHeight = CesiumMath.clamp( minBounds.y, defaultMinHeight, - defaultMaxHeight + defaultMaxHeight, ); const shapeMaxHeight = CesiumMath.clamp( maxBounds.y, defaultMinHeight, - defaultMaxHeight + defaultMaxHeight, ); const clipMinHeight = CesiumMath.clamp( clipMinBounds.y, defaultMinHeight, - defaultMaxHeight + defaultMaxHeight, ); const clipMaxHeight = CesiumMath.clamp( clipMaxBounds.y, defaultMinHeight, - defaultMaxHeight + defaultMaxHeight, ); const renderMinHeight = Math.max(shapeMinHeight, clipMinHeight); const renderMaxHeight = Math.min(shapeMaxHeight, clipMaxHeight); @@ -278,18 +278,18 @@ VoxelCylinderShape.prototype.update = function ( renderMinAngle, renderMaxAngle, this.shapeTransform, - this.orientedBoundingBox + this.orientedBoundingBox, ); this.boundTransform = Matrix4.fromRotationTranslation( this.orientedBoundingBox.halfAxes, this.orientedBoundingBox.center, - this.boundTransform + this.boundTransform, ); this.boundingSphere = BoundingSphere.fromOrientedBoundingBox( this.orientedBoundingBox, - this.boundingSphere + this.boundingSphere, ); const shapeIsDefaultMaxRadius = shapeMaxRadius === defaultMaxRadius; @@ -315,13 +315,13 @@ VoxelCylinderShape.prototype.update = function ( shapeMinAngle, defaultMinAngle, undefined, - epsilonAngleDiscontinuity + epsilonAngleDiscontinuity, ); const shapeIsMaxAngleDiscontinuity = CesiumMath.equalsEpsilon( shapeMaxAngle, defaultMaxAngle, undefined, - epsilonAngleDiscontinuity + epsilonAngleDiscontinuity, ); const renderIsDefaultMinRadius = renderMinRadius === defaultMinRadius; @@ -361,7 +361,7 @@ VoxelCylinderShape.prototype.update = function ( shaderUniforms.cylinderRenderRadiusMinMax = Cartesian2.fromElements( renderMinRadius, renderMaxRadius, - shaderUniforms.cylinderRenderRadiusMinMax + shaderUniforms.cylinderRenderRadiusMinMax, ); if (renderMinRadius === renderMaxRadius) { @@ -386,7 +386,7 @@ VoxelCylinderShape.prototype.update = function ( shaderUniforms.cylinderUvToShapeUvRadius = Cartesian2.fromElements( scale, offset, - shaderUniforms.cylinderUvToShapeUvRadius + shaderUniforms.cylinderUvToShapeUvRadius, ); } @@ -414,13 +414,13 @@ VoxelCylinderShape.prototype.update = function ( shaderUniforms.cylinderUvToShapeUvHeight = Cartesian2.fromElements( scale, offset, - shaderUniforms.cylinderUvToShapeUvHeight + shaderUniforms.cylinderUvToShapeUvHeight, ); } shaderUniforms.cylinderRenderHeightMinMax = Cartesian2.fromElements( renderMinHeight, renderMaxHeight, - shaderUniforms.cylinderRenderHeightMinMax + shaderUniforms.cylinderRenderHeightMinMax, ); if (shapeIsAngleReversed) { @@ -445,7 +445,7 @@ VoxelCylinderShape.prototype.update = function ( shaderUniforms.cylinderRenderAngleMinMax = Cartesian2.fromElements( renderMinAngle, renderMaxAngle, - shaderUniforms.cylinderRenderAngleMinMax + shaderUniforms.cylinderRenderAngleMinMax, ); } @@ -465,7 +465,7 @@ VoxelCylinderShape.prototype.update = function ( shaderUniforms.cylinderShapeUvAngleMinMax = Cartesian2.fromElements( uvMinAngle, uvMaxAngle, - shaderUniforms.cylinderShapeUvAngleMinMax + shaderUniforms.cylinderShapeUvAngleMinMax, ); shaderUniforms.cylinderShapeUvAngleRangeZeroMid = (uvMaxAngle + 0.5 * uvAngleRangeZero) % 1.0; @@ -483,7 +483,7 @@ VoxelCylinderShape.prototype.update = function ( shaderUniforms.cylinderUvToShapeUvAngle = Cartesian2.fromElements( 0.0, 1.0, - shaderUniforms.cylinderUvToShapeUvAngle + shaderUniforms.cylinderUvToShapeUvAngle, ); } else { const scale = defaultAngleRange / shapeAngleRange; @@ -491,7 +491,7 @@ VoxelCylinderShape.prototype.update = function ( shaderUniforms.cylinderUvToShapeUvAngle = Cartesian2.fromElements( scale, offset, - shaderUniforms.cylinderUvToShapeUvAngle + shaderUniforms.cylinderUvToShapeUvAngle, ); } } @@ -517,7 +517,7 @@ VoxelCylinderShape.prototype.computeOrientedBoundingBoxForTile = function ( tileX, tileY, tileZ, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.number("tileLevel", tileLevel); @@ -539,32 +539,32 @@ VoxelCylinderShape.prototype.computeOrientedBoundingBoxForTile = function ( const radiusStart = CesiumMath.lerp( minimumRadius, maximumRadius, - tileX * sizeAtLevel + tileX * sizeAtLevel, ); const radiusEnd = CesiumMath.lerp( minimumRadius, maximumRadius, - (tileX + 1) * sizeAtLevel + (tileX + 1) * sizeAtLevel, ); const heightStart = CesiumMath.lerp( minimumHeight, maximumHeight, - tileY * sizeAtLevel + tileY * sizeAtLevel, ); const heightEnd = CesiumMath.lerp( minimumHeight, maximumHeight, - (tileY + 1) * sizeAtLevel + (tileY + 1) * sizeAtLevel, ); const angleStart = CesiumMath.lerp( minimumAngle, maximumAngle, - tileZ * sizeAtLevel + tileZ * sizeAtLevel, ); const angleEnd = CesiumMath.lerp( minimumAngle, maximumAngle, - (tileZ + 1) * sizeAtLevel + (tileZ + 1) * sizeAtLevel, ); return getCylinderChunkObb( @@ -575,7 +575,7 @@ VoxelCylinderShape.prototype.computeOrientedBoundingBoxForTile = function ( angleStart, angleEnd, this.shapeTransform, - result + result, ); }; @@ -597,7 +597,7 @@ VoxelCylinderShape.prototype.computeOrientedBoundingBoxForSample = function ( spatialNode, tileDimensions, tileUv, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.object("spatialNode", spatialNode); @@ -610,12 +610,12 @@ VoxelCylinderShape.prototype.computeOrientedBoundingBoxForSample = function ( const sampleSize = Cartesian3.divideComponents( Cartesian3.ONE, tileDimensions, - sampleSizeScratch + sampleSizeScratch, ); const sampleSizeAtLevel = Cartesian3.multiplyByScalar( sampleSize, tileSizeAtLevel, - sampleSizeScratch + sampleSizeScratch, ); const minLerp = Cartesian3.multiplyByScalar( @@ -623,15 +623,15 @@ VoxelCylinderShape.prototype.computeOrientedBoundingBoxForSample = function ( spatialNode.x + tileUv.x, spatialNode.y + tileUv.y, spatialNode.z + tileUv.z, - scratchTileMinBounds + scratchTileMinBounds, ), tileSizeAtLevel, - scratchTileMinBounds + scratchTileMinBounds, ); const maxLerp = Cartesian3.add( minLerp, sampleSizeAtLevel, - scratchTileMaxBounds + scratchTileMaxBounds, ); const minimumRadius = this._minimumRadius; @@ -656,7 +656,7 @@ VoxelCylinderShape.prototype.computeOrientedBoundingBoxForSample = function ( angleStart, angleEnd, this.shapeTransform, - result + result, ); }; @@ -670,7 +670,7 @@ VoxelCylinderShape.prototype.computeOrientedBoundingBoxForSample = function ( * @private */ VoxelCylinderShape.DefaultMinBounds = Object.freeze( - new Cartesian3(0.0, -1.0, -CesiumMath.PI) + new Cartesian3(0.0, -1.0, -CesiumMath.PI), ); /** @@ -683,7 +683,7 @@ VoxelCylinderShape.DefaultMinBounds = Object.freeze( * @private */ VoxelCylinderShape.DefaultMaxBounds = Object.freeze( - new Cartesian3(1.0, +1.0, +CesiumMath.PI) + new Cartesian3(1.0, +1.0, +CesiumMath.PI), ); const maxTestAngles = 5; @@ -762,7 +762,7 @@ function getCylinderChunkObb( angleStart, angleEnd, matrix, - result + result, ) { const defaultMinBounds = VoxelCylinderShape.DefaultMinBounds; const defaultMaxBounds = VoxelCylinderShape.DefaultMaxBounds; @@ -845,7 +845,7 @@ function getCylinderChunkObb( centerX, centerY, centerZ, - scratchTranslation + scratchTranslation, ); const rotation = Matrix3.fromRotationZ(angleMid, scratchRotation); @@ -854,14 +854,14 @@ function getCylinderChunkObb( extentX, extentY, extentZ, - scratchScale + scratchScale, ); const scaleMatrix = Matrix4.fromScale(scale, scratchScaleMatrix); const rotationMatrix = Matrix4.fromRotation(rotation, scratchRotationMatrix); const translationMatrix = Matrix4.fromTranslation( translation, - scratchTranslationMatrix + scratchTranslationMatrix, ); // Shape space matrix = R * T * S @@ -870,15 +870,15 @@ function getCylinderChunkObb( Matrix4.multiplyTransformation( translationMatrix, scaleMatrix, - scratchMatrix + scratchMatrix, ), - scratchMatrix + scratchMatrix, ); const globalMatrix = Matrix4.multiplyTransformation( matrix, localMatrix, - scratchMatrix + scratchMatrix, ); if (!isValidOrientedBoundingBoxTransformation(globalMatrix)) { diff --git a/packages/engine/Source/Scene/VoxelEllipsoidShape.js b/packages/engine/Source/Scene/VoxelEllipsoidShape.js index 52cc0e1523b8..efa1fac04658 100644 --- a/packages/engine/Source/Scene/VoxelEllipsoidShape.js +++ b/packages/engine/Source/Scene/VoxelEllipsoidShape.js @@ -170,7 +170,7 @@ VoxelEllipsoidShape.prototype.update = function ( minBounds, maxBounds, clipMinBounds, - clipMaxBounds + clipMaxBounds, ) { const { DefaultMinBounds, DefaultMaxBounds } = VoxelEllipsoidShape; clipMinBounds = defaultValue(clipMinBounds, DefaultMinBounds); @@ -191,7 +191,7 @@ VoxelEllipsoidShape.prototype.update = function ( const radii = Matrix4.getScale(modelMatrix, scratchScale); const actualMinBounds = Cartesian3.clone( DefaultMinBounds, - scratchActualMinBounds + scratchActualMinBounds, ); actualMinBounds.z = -Cartesian3.minimumComponent(radii); @@ -199,35 +199,35 @@ VoxelEllipsoidShape.prototype.update = function ( minBounds, actualMinBounds, DefaultMaxBounds, - scratchShapeMinBounds + scratchShapeMinBounds, ); const shapeMaxBounds = Cartesian3.clamp( maxBounds, actualMinBounds, DefaultMaxBounds, - scratchShapeMaxBounds + scratchShapeMaxBounds, ); const clampedClipMinBounds = Cartesian3.clamp( clipMinBounds, actualMinBounds, DefaultMaxBounds, - scratchClipMinBounds + scratchClipMinBounds, ); const clampedClipMaxBounds = Cartesian3.clamp( clipMaxBounds, actualMinBounds, DefaultMaxBounds, - scratchClipMaxBounds + scratchClipMaxBounds, ); const renderMinBounds = Cartesian3.maximumByComponent( shapeMinBounds, clampedClipMinBounds, - scratchRenderMinBounds + scratchRenderMinBounds, ); const renderMaxBounds = Cartesian3.minimumByComponent( shapeMaxBounds, clampedClipMaxBounds, - scratchRenderMaxBounds + scratchRenderMaxBounds, ); // Compute the farthest a point can be from the center of the ellipsoid. @@ -237,9 +237,9 @@ VoxelEllipsoidShape.prototype.update = function ( shapeMaxBounds.z, shapeMaxBounds.z, shapeMaxBounds.z, - scratchShapeOuterExtent + scratchShapeOuterExtent, ), - scratchShapeOuterExtent + scratchShapeOuterExtent, ); const shapeMaxExtent = Cartesian3.maximumComponent(shapeOuterExtent); @@ -249,9 +249,9 @@ VoxelEllipsoidShape.prototype.update = function ( renderMaxBounds.z, renderMaxBounds.z, renderMaxBounds.z, - scratchRenderOuterExtent + scratchRenderOuterExtent, ), - scratchRenderOuterExtent + scratchRenderOuterExtent, ); // Exit early if the shape is not visible. @@ -265,7 +265,7 @@ VoxelEllipsoidShape.prototype.update = function ( renderOuterExtent, Cartesian3.ZERO, undefined, - epsilonZeroScale + epsilonZeroScale, ) ) { return false; @@ -275,7 +275,7 @@ VoxelEllipsoidShape.prototype.update = function ( shapeMinBounds.x, shapeMinBounds.y, shapeMaxBounds.x, - shapeMaxBounds.y + shapeMaxBounds.y, ); this._translation = Matrix4.getTranslation(modelMatrix, this._translation); this._rotation = Matrix4.getRotation(modelMatrix, this._rotation); @@ -288,7 +288,7 @@ VoxelEllipsoidShape.prototype.update = function ( renderMinBounds.y, renderMaxBounds.x, renderMaxBounds.y, - scratchRenderRectangle + scratchRenderRectangle, ); this.orientedBoundingBox = getEllipsoidChunkObb( @@ -298,24 +298,24 @@ VoxelEllipsoidShape.prototype.update = function ( this._ellipsoid, this._translation, this._rotation, - this.orientedBoundingBox + this.orientedBoundingBox, ); this.shapeTransform = Matrix4.fromRotationTranslation( Matrix3.setScale(this._rotation, shapeOuterExtent, scratchRotationScale), this._translation, - this.shapeTransform + this.shapeTransform, ); this.boundTransform = Matrix4.fromRotationTranslation( this.orientedBoundingBox.halfAxes, this.orientedBoundingBox.center, - this.boundTransform + this.boundTransform, ); this.boundingSphere = BoundingSphere.fromOrientedBoundingBox( this.orientedBoundingBox, - this.boundingSphere + this.boundingSphere, ); // Longitude @@ -419,7 +419,7 @@ VoxelEllipsoidShape.prototype.update = function ( shaderUniforms.ellipsoidRadiiUv = Cartesian3.divideByScalar( shapeOuterExtent, shapeMaxExtent, - shaderUniforms.ellipsoidRadiiUv + shaderUniforms.ellipsoidRadiiUv, ); const { x: radiiUvX, z: radiiUvZ } = shaderUniforms.ellipsoidRadiiUv; const axisRatio = radiiUvZ / radiiUvX; @@ -427,7 +427,7 @@ VoxelEllipsoidShape.prototype.update = function ( shaderUniforms.evoluteScale = Cartesian2.fromElements( (radiiUvX * radiiUvX - radiiUvZ * radiiUvZ) / radiiUvX, (radiiUvZ * radiiUvZ - radiiUvX * radiiUvX) / radiiUvZ, - shaderUniforms.evoluteScale + shaderUniforms.evoluteScale, ); // Used to compute geodetic surface normal. @@ -436,9 +436,9 @@ VoxelEllipsoidShape.prototype.update = function ( Cartesian3.multiplyComponents( shaderUniforms.ellipsoidRadiiUv, shaderUniforms.ellipsoidRadiiUv, - shaderUniforms.ellipsoidInverseRadiiSquaredUv + shaderUniforms.ellipsoidInverseRadiiSquaredUv, ), - shaderUniforms.ellipsoidInverseRadiiSquaredUv + shaderUniforms.ellipsoidInverseRadiiSquaredUv, ); // Keep track of how many intersections there are going to be. @@ -453,7 +453,7 @@ VoxelEllipsoidShape.prototype.update = function ( shaderUniforms.clipMinMaxHeight = Cartesian2.fromElements( (renderMinBounds.z - shapeMaxBounds.z) / shapeMaxExtent, (renderMaxBounds.z - shapeMaxBounds.z) / shapeMaxExtent, - shaderUniforms.clipMinMaxHeight + shaderUniforms.clipMinMaxHeight, ); // The percent of space that is between the inner and outer ellipsoid. @@ -469,26 +469,23 @@ VoxelEllipsoidShape.prototype.update = function ( shaderDefines["ELLIPSOID_INTERSECTION_INDEX_LONGITUDE"] = intersectionCount; if (renderIsLongitudeRangeUnderHalf) { - shaderDefines[ - "ELLIPSOID_HAS_RENDER_BOUNDS_LONGITUDE_RANGE_UNDER_HALF" - ] = true; + shaderDefines["ELLIPSOID_HAS_RENDER_BOUNDS_LONGITUDE_RANGE_UNDER_HALF"] = + true; intersectionCount += 1; } else if (renderIsLongitudeRangeOverHalf) { - shaderDefines[ - "ELLIPSOID_HAS_RENDER_BOUNDS_LONGITUDE_RANGE_OVER_HALF" - ] = true; + shaderDefines["ELLIPSOID_HAS_RENDER_BOUNDS_LONGITUDE_RANGE_OVER_HALF"] = + true; intersectionCount += 2; } else if (renderIsLongitudeRangeZero) { - shaderDefines[ - "ELLIPSOID_HAS_RENDER_BOUNDS_LONGITUDE_RANGE_EQUAL_ZERO" - ] = true; + shaderDefines["ELLIPSOID_HAS_RENDER_BOUNDS_LONGITUDE_RANGE_EQUAL_ZERO"] = + true; intersectionCount += 2; } shaderUniforms.ellipsoidRenderLongitudeMinMax = Cartesian2.fromElements( renderMinBounds.x, renderMaxBounds.x, - shaderUniforms.ellipsoidRenderLongitudeMinMax + shaderUniforms.ellipsoidRenderLongitudeMinMax, ); } @@ -498,9 +495,8 @@ VoxelEllipsoidShape.prototype.update = function ( const shapeIsLongitudeReversed = shapeMaxBounds.x < shapeMinBounds.x; if (shapeIsLongitudeReversed) { - shaderDefines[ - "ELLIPSOID_HAS_SHAPE_BOUNDS_LONGITUDE_MIN_MAX_REVERSED" - ] = true; + shaderDefines["ELLIPSOID_HAS_SHAPE_BOUNDS_LONGITUDE_MIN_MAX_REVERSED"] = + true; } // delerp(longitudeUv, minLongitudeUv, maxLongitudeUv) @@ -516,7 +512,7 @@ VoxelEllipsoidShape.prototype.update = function ( shaderUniforms.ellipsoidUvToShapeUvLongitude = Cartesian2.fromElements( 0.0, 1.0, - shaderUniforms.ellipsoidUvToShapeUvLongitude + shaderUniforms.ellipsoidUvToShapeUvLongitude, ); } else { const scale = defaultLongitudeRange / shapeLongitudeRange; @@ -525,7 +521,7 @@ VoxelEllipsoidShape.prototype.update = function ( shaderUniforms.ellipsoidUvToShapeUvLongitude = Cartesian2.fromElements( scale, offset, - shaderUniforms.ellipsoidUvToShapeUvLongitude + shaderUniforms.ellipsoidUvToShapeUvLongitude, ); } } @@ -535,24 +531,22 @@ VoxelEllipsoidShape.prototype.update = function ( renderMinBounds.x, DefaultMinBounds.x, undefined, - epsilonLongitudeDiscontinuity + epsilonLongitudeDiscontinuity, ); const renderIsMaxLongitudeDiscontinuity = CesiumMath.equalsEpsilon( renderMaxBounds.x, DefaultMaxBounds.x, undefined, - epsilonLongitudeDiscontinuity + epsilonLongitudeDiscontinuity, ); if (renderIsMinLongitudeDiscontinuity) { - shaderDefines[ - "ELLIPSOID_HAS_RENDER_BOUNDS_LONGITUDE_MIN_DISCONTINUITY" - ] = true; + shaderDefines["ELLIPSOID_HAS_RENDER_BOUNDS_LONGITUDE_MIN_DISCONTINUITY"] = + true; } if (renderIsMaxLongitudeDiscontinuity) { - shaderDefines[ - "ELLIPSOID_HAS_RENDER_BOUNDS_LONGITUDE_MAX_DISCONTINUITY" - ] = true; + shaderDefines["ELLIPSOID_HAS_RENDER_BOUNDS_LONGITUDE_MAX_DISCONTINUITY"] = + true; } const uvShapeMinLongitude = (shapeMinBounds.x - DefaultMinBounds.x) / defaultLongitudeRange; @@ -570,7 +564,7 @@ VoxelEllipsoidShape.prototype.update = function ( uvShapeMinLongitude, uvShapeMaxLongitude, uvRenderLongitudeRangeZeroMid, - shaderUniforms.ellipsoidShapeUvLongitudeMinMaxMid + shaderUniforms.ellipsoidShapeUvLongitudeMinMaxMid, ); } @@ -578,24 +572,20 @@ VoxelEllipsoidShape.prototype.update = function ( // Intersects a cone for min latitude if (renderHasLatitudeMin) { shaderDefines["ELLIPSOID_HAS_RENDER_BOUNDS_LATITUDE_MIN"] = true; - shaderDefines[ - "ELLIPSOID_INTERSECTION_INDEX_LATITUDE_MIN" - ] = intersectionCount; + shaderDefines["ELLIPSOID_INTERSECTION_INDEX_LATITUDE_MIN"] = + intersectionCount; if (renderIsLatitudeMinUnderHalf) { - shaderDefines[ - "ELLIPSOID_HAS_RENDER_BOUNDS_LATITUDE_MIN_UNDER_HALF" - ] = true; + shaderDefines["ELLIPSOID_HAS_RENDER_BOUNDS_LATITUDE_MIN_UNDER_HALF"] = + true; intersectionCount += 1; } else if (renderIsLatitudeMinHalf) { - shaderDefines[ - "ELLIPSOID_HAS_RENDER_BOUNDS_LATITUDE_MIN_EQUAL_HALF" - ] = true; + shaderDefines["ELLIPSOID_HAS_RENDER_BOUNDS_LATITUDE_MIN_EQUAL_HALF"] = + true; intersectionCount += 1; } else if (renderIsLatitudeMinOverHalf) { - shaderDefines[ - "ELLIPSOID_HAS_RENDER_BOUNDS_LATITUDE_MIN_OVER_HALF" - ] = true; + shaderDefines["ELLIPSOID_HAS_RENDER_BOUNDS_LATITUDE_MIN_OVER_HALF"] = + true; intersectionCount += 2; } } @@ -603,24 +593,20 @@ VoxelEllipsoidShape.prototype.update = function ( // Intersects a cone for max latitude if (renderHasLatitudeMax) { shaderDefines["ELLIPSOID_HAS_RENDER_BOUNDS_LATITUDE_MAX"] = true; - shaderDefines[ - "ELLIPSOID_INTERSECTION_INDEX_LATITUDE_MAX" - ] = intersectionCount; + shaderDefines["ELLIPSOID_INTERSECTION_INDEX_LATITUDE_MAX"] = + intersectionCount; if (renderIsLatitudeMaxUnderHalf) { - shaderDefines[ - "ELLIPSOID_HAS_RENDER_BOUNDS_LATITUDE_MAX_UNDER_HALF" - ] = true; + shaderDefines["ELLIPSOID_HAS_RENDER_BOUNDS_LATITUDE_MAX_UNDER_HALF"] = + true; intersectionCount += 2; } else if (renderIsLatitudeMaxHalf) { - shaderDefines[ - "ELLIPSOID_HAS_RENDER_BOUNDS_LATITUDE_MAX_EQUAL_HALF" - ] = true; + shaderDefines["ELLIPSOID_HAS_RENDER_BOUNDS_LATITUDE_MAX_EQUAL_HALF"] = + true; intersectionCount += 1; } else if (renderIsLatitudeMaxOverHalf) { - shaderDefines[ - "ELLIPSOID_HAS_RENDER_BOUNDS_LATITUDE_MAX_OVER_HALF" - ] = true; + shaderDefines["ELLIPSOID_HAS_RENDER_BOUNDS_LATITUDE_MAX_OVER_HALF"] = + true; intersectionCount += 1; } } @@ -628,7 +614,7 @@ VoxelEllipsoidShape.prototype.update = function ( shaderUniforms.ellipsoidRenderLatitudeSinMinMax = Cartesian2.fromElements( Math.sin(renderMinBounds.y), Math.sin(renderMaxBounds.y), - shaderUniforms.ellipsoidRenderLatitudeSinMinMax + shaderUniforms.ellipsoidRenderLatitudeSinMinMax, ); } @@ -649,7 +635,7 @@ VoxelEllipsoidShape.prototype.update = function ( shaderUniforms.ellipsoidUvToShapeUvLatitude = Cartesian2.fromElements( 0.0, 1.0, - shaderUniforms.ellipsoidUvToShapeUvLatitude + shaderUniforms.ellipsoidUvToShapeUvLatitude, ); } else { const defaultLatitudeRange = DefaultMaxBounds.y - DefaultMinBounds.y; @@ -659,7 +645,7 @@ VoxelEllipsoidShape.prototype.update = function ( shaderUniforms.ellipsoidUvToShapeUvLatitude = Cartesian2.fromElements( scale, offset, - shaderUniforms.ellipsoidUvToShapeUvLatitude + shaderUniforms.ellipsoidUvToShapeUvLatitude, ); } } @@ -687,7 +673,7 @@ VoxelEllipsoidShape.prototype.computeOrientedBoundingBoxForTile = function ( tileX, tileY, tileZ, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.number("tileLevel", tileLevel); @@ -711,19 +697,19 @@ VoxelEllipsoidShape.prototype.computeOrientedBoundingBoxForTile = function ( minLatitudeLerp, maxLongitudeLerp, maxLatitudeLerp, - scratchRectangle + scratchRectangle, ); const minHeight = CesiumMath.lerp( this._minimumHeight, this._maximumHeight, - minHeightLerp + minHeightLerp, ); const maxHeight = CesiumMath.lerp( this._minimumHeight, this._maximumHeight, - maxHeightLerp + maxHeightLerp, ); return getEllipsoidChunkObb( @@ -733,7 +719,7 @@ VoxelEllipsoidShape.prototype.computeOrientedBoundingBoxForTile = function ( this._ellipsoid, this._translation, this._rotation, - result + result, ); }; @@ -755,7 +741,7 @@ VoxelEllipsoidShape.prototype.computeOrientedBoundingBoxForSample = function ( spatialNode, tileDimensions, tileUv, - result + result, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.object("spatialNode", spatialNode); @@ -768,12 +754,12 @@ VoxelEllipsoidShape.prototype.computeOrientedBoundingBoxForSample = function ( const sampleSize = Cartesian3.divideComponents( Cartesian3.ONE, tileDimensions, - sampleSizeScratch + sampleSizeScratch, ); const sampleSizeAtLevel = Cartesian3.multiplyByScalar( sampleSize, tileSizeAtLevel, - sampleSizeScratch + sampleSizeScratch, ); const minLerp = Cartesian3.multiplyByScalar( @@ -781,15 +767,15 @@ VoxelEllipsoidShape.prototype.computeOrientedBoundingBoxForSample = function ( spatialNode.x + tileUv.x, spatialNode.y + tileUv.y, spatialNode.z + tileUv.z, - scratchTileMinBounds + scratchTileMinBounds, ), tileSizeAtLevel, - scratchTileMinBounds + scratchTileMinBounds, ); const maxLerp = Cartesian3.add( minLerp, sampleSizeAtLevel, - scratchTileMaxBounds + scratchTileMaxBounds, ); const rectangle = Rectangle.subsection( @@ -798,17 +784,17 @@ VoxelEllipsoidShape.prototype.computeOrientedBoundingBoxForSample = function ( minLerp.y, maxLerp.x, maxLerp.y, - scratchRectangle + scratchRectangle, ); const minHeight = CesiumMath.lerp( this._minimumHeight, this._maximumHeight, - minLerp.z + minLerp.z, ); const maxHeight = CesiumMath.lerp( this._minimumHeight, this._maximumHeight, - maxLerp.z + maxLerp.z, ); return getEllipsoidChunkObb( @@ -818,7 +804,7 @@ VoxelEllipsoidShape.prototype.computeOrientedBoundingBoxForSample = function ( this._ellipsoid, this._translation, this._rotation, - result + result, ); }; @@ -845,20 +831,20 @@ function getEllipsoidChunkObb( ellipsoid, translation, rotation, - result + result, ) { result = OrientedBoundingBox.fromRectangle( rectangle, minHeight, maxHeight, ellipsoid, - result + result, ); result.center = Cartesian3.add(result.center, translation, result.center); result.halfAxes = Matrix3.multiply( result.halfAxes, rotation, - result.halfAxes + result.halfAxes, ); return result; } @@ -874,8 +860,8 @@ VoxelEllipsoidShape.DefaultMinBounds = Object.freeze( new Cartesian3( -CesiumMath.PI, -CesiumMath.PI_OVER_TWO, - -Ellipsoid.WGS84.minimumRadius - ) + -Ellipsoid.WGS84.minimumRadius, + ), ); /** @@ -889,8 +875,8 @@ VoxelEllipsoidShape.DefaultMaxBounds = Object.freeze( new Cartesian3( CesiumMath.PI, CesiumMath.PI_OVER_TWO, - 10.0 * Ellipsoid.WGS84.maximumRadius - ) + 10.0 * Ellipsoid.WGS84.maximumRadius, + ), ); export default VoxelEllipsoidShape; diff --git a/packages/engine/Source/Scene/VoxelPrimitive.js b/packages/engine/Source/Scene/VoxelPrimitive.js index 8a83c3ea2512..0942fbb817a4 100644 --- a/packages/engine/Source/Scene/VoxelPrimitive.js +++ b/packages/engine/Source/Scene/VoxelPrimitive.js @@ -59,7 +59,7 @@ function VoxelPrimitive(options) { */ this._provider = defaultValue( options.provider, - VoxelPrimitive.DefaultProvider + VoxelPrimitive.DefaultProvider, ); /** @@ -231,7 +231,7 @@ function VoxelPrimitive(options) { * @private */ this._modelMatrix = Matrix4.clone( - defaultValue(options.modelMatrix, Matrix4.IDENTITY) + defaultValue(options.modelMatrix, Matrix4.IDENTITY), ); /** @@ -266,7 +266,7 @@ function VoxelPrimitive(options) { */ this._customShader = defaultValue( options.customShader, - VoxelPrimitive.DefaultCustomShader + VoxelPrimitive.DefaultCustomShader, ); /** @@ -471,15 +471,15 @@ function initialize(primitive, provider) { // Initialize the exaggerated versions of bounds and model matrix primitive._exaggeratedMinBounds = Cartesian3.clone( primitive._minBounds, - primitive._exaggeratedMinBounds + primitive._exaggeratedMinBounds, ); primitive._exaggeratedMaxBounds = Cartesian3.clone( primitive._maxBounds, - primitive._exaggeratedMaxBounds + primitive._exaggeratedMaxBounds, ); primitive._exaggeratedModelMatrix = Matrix4.clone( primitive._modelMatrix, - primitive._exaggeratedModelMatrix + primitive._exaggeratedModelMatrix, ); checkTransformAndBounds(primitive, provider); @@ -490,7 +490,7 @@ function initialize(primitive, provider) { primitive._shapeVisible = updateShapeAndTransforms( primitive, primitive._shape, - provider + provider, ); } @@ -843,7 +843,7 @@ Object.defineProperties(VoxelPrimitive.prototype, { this._minClippingBounds = Cartesian3.clone( minClippingBounds, - this._minClippingBounds + this._minClippingBounds, ); }, }, @@ -866,7 +866,7 @@ Object.defineProperties(VoxelPrimitive.prototype, { this._maxClippingBounds = Cartesian3.clone( maxClippingBounds, - this._maxClippingBounds + this._maxClippingBounds, ); }, }, @@ -951,12 +951,12 @@ const scratchTransformPositionLocalToProjection = new Matrix4(); const transformPositionLocalToUv = Matrix4.fromRotationTranslation( Matrix3.fromUniformScale(0.5, new Matrix3()), new Cartesian3(0.5, 0.5, 0.5), - new Matrix4() + new Matrix4(), ); const transformPositionUvToLocal = Matrix4.fromRotationTranslation( Matrix3.fromUniformScale(2.0, new Matrix3()), new Cartesian3(-1.0, -1.0, -1.0), - new Matrix4() + new Matrix4(), ); /** @@ -1006,7 +1006,7 @@ VoxelPrimitive.prototype.update = function (frameState) { // Update the traversal and prepare for rendering. const keyframeLocation = getKeyframeLocation( provider.timeIntervalCollection, - this._clock + this._clock, ); const traversal = this._traversal; @@ -1016,7 +1016,7 @@ VoxelPrimitive.prototype.update = function (frameState) { frameState, keyframeLocation, shapeDirty, // recomputeBoundingVolumes - this._disableUpdate // pauseUpdate + this._disableUpdate, // pauseUpdate ); if (sampleCountOld !== traversal._sampleCount) { @@ -1055,7 +1055,7 @@ VoxelPrimitive.prototype.update = function (frameState) { uniforms.octreeLeafNodeTexture = traversal.leafNodeTexture; uniforms.octreeLeafNodeTexelSizeUv = Cartesian2.clone( traversal.leafNodeTexelSizeUv, - uniforms.octreeLeafNodeTexelSizeUv + uniforms.octreeLeafNodeTexelSizeUv, ); uniforms.octreeLeafNodeTilesPerRow = traversal.leafNodeTilesPerRow; } @@ -1073,7 +1073,7 @@ VoxelPrimitive.prototype.update = function (frameState) { const ndcAabb = orientedBoundingBoxToNdcAabb( orientedBoundingBox, transformPositionWorldToProjection, - scratchNdcAabb + scratchNdcAabb, ); // If the object is offscreen, don't render it. @@ -1090,36 +1090,36 @@ VoxelPrimitive.prototype.update = function (frameState) { // Using a uniform instead of going through RenderState's scissor because the viewport is not accessible here, and the scissor command needs pixel coordinates. uniforms.ndcSpaceAxisAlignedBoundingBox = Cartesian4.clone( ndcAabb, - uniforms.ndcSpaceAxisAlignedBoundingBox + uniforms.ndcSpaceAxisAlignedBoundingBox, ); const transformPositionViewToWorld = context.uniformState.inverseView; uniforms.transformPositionViewToUv = Matrix4.multiplyTransformation( this._transformPositionWorldToUv, transformPositionViewToWorld, - uniforms.transformPositionViewToUv + uniforms.transformPositionViewToUv, ); const transformPositionWorldToView = context.uniformState.view; uniforms.transformPositionUvToView = Matrix4.multiplyTransformation( transformPositionWorldToView, this._transformPositionUvToWorld, - uniforms.transformPositionUvToView + uniforms.transformPositionUvToView, ); const transformDirectionViewToWorld = context.uniformState.inverseViewRotation; uniforms.transformDirectionViewToLocal = Matrix3.multiply( this._transformDirectionWorldToLocal, transformDirectionViewToWorld, - uniforms.transformDirectionViewToLocal + uniforms.transformDirectionViewToLocal, ); uniforms.transformNormalLocalToWorld = Matrix3.clone( this._transformNormalLocalToWorld, - uniforms.transformNormalLocalToWorld + uniforms.transformNormalLocalToWorld, ); const cameraPositionWorld = frameState.camera.positionWC; uniforms.cameraPositionUv = Matrix4.multiplyByPoint( this._transformPositionWorldToUv, cameraPositionWorld, - uniforms.cameraPositionUv + uniforms.cameraPositionUv, ); uniforms.stepSize = this._stepSizeMultiplier; @@ -1127,8 +1127,8 @@ VoxelPrimitive.prototype.update = function (frameState) { const command = frameState.passes.pick ? this._drawCommandPick : frameState.passes.pickVoxel - ? this._drawCommandPickVoxel - : this._drawCommand; + ? this._drawCommandPickVoxel + : this._drawCommand; command.boundingVolume = shape.boundingSphere; frameState.commandList.push(command); }; @@ -1148,11 +1148,11 @@ const scratchExaggerationTranslation = new Cartesian3(); function updateVerticalExaggeration(primitive, frameState) { primitive._exaggeratedMinBounds = Cartesian3.clone( primitive._minBounds, - primitive._exaggeratedMinBounds + primitive._exaggeratedMinBounds, ); primitive._exaggeratedMaxBounds = Cartesian3.clone( primitive._maxBounds, - primitive._exaggeratedMaxBounds + primitive._exaggeratedMaxBounds, ); if (primitive.shape === VoxelShapeType.ELLIPSOID) { @@ -1169,17 +1169,17 @@ function updateVerticalExaggeration(primitive, frameState) { 1.0, 1.0, frameState.verticalExaggeration, - scratchExaggerationScale + scratchExaggerationScale, ); primitive._exaggeratedModelMatrix = Matrix4.multiplyByScale( primitive._modelMatrix, exaggerationScale, - primitive._exaggeratedModelMatrix + primitive._exaggeratedModelMatrix, ); primitive._exaggeratedModelMatrix = Matrix4.multiplyByTranslation( primitive._exaggeratedModelMatrix, computeBoxExaggerationTranslation(primitive, frameState), - primitive._exaggeratedModelMatrix + primitive._exaggeratedModelMatrix, ); } } @@ -1194,24 +1194,24 @@ function computeBoxExaggerationTranslation(primitive, frameState) { // Find the Cartesian position of the center of the OBB const initialCenter = Matrix4.getTranslation( shapeTransform, - scratchExaggerationCenter + scratchExaggerationCenter, ); const intermediateCenter = Matrix4.multiplyByPoint( primitive._modelMatrix, initialCenter, - scratchExaggerationCenter + scratchExaggerationCenter, ); const transformedCenter = Matrix4.multiplyByPoint( globalTransform, intermediateCenter, - scratchExaggerationCenter + scratchExaggerationCenter, ); // Find the cartographic height const ellipsoid = Ellipsoid.WGS84; const centerCartographic = ellipsoid.cartesianToCartographic( transformedCenter, - scratchCartographicCenter + scratchCartographicCenter, ); let centerHeight = 0.0; @@ -1224,14 +1224,14 @@ function computeBoxExaggerationTranslation(primitive, frameState) { const exaggeratedHeight = VerticalExaggeration.getHeight( centerHeight, frameState.verticalExaggeration, - frameState.verticalExaggerationRelativeHeight + frameState.verticalExaggerationRelativeHeight, ); return Cartesian3.fromElements( 0.0, 0.0, (exaggeratedHeight - centerHeight) / frameState.verticalExaggeration, - scratchExaggerationTranslation + scratchExaggerationTranslation, ); } @@ -1260,7 +1260,7 @@ function initFromProvider(primitive, provider, context) { //>>includeStart('debug', pragmas.debug); if (defined(uniformMap[name])) { oneTimeWarning( - `VoxelPrimitive: Uniform name "${name}" is already defined` + `VoxelPrimitive: Uniform name "${name}" is already defined`, ); } //>>includeEnd('debug'); @@ -1275,23 +1275,23 @@ function initFromProvider(primitive, provider, context) { // Note that minBounds and maxBounds can be set dynamically, so their uniforms aren't set here. uniforms.dimensions = Cartesian3.clone( provider.dimensions, - uniforms.dimensions + uniforms.dimensions, ); primitive._paddingBefore = Cartesian3.clone( defaultValue(provider.paddingBefore, Cartesian3.ZERO), - primitive._paddingBefore + primitive._paddingBefore, ); uniforms.paddingBefore = Cartesian3.clone( primitive._paddingBefore, - uniforms.paddingBefore + uniforms.paddingBefore, ); primitive._paddingAfter = Cartesian3.clone( defaultValue(provider.paddingAfter, Cartesian3.ZERO), - primitive._paddingBefore + primitive._paddingBefore, ); uniforms.paddingAfter = Cartesian3.clone( primitive._paddingAfter, - uniforms.paddingAfter + uniforms.paddingAfter, ); // Create the VoxelTraversal, and set related uniforms @@ -1309,23 +1309,23 @@ function initFromProvider(primitive, provider, context) { function checkTransformAndBounds(primitive, provider) { const shapeTransform = defaultValue( provider.shapeTransform, - Matrix4.IDENTITY + Matrix4.IDENTITY, ); const globalTransform = defaultValue( provider.globalTransform, - Matrix4.IDENTITY + Matrix4.IDENTITY, ); // Compound model matrix = global transform * model matrix * shape transform Matrix4.multiplyTransformation( globalTransform, primitive._exaggeratedModelMatrix, - primitive._compoundModelMatrix + primitive._compoundModelMatrix, ); Matrix4.multiplyTransformation( primitive._compoundModelMatrix, shapeTransform, - primitive._compoundModelMatrix + primitive._compoundModelMatrix, ); const numChanges = updateBound(primitive, "_compoundModelMatrix", "_compoundModelMatrixOld") + @@ -1334,12 +1334,12 @@ function checkTransformAndBounds(primitive, provider) { updateBound( primitive, "_exaggeratedMinBounds", - "_exaggeratedMinBoundsOld" + "_exaggeratedMinBoundsOld", ) + updateBound( primitive, "_exaggeratedMaxBounds", - "_exaggeratedMaxBoundsOld" + "_exaggeratedMaxBoundsOld", ) + updateBound(primitive, "_minClippingBounds", "_minClippingBoundsOld") + updateBound(primitive, "_maxClippingBounds", "_maxClippingBoundsOld"); @@ -1380,7 +1380,7 @@ function updateShapeAndTransforms(primitive, shape, provider) { primitive._exaggeratedMinBounds, primitive._exaggeratedMaxBounds, primitive.minClippingBounds, - primitive.maxClippingBounds + primitive.maxClippingBounds, ); if (!visible) { return false; @@ -1389,11 +1389,11 @@ function updateShapeAndTransforms(primitive, shape, provider) { const transformPositionLocalToWorld = shape.shapeTransform; const transformPositionWorldToLocal = Matrix4.inverse( transformPositionLocalToWorld, - scratchTransformPositionWorldToLocal + scratchTransformPositionWorldToLocal, ); const rotation = Matrix4.getRotation( transformPositionLocalToWorld, - scratchRotation + scratchRotation, ); // Note that inverse(rotation) is the same as transpose(rotation) const scale = Matrix4.getScale(transformPositionLocalToWorld, scratchScale); @@ -1401,32 +1401,32 @@ function updateShapeAndTransforms(primitive, shape, provider) { const localScale = Cartesian3.divideByScalar( scale, maximumScaleComponent, - scratchLocalScale + scratchLocalScale, ); const rotationAndLocalScale = Matrix3.multiplyByScale( rotation, localScale, - scratchRotationAndLocalScale + scratchRotationAndLocalScale, ); // Set member variables when the shape is dirty primitive._transformPositionWorldToUv = Matrix4.multiplyTransformation( transformPositionLocalToUv, transformPositionWorldToLocal, - primitive._transformPositionWorldToUv + primitive._transformPositionWorldToUv, ); primitive._transformPositionUvToWorld = Matrix4.multiplyTransformation( transformPositionLocalToWorld, transformPositionUvToLocal, - primitive._transformPositionUvToWorld + primitive._transformPositionUvToWorld, ); primitive._transformDirectionWorldToLocal = Matrix4.getMatrix3( transformPositionWorldToLocal, - primitive._transformDirectionWorldToLocal + primitive._transformDirectionWorldToLocal, ); primitive._transformNormalLocalToWorld = Matrix3.inverseTranspose( rotationAndLocalScale, - primitive._transformNormalLocalToWorld + primitive._transformNormalLocalToWorld, ); return true; @@ -1453,7 +1453,7 @@ function setupTraversal(primitive, provider, context) { maximumTileCount, dimensions, provider.types, - provider.componentTypes + provider.componentTypes, ) : undefined; @@ -1466,7 +1466,7 @@ function setupTraversal(primitive, provider, context) { provider.types, provider.componentTypes, keyframeCount, - maximumTextureMemoryByteLength + maximumTextureMemoryByteLength, ); } @@ -1480,7 +1480,7 @@ function setTraversalUniforms(traversal, uniforms) { uniforms.octreeInternalNodeTexture = traversal.internalNodeTexture; uniforms.octreeInternalNodeTexelSizeUv = Cartesian2.clone( traversal.internalNodeTexelSizeUv, - uniforms.octreeInternalNodeTexelSizeUv + uniforms.octreeInternalNodeTexelSizeUv, ); uniforms.octreeInternalNodeTilesPerRow = traversal.internalNodeTilesPerRow; @@ -1494,23 +1494,23 @@ function setTraversalUniforms(traversal, uniforms) { uniforms.megatextureSliceDimensions = Cartesian2.clone( megatexture.sliceCountPerRegion, - uniforms.megatextureSliceDimensions + uniforms.megatextureSliceDimensions, ); uniforms.megatextureTileDimensions = Cartesian2.clone( megatexture.regionCountPerMegatexture, - uniforms.megatextureTileDimensions + uniforms.megatextureTileDimensions, ); uniforms.megatextureVoxelSizeUv = Cartesian2.clone( megatexture.voxelSizeUv, - uniforms.megatextureVoxelSizeUv + uniforms.megatextureVoxelSizeUv, ); uniforms.megatextureSliceSizeUv = Cartesian2.clone( megatexture.sliceSizeUv, - uniforms.megatextureSliceSizeUv + uniforms.megatextureSliceSizeUv, ); uniforms.megatextureTileSizeUv = Cartesian2.clone( megatexture.regionSizeUv, - uniforms.megatextureTileSizeUv + uniforms.megatextureTileSizeUv, ); } @@ -1524,7 +1524,7 @@ function setTraversalUniforms(traversal, uniforms) { function checkShapeDefines(primitive, shape) { const shapeDefines = shape.shaderDefines; const shapeDefinesChanged = Object.keys(shapeDefines).some( - (key) => shapeDefines[key] !== primitive._shapeDefinesOld[key] + (key) => shapeDefines[key] !== primitive._shapeDefinesOld[key], ); if (shapeDefinesChanged) { primitive._shapeDefinesOld = clone(shapeDefines, true); @@ -1566,11 +1566,11 @@ function getKeyframeLocation(timeIntervalCollection, clock) { // De-lerp between the start and end of the interval const totalSeconds = JulianDate.secondsDifference( timeInterval.stop, - timeInterval.start + timeInterval.start, ); const secondsDifferenceStart = JulianDate.secondsDifference( date, - timeInterval.start + timeInterval.start, ); const t = secondsDifferenceStart / totalSeconds; @@ -1610,12 +1610,12 @@ function updateClippingPlanes(primitive, frameState) { Matrix4.multiplyTransformation( Matrix4.inverse( clippingPlanes.modelMatrix, - uniforms.clippingPlanesMatrix + uniforms.clippingPlanesMatrix, ), primitive._transformPositionUvToWorld, - uniforms.clippingPlanesMatrix + uniforms.clippingPlanesMatrix, ), - uniforms.clippingPlanesMatrix + uniforms.clippingPlanesMatrix, ); } @@ -1687,7 +1687,7 @@ const corners = new Array( new Cartesian4(-1.0, -1.0, +1.0, 1.0), new Cartesian4(+1.0, -1.0, +1.0, 1.0), new Cartesian4(-1.0, +1.0, +1.0, 1.0), - new Cartesian4(+1.0, +1.0, +1.0, 1.0) + new Cartesian4(+1.0, +1.0, +1.0, 1.0), ); const vertexNeighborIndices = new Array( 1, @@ -1713,7 +1713,7 @@ const vertexNeighborIndices = new Array( 7, 3, 5, - 6 + 6, ); const scratchCornersClipSpace = new Array( @@ -1724,7 +1724,7 @@ const scratchCornersClipSpace = new Array( new Cartesian4(), new Cartesian4(), new Cartesian4(), - new Cartesian4() + new Cartesian4(), ); /** @@ -1746,17 +1746,17 @@ const scratchCornersClipSpace = new Array( function orientedBoundingBoxToNdcAabb( orientedBoundingBox, worldToProjection, - result + result, ) { const transformPositionLocalToWorld = Matrix4.fromRotationTranslation( orientedBoundingBox.halfAxes, orientedBoundingBox.center, - scratchTransformPositionLocalToWorld + scratchTransformPositionLocalToWorld, ); const transformPositionLocalToProjection = Matrix4.multiply( worldToProjection, transformPositionLocalToWorld, - scratchTransformPositionLocalToProjection + scratchTransformPositionLocalToProjection, ); let ndcMinX = +Number.MAX_VALUE; @@ -1772,7 +1772,7 @@ function orientedBoundingBoxToNdcAabb( Matrix4.multiplyByVector( transformPositionLocalToProjection, corners[cornerIndex], - cornersClipSpace[cornerIndex] + cornersClipSpace[cornerIndex], ); } @@ -1804,7 +1804,7 @@ function orientedBoundingBoxToNdcAabb( position, neighborPosition, t, - scratchIntersect + scratchIntersect, ); const intersectNdcX = intersect.x / intersect.w; const intersectNdcY = intersect.y / intersect.w; @@ -1904,19 +1904,19 @@ function debugDraw(that, frameState) { Cartesian3.ZERO, polylineXAxis, Color.RED, - axisThickness + axisThickness, ); makePolylineLineSegment( Cartesian3.ZERO, polylineYAxis, Color.LIME, - axisThickness + axisThickness, ); makePolylineLineSegment( Cartesian3.ZERO, polylineZAxis, Color.BLUE, - axisThickness + axisThickness, ); polylines.update(frameState); diff --git a/packages/engine/Source/Scene/VoxelRenderResources.js b/packages/engine/Source/Scene/VoxelRenderResources.js index c6814a95acbe..3e23d213f0c7 100644 --- a/packages/engine/Source/Scene/VoxelRenderResources.js +++ b/packages/engine/Source/Scene/VoxelRenderResources.js @@ -54,7 +54,7 @@ function VoxelRenderResources(primitive) { shaderBuilder.addUniform( uniform.type, uniformName, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); } } @@ -63,7 +63,7 @@ function VoxelRenderResources(primitive) { shaderBuilder.addUniform( "sampler2D", "u_megatextureTextures[METADATA_COUNT]", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); /** @@ -99,18 +99,18 @@ function VoxelRenderResources(primitive) { shaderBuilder.addDefine( "CLIPPING_PLANES", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addDefine( "CLIPPING_PLANES_COUNT", clippingPlanesLength, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); if (clippingPlanes.unionClippingRegions) { shaderBuilder.addDefine( "CLIPPING_PLANES_UNION", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); } shaderBuilder.addFragmentLines([IntersectClippingPlanes]); @@ -119,7 +119,7 @@ function VoxelRenderResources(primitive) { shaderBuilder.addDefine( "DEPTH_TEST", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addFragmentLines([IntersectDepth]); } @@ -142,7 +142,7 @@ function VoxelRenderResources(primitive) { shaderBuilder.addDefine( "SHAPE_ELLIPSOID", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addFragmentLines([ convertUvToEllipsoid, @@ -174,7 +174,7 @@ function VoxelRenderResources(primitive) { shaderBuilder.addDefine( "CLIPPING_PLANES_INTERSECTION_INDEX", intersectionCount, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); if (clippingPlanesLength === 1) { intersectionCount += 1; @@ -188,14 +188,14 @@ function VoxelRenderResources(primitive) { shaderBuilder.addDefine( "DEPTH_INTERSECTION_INDEX", intersectionCount, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); intersectionCount += 1; } shaderBuilder.addDefine( "INTERSECTION_COUNT", intersectionCount, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); // Additional fragment shader defines @@ -212,21 +212,21 @@ function VoxelRenderResources(primitive) { shaderBuilder.addDefine( "LOG_DEPTH_READ_ONLY", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); } if (primitive._nearestSampling) { shaderBuilder.addDefine( "NEAREST_SAMPLING", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); } const traversal = primitive._traversal; shaderBuilder.addDefine( "SAMPLE_COUNT", `${traversal._sampleCount}`, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); } diff --git a/packages/engine/Source/Scene/VoxelTraversal.js b/packages/engine/Source/Scene/VoxelTraversal.js index 0cc1db7b2d22..b7e02d06f1dd 100644 --- a/packages/engine/Source/Scene/VoxelTraversal.js +++ b/packages/engine/Source/Scene/VoxelTraversal.js @@ -40,7 +40,7 @@ function VoxelTraversal( types, componentTypes, keyframeCount, - maximumTextureMemoryByteLength + maximumTextureMemoryByteLength, ) { /** * TODO: maybe this shouldn't be stored or passed into update function? @@ -66,7 +66,7 @@ function VoxelTraversal( dimensions, componentCount, componentType, - maximumTextureMemoryByteLength + maximumTextureMemoryByteLength, ); } @@ -150,16 +150,16 @@ function VoxelTraversal( binaryTreeKeyframeWeighting, 1, keyframeCount - 2, - 0 + 0, ); const internalNodeTexelCount = 9; const internalNodeTextureDimensionX = 2048; const internalNodeTilesPerRow = Math.floor( - internalNodeTextureDimensionX / internalNodeTexelCount + internalNodeTextureDimensionX / internalNodeTexelCount, ); const internalNodeTextureDimensionY = Math.ceil( - maximumTileCount / internalNodeTilesPerRow + maximumTileCount / internalNodeTilesPerRow, ); /** @@ -191,7 +191,7 @@ function VoxelTraversal( */ this.internalNodeTexelSizeUv = new Cartesian2( 1.0 / internalNodeTextureDimensionX, - 1.0 / internalNodeTextureDimensionY + 1.0 / internalNodeTextureDimensionY, ); /** @@ -250,7 +250,7 @@ VoxelTraversal.prototype.update = function ( frameState, keyframeLocation, recomputeBoundingVolumes, - pauseUpdate + pauseUpdate, ) { const primitive = this._primitive; const context = frameState.context; @@ -268,10 +268,10 @@ VoxelTraversal.prototype.update = function ( const leafNodeTexelCount = 2; const leafNodeTextureDimensionX = 1024; const leafNodeTilesPerRow = Math.floor( - leafNodeTextureDimensionX / leafNodeTexelCount + leafNodeTextureDimensionX / leafNodeTexelCount, ); const leafNodeTextureDimensionY = Math.ceil( - maximumTileCount / leafNodeTilesPerRow + maximumTileCount / leafNodeTilesPerRow, ); this.leafNodeTexture = new Texture({ @@ -289,7 +289,7 @@ VoxelTraversal.prototype.update = function ( this.leafNodeTexelSizeUv = Cartesian2.fromElements( 1.0 / leafNodeTextureDimensionX, 1.0 / leafNodeTextureDimensionY, - this.leafNodeTexelSizeUv + this.leafNodeTexelSizeUv, ); this.leafNodeTilesPerRow = leafNodeTilesPerRow; } else if (!useLeafNodes && defined(this.leafNodeTexture)) { @@ -299,7 +299,7 @@ VoxelTraversal.prototype.update = function ( this._keyframeLocation = CesiumMath.clamp( keyframeLocation, 0.0, - keyframeCount - 1 + keyframeCount - 1, ); if (recomputeBoundingVolumes) { @@ -325,7 +325,7 @@ VoxelTraversal.prototype.update = function ( this, loadAndUnloadTimeMs, generateOctreeTimeMs, - totalTimeMs + totalTimeMs, ); } }; @@ -505,7 +505,7 @@ function loadAndUnload(that, frameState) { const previousKeyframe = CesiumMath.clamp( Math.floor(that._keyframeLocation), 0, - keyframeCount - 2 + keyframeCount - 2, ); const nextKeyframe = previousKeyframe + 1; @@ -524,7 +524,7 @@ function loadAndUnload(that, frameState) { visibilityPlaneMask = spatialNode.visibility( frameState, - visibilityPlaneMask + visibilityPlaneMask, ); if (visibilityPlaneMask === CullingVolume.MASK_OUTSIDE) { return; @@ -553,7 +553,7 @@ function loadAndUnload(that, frameState) { previousKeyframe, keyframeNode.keyframe, nextKeyframe, - that + that, ); if ( @@ -594,9 +594,8 @@ function loadAndUnload(that, frameState) { while (priorityQueue.length > 0) { highPriorityKeyframeNode = priorityQueue.removeMaximum(); highPriorityKeyframeNode.highPriorityFrameNumber = frameNumber; - highPriorityKeyframeNodes[ - highPriorityKeyframeNodeCount - ] = highPriorityKeyframeNode; + highPriorityKeyframeNodes[highPriorityKeyframeNodeCount] = + highPriorityKeyframeNode; highPriorityKeyframeNodeCount++; } @@ -648,7 +647,7 @@ function loadAndUnload(that, frameState) { const discardNode = keyframeNodesInMegatexture[addNodeIndex]; discardNode.spatialNode.destroyKeyframeNode( discardNode, - that.megatextures + that.megatextures, ); } else { addNodeIndex = keyframeNodesInMegatextureCount + addedCount; @@ -656,7 +655,7 @@ function loadAndUnload(that, frameState) { } highPriorityKeyframeNode.spatialNode.addKeyframeNodeToMegatextures( highPriorityKeyframeNode, - that.megatextures + that.megatextures, ); keyframeNodesInMegatexture[addNodeIndex] = highPriorityKeyframeNode; } @@ -676,24 +675,24 @@ function loadAndUnload(that, frameState) { function keyframePriority(previousKeyframe, keyframe, nextKeyframe, traversal) { const keyframeDifference = Math.min( Math.abs(keyframe - previousKeyframe), - Math.abs(keyframe - nextKeyframe) + Math.abs(keyframe - nextKeyframe), ); const maxKeyframeDifference = Math.max( previousKeyframe, traversal._keyframeCount - nextKeyframe - 1, - 1 + 1, ); const keyframeFactor = Math.pow( 1.0 - keyframeDifference / maxKeyframeDifference, - 4.0 + 4.0, ); const binaryTreeFactor = Math.exp( - -traversal._binaryTreeKeyframeWeighting[keyframe] + -traversal._binaryTreeKeyframeWeighting[keyframe], ); return CesiumMath.lerp( binaryTreeFactor, keyframeFactor, - 0.15 + 0.85 * keyframeFactor + 0.15 + 0.85 * keyframeFactor, ); } @@ -708,7 +707,7 @@ function printDebugInformation( that, loadAndUnloadTimeMs, generateOctreeTimeMs, - totalTimeMs + totalTimeMs, ) { const keyframeCount = that._keyframeCount; const rootNode = that.rootNode; @@ -783,7 +782,7 @@ function printDebugInformation( `ALL: ${totalTimeMsRounded}`; console.log( - `${loadedKeyframeStatistics} || ${loadStateStatistics} || ${timerStatistics}` + `${loadedKeyframeStatistics} || ${loadStateStatistics} || ${timerStatistics}`, ); } @@ -855,7 +854,7 @@ function generateOctree(that, sampleCount, levelBlendFactor) { childOctreeIndex, childEntryIndex, parentOctreeIndex, - parentEntryIndex + parentEntryIndex, ) { let hasRenderableChildren = false; if (defined(node.children)) { @@ -887,7 +886,7 @@ function generateOctree(that, sampleCount, levelBlendFactor) { childOctreeIndex, childEntryIndex, parentOctreeIndex, - parentEntryIndex + cc + parentEntryIndex + cc, ); } } else { @@ -941,14 +940,14 @@ function generateOctree(that, sampleCount, levelBlendFactor) { internalNodeOctreeData, 9, that.internalNodeTilesPerRow, - that.internalNodeTexture + that.internalNodeTexture, ); if (useLeafNodes) { copyToLeafNodeTexture( leafNodeOctreeData, 2, that.leafNodeTilesPerRow, - that.leafNodeTexture + that.leafNodeTexture, ); } } @@ -986,7 +985,7 @@ function copyToInternalNodeTexture(data, texelsPerTile, tilesPerRow, texture) { const tileCount = Math.ceil(data.length / texelsPerTile); const copyWidth = Math.max( 1, - texelsPerTile * Math.min(tileCount, tilesPerRow) + texelsPerTile * Math.min(tileCount, tilesPerRow), ); const copyHeight = Math.max(1, Math.ceil(tileCount / tilesPerRow)); @@ -1028,7 +1027,7 @@ function copyToLeafNodeTexture(data, texelsPerTile, tilesPerRow, texture) { const tileCount = Math.ceil(data.length / datasPerTile); const copyWidth = Math.max( 1, - texelsPerTile * Math.min(tileCount, tilesPerRow) + texelsPerTile * Math.min(tileCount, tilesPerRow), ); const copyHeight = Math.max(1, Math.ceil(tileCount / tilesPerRow)); @@ -1043,7 +1042,7 @@ function copyToLeafNodeTexture(data, texelsPerTile, tilesPerRow, texture) { const timeLerpCompressed = CesiumMath.clamp( Math.floor(65536 * timeLerp), 0, - 65535 + 65535, ); textureData[tileIndex * 8 + 0] = (timeLerpCompressed >>> 0) & 0xff; textureData[tileIndex * 8 + 1] = (timeLerpCompressed >>> 8) & 0xff; @@ -1084,7 +1083,7 @@ VoxelTraversal.getApproximateTextureMemoryByteLength = function ( tileCount, dimensions, types, - componentTypes + componentTypes, ) { let textureMemoryByteLength = 0; @@ -1094,12 +1093,13 @@ VoxelTraversal.getApproximateTextureMemoryByteLength = function ( const componentType = componentTypes[i]; const componentCount = MetadataType.getComponentCount(type); - textureMemoryByteLength += Megatexture.getApproximateTextureMemoryByteLength( - tileCount, - dimensions, - componentCount, - componentType - ); + textureMemoryByteLength += + Megatexture.getApproximateTextureMemoryByteLength( + tileCount, + dimensions, + componentCount, + componentType, + ); } return textureMemoryByteLength; diff --git a/packages/engine/Source/Scene/WebMapServiceImageryProvider.js b/packages/engine/Source/Scene/WebMapServiceImageryProvider.js index f5277f2eeec2..09fe189a73d8 100644 --- a/packages/engine/Source/Scene/WebMapServiceImageryProvider.js +++ b/packages/engine/Source/Scene/WebMapServiceImageryProvider.js @@ -114,7 +114,7 @@ function WebMapServiceImageryProvider(options) { if (defined(options.times) && !defined(options.clock)) { throw new DeveloperError( - "options.times was specified, so options.clock is required." + "options.times was specified, so options.clock is required.", ); } @@ -131,7 +131,7 @@ function WebMapServiceImageryProvider(options) { this._getFeatureInfoUrl = defaultValue( options.getFeatureInfoUrl, - options.url + options.url, ); const resource = Resource.createIfNeeded(options.url); @@ -139,11 +139,11 @@ function WebMapServiceImageryProvider(options) { resource.setQueryParameters( WebMapServiceImageryProvider.DefaultParameters, - true + true, ); pickFeatureResource.setQueryParameters( WebMapServiceImageryProvider.GetFeatureInfoDefaultParameters, - true + true, ); if (defined(options.parameters)) { @@ -152,7 +152,7 @@ function WebMapServiceImageryProvider(options) { if (defined(options.getFeatureInfoParameters)) { pickFeatureResource.setQueryParameters( - objectToLowercase(options.getFeatureInfoParameters) + objectToLowercase(options.getFeatureInfoParameters), ); } @@ -190,7 +190,7 @@ function WebMapServiceImageryProvider(options) { options.tilingScheme && options.tilingScheme.projection instanceof WebMercatorProjection ? "EPSG:3857" - : "CRS:84" + : "CRS:84", ); // The axis order in previous versions of the WMS specifications was to always use easting (x or lon ) and northing (y or @@ -218,7 +218,7 @@ function WebMapServiceImageryProvider(options) { options.tilingScheme && options.tilingScheme.projection instanceof WebMercatorProjection ? "EPSG:3857" - : "EPSG:4326" + : "EPSG:4326", ); } @@ -249,7 +249,7 @@ function WebMapServiceImageryProvider(options) { pickFeaturesUrl: pickFeatureResource, tilingScheme: defaultValue( options.tilingScheme, - new GeographicTilingScheme({ ellipsoid: options.ellipsoid }) + new GeographicTilingScheme({ ellipsoid: options.ellipsoid }), ), rectangle: options.rectangle, tileWidth: options.tileWidth, @@ -261,7 +261,7 @@ function WebMapServiceImageryProvider(options) { credit: options.credit, getFeatureInfoFormats: defaultValue( options.getFeatureInfoFormats, - WebMapServiceImageryProvider.DefaultGetFeatureInfoFormats + WebMapServiceImageryProvider.DefaultGetFeatureInfoFormats, ), enablePickFeatures: options.enablePickFeatures, }); @@ -285,7 +285,7 @@ function pickFeatures( level, longitude, latitude, - interval + interval, ) { const dynamicIntervalData = defined(interval) ? interval.data : undefined; const tileProvider = imageryProvider._tileProvider; @@ -550,7 +550,7 @@ WebMapServiceImageryProvider.prototype.requestImage = function ( x, y, level, - request + request, ) { let result; const timeDynamicImagery = this._timeDynamicImagery; @@ -593,7 +593,7 @@ WebMapServiceImageryProvider.prototype.pickFeatures = function ( y, level, longitude, - latitude + latitude, ) { const timeDynamicImagery = this._timeDynamicImagery; const currentInterval = defined(timeDynamicImagery) diff --git a/packages/engine/Source/Scene/WebMapTileServiceImageryProvider.js b/packages/engine/Source/Scene/WebMapTileServiceImageryProvider.js index 1ee3c2002504..690a7ea59b13 100644 --- a/packages/engine/Source/Scene/WebMapTileServiceImageryProvider.js +++ b/packages/engine/Source/Scene/WebMapTileServiceImageryProvider.js @@ -131,7 +131,7 @@ function WebMapTileServiceImageryProvider(options) { } if (defined(options.times) && !defined(options.clock)) { throw new DeveloperError( - "options.times was specified, so options.clock is required." + "options.times was specified, so options.clock is required.", ); } //>>includeEnd('debug'); @@ -190,7 +190,7 @@ function WebMapTileServiceImageryProvider(options) { this._rectangle = defaultValue( options.rectangle, - this._tilingScheme.rectangle + this._tilingScheme.rectangle, ); this._dimensions = options.dimensions; @@ -216,18 +216,18 @@ function WebMapTileServiceImageryProvider(options) { // level will cause too many tiles to be downloaded and rendered. const swTile = this._tilingScheme.positionToTileXY( Rectangle.southwest(this._rectangle), - this._minimumLevel + this._minimumLevel, ); const neTile = this._tilingScheme.positionToTileXY( Rectangle.northeast(this._rectangle), - this._minimumLevel + this._minimumLevel, ); const tileCount = (Math.abs(neTile.x - swTile.x) + 1) * (Math.abs(neTile.y - swTile.y) + 1); //>>includeStart('debug', pragmas.debug); if (tileCount > 4) { throw new DeveloperError( - `The imagery provider's rectangle and minimumLevel indicate that there are ${tileCount} tiles at the minimum level. Imagery providers with more than four tiles at the minimum level are not supported.` + `The imagery provider's rectangle and minimumLevel indicate that there are ${tileCount} tiles at the minimum level. Imagery providers with more than four tiles at the minimum level are not supported.`, ); } //>>includeEnd('debug'); @@ -533,7 +533,7 @@ Object.defineProperties(WebMapTileServiceImageryProvider.prototype, { WebMapTileServiceImageryProvider.prototype.getTileCredits = function ( x, y, - level + level, ) { return undefined; }; @@ -552,7 +552,7 @@ WebMapTileServiceImageryProvider.prototype.requestImage = function ( x, y, level, - request + request, ) { let result; const timeDynamicImagery = this._timeDynamicImagery; @@ -593,7 +593,7 @@ WebMapTileServiceImageryProvider.prototype.pickFeatures = function ( y, level, longitude, - latitude + latitude, ) { return undefined; }; diff --git a/packages/engine/Source/Scene/buildVoxelDrawCommands.js b/packages/engine/Source/Scene/buildVoxelDrawCommands.js index ba8aba81bb37..19f67111ccca 100644 --- a/packages/engine/Source/Scene/buildVoxelDrawCommands.js +++ b/packages/engine/Source/Scene/buildVoxelDrawCommands.js @@ -23,11 +23,8 @@ function buildVoxelDrawCommands(primitive, context) { processVoxelProperties(renderResources, primitive); - const { - shaderBuilder, - clippingPlanes, - clippingPlanesLength, - } = renderResources; + const { shaderBuilder, clippingPlanes, clippingPlanesLength } = + renderResources; if (clippingPlanesLength > 0) { // Extract the getClippingPlane function from the getClippingFunction string. @@ -41,16 +38,16 @@ function buildVoxelDrawCommands(primitive, context) { const functionBodyEnd = entireFunction.indexOf("}", functionBodyBegin); const functionSignature = entireFunction.slice( functionSignatureBegin, - functionSignatureEnd + functionSignatureEnd, ); const functionBody = entireFunction.slice( functionBodyBegin, - functionBodyEnd + functionBodyEnd, ); shaderBuilder.addFunction( functionId, functionSignature, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addFunctionLines(functionId, [functionBody]); } @@ -62,13 +59,12 @@ function buildVoxelDrawCommands(primitive, context) { shaderBuilderPickVoxel.addDefine( "PICKING_VOXEL", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); const shaderProgram = shaderBuilder.buildShaderProgram(context); const shaderProgramPick = shaderBuilderPick.buildShaderProgram(context); - const shaderProgramPickVoxel = shaderBuilderPickVoxel.buildShaderProgram( - context - ); + const shaderProgramPickVoxel = + shaderBuilderPickVoxel.buildShaderProgram(context); const renderState = RenderState.fromCache({ cull: { enabled: true, @@ -102,7 +98,7 @@ function buildVoxelDrawCommands(primitive, context) { // Create the pick draw command const drawCommandPick = DrawCommand.shallowClone( drawCommand, - new DrawCommand() + new DrawCommand(), ); drawCommandPick.shaderProgram = shaderProgramPick; drawCommandPick.pickOnly = true; @@ -110,7 +106,7 @@ function buildVoxelDrawCommands(primitive, context) { // Create the pick voxels draw command const drawCommandPickVoxel = DrawCommand.shallowClone( drawCommand, - new DrawCommand() + new DrawCommand(), ); drawCommandPickVoxel.shaderProgram = shaderProgramPickVoxel; drawCommandPickVoxel.pickOnly = true; diff --git a/packages/engine/Source/Scene/computeFlyToLocationForRectangle.js b/packages/engine/Source/Scene/computeFlyToLocationForRectangle.js index 0a984cb7cb35..d77d02a10400 100644 --- a/packages/engine/Source/Scene/computeFlyToLocationForRectangle.js +++ b/packages/engine/Source/Scene/computeFlyToLocationForRectangle.js @@ -45,10 +45,11 @@ async function computeFlyToLocationForRectangle(rectangle, scene) { Rectangle.northwest(rectangle), ]; - const positionsOnTerrain = await computeFlyToLocationForRectangle._sampleTerrainMostDetailed( - terrainProvider, - cartographics - ); + const positionsOnTerrain = + await computeFlyToLocationForRectangle._sampleTerrainMostDetailed( + terrainProvider, + cartographics, + ); let heightFound = false; const maxHeight = positionsOnTerrain.reduce(function (currentMax, item) { @@ -68,5 +69,6 @@ async function computeFlyToLocationForRectangle(rectangle, scene) { } //Exposed for testing. -computeFlyToLocationForRectangle._sampleTerrainMostDetailed = sampleTerrainMostDetailed; +computeFlyToLocationForRectangle._sampleTerrainMostDetailed = + sampleTerrainMostDetailed; export default computeFlyToLocationForRectangle; diff --git a/packages/engine/Source/Scene/createBillboardPointCallback.js b/packages/engine/Source/Scene/createBillboardPointCallback.js index a9340b81d3d5..5c2bfa725486 100644 --- a/packages/engine/Source/Scene/createBillboardPointCallback.js +++ b/packages/engine/Source/Scene/createBillboardPointCallback.js @@ -15,7 +15,7 @@ function createBillboardPointCallback( cssColor, cssOutlineColor, cssOutlineWidth, - pixelSize + pixelSize, ) { return function () { const canvas = document.createElement("canvas"); @@ -43,7 +43,7 @@ function createBillboardPointCallback( pixelSize / 2, 0, 2 * Math.PI, - true + true, ); context2D.closePath(); context2D.fillStyle = "black"; diff --git a/packages/engine/Source/Scene/createElevationBandMaterial.js b/packages/engine/Source/Scene/createElevationBandMaterial.js index 544a1d67f6c7..94d0e8a39ee3 100644 --- a/packages/engine/Source/Scene/createElevationBandMaterial.js +++ b/packages/engine/Source/Scene/createElevationBandMaterial.js @@ -126,7 +126,7 @@ function preprocess(layers) { const height = CesiumMath.clamp( entryOrig.height, createElevationBandMaterial._minimumHeight, - createElevationBandMaterial._maximumHeight + createElevationBandMaterial._maximumHeight, ); // premultiplied alpha @@ -174,8 +174,8 @@ function preprocess(layers) { 0, createNewEntry( createElevationBandMaterial._minimumHeight, - entries[0].color - ) + entries[0].color, + ), ); } if (extendUpwards) { @@ -184,8 +184,8 @@ function preprocess(layers) { 0, createNewEntry( createElevationBandMaterial._maximumHeight, - entries[entries.length - 1].color - ) + entries[entries.length - 1].color, + ), ); } @@ -325,7 +325,7 @@ function createLayeredEntries(layers) { entry.height, prevEntryAccum, entryAccum, - scratchColorBelow + scratchColorBelow, ); if (!defined(prevEntry)) { @@ -349,7 +349,7 @@ function createLayeredEntries(layers) { entryAccum.height, prevEntry, entry, - scratchColorAbove + scratchColorAbove, ); if (!defined(prevEntryAccum)) { @@ -384,7 +384,7 @@ function createLayeredEntries(layers) { // Insert blank gap between last accum entry and first entry addEntry( prevEntryAccum.height, - createElevationBandMaterial._emptyColor + createElevationBandMaterial._emptyColor, ); addEntry(entry.height, createElevationBandMaterial._emptyColor); addEntry(entry.height, entry.color); diff --git a/packages/engine/Source/Scene/createGooglePhotorealistic3DTileset.js b/packages/engine/Source/Scene/createGooglePhotorealistic3DTileset.js index eee6c6abb61d..f762d748dc36 100644 --- a/packages/engine/Source/Scene/createGooglePhotorealistic3DTileset.js +++ b/packages/engine/Source/Scene/createGooglePhotorealistic3DTileset.js @@ -44,7 +44,7 @@ async function createGooglePhotorealistic3DTileset(key, options) { options.cacheBytes = defaultValue(options.cacheBytes, 1536 * 1024 * 1024); options.maximumCacheOverflowBytes = defaultValue( options.maximumCacheOverflowBytes, - 1024 * 1024 * 1024 + 1024 * 1024 * 1024, ); options.enableCollision = defaultValue(options.enableCollision, true); diff --git a/packages/engine/Source/Scene/createOsmBuildingsAsync.js b/packages/engine/Source/Scene/createOsmBuildingsAsync.js index 08b4b019c7ad..e3b2908277f3 100644 --- a/packages/engine/Source/Scene/createOsmBuildingsAsync.js +++ b/packages/engine/Source/Scene/createOsmBuildingsAsync.js @@ -67,7 +67,7 @@ async function createOsmBuildingsAsync(options) { if (!defined(style)) { const color = defaultValue( options.defaultColor, - Color.WHITE + Color.WHITE, ).toCssColorString(); style = new Cesium3DTileStyle({ color: `Boolean(\${feature['cesium#color']}) ? color(\${feature['cesium#color']}) : ${color}`, diff --git a/packages/engine/Source/Scene/createTangentSpaceDebugPrimitive.js b/packages/engine/Source/Scene/createTangentSpaceDebugPrimitive.js index 5c3086e11b33..c5d8175dd2a0 100644 --- a/packages/engine/Source/Scene/createTangentSpaceDebugPrimitive.js +++ b/packages/engine/Source/Scene/createTangentSpaceDebugPrimitive.js @@ -48,7 +48,7 @@ function createTangentSpaceDebugPrimitive(options) { const attributes = geometry.attributes; const modelMatrix = Matrix4.clone( - defaultValue(options.modelMatrix, Matrix4.IDENTITY) + defaultValue(options.modelMatrix, Matrix4.IDENTITY), ); const length = defaultValue(options.length, 10000.0); @@ -58,13 +58,13 @@ function createTangentSpaceDebugPrimitive(options) { geometry: GeometryPipeline.createLineSegmentsForVectors( geometry, "normal", - length + length, ), attributes: { color: new ColorGeometryInstanceAttribute(1.0, 0.0, 0.0, 1.0), }, modelMatrix: modelMatrix, - }) + }), ); } @@ -74,13 +74,13 @@ function createTangentSpaceDebugPrimitive(options) { geometry: GeometryPipeline.createLineSegmentsForVectors( geometry, "tangent", - length + length, ), attributes: { color: new ColorGeometryInstanceAttribute(0.0, 1.0, 0.0, 1.0), }, modelMatrix: modelMatrix, - }) + }), ); } @@ -90,13 +90,13 @@ function createTangentSpaceDebugPrimitive(options) { geometry: GeometryPipeline.createLineSegmentsForVectors( geometry, "bitangent", - length + length, ), attributes: { color: new ColorGeometryInstanceAttribute(0.0, 0.0, 1.0, 1.0), }, modelMatrix: modelMatrix, - }) + }), ); } diff --git a/packages/engine/Source/Scene/findContentMetadata.js b/packages/engine/Source/Scene/findContentMetadata.js index db20826686ba..dd8e96327d2d 100644 --- a/packages/engine/Source/Scene/findContentMetadata.js +++ b/packages/engine/Source/Scene/findContentMetadata.js @@ -29,14 +29,14 @@ function findContentMetadata(tileset, contentHeader) { if (!defined(tileset.schema)) { findContentMetadata._oneTimeWarning( "findContentMetadata-missing-root-schema", - "Could not find a metadata schema for content metadata. For tilesets that contain external tilesets, make sure the schema is added to the root tileset.json." + "Could not find a metadata schema for content metadata. For tilesets that contain external tilesets, make sure the schema is added to the root tileset.json.", ); return undefined; } const classes = defaultValue( tileset.schema.classes, - defaultValue.EMPTY_OBJECT + defaultValue.EMPTY_OBJECT, ); if (defined(metadataJson.class)) { const contentClass = classes[metadataJson.class]; diff --git a/packages/engine/Source/Scene/findTileMetadata.js b/packages/engine/Source/Scene/findTileMetadata.js index f455afdf9c94..c91a3df7ae93 100644 --- a/packages/engine/Source/Scene/findTileMetadata.js +++ b/packages/engine/Source/Scene/findTileMetadata.js @@ -31,14 +31,14 @@ function findTileMetadata(tileset, tileHeader) { if (!defined(tileset.schema)) { findTileMetadata._oneTimeWarning( "findTileMetadata-missing-root-schema", - "Could not find a metadata schema for tile metadata. For tilesets that contain external tilesets, make sure the schema is added to the root tileset.json." + "Could not find a metadata schema for tile metadata. For tilesets that contain external tilesets, make sure the schema is added to the root tileset.json.", ); return undefined; } const classes = defaultValue( tileset.schema.classes, - defaultValue.EMPTY_OBJECT + defaultValue.EMPTY_OBJECT, ); if (defined(metadataJson.class)) { const tileClass = classes[metadataJson.class]; diff --git a/packages/engine/Source/Scene/getBinaryAccessor.js b/packages/engine/Source/Scene/getBinaryAccessor.js index aad46fc83bab..36ab52e85b8f 100644 --- a/packages/engine/Source/Scene/getBinaryAccessor.js +++ b/packages/engine/Source/Scene/getBinaryAccessor.js @@ -48,7 +48,7 @@ function getBinaryAccessor(accessor) { componentDatatype, buffer, byteOffset, - componentsPerAttribute * length + componentsPerAttribute * length, ); }, }; diff --git a/packages/engine/Source/Scene/getClipAndStyleCode.js b/packages/engine/Source/Scene/getClipAndStyleCode.js index 5b3e71f61eab..2dbc355196e6 100644 --- a/packages/engine/Source/Scene/getClipAndStyleCode.js +++ b/packages/engine/Source/Scene/getClipAndStyleCode.js @@ -12,7 +12,7 @@ import Check from "../Core/Check.js"; function getClipAndStyleCode( samplerUniformName, matrixUniformName, - styleUniformName + styleUniformName, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.string("samplerUniformName", samplerUniformName); diff --git a/packages/engine/Source/Scene/getClippingFunction.js b/packages/engine/Source/Scene/getClippingFunction.js index d17d9819b598..690d542cf4f5 100644 --- a/packages/engine/Source/Scene/getClippingFunction.js +++ b/packages/engine/Source/Scene/getClippingFunction.js @@ -22,7 +22,7 @@ function getClippingFunction(clippingPlaneCollection, context) { const textureResolution = ClippingPlaneCollection.getTextureResolution( clippingPlaneCollection, context, - textureResolutionScratch + textureResolutionScratch, ); const width = textureResolution.x; const height = textureResolution.y; diff --git a/packages/engine/Source/Scene/parseBatchTable.js b/packages/engine/Source/Scene/parseBatchTable.js index 26cb21abec7f..6105f587abaf 100644 --- a/packages/engine/Source/Scene/parseBatchTable.js +++ b/packages/engine/Source/Scene/parseBatchTable.js @@ -47,14 +47,14 @@ function parseBatchTable(options) { const binaryBody = options.binaryBody; const parseAsPropertyAttributes = defaultValue( options.parseAsPropertyAttributes, - false + false, ); const customAttributeOutput = options.customAttributeOutput; //>>includeStart('debug', pragmas.debug); if (parseAsPropertyAttributes && !defined(customAttributeOutput)) { throw new DeveloperError( - "customAttributeOutput is required when parsing batch table as property attributes" + "customAttributeOutput is required when parsing batch table as property attributes", ); } //>>includeEnd('debug'); @@ -90,7 +90,7 @@ function parseBatchTable(options) { className, binaryProperties, binaryBody, - customAttributeOutput + customAttributeOutput, ); transcodedSchema = attributeResults.transcodedSchema; const propertyAttribute = new PropertyAttribute({ @@ -104,7 +104,7 @@ function parseBatchTable(options) { featureCount, className, binaryProperties, - binaryBody + binaryBody, ); transcodedSchema = binaryResults.transcodedSchema; const featureTableJson = binaryResults.featureTableJson; @@ -163,7 +163,7 @@ function partitionProperties(batchTable) { if (defined(legacyHierarchy)) { parseBatchTable._deprecationWarning( "batchTableHierarchyExtension", - "The batch table HIERARCHY property has been moved to an extension. Use extensions.3DTILES_batch_table_hierarchy instead." + "The batch table HIERARCHY property has been moved to an extension. Use extensions.3DTILES_batch_table_hierarchy instead.", ); hierarchyExtension = legacyHierarchy; } else if (defined(extensions)) { @@ -220,7 +220,7 @@ function transcodeBinaryProperties( featureCount, className, binaryProperties, - binaryBody + binaryBody, ) { const classProperties = {}; const featureTableProperties = {}; @@ -233,7 +233,7 @@ function transcodeBinaryProperties( if (!defined(binaryBody)) { throw new RuntimeError( - `Property ${propertyId} requires a batch table binary.` + `Property ${propertyId} requires a batch table binary.`, ); } @@ -246,13 +246,12 @@ function transcodeBinaryProperties( classProperties[propertyId] = transcodePropertyType(property); - bufferViewsTypedArrays[ - bufferViewCount - ] = binaryAccessor.createArrayBufferView( - binaryBody.buffer, - binaryBody.byteOffset + property.byteOffset, - featureCount - ); + bufferViewsTypedArrays[bufferViewCount] = + binaryAccessor.createArrayBufferView( + binaryBody.buffer, + binaryBody.byteOffset + property.byteOffset, + featureCount, + ); bufferViewCount++; } @@ -285,7 +284,7 @@ function transcodeBinaryPropertiesAsPropertyAttributes( className, binaryProperties, binaryBody, - customAttributeOutput + customAttributeOutput, ) { const classProperties = {}; const propertyAttributeProperties = {}; @@ -302,7 +301,7 @@ function transcodeBinaryPropertiesAsPropertyAttributes( const property = binaryProperties[propertyId]; if (!defined(binaryBody) && !defined(property.typedArray)) { throw new RuntimeError( - `Property ${propertyId} requires a batch table binary.` + `Property ${propertyId} requires a batch table binary.`, ); } @@ -346,7 +345,7 @@ function transcodeBinaryPropertiesAsPropertyAttributes( attributeTypedArray = binaryAccessor.createArrayBufferView( binaryBody.buffer, binaryBody.byteOffset + property.byteOffset, - featureCount + featureCount, ); } @@ -354,9 +353,8 @@ function transcodeBinaryPropertiesAsPropertyAttributes( attribute.name = customAttributeName; attribute.count = featureCount; attribute.type = property.type; - const componentDatatype = ComponentDatatype.fromTypedArray( - attributeTypedArray - ); + const componentDatatype = + ComponentDatatype.fromTypedArray(attributeTypedArray); if ( componentDatatype === ComponentDatatype.INT || componentDatatype === ComponentDatatype.UNSIGNED_INT || @@ -364,13 +362,12 @@ function transcodeBinaryPropertiesAsPropertyAttributes( ) { parseBatchTable._oneTimeWarning( "Cast pnts property to floats", - `Point cloud property "${customAttributeName}" will be cast to a float array because INT, UNSIGNED_INT, and DOUBLE are not valid WebGL vertex attribute types. Some precision may be lost.` + `Point cloud property "${customAttributeName}" will be cast to a float array because INT, UNSIGNED_INT, and DOUBLE are not valid WebGL vertex attribute types. Some precision may be lost.`, ); attributeTypedArray = new Float32Array(attributeTypedArray); } - attribute.componentDatatype = ComponentDatatype.fromTypedArray( - attributeTypedArray - ); + attribute.componentDatatype = + ComponentDatatype.fromTypedArray(attributeTypedArray); attribute.typedArray = attributeTypedArray; customAttributeOutput.push(attribute); diff --git a/packages/engine/Source/Scene/parseFeatureMetadataLegacy.js b/packages/engine/Source/Scene/parseFeatureMetadataLegacy.js index 481ed2b0606b..9d20d691a5d9 100644 --- a/packages/engine/Source/Scene/parseFeatureMetadataLegacy.js +++ b/packages/engine/Source/Scene/parseFeatureMetadataLegacy.js @@ -60,7 +60,7 @@ function parseFeatureMetadataLegacy(options) { metadataTable: metadataTable, extras: featureTable.extras, extensions: featureTable.extensions, - }) + }), ); } } @@ -80,7 +80,7 @@ function parseFeatureMetadataLegacy(options) { propertyTexture: transcodeToPropertyTexture(featureTexture), class: schema.classes[featureTexture.class], textures: options.textures, - }) + }), ); } } @@ -119,7 +119,7 @@ function transcodeToPropertyTexture(featureTexture) { propertyTexture.properties[propertyId] = combine( oldProperty.texture, property, - true + true, ); } } diff --git a/packages/engine/Source/Scene/parseStructuralMetadata.js b/packages/engine/Source/Scene/parseStructuralMetadata.js index 1e401fe7c277..415de8929f3a 100644 --- a/packages/engine/Source/Scene/parseStructuralMetadata.js +++ b/packages/engine/Source/Scene/parseStructuralMetadata.js @@ -52,7 +52,7 @@ function parseStructuralMetadata(options) { metadataTable: metadataTable, extras: propertyTable.extras, extensions: propertyTable.extensions, - }) + }), ); } } @@ -68,7 +68,7 @@ function parseStructuralMetadata(options) { propertyTexture: propertyTexture, class: schema.classes[propertyTexture.class], textures: options.textures, - }) + }), ); } } @@ -83,7 +83,7 @@ function parseStructuralMetadata(options) { name: propertyAttribute.name, class: schema.classes[propertyAttribute.class], propertyAttribute: propertyAttribute, - }) + }), ); } } diff --git a/packages/engine/Source/Scene/processVoxelProperties.js b/packages/engine/Source/Scene/processVoxelProperties.js index 4c91b7f120d2..cc90a6db5ead 100644 --- a/packages/engine/Source/Scene/processVoxelProperties.js +++ b/packages/engine/Source/Scene/processVoxelProperties.js @@ -15,13 +15,8 @@ import ShaderDestination from "../Renderer/ShaderDestination.js"; function processVoxelProperties(renderResources, primitive) { const { shaderBuilder } = renderResources; - const { - names, - types, - componentTypes, - minimumValues, - maximumValues, - } = primitive._provider; + const { names, types, componentTypes, minimumValues, maximumValues } = + primitive._provider; const attributeLength = types.length; const hasStatistics = defined(minimumValues) && defined(maximumValues); @@ -29,14 +24,14 @@ function processVoxelProperties(renderResources, primitive) { shaderBuilder.addDefine( "METADATA_COUNT", attributeLength, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); if (hasStatistics) { shaderBuilder.addDefine( "STATISTICS", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); } @@ -49,7 +44,7 @@ function processVoxelProperties(renderResources, primitive) { shaderBuilder.addStruct( propertyStatisticsStructId, propertyStatisticsStructName, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); const glslType = getGlslType(type); shaderBuilder.addStructField(propertyStatisticsStructId, glslType, "min"); @@ -63,7 +58,7 @@ function processVoxelProperties(renderResources, primitive) { shaderBuilder.addStruct( statisticsStructId, statisticsStructName, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); for (let i = 0; i < attributeLength; i++) { const name = names[i]; @@ -72,7 +67,7 @@ function processVoxelProperties(renderResources, primitive) { shaderBuilder.addStructField( statisticsStructId, propertyStructName, - propertyFieldName + propertyFieldName, ); } @@ -83,12 +78,12 @@ function processVoxelProperties(renderResources, primitive) { shaderBuilder.addStruct( metadataStructId, metadataStructName, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addStructField( metadataStructId, statisticsStructName, - statisticsFieldName + statisticsFieldName, ); for (let i = 0; i < attributeLength; i++) { const name = names[i]; @@ -107,27 +102,27 @@ function processVoxelProperties(renderResources, primitive) { shaderBuilder.addStruct( voxelPropertyStructId, voxelPropertyStructName, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addStructField( voxelPropertyStructId, glslType, - "partialDerivativeLocal" + "partialDerivativeLocal", ); shaderBuilder.addStructField( voxelPropertyStructId, glslType, - "partialDerivativeWorld" + "partialDerivativeWorld", ); shaderBuilder.addStructField( voxelPropertyStructId, glslType, - "partialDerivativeView" + "partialDerivativeView", ); shaderBuilder.addStructField( voxelPropertyStructId, glslType, - "partialDerivativeValid" + "partialDerivativeValid", ); } @@ -138,7 +133,7 @@ function processVoxelProperties(renderResources, primitive) { shaderBuilder.addStruct( voxelStructId, voxelStructName, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); for (let i = 0; i < attributeLength; i++) { const name = names[i]; @@ -163,17 +158,17 @@ function processVoxelProperties(renderResources, primitive) { shaderBuilder.addStruct( fragmentInputStructId, fragmentInputStructName, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addStructField( fragmentInputStructId, metadataStructName, - metadataFieldName + metadataFieldName, ); shaderBuilder.addStructField( fragmentInputStructId, voxelStructName, - voxelFieldName + voxelFieldName, ); // Properties struct @@ -183,7 +178,7 @@ function processVoxelProperties(renderResources, primitive) { shaderBuilder.addStruct( propertiesStructId, propertiesStructName, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); for (let i = 0; i < attributeLength; i++) { const name = names[i]; @@ -200,7 +195,7 @@ function processVoxelProperties(renderResources, primitive) { shaderBuilder.addFunction( functionId, `${propertiesStructName} clearProperties()`, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addFunctionLines(functionId, [ `${propertiesStructName} ${propertiesFieldName};`, @@ -225,7 +220,7 @@ function processVoxelProperties(renderResources, primitive) { shaderBuilder.addFunction( functionId, `${propertiesStructName} sumProperties(${propertiesStructName} propertiesA, ${propertiesStructName} propertiesB)`, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addFunctionLines(functionId, [ `${propertiesStructName} ${propertiesFieldName};`, @@ -247,7 +242,7 @@ function processVoxelProperties(renderResources, primitive) { shaderBuilder.addFunction( functionId, `${propertiesStructName} scaleProperties(${propertiesStructName} ${propertiesFieldName}, float scale)`, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addFunctionLines(functionId, [ `${propertiesStructName} scaledProperties = ${propertiesFieldName};`, @@ -267,7 +262,7 @@ function processVoxelProperties(renderResources, primitive) { shaderBuilder.addFunction( functionId, `${propertiesStructName} mixProperties(${propertiesStructName} propertiesA, ${propertiesStructName} propertiesB, float mixFactor)`, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addFunctionLines(functionId, [ `${propertiesStructName} ${propertiesFieldName};`, @@ -289,7 +284,7 @@ function processVoxelProperties(renderResources, primitive) { shaderBuilder.addFunction( functionId, `void copyPropertiesToMetadata(in ${propertiesStructName} ${propertiesFieldName}, inout ${metadataStructName} ${metadataFieldName})`, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); for (let i = 0; i < attributeLength; i++) { const name = names[i]; @@ -305,7 +300,7 @@ function processVoxelProperties(renderResources, primitive) { shaderBuilder.addFunction( functionId, `void setStatistics(inout ${statisticsStructName} ${statisticsFieldName})`, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); for (let i = 0; i < attributeLength; i++) { const name = names[i]; @@ -317,10 +312,10 @@ function processVoxelProperties(renderResources, primitive) { const maximumValue = maximumValues[i][j]; shaderBuilder.addFunctionLines(functionId, [ `${statisticsFieldName}.${name}.min${glslField} = ${getGlslNumberAsFloat( - minimumValue + minimumValue, )};`, `${statisticsFieldName}.${name}.max${glslField} = ${getGlslNumberAsFloat( - maximumValue + maximumValue, )};`, ]); } @@ -333,7 +328,7 @@ function processVoxelProperties(renderResources, primitive) { shaderBuilder.addFunction( functionId, `${propertiesStructName} getPropertiesFromMegatextureAtUv(vec2 texcoord)`, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addFunctionLines(functionId, [ `${propertiesStructName} ${propertiesFieldName};`, diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl index 8c510a2b1c94..c00988f66ec5 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl @@ -38,7 +38,7 @@ void calcCov3D(vec3 scale, vec4 rot, out float[6] cov3D) } vec3 calcCov2D(vec3 worldPos, float focal_x, float focal_y, float tan_fovx, float tan_fovy, float[6] cov3D, mat4 viewmatrix) { - vec4 t = viewmatrix * vec4(worldPos, 1.0); + vec4 t = viewmatrix * vec4(worldPos, 1.0); float limx = 1.3 * tan_fovx; float limy = 1.3 * tan_fovy; @@ -53,7 +53,7 @@ vec3 calcCov2D(vec3 worldPos, float focal_x, float focal_y, float tan_fovx, floa 0, 0, 0 ); - mat3 W = mat3( + mat3 W = mat3( viewmatrix[0][0], viewmatrix[1][0], viewmatrix[2][0], viewmatrix[0][1], viewmatrix[1][1], viewmatrix[2][1], viewmatrix[0][2], viewmatrix[1][2], viewmatrix[2][2] @@ -72,25 +72,16 @@ vec3 calcCov2D(vec3 worldPos, float focal_x, float focal_y, float tan_fovx, floa return vec3(cov[0][0], cov[0][1], cov[1][1]); } -vec3 dequantizePos(uvec3 qPos) { - vec3 normalizedPos = vec3(qPos) / 65535.0; - - vec4 worldPos = u_scalingMatrix * vec4(normalizedPos, 1.0); - - return vec3(worldPos); -} - void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) { mat4 viewMatrix = czm_modelView; - vec3 deqPos = dequantizePos(a_splatPosition); - vec4 clipPosition = czm_modelViewProjection * vec4(deqPos ,1.0); + vec4 clipPosition = czm_modelViewProjection * vec4(a_splatPosition,1.0); positionClip = clipPosition; float[6] cov3D; calcCov3D(attributes.scale, attributes.rotation, cov3D); - vec3 cov = calcCov2D(deqPos, u_focalX, u_focalY, u_tan_fovX, u_tan_fovY, cov3D, viewMatrix); + vec3 cov = calcCov2D(a_splatPosition, u_focalX, u_focalY, u_tan_fovX, u_tan_fovY, cov3D, viewMatrix); float mid = (cov.x + cov.z) / 2.0; float radius = length(vec2((cov.x - cov.z) / 2.0, cov.y)); diff --git a/packages/engine/Source/Widget/CesiumWidget.js b/packages/engine/Source/Widget/CesiumWidget.js index 20b2e7172ec2..3d01ca4c3c4d 100644 --- a/packages/engine/Source/Widget/CesiumWidget.js +++ b/packages/engine/Source/Widget/CesiumWidget.js @@ -192,7 +192,8 @@ function CesiumWidget(container, options) { container.appendChild(element); const canvas = document.createElement("canvas"); - const supportsImageRenderingPixelated = FeatureDetection.supportsImageRenderingPixelated(); + const supportsImageRenderingPixelated = + FeatureDetection.supportsImageRenderingPixelated(); this._supportsImageRenderingPixelated = supportsImageRenderingPixelated; if (supportsImageRenderingPixelated) { canvas.style.imageRendering = FeatureDetection.imageRenderingValue(); @@ -221,7 +222,7 @@ function CesiumWidget(container, options) { const blurActiveElementOnCanvasFocus = defaultValue( options.blurActiveElementOnCanvasFocus, - true + true, ); if (blurActiveElementOnCanvasFocus) { @@ -247,7 +248,7 @@ function CesiumWidget(container, options) { const useBrowserRecommendedResolution = defaultValue( options.useBrowserRecommendedResolution, - true + true, ); this._element = element; @@ -303,7 +304,7 @@ function CesiumWidget(container, options) { scene.globe = globe; scene.globe.shadows = defaultValue( options.terrainShadows, - ShadowMode.RECEIVE_ONLY + ShadowMode.RECEIVE_ONLY, ); } @@ -348,7 +349,7 @@ function CesiumWidget(container, options) { //>>includeStart('debug', pragmas.debug); if (defined(options.terrainProvider)) { throw new DeveloperError( - "Specify either options.terrainProvider or options.terrain." + "Specify either options.terrainProvider or options.terrain.", ); } //>>includeEnd('debug') @@ -370,7 +371,7 @@ function CesiumWidget(container, options) { this._useDefaultRenderLoop = undefined; this.useDefaultRenderLoop = defaultValue( options.useDefaultRenderLoop, - true + true, ); this._targetFrameRate = undefined; @@ -573,7 +574,7 @@ Object.defineProperties(CesiumWidget.prototype, { //>>includeStart('debug', pragmas.debug); if (value <= 0) { throw new DeveloperError( - "targetFrameRate must be greater than 0, or undefined." + "targetFrameRate must be greater than 0, or undefined.", ); } //>>includeEnd('debug'); @@ -693,7 +694,7 @@ CesiumWidget.prototype.showErrorPanel = function (title, message, error) { function resizeCallback() { errorPanelScroller.style.maxHeight = `${Math.max( Math.round(element.clientHeight * 0.9 - 100), - 30 + 30, )}px`; } resizeCallback(); diff --git a/packages/engine/Source/Workers/combineGeometry.js b/packages/engine/Source/Workers/combineGeometry.js index 6ce02cf5c665..b2f78de13636 100644 --- a/packages/engine/Source/Workers/combineGeometry.js +++ b/packages/engine/Source/Workers/combineGeometry.js @@ -2,13 +2,12 @@ import PrimitivePipeline from "../Scene/PrimitivePipeline.js"; import createTaskProcessorWorker from "./createTaskProcessorWorker.js"; function combineGeometry(packedParameters, transferableObjects) { - const parameters = PrimitivePipeline.unpackCombineGeometryParameters( - packedParameters - ); + const parameters = + PrimitivePipeline.unpackCombineGeometryParameters(packedParameters); const results = PrimitivePipeline.combineGeometry(parameters); return PrimitivePipeline.packCombineGeometryResults( results, - transferableObjects + transferableObjects, ); } export default createTaskProcessorWorker(combineGeometry); diff --git a/packages/engine/Source/Workers/createCircleGeometry.js b/packages/engine/Source/Workers/createCircleGeometry.js index 63c6e18b8e4a..be9f4796a31e 100644 --- a/packages/engine/Source/Workers/createCircleGeometry.js +++ b/packages/engine/Source/Workers/createCircleGeometry.js @@ -8,10 +8,10 @@ function createCircleGeometry(circleGeometry, offset) { circleGeometry = CircleGeometry.unpack(circleGeometry, offset); } circleGeometry._ellipseGeometry._center = Cartesian3.clone( - circleGeometry._ellipseGeometry._center + circleGeometry._ellipseGeometry._center, ); circleGeometry._ellipseGeometry._ellipsoid = Ellipsoid.clone( - circleGeometry._ellipseGeometry._ellipsoid + circleGeometry._ellipseGeometry._ellipsoid, ); return CircleGeometry.createGeometry(circleGeometry); } diff --git a/packages/engine/Source/Workers/createCircleOutlineGeometry.js b/packages/engine/Source/Workers/createCircleOutlineGeometry.js index cb31541d49fd..e0522dd0c114 100644 --- a/packages/engine/Source/Workers/createCircleOutlineGeometry.js +++ b/packages/engine/Source/Workers/createCircleOutlineGeometry.js @@ -8,10 +8,10 @@ function createCircleOutlineGeometry(circleGeometry, offset) { circleGeometry = CircleOutlineGeometry.unpack(circleGeometry, offset); } circleGeometry._ellipseGeometry._center = Cartesian3.clone( - circleGeometry._ellipseGeometry._center + circleGeometry._ellipseGeometry._center, ); circleGeometry._ellipseGeometry._ellipsoid = Ellipsoid.clone( - circleGeometry._ellipseGeometry._ellipsoid + circleGeometry._ellipseGeometry._ellipsoid, ); return CircleOutlineGeometry.createGeometry(circleGeometry); } diff --git a/packages/engine/Source/Workers/createCoplanarPolygonOutlineGeometry.js b/packages/engine/Source/Workers/createCoplanarPolygonOutlineGeometry.js index 11c13093b367..d60c1658397f 100644 --- a/packages/engine/Source/Workers/createCoplanarPolygonOutlineGeometry.js +++ b/packages/engine/Source/Workers/createCoplanarPolygonOutlineGeometry.js @@ -6,7 +6,7 @@ function createCoplanarPolygonOutlineGeometry(polygonGeometry, offset) { if (defined(offset)) { polygonGeometry = CoplanarPolygonOutlineGeometry.unpack( polygonGeometry, - offset + offset, ); } polygonGeometry._ellipsoid = Ellipsoid.clone(polygonGeometry._ellipsoid); diff --git a/packages/engine/Source/Workers/createCorridorOutlineGeometry.js b/packages/engine/Source/Workers/createCorridorOutlineGeometry.js index d5a13d71c009..1967a82d1b7a 100644 --- a/packages/engine/Source/Workers/createCorridorOutlineGeometry.js +++ b/packages/engine/Source/Workers/createCorridorOutlineGeometry.js @@ -6,11 +6,11 @@ function createCorridorOutlineGeometry(corridorOutlineGeometry, offset) { if (defined(offset)) { corridorOutlineGeometry = CorridorOutlineGeometry.unpack( corridorOutlineGeometry, - offset + offset, ); } corridorOutlineGeometry._ellipsoid = Ellipsoid.clone( - corridorOutlineGeometry._ellipsoid + corridorOutlineGeometry._ellipsoid, ); return CorridorOutlineGeometry.createGeometry(corridorOutlineGeometry); } diff --git a/packages/engine/Source/Workers/createEllipsoidOutlineGeometry.js b/packages/engine/Source/Workers/createEllipsoidOutlineGeometry.js index f01eb9178541..d56e6c225087 100644 --- a/packages/engine/Source/Workers/createEllipsoidOutlineGeometry.js +++ b/packages/engine/Source/Workers/createEllipsoidOutlineGeometry.js @@ -5,7 +5,7 @@ function createEllipsoidOutlineGeometry(ellipsoidGeometry, offset) { if (defined(ellipsoidGeometry.buffer, offset)) { ellipsoidGeometry = EllipsoidOutlineGeometry.unpack( ellipsoidGeometry, - offset + offset, ); } return EllipsoidOutlineGeometry.createGeometry(ellipsoidGeometry); diff --git a/packages/engine/Source/Workers/createGeometry.js b/packages/engine/Source/Workers/createGeometry.js index 7e31d04505b2..e0a458a5a0cf 100644 --- a/packages/engine/Source/Workers/createGeometry.js +++ b/packages/engine/Source/Workers/createGeometry.js @@ -60,10 +60,9 @@ async function createGeometry(parameters, transferableObjects) { } if (defined(moduleName) || defined(modulePath)) { - resultsOrPromises[i] = getModule( - moduleName, - modulePath - ).then((createFunction) => createFunction(geometry, task.offset)); + resultsOrPromises[i] = getModule(moduleName, modulePath).then( + (createFunction) => createFunction(geometry, task.offset), + ); } else { // Already created geometry resultsOrPromises[i] = geometry; @@ -73,7 +72,7 @@ async function createGeometry(parameters, transferableObjects) { return Promise.all(resultsOrPromises).then(function (results) { return PrimitivePipeline.packCreateGeometryResults( results, - transferableObjects + transferableObjects, ); }); } diff --git a/packages/engine/Source/Workers/createGroundPolylineGeometry.js b/packages/engine/Source/Workers/createGroundPolylineGeometry.js index 78705ce7c8ad..3884975ce10b 100644 --- a/packages/engine/Source/Workers/createGroundPolylineGeometry.js +++ b/packages/engine/Source/Workers/createGroundPolylineGeometry.js @@ -7,7 +7,7 @@ function createGroundPolylineGeometry(groundPolylineGeometry, offset) { if (defined(offset)) { groundPolylineGeometry = GroundPolylineGeometry.unpack( groundPolylineGeometry, - offset + offset, ); } return GroundPolylineGeometry.createGeometry(groundPolylineGeometry); diff --git a/packages/engine/Source/Workers/createPolylineVolumeGeometry.js b/packages/engine/Source/Workers/createPolylineVolumeGeometry.js index e537225ca3b1..804dde7d4c30 100644 --- a/packages/engine/Source/Workers/createPolylineVolumeGeometry.js +++ b/packages/engine/Source/Workers/createPolylineVolumeGeometry.js @@ -6,11 +6,11 @@ function createPolylineVolumeGeometry(polylineVolumeGeometry, offset) { if (defined(offset)) { polylineVolumeGeometry = PolylineVolumeGeometry.unpack( polylineVolumeGeometry, - offset + offset, ); } polylineVolumeGeometry._ellipsoid = Ellipsoid.clone( - polylineVolumeGeometry._ellipsoid + polylineVolumeGeometry._ellipsoid, ); return PolylineVolumeGeometry.createGeometry(polylineVolumeGeometry); } diff --git a/packages/engine/Source/Workers/createPolylineVolumeOutlineGeometry.js b/packages/engine/Source/Workers/createPolylineVolumeOutlineGeometry.js index e701a1b397a3..f69ade704988 100644 --- a/packages/engine/Source/Workers/createPolylineVolumeOutlineGeometry.js +++ b/packages/engine/Source/Workers/createPolylineVolumeOutlineGeometry.js @@ -4,19 +4,19 @@ import PolylineVolumeOutlineGeometry from "../Core/PolylineVolumeOutlineGeometry function createPolylineVolumeOutlineGeometry( polylineVolumeOutlineGeometry, - offset + offset, ) { if (defined(offset)) { polylineVolumeOutlineGeometry = PolylineVolumeOutlineGeometry.unpack( polylineVolumeOutlineGeometry, - offset + offset, ); } polylineVolumeOutlineGeometry._ellipsoid = Ellipsoid.clone( - polylineVolumeOutlineGeometry._ellipsoid + polylineVolumeOutlineGeometry._ellipsoid, ); return PolylineVolumeOutlineGeometry.createGeometry( - polylineVolumeOutlineGeometry + polylineVolumeOutlineGeometry, ); } export default createPolylineVolumeOutlineGeometry; diff --git a/packages/engine/Source/Workers/createRectangleOutlineGeometry.js b/packages/engine/Source/Workers/createRectangleOutlineGeometry.js index 80a0ed6e6ef7..269fae5dc3af 100644 --- a/packages/engine/Source/Workers/createRectangleOutlineGeometry.js +++ b/packages/engine/Source/Workers/createRectangleOutlineGeometry.js @@ -7,7 +7,7 @@ function createRectangleOutlineGeometry(rectangleGeometry, offset) { if (defined(offset)) { rectangleGeometry = RectangleOutlineGeometry.unpack( rectangleGeometry, - offset + offset, ); } rectangleGeometry._ellipsoid = Ellipsoid.clone(rectangleGeometry._ellipsoid); diff --git a/packages/engine/Source/Workers/createSimplePolylineGeometry.js b/packages/engine/Source/Workers/createSimplePolylineGeometry.js index dabd1f3f698f..bd77fa8fac90 100644 --- a/packages/engine/Source/Workers/createSimplePolylineGeometry.js +++ b/packages/engine/Source/Workers/createSimplePolylineGeometry.js @@ -6,11 +6,11 @@ function createSimplePolylineGeometry(simplePolylineGeometry, offset) { if (defined(offset)) { simplePolylineGeometry = SimplePolylineGeometry.unpack( simplePolylineGeometry, - offset + offset, ); } simplePolylineGeometry._ellipsoid = Ellipsoid.clone( - simplePolylineGeometry._ellipsoid + simplePolylineGeometry._ellipsoid, ); return SimplePolylineGeometry.createGeometry(simplePolylineGeometry); } diff --git a/packages/engine/Source/Workers/createTaskProcessorWorker.js b/packages/engine/Source/Workers/createTaskProcessorWorker.js index deffad5ab06e..479538d69643 100644 --- a/packages/engine/Source/Workers/createTaskProcessorWorker.js +++ b/packages/engine/Source/Workers/createTaskProcessorWorker.js @@ -62,7 +62,7 @@ function createTaskProcessorWorker(workerFunction) { // error that we can be sure will be cloneable responseMessage.result = undefined; responseMessage.error = `postMessage failed with error: ${formatError( - error + error, )}\n with responseMessage: ${JSON.stringify(responseMessage)}`; postMessage(responseMessage); } diff --git a/packages/engine/Source/Workers/createVectorTileClampedPolylines.js b/packages/engine/Source/Workers/createVectorTileClampedPolylines.js index 67887ed30989..2a00b1bb71cd 100644 --- a/packages/engine/Source/Workers/createVectorTileClampedPolylines.js +++ b/packages/engine/Source/Workers/createVectorTileClampedPolylines.js @@ -21,7 +21,7 @@ function decodePositions( rectangle, minimumHeight, maximumHeight, - ellipsoid + ellipsoid, ) { const positionsLength = uBuffer.length; const decodedPositions = new Float64Array(positionsLength * 3); @@ -34,7 +34,7 @@ function decodePositions( const lat = CesiumMath.lerp( rectangle.south, rectangle.north, - v / MAX_SHORT + v / MAX_SHORT, ); const alt = CesiumMath.lerp(minimumHeight, maximumHeight, h / MAX_SHORT); @@ -42,11 +42,11 @@ function decodePositions( lon, lat, alt, - scratchBVCartographic + scratchBVCartographic, ); const decodedPosition = ellipsoid.cartographicToCartesian( cartographic, - scratchEncodedPosition + scratchEncodedPosition, ); Cartesian3.pack(decodedPosition, decodedPositions, i * 3); } @@ -134,17 +134,17 @@ function computeMiteredNormal( position, nextPosition, ellipsoidSurfaceNormal, - result + result, ) { const towardNext = Cartesian3.subtract( nextPosition, position, - towardNextScratch + towardNextScratch, ); let towardCurr = Cartesian3.subtract( position, previousPosition, - towardCurrScratch + towardCurrScratch, ); Cartesian3.normalize(towardNext, towardNext); Cartesian3.normalize(towardCurr, towardCurr); @@ -153,7 +153,7 @@ function computeMiteredNormal( towardCurr = Cartesian3.multiplyByScalar( towardCurr, -1.0, - towardCurrScratch + towardCurrScratch, ); } @@ -233,17 +233,17 @@ VertexAttributesAndIndices.prototype.addVolume = function ( halfWidth, batchId, center, - ellipsoid + ellipsoid, ) { let position = Cartesian3.add(startRTC, center, positionScratch); const startEllipsoidNormal = ellipsoid.geodeticSurfaceNormal( position, - scratchStartEllipsoidNormal + scratchStartEllipsoidNormal, ); position = Cartesian3.add(endRTC, center, positionScratch); const endEllipsoidNormal = ellipsoid.geodeticSurfaceNormal( position, - scratchEndEllipsoidNormal + scratchEndEllipsoidNormal, ); const startFaceNormal = computeMiteredNormal( @@ -251,21 +251,21 @@ VertexAttributesAndIndices.prototype.addVolume = function ( startRTC, endRTC, startEllipsoidNormal, - scratchStartFaceNormal + scratchStartFaceNormal, ); const endFaceNormal = computeMiteredNormal( postEndRTC, endRTC, startRTC, endEllipsoidNormal, - scratchEndFaceNormal + scratchEndFaceNormal, ); const startEllipsoidNormals = this.startEllipsoidNormals; const endEllipsoidNormals = this.endEllipsoidNormals; const startPositionAndHeights = this.startPositionAndHeights; - const startFaceNormalAndVertexCornerIds = this - .startFaceNormalAndVertexCornerIds; + const startFaceNormalAndVertexCornerIds = + this.startFaceNormalAndVertexCornerIds; const endPositionAndHeights = this.endPositionAndHeights; const endFaceNormalAndHalfWidths = this.endFaceNormalAndHalfWidths; const vertexBatchIds = this.vertexBatchIds; @@ -288,7 +288,7 @@ VertexAttributesAndIndices.prototype.addVolume = function ( Cartesian3.pack( startFaceNormal, startFaceNormalAndVertexCornerIds, - vec4Offset + vec4Offset, ); startFaceNormalAndVertexCornerIds[vec4Offset + 3] = i; @@ -355,11 +355,11 @@ function createVectorTileClampedPolylines(parameters, transferableObjects) { const uBuffer = encodedPositions.subarray(0, positionsLength); const vBuffer = encodedPositions.subarray( positionsLength, - 2 * positionsLength + 2 * positionsLength, ); const heightBuffer = encodedPositions.subarray( 2 * positionsLength, - 3 * positionsLength + 3 * positionsLength, ); AttributeCompression.zigZagDeltaDecode(uBuffer, vBuffer, heightBuffer); @@ -383,7 +383,7 @@ function createVectorTileClampedPolylines(parameters, transferableObjects) { minimumHeight, maximumHeight, ellipsoid, - center + center, ); positionsLength = uBuffer.length; @@ -405,12 +405,12 @@ function createVectorTileClampedPolylines(parameters, transferableObjects) { const volumeStart = Cartesian3.unpack( positionsRTC, currentPositionIndex, - scratchP0 + scratchP0, ); const volumeEnd = Cartesian3.unpack( positionsRTC, currentPositionIndex + 3, - scratchP1 + scratchP1, ); let startHeight = heightBuffer[currentHeightIndex]; @@ -418,12 +418,12 @@ function createVectorTileClampedPolylines(parameters, transferableObjects) { startHeight = CesiumMath.lerp( minimumHeight, maximumHeight, - startHeight / MAX_SHORT + startHeight / MAX_SHORT, ); endHeight = CesiumMath.lerp( minimumHeight, maximumHeight, - endHeight / MAX_SHORT + endHeight / MAX_SHORT, ); currentHeightIndex++; @@ -437,7 +437,7 @@ function createVectorTileClampedPolylines(parameters, transferableObjects) { const finalPosition = Cartesian3.unpack( positionsRTC, finalPositionIndex, - scratchPrev + scratchPrev, ); if (Cartesian3.equals(finalPosition, volumeStart)) { Cartesian3.unpack(positionsRTC, finalPositionIndex - 3, preStart); @@ -445,7 +445,7 @@ function createVectorTileClampedPolylines(parameters, transferableObjects) { const offsetPastStart = Cartesian3.subtract( volumeStart, volumeEnd, - scratchPrev + scratchPrev, ); preStart = Cartesian3.add(offsetPastStart, volumeStart, scratchPrev); } @@ -458,19 +458,19 @@ function createVectorTileClampedPolylines(parameters, transferableObjects) { const firstPosition = Cartesian3.unpack( positionsRTC, volumeFirstPositionIndex, - scratchNext + scratchNext, ); if (Cartesian3.equals(firstPosition, volumeEnd)) { Cartesian3.unpack( positionsRTC, volumeFirstPositionIndex + 3, - postEnd + postEnd, ); } else { const offsetPastEnd = Cartesian3.subtract( volumeEnd, volumeStart, - scratchNext + scratchNext, ); postEnd = Cartesian3.add(offsetPastEnd, volumeEnd, scratchNext); } @@ -488,7 +488,7 @@ function createVectorTileClampedPolylines(parameters, transferableObjects) { halfWidth, batchId, center, - ellipsoid + ellipsoid, ); currentPositionIndex += 3; @@ -503,7 +503,7 @@ function createVectorTileClampedPolylines(parameters, transferableObjects) { transferableObjects.push(attribsAndIndices.endEllipsoidNormals.buffer); transferableObjects.push(attribsAndIndices.startPositionAndHeights.buffer); transferableObjects.push( - attribsAndIndices.startFaceNormalAndVertexCornerIds.buffer + attribsAndIndices.startFaceNormalAndVertexCornerIds.buffer, ); transferableObjects.push(attribsAndIndices.endPositionAndHeights.buffer); transferableObjects.push(attribsAndIndices.endFaceNormalAndHalfWidths.buffer); diff --git a/packages/engine/Source/Workers/createVectorTileGeometries.js b/packages/engine/Source/Workers/createVectorTileGeometries.js index bdb4218c4d82..417019747147 100644 --- a/packages/engine/Source/Workers/createVectorTileGeometries.js +++ b/packages/engine/Source/Workers/createVectorTileGeometries.js @@ -31,7 +31,7 @@ function boxModelMatrixAndBoundingVolume(boxes, index) { const boxModelMatrix = Matrix4.unpack( boxes, boxIndex, - scratchModelMatrixAndBV.modelMatrix + scratchModelMatrixAndBV.modelMatrix, ); Matrix4.multiplyByScale(boxModelMatrix, dimensions, boxModelMatrix); @@ -51,13 +51,13 @@ function cylinderModelMatrixAndBoundingVolume(cylinders, index) { cylinderRadius, cylinderRadius, length, - scratchCartesian + scratchCartesian, ); const cylinderModelMatrix = Matrix4.unpack( cylinders, cylinderIndex, - scratchModelMatrixAndBV.modelMatrix + scratchModelMatrixAndBV.modelMatrix, ); Matrix4.multiplyByScale(cylinderModelMatrix, scale, cylinderModelMatrix); @@ -77,7 +77,7 @@ function ellipsoidModelMatrixAndBoundingVolume(ellipsoids, index) { const ellipsoidModelMatrix = Matrix4.unpack( ellipsoids, ellipsoidIndex, - scratchModelMatrixAndBV.modelMatrix + scratchModelMatrixAndBV.modelMatrix, ); Matrix4.multiplyByScale(ellipsoidModelMatrix, radii, ellipsoidModelMatrix); @@ -96,16 +96,16 @@ function sphereModelMatrixAndBoundingVolume(spheres, index) { const sphereTranslation = Cartesian3.unpack( spheres, sphereIndex, - scratchCartesian + scratchCartesian, ); const sphereModelMatrix = Matrix4.fromTranslation( sphereTranslation, - scratchModelMatrixAndBV.modelMatrix + scratchModelMatrixAndBV.modelMatrix, ); Matrix4.multiplyByUniformScale( sphereModelMatrix, sphereRadius, - sphereModelMatrix + sphereModelMatrix, ); const boundingVolume = scratchModelMatrixAndBV.boundingVolume; @@ -122,7 +122,7 @@ function createPrimitive( primitive, primitiveBatchIds, geometry, - getModelMatrixAndBoundingVolume + getModelMatrixAndBoundingVolume, ) { if (!defined(primitive)) { return; @@ -154,7 +154,7 @@ function createPrimitive( for (let i = 0; i < numberOfPrimitives; ++i) { const primitiveModelMatrixAndBV = getModelMatrixAndBoundingVolume( primitive, - i + i, ); const primitiveModelMatrix = primitiveModelMatrixAndBV.modelMatrix; Matrix4.multiply(modelMatrix, primitiveModelMatrix, primitiveModelMatrix); @@ -188,7 +188,7 @@ function createPrimitive( indexCounts[offset] = indicesLength; boundingVolumes[offset] = BoundingSphere.transform( primitiveModelMatrixAndBV.boundingVolume, - primitiveModelMatrix + primitiveModelMatrix, ); positionOffset += positionsLength / 3; @@ -324,7 +324,7 @@ function createVectorTileGeometries(parameters, transferableObjects) { const vertexBatchIds = new Uint16Array(numberOfPositions / 3); const indices = IndexDatatype.createTypedArray( numberOfPositions / 3, - numberOfIndices + numberOfIndices, ); const numberOfGeometries = @@ -360,44 +360,44 @@ function createVectorTileGeometries(parameters, transferableObjects) { boxes, boxBatchIds, boxGeometry, - boxModelMatrixAndBoundingVolume + boxModelMatrixAndBoundingVolume, ); createPrimitive( options, cylinders, cylinderBatchIds, cylinderGeometry, - cylinderModelMatrixAndBoundingVolume + cylinderModelMatrixAndBoundingVolume, ); createPrimitive( options, ellipsoids, ellipsoidBatchIds, ellipsoidGeometry, - ellipsoidModelMatrixAndBoundingVolume + ellipsoidModelMatrixAndBoundingVolume, ); createPrimitive( options, spheres, sphereBatchIds, ellipsoidGeometry, - sphereModelMatrixAndBoundingVolume + sphereModelMatrixAndBoundingVolume, ); const packedBuffer = packBuffer( indices.BYTES_PER_ELEMENT, batchedIndices, - boundingVolumes + boundingVolumes, ); transferableObjects.push( positions.buffer, vertexBatchIds.buffer, - indices.buffer + indices.buffer, ); transferableObjects.push( batchIds.buffer, indexOffsets.buffer, - indexCounts.buffer + indexCounts.buffer, ); transferableObjects.push(packedBuffer.buffer); diff --git a/packages/engine/Source/Workers/createVectorTilePoints.js b/packages/engine/Source/Workers/createVectorTilePoints.js index 217d979408bc..6b7289a8ee43 100644 --- a/packages/engine/Source/Workers/createVectorTilePoints.js +++ b/packages/engine/Source/Workers/createVectorTilePoints.js @@ -45,7 +45,7 @@ function createVectorTilePoints(parameters, transferableObjects) { const vBuffer = positions.subarray(positionsLength, 2 * positionsLength); const heightBuffer = positions.subarray( 2 * positionsLength, - 3 * positionsLength + 3 * positionsLength, ); AttributeCompression.zigZagDeltaDecode(uBuffer, vBuffer, heightBuffer); @@ -63,11 +63,11 @@ function createVectorTilePoints(parameters, transferableObjects) { lon, lat, alt, - scratchBVCartographic + scratchBVCartographic, ); const decodedPosition = ellipsoid.cartographicToCartesian( cartographic, - scratchEncodedPosition + scratchEncodedPosition, ); Cartesian3.pack(decodedPosition, decoded, i * 3); } diff --git a/packages/engine/Source/Workers/createVectorTilePolygons.js b/packages/engine/Source/Workers/createVectorTilePolygons.js index 3770581d3557..9c64ca79791b 100644 --- a/packages/engine/Source/Workers/createVectorTilePolygons.js +++ b/packages/engine/Source/Workers/createVectorTilePolygons.js @@ -152,7 +152,7 @@ function createVectorTilePolygons(parameters, transferableObjects) { const cart = Cartographic.fromRadians(x, y, 0.0, scratchBVCartographic); const decodedPosition = ellipsoid.cartographicToCartesian( cart, - scratchEncodedPosition + scratchEncodedPosition, ); Cartesian3.pack(decodedPosition, decodedPositions, i * 3); } @@ -257,13 +257,13 @@ function createVectorTilePolygons(parameters, transferableObjects) { const position = Cartesian3.unpack( decodedPositions, polygonOffset * 3 + j * 3, - scratchEncodedPosition + scratchEncodedPosition, ); ellipsoid.scaleToGeodeticSurface(position, position); const carto = ellipsoid.cartesianToCartographic( position, - scratchBVCartographic + scratchBVCartographic, ); const lat = carto.latitude; const lon = carto.longitude; @@ -277,23 +277,23 @@ function createVectorTilePolygons(parameters, transferableObjects) { let scaledNormal = Cartesian3.multiplyByScalar( normal, polygonMinimumHeight, - scratchScaledNormal + scratchScaledNormal, ); const minHeightPosition = Cartesian3.add( position, scaledNormal, - scratchMinHeightPosition + scratchMinHeightPosition, ); scaledNormal = Cartesian3.multiplyByScalar( normal, polygonMaximumHeight, - scaledNormal + scaledNormal, ); const maxHeightPosition = Cartesian3.add( position, scaledNormal, - scratchMaxHeightPosition + scratchMaxHeightPosition, ); Cartesian3.subtract(maxHeightPosition, center, maxHeightPosition); @@ -319,7 +319,7 @@ function createVectorTilePolygons(parameters, transferableObjects) { rectangle, minHeight, maxHeight, - ellipsoid + ellipsoid, ); let indicesIndex = buffer.indexOffset; @@ -367,7 +367,7 @@ function createVectorTilePolygons(parameters, transferableObjects) { batchedIndices = IndexDatatype.createTypedArray( batchedPositions.length / 3, - batchedIndices + batchedIndices, ); const batchedIndicesLength = batchedDrawCalls.length; @@ -388,7 +388,7 @@ function createVectorTilePolygons(parameters, transferableObjects) { const packedBuffer = packBuffer( indexDatatype, boundingVolumes, - batchedDrawCalls + batchedDrawCalls, ); transferableObjects.push( @@ -397,7 +397,7 @@ function createVectorTilePolygons(parameters, transferableObjects) { batchedIndexOffsets.buffer, batchedIndexCounts.buffer, batchedIds.buffer, - packedBuffer.buffer + packedBuffer.buffer, ); return { diff --git a/packages/engine/Source/Workers/createVectorTilePolylines.js b/packages/engine/Source/Workers/createVectorTilePolylines.js index 1002ce25a62f..967e431b9352 100644 --- a/packages/engine/Source/Workers/createVectorTilePolylines.js +++ b/packages/engine/Source/Workers/createVectorTilePolylines.js @@ -66,7 +66,7 @@ function createVectorTilePolylines(parameters, transferableObjects) { rectangle, minimumHeight, maximumHeight, - ellipsoid + ellipsoid, ); const positionsLength = positions.length / 3; @@ -103,14 +103,14 @@ function createVectorTilePolylines(parameters, transferableObjects) { previous = Cartesian3.unpack( positions, (offset + j - 1) * 3, - scratchPrev + scratchPrev, ); } const current = Cartesian3.unpack( positions, (offset + j) * 3, - scratchCur + scratchCur, ); let next; @@ -118,12 +118,12 @@ function createVectorTilePolylines(parameters, transferableObjects) { const p2 = Cartesian3.unpack( positions, (offset + count - 1) * 3, - scratchP0 + scratchP0, ); const p3 = Cartesian3.unpack( positions, (offset + count - 2) * 3, - scratchP1 + scratchP1, ); next = Cartesian3.subtract(p2, p3, scratchNext); @@ -175,12 +175,12 @@ function createVectorTilePolylines(parameters, transferableObjects) { transferableObjects.push( curPositions.buffer, prevPositions.buffer, - nextPositions.buffer + nextPositions.buffer, ); transferableObjects.push( expandAndWidth.buffer, vertexBatchIds.buffer, - indices.buffer + indices.buffer, ); let results = { diff --git a/packages/engine/Source/Workers/createVerticesFromGoogleEarthEnterpriseBuffer.js b/packages/engine/Source/Workers/createVerticesFromGoogleEarthEnterpriseBuffer.js index 7d41bcb9438c..fbffcb40ba47 100644 --- a/packages/engine/Source/Workers/createVerticesFromGoogleEarthEnterpriseBuffer.js +++ b/packages/engine/Source/Workers/createVerticesFromGoogleEarthEnterpriseBuffer.js @@ -37,7 +37,7 @@ function indexOfEpsilon(arr, elem, elemType) { function createVerticesFromGoogleEarthEnterpriseBuffer( parameters, - transferableObjects + transferableObjects, ) { parameters.ellipsoid = Ellipsoid.clone(parameters.ellipsoid); parameters.rectangle = Rectangle.clone(parameters.rectangle); @@ -53,7 +53,7 @@ function createVerticesFromGoogleEarthEnterpriseBuffer( parameters.skirtHeight, parameters.includeWebMercatorT, parameters.negativeAltitudeExponentBias, - parameters.negativeElevationThreshold + parameters.negativeElevationThreshold, ); const vertices = statistics.vertices; transferableObjects.push(vertices.buffer); @@ -96,7 +96,7 @@ function processBuffer( skirtHeight, includeWebMercatorT, negativeAltitudeExponentBias, - negativeElevationThreshold + negativeElevationThreshold, ) { let geographicWest; let geographicSouth; @@ -126,16 +126,15 @@ function processBuffer( const fromENU = Transforms.eastNorthUpToFixedFrame( relativeToCenter, - ellipsoid + ellipsoid, ); const toENU = Matrix4.inverseTransformation(fromENU, matrix4Scratch); let southMercatorY; let oneOverMercatorHeight; if (includeWebMercatorT) { - southMercatorY = WebMercatorProjection.geodeticLatitudeToMercatorAngle( - geographicSouth - ); + southMercatorY = + WebMercatorProjection.geodeticLatitudeToMercatorAngle(geographicSouth); oneOverMercatorHeight = 1.0 / (WebMercatorProjection.geodeticLatitudeToMercatorAngle(geographicNorth) - @@ -279,7 +278,7 @@ function processBuffer( const index = indexOfEpsilon( quadBorderPoints, scratchCartographic, - Cartographic + Cartographic, ); if (index === -1) { quadBorderPoints.push(Cartographic.clone(scratchCartographic)); @@ -409,7 +408,7 @@ function processBuffer( westBorder, -percentage * rectangleWidth, true, - -percentage * rectangleHeight + -percentage * rectangleHeight, ); addSkirt( positions, @@ -421,7 +420,7 @@ function processBuffer( skirtOptions, southBorder, -percentage * rectangleHeight, - false + false, ); addSkirt( positions, @@ -434,7 +433,7 @@ function processBuffer( eastBorder, percentage * rectangleWidth, true, - percentage * rectangleHeight + percentage * rectangleHeight, ); addSkirt( positions, @@ -446,7 +445,7 @@ function processBuffer( skirtOptions, northBorder, percentage * rectangleHeight, - false + false, ); // Since the corner between the north and west sides is in the west array, generate the last @@ -463,7 +462,7 @@ function processBuffer( firstSkirtIndex, firstSkirtIndex, firstBorderIndex, - lastBorderIndex + lastBorderIndex, ); } @@ -476,16 +475,17 @@ function processBuffer( rectangle, minHeight, maxHeight, - ellipsoid + ellipsoid, ); } const occluder = new EllipsoidalOccluder(ellipsoid); - const occludeePointInScaledSpace = occluder.computeHorizonCullingPointPossiblyUnderEllipsoid( - relativeToCenter, - positions, - minHeight - ); + const occludeePointInScaledSpace = + occluder.computeHorizonCullingPointPossiblyUnderEllipsoid( + relativeToCenter, + positions, + minHeight, + ); const aaBox = new AxisAlignedBoundingBox(minimum, maximum, relativeToCenter); const encoding = new TerrainEncoding( @@ -498,7 +498,7 @@ function processBuffer( includeWebMercatorT, includeGeodeticSurfaceNormals, exaggeration, - exaggerationRelativeHeight + exaggerationRelativeHeight, ); const vertices = new Float32Array(size * encoding.stride); @@ -512,7 +512,7 @@ function processBuffer( heights[k], undefined, webMercatorTs[k], - geodeticSurfaceNormals[k] + geodeticSurfaceNormals[k], ); } @@ -538,12 +538,12 @@ function processBuffer( .reverse(); southIndicesEastToWest.unshift( - eastIndicesNorthToSouth[eastIndicesNorthToSouth.length - 1] + eastIndicesNorthToSouth[eastIndicesNorthToSouth.length - 1], ); southIndicesEastToWest.push(westIndicesSouthToNorth[0]); northIndicesWestToEast.unshift( - westIndicesSouthToNorth[westIndicesSouthToNorth.length - 1] + westIndicesSouthToNorth[westIndicesSouthToNorth.length - 1], ); northIndicesWestToEast.push(eastIndicesNorthToSouth[0]); @@ -576,7 +576,7 @@ function addSkirt( borderPoints, fudgeFactor, eastOrWest, - cornerFudge + cornerFudge, ) { const count = borderPoints.length; for (let j = 0; j < count; ++j) { @@ -590,7 +590,7 @@ function addSkirt( latitude = CesiumMath.clamp( latitude, -CesiumMath.PI_OVER_TWO, - CesiumMath.PI_OVER_TWO + CesiumMath.PI_OVER_TWO, ); // Don't go over the poles const height = borderCartographic.height - skirtOptions.skirtHeight; skirtOptions.hMin = Math.min(skirtOptions.hMin, height); @@ -612,9 +612,8 @@ function addSkirt( scratchCartographic.latitude -= cornerFudge; } - const pos = skirtOptions.ellipsoid.cartographicToCartesian( - scratchCartographic - ); + const pos = + skirtOptions.ellipsoid.cartographicToCartesian(scratchCartographic); positions.push(pos); heights.push(height); uvs.push(Cartesian2.clone(uvs[borderIndex])); // Copy UVs from border point @@ -641,7 +640,7 @@ function addSkirt( currentIndex, currentIndex, borderIndex, - lastBorderIndex + lastBorderIndex, ); } @@ -649,5 +648,5 @@ function addSkirt( } } export default createTaskProcessorWorker( - createVerticesFromGoogleEarthEnterpriseBuffer + createVerticesFromGoogleEarthEnterpriseBuffer, ); diff --git a/packages/engine/Source/Workers/createVerticesFromQuantizedTerrainMesh.js b/packages/engine/Source/Workers/createVerticesFromQuantizedTerrainMesh.js index 743a62361ebd..feb5eed9b78a 100644 --- a/packages/engine/Source/Workers/createVerticesFromQuantizedTerrainMesh.js +++ b/packages/engine/Source/Workers/createVerticesFromQuantizedTerrainMesh.js @@ -25,7 +25,7 @@ const toPack = new Cartesian2(); function createVerticesFromQuantizedTerrainMesh( parameters, - transferableObjects + transferableObjects, ) { const quantizedVertices = parameters.quantizedVertices; const quantizedVertexCount = quantizedVertices.length / 3; @@ -60,9 +60,8 @@ function createVerticesFromQuantizedTerrainMesh( let southMercatorY; let oneOverMercatorHeight; if (includeWebMercatorT) { - southMercatorY = WebMercatorProjection.geodeticLatitudeToMercatorAngle( - south - ); + southMercatorY = + WebMercatorProjection.geodeticLatitudeToMercatorAngle(south); oneOverMercatorHeight = 1.0 / (WebMercatorProjection.geodeticLatitudeToMercatorAngle(north) - @@ -72,11 +71,11 @@ function createVerticesFromQuantizedTerrainMesh( const uBuffer = quantizedVertices.subarray(0, quantizedVertexCount); const vBuffer = quantizedVertices.subarray( quantizedVertexCount, - 2 * quantizedVertexCount + 2 * quantizedVertexCount, ); const heightBuffer = quantizedVertices.subarray( quantizedVertexCount * 2, - 3 * quantizedVertexCount + 3 * quantizedVertexCount, ); const hasVertexNormals = defined(octEncodedNormals); @@ -114,7 +113,7 @@ function createVerticesFromQuantizedTerrainMesh( const height = CesiumMath.lerp( minimumHeight, maximumHeight, - heightBuffer[i] / maxShort + heightBuffer[i] / maxShort, ); cartographicScratch.longitude = CesiumMath.lerp(west, east, u); @@ -135,7 +134,7 @@ function createVerticesFromQuantizedTerrainMesh( if (includeWebMercatorT) { webMercatorTs[i] = (WebMercatorProjection.geodeticLatitudeToMercatorAngle( - cartographicScratch.latitude + cartographicScratch.latitude, ) - southMercatorY) * oneOverMercatorHeight; @@ -151,40 +150,41 @@ function createVerticesFromQuantizedTerrainMesh( Cartesian3.maximumByComponent(cartesian3Scratch, maximum, maximum); } - const westIndicesSouthToNorth = copyAndSort(parameters.westIndices, function ( - a, - b - ) { - return uvs[a].y - uvs[b].y; - }); - const eastIndicesNorthToSouth = copyAndSort(parameters.eastIndices, function ( - a, - b - ) { - return uvs[b].y - uvs[a].y; - }); - const southIndicesEastToWest = copyAndSort(parameters.southIndices, function ( - a, - b - ) { - return uvs[b].x - uvs[a].x; - }); - const northIndicesWestToEast = copyAndSort(parameters.northIndices, function ( - a, - b - ) { - return uvs[a].x - uvs[b].x; - }); + const westIndicesSouthToNorth = copyAndSort( + parameters.westIndices, + function (a, b) { + return uvs[a].y - uvs[b].y; + }, + ); + const eastIndicesNorthToSouth = copyAndSort( + parameters.eastIndices, + function (a, b) { + return uvs[b].y - uvs[a].y; + }, + ); + const southIndicesEastToWest = copyAndSort( + parameters.southIndices, + function (a, b) { + return uvs[b].x - uvs[a].x; + }, + ); + const northIndicesWestToEast = copyAndSort( + parameters.northIndices, + function (a, b) { + return uvs[a].x - uvs[b].x; + }, + ); let occludeePointInScaledSpace; if (minimumHeight < 0.0) { // Horizon culling point needs to be recomputed since the tile is at least partly under the ellipsoid. const occluder = new EllipsoidalOccluder(ellipsoid); - occludeePointInScaledSpace = occluder.computeHorizonCullingPointPossiblyUnderEllipsoid( - center, - positions, - minimumHeight - ); + occludeePointInScaledSpace = + occluder.computeHorizonCullingPointPossiblyUnderEllipsoid( + center, + positions, + minimumHeight, + ); } let hMin = minimumHeight; @@ -199,8 +199,8 @@ function createVerticesFromQuantizedTerrainMesh( ellipsoid, toENU, minimum, - maximum - ) + maximum, + ), ); hMin = Math.min( hMin, @@ -213,8 +213,8 @@ function createVerticesFromQuantizedTerrainMesh( ellipsoid, toENU, minimum, - maximum - ) + maximum, + ), ); hMin = Math.min( hMin, @@ -227,8 +227,8 @@ function createVerticesFromQuantizedTerrainMesh( ellipsoid, toENU, minimum, - maximum - ) + maximum, + ), ); hMin = Math.min( hMin, @@ -241,8 +241,8 @@ function createVerticesFromQuantizedTerrainMesh( ellipsoid, toENU, minimum, - maximum - ) + maximum, + ), ); const aaBox = new AxisAlignedBoundingBox(minimum, maximum, center); @@ -256,7 +256,7 @@ function createVerticesFromQuantizedTerrainMesh( includeWebMercatorT, includeGeodeticSurfaceNormals, exaggeration, - exaggerationRelativeHeight + exaggerationRelativeHeight, ); const vertexStride = encoding.stride; const size = @@ -279,7 +279,7 @@ function createVerticesFromQuantizedTerrainMesh( heights[j], toPack, webMercatorTs[j], - geodeticSurfaceNormals[j] + geodeticSurfaceNormals[j], ); } @@ -287,7 +287,7 @@ function createVerticesFromQuantizedTerrainMesh( const indexBufferLength = parameters.indices.length + edgeTriangleCount * 3; const indexBuffer = IndexDatatype.createTypedArray( quantizedVertexCount + edgeVertexCount, - indexBufferLength + indexBufferLength, ); indexBuffer.set(parameters.indices, 0); @@ -319,7 +319,7 @@ function createVerticesFromQuantizedTerrainMesh( southMercatorY, oneOverMercatorHeight, westLongitudeOffset, - westLatitudeOffset + westLatitudeOffset, ); vertexBufferIndex += parameters.westIndices.length * vertexStride; addSkirt( @@ -336,7 +336,7 @@ function createVerticesFromQuantizedTerrainMesh( southMercatorY, oneOverMercatorHeight, southLongitudeOffset, - southLatitudeOffset + southLatitudeOffset, ); vertexBufferIndex += parameters.southIndices.length * vertexStride; addSkirt( @@ -353,7 +353,7 @@ function createVerticesFromQuantizedTerrainMesh( southMercatorY, oneOverMercatorHeight, eastLongitudeOffset, - eastLatitudeOffset + eastLatitudeOffset, ); vertexBufferIndex += parameters.eastIndices.length * vertexStride; addSkirt( @@ -370,7 +370,7 @@ function createVerticesFromQuantizedTerrainMesh( southMercatorY, oneOverMercatorHeight, northLongitudeOffset, - northLatitudeOffset + northLatitudeOffset, ); TerrainProvider.addSkirtIndices( @@ -380,7 +380,7 @@ function createVerticesFromQuantizedTerrainMesh( northIndicesWestToEast, quantizedVertexCount, indexBuffer, - parameters.indices.length + parameters.indices.length, ); transferableObjects.push(vertexBuffer.buffer, indexBuffer.buffer); @@ -411,7 +411,7 @@ function findMinMaxSkirts( ellipsoid, toENU, minimum, - maximum + maximum, ) { let hMin = Number.POSITIVE_INFINITY; @@ -436,7 +436,7 @@ function findMinMaxSkirts( const position = ellipsoid.cartographicToCartesian( cartographicScratch, - cartesian3Scratch + cartesian3Scratch, ); Matrix4.multiplyByPoint(toENU, position, position); @@ -462,7 +462,7 @@ function addSkirt( southMercatorY, oneOverMercatorHeight, longitudeOffset, - latitudeOffset + latitudeOffset, ) { const hasVertexNormals = defined(octEncodedNormals); @@ -489,7 +489,7 @@ function addSkirt( const position = ellipsoid.cartographicToCartesian( cartographicScratch, - cartesian3Scratch + cartesian3Scratch, ); if (hasVertexNormals) { @@ -502,7 +502,7 @@ function addSkirt( if (encoding.hasWebMercatorT) { webMercatorT = (WebMercatorProjection.geodeticLatitudeToMercatorAngle( - cartographicScratch.latitude + cartographicScratch.latitude, ) - southMercatorY) * oneOverMercatorHeight; @@ -521,7 +521,7 @@ function addSkirt( cartographicScratch.height, toPack, webMercatorT, - geodeticSurfaceNormal + geodeticSurfaceNormal, ); } } @@ -545,5 +545,5 @@ function copyAndSort(typedArray, comparator) { return copy; } export default createTaskProcessorWorker( - createVerticesFromQuantizedTerrainMesh + createVerticesFromQuantizedTerrainMesh, ); diff --git a/packages/engine/Source/Workers/decodeDraco.js b/packages/engine/Source/Workers/decodeDraco.js index 14d5d5b268c7..7b32c1187955 100644 --- a/packages/engine/Source/Workers/decodeDraco.js +++ b/packages/engine/Source/Workers/decodeDraco.js @@ -37,7 +37,7 @@ function decodeQuantizedDracoTypedArray( dracoDecoder, dracoAttribute, quantization, - vertexArrayLength + vertexArrayLength, ) { let vertexArray; let attributeData; @@ -47,7 +47,7 @@ function decodeQuantizedDracoTypedArray( dracoDecoder.GetAttributeUInt8ForAllPoints( dracoGeometry, dracoAttribute, - attributeData + attributeData, ); } else if (quantization.quantizationBits <= 16) { attributeData = new draco.DracoUInt16Array(); @@ -55,7 +55,7 @@ function decodeQuantizedDracoTypedArray( dracoDecoder.GetAttributeUInt16ForAllPoints( dracoGeometry, dracoAttribute, - attributeData + attributeData, ); } else { attributeData = new draco.DracoFloat32Array(); @@ -63,7 +63,7 @@ function decodeQuantizedDracoTypedArray( dracoDecoder.GetAttributeFloatForAllPoints( dracoGeometry, dracoAttribute, - attributeData + attributeData, ); } @@ -79,7 +79,7 @@ function decodeDracoTypedArray( dracoGeometry, dracoDecoder, dracoAttribute, - vertexArrayLength + vertexArrayLength, ) { let vertexArray; let attributeData; @@ -93,7 +93,7 @@ function decodeDracoTypedArray( dracoDecoder.GetAttributeInt8ForAllPoints( dracoGeometry, dracoAttribute, - attributeData + attributeData, ); break; case 2: // DT_UINT8 @@ -102,7 +102,7 @@ function decodeDracoTypedArray( dracoDecoder.GetAttributeUInt8ForAllPoints( dracoGeometry, dracoAttribute, - attributeData + attributeData, ); break; case 3: // DT_INT16 @@ -111,7 +111,7 @@ function decodeDracoTypedArray( dracoDecoder.GetAttributeInt16ForAllPoints( dracoGeometry, dracoAttribute, - attributeData + attributeData, ); break; case 4: // DT_UINT16 @@ -120,7 +120,7 @@ function decodeDracoTypedArray( dracoDecoder.GetAttributeUInt16ForAllPoints( dracoGeometry, dracoAttribute, - attributeData + attributeData, ); break; case 5: @@ -130,7 +130,7 @@ function decodeDracoTypedArray( dracoDecoder.GetAttributeInt32ForAllPoints( dracoGeometry, dracoAttribute, - attributeData + attributeData, ); break; case 6: @@ -140,7 +140,7 @@ function decodeDracoTypedArray( dracoDecoder.GetAttributeUInt32ForAllPoints( dracoGeometry, dracoAttribute, - attributeData + attributeData, ); break; case 9: @@ -150,7 +150,7 @@ function decodeDracoTypedArray( dracoDecoder.GetAttributeFloatForAllPoints( dracoGeometry, dracoAttribute, - attributeData + attributeData, ); break; } @@ -200,14 +200,14 @@ function decodeAttribute(dracoGeometry, dracoDecoder, dracoAttribute) { dracoDecoder, dracoAttribute, quantization, - vertexArrayLength + vertexArrayLength, ); } else { vertexArray = decodeDracoTypedArray( dracoGeometry, dracoDecoder, dracoAttribute, - vertexArrayLength + vertexArrayLength, ); } @@ -246,11 +246,11 @@ function decodePointCloud(parameters) { const dracoPointCloud = new draco.PointCloud(); const decodingStatus = dracoDecoder.DecodeBufferToPointCloud( buffer, - dracoPointCloud + dracoPointCloud, ); if (!decodingStatus.ok() || dracoPointCloud.ptr === 0) { throw new RuntimeError( - `Error decoding draco point cloud: ${decodingStatus.error_msg()}` + `Error decoding draco point cloud: ${decodingStatus.error_msg()}`, ); } @@ -265,23 +265,23 @@ function decodePointCloud(parameters) { if (propertyName === "POSITION" || propertyName === "NORMAL") { const dracoAttributeId = dracoDecoder.GetAttributeId( dracoPointCloud, - draco[propertyName] + draco[propertyName], ); dracoAttribute = dracoDecoder.GetAttribute( dracoPointCloud, - dracoAttributeId + dracoAttributeId, ); } else { const attributeId = properties[propertyName]; dracoAttribute = dracoDecoder.GetAttributeByUniqueId( dracoPointCloud, - attributeId + attributeId, ); } result[propertyName] = decodeAttribute( dracoPointCloud, dracoDecoder, - dracoAttribute + dracoAttribute, ); } } @@ -316,7 +316,7 @@ function decodePrimitive(parameters) { const decodingStatus = dracoDecoder.DecodeBufferToMesh(buffer, dracoGeometry); if (!decodingStatus.ok() || dracoGeometry.ptr === 0) { throw new RuntimeError( - `Error decoding draco mesh geometry: ${decodingStatus.error_msg()}` + `Error decoding draco mesh geometry: ${decodingStatus.error_msg()}`, ); } @@ -330,12 +330,12 @@ function decodePrimitive(parameters) { const compressedAttribute = compressedAttributes[attributeName]; const dracoAttribute = dracoDecoder.GetAttributeByUniqueId( dracoGeometry, - compressedAttribute + compressedAttribute, ); attributeData[attributeName] = decodeAttribute( dracoGeometry, dracoDecoder, - dracoAttribute + dracoAttribute, ); } } diff --git a/packages/engine/Source/Workers/decodeGoogleEarthEnterprisePacket.js b/packages/engine/Source/Workers/decodeGoogleEarthEnterprisePacket.js index 59ad933dbc6e..dff801ef29d2 100644 --- a/packages/engine/Source/Workers/decodeGoogleEarthEnterprisePacket.js +++ b/packages/engine/Source/Workers/decodeGoogleEarthEnterprisePacket.js @@ -69,7 +69,7 @@ function processMetadata(buffer, totalSize, quadKey) { offset += sizeOfUint32; if (quadVersion !== 2) { throw new RuntimeError( - "Invalid QuadTreePacket version. Only version 2 is supported." + "Invalid QuadTreePacket version. Only version 2 is supported.", ); } @@ -143,8 +143,8 @@ function processMetadata(buffer, totalSize, quadKey) { imageVersion, terrainVersion, imageProvider, - terrainProvider - ) + terrainProvider, + ), ); } diff --git a/packages/engine/Source/Workers/decodeI3S.js b/packages/engine/Source/Workers/decodeI3S.js index d81babacbfb4..89c1b130b94d 100644 --- a/packages/engine/Source/Workers/decodeI3S.js +++ b/packages/engine/Source/Workers/decodeI3S.js @@ -62,7 +62,7 @@ function sampleGeoidFromList(lon, lat, geoidDataList) { if (geoidDataList[i].projectionType === "WebMercator") { const radii = geoidDataList[i].projection._ellipsoid._radii; const webMercatorProj = new WebMercatorProjection( - new Ellipsoid(radii.x, radii.y, radii.z) + new Ellipsoid(radii.x, radii.y, radii.z), ); localPt = webMercatorProj.project(new Cartographic(lon, lat, 0)); } else { @@ -90,7 +90,7 @@ function orthometricToEllipsoidal( scale_y, center, geoidDataList, - fast + fast, ) { if (fast) { // Geometry is already relative to the tile origin which has already been shifted to account for geoid height @@ -102,14 +102,14 @@ function orthometricToEllipsoidal( const centerHeight = sampleGeoidFromList( center.longitude, center.latitude, - geoidDataList + geoidDataList, ); for (let i = 0; i < vertexCount; ++i) { const height = sampleGeoidFromList( center.longitude + CesiumMath.toRadians(scale_x * position[i * 3]), center.latitude + CesiumMath.toRadians(scale_y * position[i * 3 + 1]), - geoidDataList + geoidDataList, ); position[i * 3 + 2] += height - centerHeight; } @@ -124,7 +124,7 @@ function transformToLocal( parentRotation, ellipsoidRadiiSquare, scale_x, - scale_y + scale_y, ) { if (vertexCount === 0 || !defined(positions) || positions.length === 0) { return; @@ -133,7 +133,7 @@ function transformToLocal( const ellipsoid = new Ellipsoid( Math.sqrt(ellipsoidRadiiSquare.x), Math.sqrt(ellipsoidRadiiSquare.y), - Math.sqrt(ellipsoidRadiiSquare.z) + Math.sqrt(ellipsoidRadiiSquare.z), ); for (let i = 0; i < vertexCount; ++i) { const indexOffset = i * 3; @@ -168,7 +168,7 @@ function transformToLocal( const normal = new Cartesian3( normals[indexOffset], normals[indexOffset1], - normals[indexOffset2] + normals[indexOffset2], ); const rotatedNormal = {}; @@ -203,7 +203,7 @@ function generateIndexArray( vertexCount, indices, colors, - splitGeometryByColorTransparency + splitGeometryByColorTransparency, ) { // Allocate array const indexArray = new Uint32Array(vertexCount); @@ -276,7 +276,7 @@ function getFeatureHash(symbologyData, outlinesHash, featureIndex) { }); const featureSymbology = defaultValue( symbologyData[featureIndex], - symbologyData.default + symbologyData.default, ); newFeatureHash.hasOutline = defined(featureSymbology?.edges); return newFeatureHash; @@ -306,7 +306,7 @@ function addEdgeToHash( vertexBIndex, vertexAIndexUnique, vertexBIndexUnique, - normalIndex + normalIndex, ) { let startVertexIndex; let endVertexIndex; @@ -342,7 +342,7 @@ function generateOutlinesHash( symbologyData, featureIndexArray, indexArray, - positions + positions, ) { const outlinesHash = []; for (let i = 0; i < indexArray.length; i += 3) { @@ -352,7 +352,7 @@ function generateOutlinesHash( const featureHash = getFeatureHash( symbologyData, outlinesHash, - featureIndex + featureIndex, ); if (!featureHash.hasOutline) { continue; @@ -377,7 +377,7 @@ function generateOutlinesHash( nextVertexIndex, uniqueVertexIndex, uniqueNextVertexIndex, - i + i, ); } } @@ -398,24 +398,24 @@ function calculateFaceNormal(normals, vertexAIndex, indexArray, positions) { Cartesian3.subtract( calculateFaceNormalB, calculateFaceNormalA, - calculateFaceNormalB + calculateFaceNormalB, ); Cartesian3.subtract( calculateFaceNormalC, calculateFaceNormalA, - calculateFaceNormalC + calculateFaceNormalC, ); Cartesian3.cross( calculateFaceNormalB, calculateFaceNormalC, - calculateFaceNormalA + calculateFaceNormalA, ); const magnitude = Cartesian3.magnitude(calculateFaceNormalA); if (magnitude !== 0) { Cartesian3.divideByScalar( calculateFaceNormalA, magnitude, - calculateFaceNormalA + calculateFaceNormalA, ); } const normalAIndex = vertexAIndex * 3; @@ -433,7 +433,7 @@ function isEdgeSmooth(normals, normalAIndex, normalBIndex) { Cartesian3.fromArray(normals, normalBIndex, isEdgeSmoothB); const cosine = Cartesian3.dot(isEdgeSmoothA, isEdgeSmoothB); const sine = Cartesian3.magnitude( - Cartesian3.cross(isEdgeSmoothA, isEdgeSmoothB, isEdgeSmoothA) + Cartesian3.cross(isEdgeSmoothA, isEdgeSmoothB, isEdgeSmoothA), ); return Math.atan2(sine, cosine) < 0.25; } @@ -443,7 +443,7 @@ function addOutlinesForEdge( edgeData, indexArray, positions, - normals + normals, ) { if (edgeData.normalsIndex.length > 1) { const normalsByIndex = positions.length === normals.length; @@ -477,7 +477,7 @@ function addOutlinesForFeature( edgeHash, indexArray, positions, - normals + normals, ) { const edgeStartKeys = Object.keys(edgeHash); for (let startIndex = 0; startIndex < edgeStartKeys.length; startIndex++) { @@ -494,7 +494,7 @@ function generateOutlinesFromHash( outlinesHash, indexArray, positions, - normals + normals, ) { const outlines = []; const features = Object.keys(outlinesHash); @@ -510,7 +510,7 @@ function generateOutlinesIndexArray( featureIndexArray, indexArray, positions, - normals + normals, ) { if (!defined(symbologyData) || Object.keys(symbologyData).length === 0) { return undefined; @@ -519,7 +519,7 @@ function generateOutlinesIndexArray( symbologyData, featureIndexArray, indexArray, - positions + positions, ); if (!defined(normals) || indexArray.length * 3 !== normals.length) { // Need to calculate flat normals per faces @@ -529,7 +529,7 @@ function generateOutlinesIndexArray( outlinesHash, indexArray, positions, - normals + normals, ); const outlinesIndexArray = outlines.length > 0 ? new Uint32Array(outlines) : undefined; @@ -556,7 +556,7 @@ function generateNormals( normals, uv0s, colors, - featureIndex + featureIndex, ) { const result = { normals: undefined, @@ -632,7 +632,7 @@ function generateGltfBuffer( uv0s, colors, featureIndex, - parameters + parameters, ) { if (vertexCount === 0 || !defined(positions) || positions.length === 0) { return { @@ -665,7 +665,7 @@ function generateGltfBuffer( vertexCount, indices, colors, - parameters.splitGeometryByColorTransparency + parameters.splitGeometryByColorTransparency, ); // Push to the buffers, bufferViews and accessors @@ -699,7 +699,7 @@ function generateGltfBuffer( featureIndex, indexArray, positions, - normals + normals, ); if (defined(outlinesIndexArray)) { const outlinesIndicesBlob = new Blob([outlinesIndexArray], { @@ -1126,7 +1126,7 @@ function decodeDracoEncodedGeometry(data) { for (let attrIndex = 0; attrIndex < attributesCount; ++attrIndex) { const dracoAttribute = dracoDecoder.GetAttribute( dracoGeometry, - attrIndex + attrIndex, ); const attributeData = decodeDracoAttribute( @@ -1134,7 +1134,7 @@ function decodeDracoEncodedGeometry(data) { dracoDecoder, dracoGeometry, dracoAttribute, - vertexCount + vertexCount, ); // initial mapping @@ -1154,7 +1154,7 @@ function decodeDracoEncodedGeometry(data) { // get the metadata const metadata = dracoDecoder.GetAttributeMetadata( dracoGeometry, - attrIndex + attrIndex, ); if (metadata.ptr !== 0) { @@ -1164,17 +1164,17 @@ function decodeDracoEncodedGeometry(data) { if (entryName === "i3s-scale_x") { decodedGeometry.scale_x = metadataQuerier.GetDoubleEntry( metadata, - "i3s-scale_x" + "i3s-scale_x", ); } else if (entryName === "i3s-scale_y") { decodedGeometry.scale_y = metadataQuerier.GetDoubleEntry( metadata, - "i3s-scale_y" + "i3s-scale_y", ); } else if (entryName === "i3s-attribute-type") { attributei3sName = metadataQuerier.GetStringEntry( metadata, - "i3s-attribute-type" + "i3s-attribute-type", ); } } @@ -1205,7 +1205,7 @@ function decodeDracoAttribute( dracoDecoder, dracoGeometry, dracoAttribute, - vertexCount + vertexCount, ) { const bufferSize = dracoAttribute.num_components() * vertexCount; let dracoAttributeData; @@ -1218,7 +1218,7 @@ function decodeDracoAttribute( const success = dracoDecoder.GetAttributeInt8ForAllPoints( dracoGeometry, dracoAttribute, - dracoAttributeData + dracoAttributeData, ); if (!success) { @@ -1236,7 +1236,7 @@ function decodeDracoAttribute( const success = dracoDecoder.GetAttributeUInt8ForAllPoints( dracoGeometry, dracoAttribute, - dracoAttributeData + dracoAttributeData, ); if (!success) { @@ -1254,7 +1254,7 @@ function decodeDracoAttribute( const success = dracoDecoder.GetAttributeInt16ForAllPoints( dracoGeometry, dracoAttribute, - dracoAttributeData + dracoAttributeData, ); if (!success) { @@ -1272,7 +1272,7 @@ function decodeDracoAttribute( const success = dracoDecoder.GetAttributeUInt16ForAllPoints( dracoGeometry, dracoAttribute, - dracoAttributeData + dracoAttributeData, ); if (!success) { @@ -1290,7 +1290,7 @@ function decodeDracoAttribute( const success = dracoDecoder.GetAttributeInt32ForAllPoints( dracoGeometry, dracoAttribute, - dracoAttributeData + dracoAttributeData, ); if (!success) { @@ -1308,7 +1308,7 @@ function decodeDracoAttribute( const success = dracoDecoder.GetAttributeUInt32ForAllPoints( dracoGeometry, dracoAttribute, - dracoAttributeData + dracoAttributeData, ); if (!success) { @@ -1332,7 +1332,7 @@ function decodeDracoAttribute( const success = dracoDecoder.GetAttributeFloatForAllPoints( dracoGeometry, dracoAttribute, - dracoAttributeData + dracoAttributeData, ); if (!success) { @@ -1353,7 +1353,7 @@ function decodeDracoAttribute( const success = dracoDecoder.GetAttributeUInt8ForAllPoints( dracoGeometry, dracoAttribute, - dracoAttributeData + dracoAttributeData, ); if (!success) { @@ -1462,12 +1462,12 @@ function decodeBinaryGeometry(data, schema, bufferInfo, featureData) { offset = binaryAttributeDecoders[bufferInfo.attributes[attrIndex]]( decodedGeometry, data, - offset + offset, ); } else { console.error( "Unknown decoder for", - bufferInfo.attributes[attrIndex] + bufferInfo.attributes[attrIndex], ); } } @@ -1482,10 +1482,10 @@ function decodeBinaryGeometry(data, schema, bufferInfo, featureData) { defined(featureData.geometryData[0].params) ) { ordering = Object.keys( - featureData.geometryData[0].params.vertexAttributes + featureData.geometryData[0].params.vertexAttributes, ); featureAttributeOrder = Object.keys( - featureData.geometryData[0].params.featureAttributes + featureData.geometryData[0].params.featureAttributes, ); } @@ -1516,7 +1516,7 @@ function decodeAndCreateGltf(parameters) { parameters.binaryData, parameters.schema, parameters.bufferInfo, - parameters.featureData + parameters.featureData, ); // Adjust height from orthometric to ellipsoidal @@ -1531,7 +1531,7 @@ function decodeAndCreateGltf(parameters) { geometryData.scale_y, parameters.cartographicCenter, parameters.geoidDataList, - false + false, ); } @@ -1545,7 +1545,7 @@ function decodeAndCreateGltf(parameters) { parameters.parentRotation, parameters.ellipsoidRadiiSquare, geometryData.scale_x, - geometryData.scale_y + geometryData.scale_y, ); // Adjust UVs if there is a UV region @@ -1553,7 +1553,7 @@ function decodeAndCreateGltf(parameters) { cropUVs( geometryData.vertexCount, geometryData.uv0s, - geometryData["uv-region"] + geometryData["uv-region"], ); } @@ -1587,7 +1587,7 @@ function decodeAndCreateGltf(parameters) { geometryData.normals, geometryData.uv0s, geometryData.colors, - featureIndex + featureIndex, ); if (defined(data.normals)) { geometryData.normals = data.normals; @@ -1611,7 +1611,7 @@ function decodeAndCreateGltf(parameters) { geometryData.uv0s, geometryData.colors, featureIndex, - parameters + parameters, ); const customAttributes = { diff --git a/packages/engine/Source/Workers/transcodeKTX2.js b/packages/engine/Source/Workers/transcodeKTX2.js index 9926d303b070..b92a5a9c240d 100644 --- a/packages/engine/Source/Workers/transcodeKTX2.js +++ b/packages/engine/Source/Workers/transcodeKTX2.js @@ -59,7 +59,7 @@ function transcode(parameters, transferableObjects) { supportedTargetFormats, transcoderModule, transferableObjects, - result + result, ); } else { transferableObjects.push(data.buffer); @@ -107,19 +107,19 @@ function parseUncompressed(header, result) { faceView = new Uint8Array( levelBuffer.buffer, faceByteOffset, - faceLength + faceLength, ); } else if (PixelDatatype.sizeInBytes(datatype) === 2) { faceView = new Uint16Array( levelBuffer.buffer, faceByteOffset, - faceLength + faceLength, ); } else { faceView = new Float32Array( levelBuffer.buffer, faceByteOffset, - faceLength + faceLength, ); } @@ -140,7 +140,7 @@ function transcodeCompressed( supportedTargetFormats, transcoderModule, transferableObjects, - result + result, ) { const ktx2File = new transcoderModule.KTX2File(data); let width = ktx2File.getWidth(); @@ -190,7 +190,7 @@ function transcodeCompressed( transcoderFormat = BasisFormat.cTFBC7_RGBA; } else { throw new RuntimeError( - "No transcoding format target available for ETC1S compressed ktx2." + "No transcoding format target available for ETC1S compressed ktx2.", ); } } else if (dfd.colorModel === colorModelUASTC) { @@ -224,7 +224,7 @@ function transcodeCompressed( : BasisFormat.cTFPVRTC1_4_RGB; } else { throw new RuntimeError( - "No transcoding format target available for UASTC compressed ktx2." + "No transcoding format target available for UASTC compressed ktx2.", ); } } @@ -248,7 +248,7 @@ function transcodeCompressed( i, // level index 0, // layer index 0, // face index - transcoderFormat.value + transcoderFormat.value, ); const dst = new Uint8Array(dstSize); @@ -260,7 +260,7 @@ function transcodeCompressed( transcoderFormat.value, 0, // get_alpha_for_opaque_formats -1, // channel0 - -1 // channel1 + -1, // channel1 ); if (!defined(transcoded)) { diff --git a/packages/engine/Source/Workers/transferTypedArrayTest.js b/packages/engine/Source/Workers/transferTypedArrayTest.js index 3cebe76ca23f..aa4eb9b1eb89 100644 --- a/packages/engine/Source/Workers/transferTypedArrayTest.js +++ b/packages/engine/Source/Workers/transferTypedArrayTest.js @@ -8,7 +8,7 @@ self.onmessage = function (event) { { array: array, }, - [array.buffer] + [array.buffer], ); } catch (e) { postMessage({}); diff --git a/packages/engine/Source/Workers/upsampleQuantizedTerrainMesh.js b/packages/engine/Source/Workers/upsampleQuantizedTerrainMesh.js index b38752da64cd..fbe235b64a28 100644 --- a/packages/engine/Source/Workers/upsampleQuantizedTerrainMesh.js +++ b/packages/engine/Source/Workers/upsampleQuantizedTerrainMesh.js @@ -86,7 +86,7 @@ function upsampleQuantizedTerrainMesh(parameters, transferableObjects) { const texCoords = encoding.decodeTextureCoordinates( parentVertices, i, - decodeTexCoordsScratch + decodeTexCoordsScratch, ); height = encoding.decodeHeight(parentVertices, i); @@ -98,7 +98,7 @@ function upsampleQuantizedTerrainMesh(parameters, transferableObjects) { maxShort) | 0, 0, - maxShort + maxShort, ); if (u < threshold) { @@ -124,7 +124,7 @@ function upsampleQuantizedTerrainMesh(parameters, transferableObjects) { const encodedNormal = encoding.getOctEncodedNormal( parentVertices, i, - octEncodedNormalScratch + octEncodedNormalScratch, ); parentNormalBuffer[n] = encodedNormal.x; parentNormalBuffer[n + 1] = encodedNormal.y; @@ -176,21 +176,21 @@ function upsampleQuantizedTerrainMesh(parameters, transferableObjects) { parentVBuffer, parentHeightBuffer, parentNormalBuffer, - i0 + i0, ); triangleVertices[1].initializeIndexed( parentUBuffer, parentVBuffer, parentHeightBuffer, parentNormalBuffer, - i1 + i1, ); triangleVertices[2].initializeIndexed( parentUBuffer, parentVBuffer, parentHeightBuffer, parentNormalBuffer, - i2 + i2, ); // Clip triangle on the east-west boundary. @@ -200,7 +200,7 @@ function upsampleQuantizedTerrainMesh(parameters, transferableObjects) { u0, u1, u2, - clipScratch + clipScratch, ); // Get the first clipped triangle, if any. @@ -212,7 +212,7 @@ function upsampleQuantizedTerrainMesh(parameters, transferableObjects) { clippedIndex = clippedTriangleVertices[0].initializeFromClipResult( clipped, clippedIndex, - triangleVertices + triangleVertices, ); if (clippedIndex >= clipped.length) { @@ -221,7 +221,7 @@ function upsampleQuantizedTerrainMesh(parameters, transferableObjects) { clippedIndex = clippedTriangleVertices[1].initializeFromClipResult( clipped, clippedIndex, - triangleVertices + triangleVertices, ); if (clippedIndex >= clipped.length) { @@ -230,7 +230,7 @@ function upsampleQuantizedTerrainMesh(parameters, transferableObjects) { clippedIndex = clippedTriangleVertices[2].initializeFromClipResult( clipped, clippedIndex, - triangleVertices + triangleVertices, ); // Clip the triangle against the North-south boundary. @@ -240,7 +240,7 @@ function upsampleQuantizedTerrainMesh(parameters, transferableObjects) { clippedTriangleVertices[0].getV(), clippedTriangleVertices[1].getV(), clippedTriangleVertices[2].getV(), - clipScratch2 + clipScratch2, ); addClippedPolygon( uBuffer, @@ -251,7 +251,7 @@ function upsampleQuantizedTerrainMesh(parameters, transferableObjects) { vertexMap, clipped2, clippedTriangleVertices, - hasVertexNormals + hasVertexNormals, ); // If there's another vertex in the original clipped result, @@ -261,7 +261,7 @@ function upsampleQuantizedTerrainMesh(parameters, transferableObjects) { clippedTriangleVertices[2].initializeFromClipResult( clipped, clippedIndex, - triangleVertices + triangleVertices, ); clipped2 = Intersections2D.clipTriangleAtAxisAlignedThreshold( @@ -270,7 +270,7 @@ function upsampleQuantizedTerrainMesh(parameters, transferableObjects) { clippedTriangleVertices[0].getV(), clippedTriangleVertices[1].getV(), clippedTriangleVertices[2].getV(), - clipScratch2 + clipScratch2, ); addClippedPolygon( uBuffer, @@ -281,7 +281,7 @@ function upsampleQuantizedTerrainMesh(parameters, transferableObjects) { vertexMap, clipped2, clippedTriangleVertices, - hasVertexNormals + hasVertexNormals, ); } } @@ -342,7 +342,7 @@ function upsampleQuantizedTerrainMesh(parameters, transferableObjects) { height = CesiumMath.lerp( parentMinimumHeight, parentMaximumHeight, - heightBuffer[i] / maxShort + heightBuffer[i] / maxShort, ); if (height < minimumHeight) { minimumHeight = height; @@ -368,30 +368,31 @@ function upsampleQuantizedTerrainMesh(parameters, transferableObjects) { cartesianVertices, Cartesian3.ZERO, 3, - boundingSphereScratch + boundingSphereScratch, ); const orientedBoundingBox = OrientedBoundingBox.fromRectangle( rectangle, minimumHeight, maximumHeight, ellipsoid, - orientedBoundingBoxScratch + orientedBoundingBoxScratch, ); const occluder = new EllipsoidalOccluder(ellipsoid); - const horizonOcclusionPoint = occluder.computeHorizonCullingPointFromVerticesPossiblyUnderEllipsoid( - boundingSphere.center, - cartesianVertices, - 3, - boundingSphere.center, - minimumHeight, - horizonOcclusionPointScratch - ); + const horizonOcclusionPoint = + occluder.computeHorizonCullingPointFromVerticesPossiblyUnderEllipsoid( + boundingSphere.center, + cartesianVertices, + 3, + boundingSphere.center, + minimumHeight, + horizonOcclusionPointScratch, + ); const heightRange = maximumHeight - minimumHeight; const vertices = new Uint16Array( - uBuffer.length + vBuffer.length + heightBuffer.length + uBuffer.length + vBuffer.length + heightBuffer.length, ); for (i = 0; i < uBuffer.length; ++i) { @@ -413,7 +414,7 @@ function upsampleQuantizedTerrainMesh(parameters, transferableObjects) { const indicesTypedArray = IndexDatatype.createTypedArray( uBuffer.length, - indices + indices, ); let encodedNormals; @@ -422,7 +423,7 @@ function upsampleQuantizedTerrainMesh(parameters, transferableObjects) { transferableObjects.push( vertices.buffer, indicesTypedArray.buffer, - normalArray.buffer + normalArray.buffer, ); encodedNormals = normalArray.buffer; } else { @@ -475,7 +476,7 @@ Vertex.prototype.initializeIndexed = function ( vBuffer, heightBuffer, normalBuffer, - index + index, ) { this.uBuffer = uBuffer; this.vBuffer = vBuffer; @@ -490,7 +491,7 @@ Vertex.prototype.initializeIndexed = function ( Vertex.prototype.initializeFromClipResult = function ( clipResult, index, - vertices + vertices, ) { let nextIndex = index + 1; @@ -561,18 +562,18 @@ function lerpOctEncodedNormal(vertex, result) { first = AttributeCompression.octDecode( vertex.first.getNormalX(), vertex.first.getNormalY(), - first + first, ); second = AttributeCompression.octDecode( vertex.second.getNormalX(), vertex.second.getNormalY(), - second + second, ); cartesian3Scratch = Cartesian3.lerp( first, second, vertex.ratio, - cartesian3Scratch + cartesian3Scratch, ); Cartesian3.normalize(cartesian3Scratch, cartesian3Scratch); @@ -616,7 +617,7 @@ function addClippedPolygon( vertexMap, clipped, triangleVertices, - hasVertexNormals + hasVertexNormals, ) { if (clipped.length === 0) { return; @@ -628,7 +629,7 @@ function addClippedPolygon( clippedIndex = polygonVertices[numVertices++].initializeFromClipResult( clipped, clippedIndex, - triangleVertices + triangleVertices, ); } diff --git a/packages/engine/Specs/Core/ApproximateTerrainHeightsSpec.js b/packages/engine/Specs/Core/ApproximateTerrainHeightsSpec.js index 13328b93a4d8..14665fef2327 100644 --- a/packages/engine/Specs/Core/ApproximateTerrainHeightsSpec.js +++ b/packages/engine/Specs/Core/ApproximateTerrainHeightsSpec.js @@ -23,15 +23,15 @@ describe("Core/ApproximateTerrainHeights", function () { it("getMinimumMaximumHeights computes minimum and maximum terrain heights", function () { const result = ApproximateTerrainHeights.getMinimumMaximumHeights( - Rectangle.fromDegrees(-121.0, 10.0, -120.0, 11.0) + Rectangle.fromDegrees(-121.0, 10.0, -120.0, 11.0), ); expect(result.minimumTerrainHeight).toEqualEpsilon( -5269.86, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); expect(result.maximumTerrainHeight).toEqualEpsilon( -28.53, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); }); @@ -46,7 +46,7 @@ describe("Core/ApproximateTerrainHeights", function () { ApproximateTerrainHeights._terrainHeights = undefined; expect(function () { return ApproximateTerrainHeights.getMinimumMaximumHeights( - Rectangle.fromDegrees(-121.0, 10.0, -120.0, 11.0) + Rectangle.fromDegrees(-121.0, 10.0, -120.0, 11.0), ); }); ApproximateTerrainHeights._terrainHeights = heights; @@ -54,19 +54,19 @@ describe("Core/ApproximateTerrainHeights", function () { it("getBoundingSphere computes a bounding sphere", function () { const result = ApproximateTerrainHeights.getBoundingSphere( - Rectangle.fromDegrees(-121.0, 10.0, -120.0, 11.0) + Rectangle.fromDegrees(-121.0, 10.0, -120.0, 11.0), ); expect(result.center).toEqualEpsilon( new Cartesian3( -3183013.849117281, -5403772.559109628, - 1154581.5821590829 + 1154581.5821590829, ), - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); expect(result.radius).toEqualEpsilon( 77884.16321007285, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); }); @@ -81,7 +81,7 @@ describe("Core/ApproximateTerrainHeights", function () { ApproximateTerrainHeights._terrainHeights = undefined; expect(function () { return ApproximateTerrainHeights.getBoundingSphere( - Rectangle.fromDegrees(-121.0, 10.0, -120.0, 11.0) + Rectangle.fromDegrees(-121.0, 10.0, -120.0, 11.0), ); }); ApproximateTerrainHeights._terrainHeights = heights; diff --git a/packages/engine/Specs/Core/ArcGISTiledElevationTerrainProviderSpec.js b/packages/engine/Specs/Core/ArcGISTiledElevationTerrainProviderSpec.js index 970fdfd9b766..c59001bc02a8 100644 --- a/packages/engine/Specs/Core/ArcGISTiledElevationTerrainProviderSpec.js +++ b/packages/engine/Specs/Core/ArcGISTiledElevationTerrainProviderSpec.js @@ -150,7 +150,7 @@ describe("Core/ArcGISTiledElevationTerrainProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { // Tile request if (url.indexOf("/tile/") !== -1) { @@ -161,7 +161,7 @@ describe("Core/ArcGISTiledElevationTerrainProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ); return; } @@ -196,22 +196,21 @@ describe("Core/ArcGISTiledElevationTerrainProvider", function () { it("conforms to TerrainProvider interface", function () { expect(ArcGISTiledElevationTerrainProvider).toConformToInterface( - TerrainProvider + TerrainProvider, ); }); it("fromUrl throws without url", async function () { await expectAsync( - ArcGISTiledElevationTerrainProvider.fromUrl() + ArcGISTiledElevationTerrainProvider.fromUrl(), ).toBeRejectedWithDeveloperError( - "url is required, actual value was undefined" + "url is required, actual value was undefined", ); }); it("fromUrl resolves to new ArcGISTiledElevationTerrainProvider", async function () { - const provider = await ArcGISTiledElevationTerrainProvider.fromUrl( - "made/up/url" - ); + const provider = + await ArcGISTiledElevationTerrainProvider.fromUrl("made/up/url"); expect(provider).toBeInstanceOf(ArcGISTiledElevationTerrainProvider); }); @@ -220,16 +219,15 @@ describe("Core/ArcGISTiledElevationTerrainProvider", function () { const resource = new Resource({ url: "made/up/url", }); - const provider = await ArcGISTiledElevationTerrainProvider.fromUrl( - resource - ); + const provider = + await ArcGISTiledElevationTerrainProvider.fromUrl(resource); expect(provider).toBeInstanceOf(ArcGISTiledElevationTerrainProvider); }); it("fromUrl resolves with url promise", async function () { const provider = await ArcGISTiledElevationTerrainProvider.fromUrl( - Promise.resolve("made/up/url") + Promise.resolve("made/up/url"), ); expect(provider).toBeInstanceOf(ArcGISTiledElevationTerrainProvider); }); @@ -237,39 +235,36 @@ describe("Core/ArcGISTiledElevationTerrainProvider", function () { it("fromUrl rejects if url rejects", async function () { await expectAsync( ArcGISTiledElevationTerrainProvider.fromUrl( - Promise.reject(new Error("my message")) - ) + Promise.reject(new Error("my message")), + ), ).toBeRejectedWithError("my message"); }); it("has error event", async function () { - const provider = await ArcGISTiledElevationTerrainProvider.fromUrl( - "made/up/url" - ); + const provider = + await ArcGISTiledElevationTerrainProvider.fromUrl("made/up/url"); expect(provider.errorEvent).toBeDefined(); expect(provider.errorEvent).toBe(provider.errorEvent); }); it("returns reasonable geometric error for various levels", async function () { - const provider = await ArcGISTiledElevationTerrainProvider.fromUrl( - "made/up/url" - ); + const provider = + await ArcGISTiledElevationTerrainProvider.fromUrl("made/up/url"); expect(provider.getLevelMaximumGeometricError(0)).toBeGreaterThan(0.0); expect(provider.getLevelMaximumGeometricError(0)).toEqualEpsilon( provider.getLevelMaximumGeometricError(1) * 2.0, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(provider.getLevelMaximumGeometricError(1)).toEqualEpsilon( provider.getLevelMaximumGeometricError(2) * 2.0, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); it("logo is undefined if credit is not provided", async function () { delete metadata.copyrightText; - const provider = await ArcGISTiledElevationTerrainProvider.fromUrl( - "made/up/url" - ); + const provider = + await ArcGISTiledElevationTerrainProvider.fromUrl("made/up/url"); expect(provider.credit).toBeUndefined(); }); @@ -278,27 +273,25 @@ describe("Core/ArcGISTiledElevationTerrainProvider", function () { "made/up/url", { credit: "thanks to our awesome made up contributors!", - } + }, ); expect(provider.credit).toBeDefined(); }); it("does not have a water mask", async function () { - const provider = await ArcGISTiledElevationTerrainProvider.fromUrl( - "made/up/url" - ); + const provider = + await ArcGISTiledElevationTerrainProvider.fromUrl("made/up/url"); expect(provider.hasWaterMask).toBe(false); }); it("detects WebMercator tiling scheme", async function () { const baseUrl = "made/up/url"; - const terrainProvider = await ArcGISTiledElevationTerrainProvider.fromUrl( - baseUrl - ); + const terrainProvider = + await ArcGISTiledElevationTerrainProvider.fromUrl(baseUrl); expect(terrainProvider.tilingScheme).toBeInstanceOf( - WebMercatorTilingScheme + WebMercatorTilingScheme, ); }); @@ -306,9 +299,8 @@ describe("Core/ArcGISTiledElevationTerrainProvider", function () { const baseUrl = "made/up/url"; metadata.spatialReference.latestWkid = 4326; - const terrainProvider = await ArcGISTiledElevationTerrainProvider.fromUrl( - baseUrl - ); + const terrainProvider = + await ArcGISTiledElevationTerrainProvider.fromUrl(baseUrl); expect(terrainProvider.tilingScheme).toBeInstanceOf(GeographicTilingScheme); }); @@ -318,7 +310,7 @@ describe("Core/ArcGISTiledElevationTerrainProvider", function () { metadata.spatialReference.latestWkid = 1234; await expectAsync( - ArcGISTiledElevationTerrainProvider.fromUrl(baseUrl) + ArcGISTiledElevationTerrainProvider.fromUrl(baseUrl), ).toBeRejectedWithError(RuntimeError, "Invalid spatial reference"); }); @@ -327,16 +319,15 @@ describe("Core/ArcGISTiledElevationTerrainProvider", function () { delete metadata.tileInfo; await expectAsync( - ArcGISTiledElevationTerrainProvider.fromUrl(baseUrl) + ArcGISTiledElevationTerrainProvider.fromUrl(baseUrl), ).toBeRejectedWithError(RuntimeError, "tileInfo is required"); }); it("checks availability if TileMap capability exists", async function () { const baseUrl = "made/up/url"; - const terrainProvider = await ArcGISTiledElevationTerrainProvider.fromUrl( - baseUrl - ); + const terrainProvider = + await ArcGISTiledElevationTerrainProvider.fromUrl(baseUrl); expect(terrainProvider._hasAvailability).toBe(true); expect(terrainProvider._tilesAvailable).toBeDefined(); @@ -347,9 +338,8 @@ describe("Core/ArcGISTiledElevationTerrainProvider", function () { const baseUrl = "made/up/url"; metadata.capabilities = "Image,Mensuration"; - const terrainProvider = await ArcGISTiledElevationTerrainProvider.fromUrl( - baseUrl - ); + const terrainProvider = + await ArcGISTiledElevationTerrainProvider.fromUrl(baseUrl); expect(terrainProvider._hasAvailability).toBe(false); expect(terrainProvider._tilesAvailable).toBeUndefined(); @@ -360,9 +350,8 @@ describe("Core/ArcGISTiledElevationTerrainProvider", function () { it("provides HeightmapTerrainData", async function () { const baseUrl = "made/up/url"; - const terrainProvider = await ArcGISTiledElevationTerrainProvider.fromUrl( - baseUrl - ); + const terrainProvider = + await ArcGISTiledElevationTerrainProvider.fromUrl(baseUrl); const promise = terrainProvider.requestTileGeometry(0, 0, 0); RequestScheduler.update(); @@ -377,15 +366,14 @@ describe("Core/ArcGISTiledElevationTerrainProvider", function () { Resource._Implementations.createImage = function ( request, crossOrigin, - deferred + deferred, ) { // Do nothing, so requests never complete deferreds.push(deferred); }; - const terrainProvider = await ArcGISTiledElevationTerrainProvider.fromUrl( - baseUrl - ); + const terrainProvider = + await ArcGISTiledElevationTerrainProvider.fromUrl(baseUrl); let promise; let i; @@ -410,7 +398,7 @@ describe("Core/ArcGISTiledElevationTerrainProvider", function () { await Promise.all( deferreds.map(function (deferred) { return deferred.promise; - }) + }), ); }); }); diff --git a/packages/engine/Specs/Core/AttributeCompressionSpec.js b/packages/engine/Specs/Core/AttributeCompressionSpec.js index c288d01c4d1f..1a9237e6f8b1 100644 --- a/packages/engine/Specs/Core/AttributeCompressionSpec.js +++ b/packages/engine/Specs/Core/AttributeCompressionSpec.js @@ -113,28 +113,28 @@ describe("Core/AttributeCompression", function () { expect(function () { AttributeCompression.octDecodeFromCartesian4( new Cartesian4(256, 0, 0, 0), - result + result, ); }).toThrowDeveloperError(); expect(function () { AttributeCompression.octDecodeFromCartesian4( new Cartesian4(0, 256, 0, 0), - result + result, ); }).toThrowDeveloperError(); expect(function () { AttributeCompression.octDecodeFromCartesian4( new Cartesian4(0, 0, 256, 0), - result + result, ); }).toThrowDeveloperError(); expect(function () { AttributeCompression.octDecodeFromCartesian4( new Cartesian4(0, 0, 0, 256), - result + result, ); }).toThrowDeveloperError(); }); @@ -147,93 +147,93 @@ describe("Core/AttributeCompression", function () { let normal = new Cartesian3(0.0, 0.0, 1.0); AttributeCompression.octEncode(normal, encoded); expect( - AttributeCompression.octDecode(encoded.x, encoded.y, result) + AttributeCompression.octDecode(encoded.x, encoded.y, result), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(0.0, 0.0, -1.0); AttributeCompression.octEncode(normal, encoded); expect( - AttributeCompression.octDecode(encoded.x, encoded.y, result) + AttributeCompression.octDecode(encoded.x, encoded.y, result), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(0.0, 1.0, 0.0); AttributeCompression.octEncode(normal, encoded); expect( - AttributeCompression.octDecode(encoded.x, encoded.y, result) + AttributeCompression.octDecode(encoded.x, encoded.y, result), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(0.0, -1.0, 0.0); AttributeCompression.octEncode(normal, encoded); expect( - AttributeCompression.octDecode(encoded.x, encoded.y, result) + AttributeCompression.octDecode(encoded.x, encoded.y, result), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(1.0, 0.0, 0.0); AttributeCompression.octEncode(normal, encoded); expect( - AttributeCompression.octDecode(encoded.x, encoded.y, result) + AttributeCompression.octDecode(encoded.x, encoded.y, result), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(-1.0, 0.0, 0.0); AttributeCompression.octEncode(normal, encoded); expect( - AttributeCompression.octDecode(encoded.x, encoded.y, result) + AttributeCompression.octDecode(encoded.x, encoded.y, result), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(1.0, 1.0, 1.0); Cartesian3.normalize(normal, normal); AttributeCompression.octEncode(normal, encoded); expect( - AttributeCompression.octDecode(encoded.x, encoded.y, result) + AttributeCompression.octDecode(encoded.x, encoded.y, result), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(1.0, -1.0, 1.0); Cartesian3.normalize(normal, normal); AttributeCompression.octEncode(normal, encoded); expect( - AttributeCompression.octDecode(encoded.x, encoded.y, result) + AttributeCompression.octDecode(encoded.x, encoded.y, result), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(-1.0, -1.0, 1.0); Cartesian3.normalize(normal, normal); AttributeCompression.octEncode(normal, encoded); expect( - AttributeCompression.octDecode(encoded.x, encoded.y, result) + AttributeCompression.octDecode(encoded.x, encoded.y, result), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(-1.0, 1.0, 1.0); Cartesian3.normalize(normal, normal); AttributeCompression.octEncode(normal, encoded); expect( - AttributeCompression.octDecode(encoded.x, encoded.y, result) + AttributeCompression.octDecode(encoded.x, encoded.y, result), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(1.0, 1.0, -1.0); Cartesian3.normalize(normal, normal); AttributeCompression.octEncode(normal, encoded); expect( - AttributeCompression.octDecode(encoded.x, encoded.y, result) + AttributeCompression.octDecode(encoded.x, encoded.y, result), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(1.0, -1.0, -1.0); Cartesian3.normalize(normal, normal); AttributeCompression.octEncode(normal, encoded); expect( - AttributeCompression.octDecode(encoded.x, encoded.y, result) + AttributeCompression.octDecode(encoded.x, encoded.y, result), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(-1.0, 1.0, -1.0); Cartesian3.normalize(normal, normal); AttributeCompression.octEncode(normal, encoded); expect( - AttributeCompression.octDecode(encoded.x, encoded.y, result) + AttributeCompression.octDecode(encoded.x, encoded.y, result), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(-1.0, -1.0, -1.0); Cartesian3.normalize(normal, normal); AttributeCompression.octEncode(normal, encoded); expect( - AttributeCompression.octDecode(encoded.x, encoded.y, result) + AttributeCompression.octDecode(encoded.x, encoded.y, result), ).toEqualEpsilon(normal, epsilon); }); @@ -250,8 +250,8 @@ describe("Core/AttributeCompression", function () { encoded.x, encoded.y, rangeMax, - result - ) + result, + ), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(0.0, 0.0, -1.0); @@ -261,8 +261,8 @@ describe("Core/AttributeCompression", function () { encoded.x, encoded.y, rangeMax, - result - ) + result, + ), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(0.0, 1.0, 0.0); @@ -272,8 +272,8 @@ describe("Core/AttributeCompression", function () { encoded.x, encoded.y, rangeMax, - result - ) + result, + ), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(0.0, -1.0, 0.0); @@ -283,8 +283,8 @@ describe("Core/AttributeCompression", function () { encoded.x, encoded.y, rangeMax, - result - ) + result, + ), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(1.0, 0.0, 0.0); @@ -294,8 +294,8 @@ describe("Core/AttributeCompression", function () { encoded.x, encoded.y, rangeMax, - result - ) + result, + ), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(-1.0, 0.0, 0.0); @@ -305,8 +305,8 @@ describe("Core/AttributeCompression", function () { encoded.x, encoded.y, rangeMax, - result - ) + result, + ), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(1.0, 1.0, 1.0); @@ -317,8 +317,8 @@ describe("Core/AttributeCompression", function () { encoded.x, encoded.y, rangeMax, - result - ) + result, + ), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(1.0, -1.0, 1.0); @@ -329,8 +329,8 @@ describe("Core/AttributeCompression", function () { encoded.x, encoded.y, rangeMax, - result - ) + result, + ), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(-1.0, -1.0, 1.0); @@ -341,8 +341,8 @@ describe("Core/AttributeCompression", function () { encoded.x, encoded.y, rangeMax, - result - ) + result, + ), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(-1.0, 1.0, 1.0); @@ -353,8 +353,8 @@ describe("Core/AttributeCompression", function () { encoded.x, encoded.y, rangeMax, - result - ) + result, + ), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(1.0, 1.0, -1.0); @@ -365,8 +365,8 @@ describe("Core/AttributeCompression", function () { encoded.x, encoded.y, rangeMax, - result - ) + result, + ), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(1.0, -1.0, -1.0); @@ -377,8 +377,8 @@ describe("Core/AttributeCompression", function () { encoded.x, encoded.y, rangeMax, - result - ) + result, + ), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(-1.0, 1.0, -1.0); @@ -389,8 +389,8 @@ describe("Core/AttributeCompression", function () { encoded.x, encoded.y, rangeMax, - result - ) + result, + ), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(-1.0, -1.0, -1.0); @@ -401,8 +401,8 @@ describe("Core/AttributeCompression", function () { encoded.x, encoded.y, rangeMax, - result - ) + result, + ), ).toEqualEpsilon(normal, epsilon); }); @@ -414,93 +414,93 @@ describe("Core/AttributeCompression", function () { let normal = new Cartesian3(0.0, 0.0, 1.0); AttributeCompression.octEncodeToCartesian4(normal, encoded); expect( - AttributeCompression.octDecodeFromCartesian4(encoded, result) + AttributeCompression.octDecodeFromCartesian4(encoded, result), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(0.0, 0.0, -1.0); AttributeCompression.octEncodeToCartesian4(normal, encoded); expect( - AttributeCompression.octDecodeFromCartesian4(encoded, result) + AttributeCompression.octDecodeFromCartesian4(encoded, result), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(0.0, 1.0, 0.0); AttributeCompression.octEncodeToCartesian4(normal, encoded); expect( - AttributeCompression.octDecodeFromCartesian4(encoded, result) + AttributeCompression.octDecodeFromCartesian4(encoded, result), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(0.0, -1.0, 0.0); AttributeCompression.octEncodeToCartesian4(normal, encoded); expect( - AttributeCompression.octDecodeFromCartesian4(encoded, result) + AttributeCompression.octDecodeFromCartesian4(encoded, result), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(1.0, 0.0, 0.0); AttributeCompression.octEncodeToCartesian4(normal, encoded); expect( - AttributeCompression.octDecodeFromCartesian4(encoded, result) + AttributeCompression.octDecodeFromCartesian4(encoded, result), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(-1.0, 0.0, 0.0); AttributeCompression.octEncodeToCartesian4(normal, encoded); expect( - AttributeCompression.octDecodeFromCartesian4(encoded, result) + AttributeCompression.octDecodeFromCartesian4(encoded, result), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(1.0, 1.0, 1.0); Cartesian3.normalize(normal, normal); AttributeCompression.octEncodeToCartesian4(normal, encoded); expect( - AttributeCompression.octDecodeFromCartesian4(encoded, result) + AttributeCompression.octDecodeFromCartesian4(encoded, result), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(1.0, -1.0, 1.0); Cartesian3.normalize(normal, normal); AttributeCompression.octEncodeToCartesian4(normal, encoded); expect( - AttributeCompression.octDecodeFromCartesian4(encoded, result) + AttributeCompression.octDecodeFromCartesian4(encoded, result), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(-1.0, -1.0, 1.0); Cartesian3.normalize(normal, normal); AttributeCompression.octEncodeToCartesian4(normal, encoded); expect( - AttributeCompression.octDecodeFromCartesian4(encoded, result) + AttributeCompression.octDecodeFromCartesian4(encoded, result), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(-1.0, 1.0, 1.0); Cartesian3.normalize(normal, normal); AttributeCompression.octEncodeToCartesian4(normal, encoded); expect( - AttributeCompression.octDecodeFromCartesian4(encoded, result) + AttributeCompression.octDecodeFromCartesian4(encoded, result), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(1.0, 1.0, -1.0); Cartesian3.normalize(normal, normal); AttributeCompression.octEncodeToCartesian4(normal, encoded); expect( - AttributeCompression.octDecodeFromCartesian4(encoded, result) + AttributeCompression.octDecodeFromCartesian4(encoded, result), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(1.0, -1.0, -1.0); Cartesian3.normalize(normal, normal); AttributeCompression.octEncodeToCartesian4(normal, encoded); expect( - AttributeCompression.octDecodeFromCartesian4(encoded, result) + AttributeCompression.octDecodeFromCartesian4(encoded, result), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(-1.0, 1.0, -1.0); Cartesian3.normalize(normal, normal); AttributeCompression.octEncodeToCartesian4(normal, encoded); expect( - AttributeCompression.octDecodeFromCartesian4(encoded, result) + AttributeCompression.octDecodeFromCartesian4(encoded, result), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(-1.0, -1.0, -1.0); Cartesian3.normalize(normal, normal); AttributeCompression.octEncodeToCartesian4(normal, encoded); expect( - AttributeCompression.octDecodeFromCartesian4(encoded, result) + AttributeCompression.octDecodeFromCartesian4(encoded, result), ).toEqualEpsilon(normal, epsilon); }); @@ -512,48 +512,48 @@ describe("Core/AttributeCompression", function () { expect( AttributeCompression.octDecodeFloat( AttributeCompression.octEncodeFloat(normal), - result - ) + result, + ), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(0.0, 0.0, -1.0); expect( AttributeCompression.octDecodeFloat( AttributeCompression.octEncodeFloat(normal), - result - ) + result, + ), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(0.0, 1.0, 0.0); expect( AttributeCompression.octDecodeFloat( AttributeCompression.octEncodeFloat(normal), - result - ) + result, + ), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(0.0, -1.0, 0.0); expect( AttributeCompression.octDecodeFloat( AttributeCompression.octEncodeFloat(normal), - result - ) + result, + ), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(1.0, 0.0, 0.0); expect( AttributeCompression.octDecodeFloat( AttributeCompression.octEncodeFloat(normal), - result - ) + result, + ), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(-1.0, 0.0, 0.0); expect( AttributeCompression.octDecodeFloat( AttributeCompression.octEncodeFloat(normal), - result - ) + result, + ), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(1.0, 1.0, 1.0); @@ -561,8 +561,8 @@ describe("Core/AttributeCompression", function () { expect( AttributeCompression.octDecodeFloat( AttributeCompression.octEncodeFloat(normal), - result - ) + result, + ), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(1.0, -1.0, 1.0); @@ -570,8 +570,8 @@ describe("Core/AttributeCompression", function () { expect( AttributeCompression.octDecodeFloat( AttributeCompression.octEncodeFloat(normal), - result - ) + result, + ), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(-1.0, -1.0, 1.0); @@ -579,8 +579,8 @@ describe("Core/AttributeCompression", function () { expect( AttributeCompression.octDecodeFloat( AttributeCompression.octEncodeFloat(normal), - result - ) + result, + ), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(-1.0, 1.0, 1.0); @@ -588,8 +588,8 @@ describe("Core/AttributeCompression", function () { expect( AttributeCompression.octDecodeFloat( AttributeCompression.octEncodeFloat(normal), - result - ) + result, + ), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(1.0, 1.0, -1.0); @@ -597,8 +597,8 @@ describe("Core/AttributeCompression", function () { expect( AttributeCompression.octDecodeFloat( AttributeCompression.octEncodeFloat(normal), - result - ) + result, + ), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(1.0, -1.0, -1.0); @@ -606,8 +606,8 @@ describe("Core/AttributeCompression", function () { expect( AttributeCompression.octDecodeFloat( AttributeCompression.octEncodeFloat(normal), - result - ) + result, + ), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(-1.0, 1.0, -1.0); @@ -615,8 +615,8 @@ describe("Core/AttributeCompression", function () { expect( AttributeCompression.octDecodeFloat( AttributeCompression.octEncodeFloat(normal), - result - ) + result, + ), ).toEqualEpsilon(normal, epsilon); normal = new Cartesian3(-1.0, -1.0, -1.0); @@ -624,8 +624,8 @@ describe("Core/AttributeCompression", function () { expect( AttributeCompression.octDecodeFloat( AttributeCompression.octEncodeFloat(normal), - result - ) + result, + ), ).toEqualEpsilon(normal, epsilon); }); @@ -639,7 +639,7 @@ describe("Core/AttributeCompression", function () { AttributeCompression.octDecode(encoded.x, encoded.y, result1); AttributeCompression.octDecodeFloat( AttributeCompression.octEncodeFloat(normal), - result2 + result2, ); expect(result1).toEqual(result2); @@ -648,7 +648,7 @@ describe("Core/AttributeCompression", function () { AttributeCompression.octDecode(encoded.x, encoded.y, result1); AttributeCompression.octDecodeFloat( AttributeCompression.octEncodeFloat(normal), - result2 + result2, ); expect(result1).toEqual(result2); @@ -657,7 +657,7 @@ describe("Core/AttributeCompression", function () { AttributeCompression.octDecode(encoded.x, encoded.y, result1); AttributeCompression.octDecodeFloat( AttributeCompression.octEncodeFloat(normal), - result2 + result2, ); expect(result1).toEqual(result2); @@ -666,7 +666,7 @@ describe("Core/AttributeCompression", function () { AttributeCompression.octDecode(encoded.x, encoded.y, result1); AttributeCompression.octDecodeFloat( AttributeCompression.octEncodeFloat(normal), - result2 + result2, ); expect(result1).toEqual(result2); @@ -675,7 +675,7 @@ describe("Core/AttributeCompression", function () { AttributeCompression.octDecode(encoded.x, encoded.y, result1); AttributeCompression.octDecodeFloat( AttributeCompression.octEncodeFloat(normal), - result2 + result2, ); expect(result1).toEqual(result2); @@ -684,7 +684,7 @@ describe("Core/AttributeCompression", function () { AttributeCompression.octDecode(encoded.x, encoded.y, result1); AttributeCompression.octDecodeFloat( AttributeCompression.octEncodeFloat(normal), - result2 + result2, ); expect(result1).toEqual(result2); @@ -694,7 +694,7 @@ describe("Core/AttributeCompression", function () { AttributeCompression.octDecode(encoded.x, encoded.y, result1); AttributeCompression.octDecodeFloat( AttributeCompression.octEncodeFloat(normal), - result2 + result2, ); expect(result1).toEqual(result2); @@ -704,7 +704,7 @@ describe("Core/AttributeCompression", function () { AttributeCompression.octDecode(encoded.x, encoded.y, result1); AttributeCompression.octDecodeFloat( AttributeCompression.octEncodeFloat(normal), - result2 + result2, ); expect(result1).toEqual(result2); @@ -714,7 +714,7 @@ describe("Core/AttributeCompression", function () { AttributeCompression.octDecode(encoded.x, encoded.y, result1); AttributeCompression.octDecodeFloat( AttributeCompression.octEncodeFloat(normal), - result2 + result2, ); expect(result1).toEqual(result2); @@ -724,7 +724,7 @@ describe("Core/AttributeCompression", function () { AttributeCompression.octDecode(encoded.x, encoded.y, result1); AttributeCompression.octDecodeFloat( AttributeCompression.octEncodeFloat(normal), - result2 + result2, ); expect(result1).toEqual(result2); @@ -734,7 +734,7 @@ describe("Core/AttributeCompression", function () { AttributeCompression.octDecode(encoded.x, encoded.y, result1); AttributeCompression.octDecodeFloat( AttributeCompression.octEncodeFloat(normal), - result2 + result2, ); expect(result1).toEqual(result2); @@ -744,7 +744,7 @@ describe("Core/AttributeCompression", function () { AttributeCompression.octDecode(encoded.x, encoded.y, result1); AttributeCompression.octDecodeFloat( AttributeCompression.octEncodeFloat(normal), - result2 + result2, ); expect(result1).toEqual(result2); @@ -754,7 +754,7 @@ describe("Core/AttributeCompression", function () { AttributeCompression.octDecode(encoded.x, encoded.y, result1); AttributeCompression.octDecodeFloat( AttributeCompression.octEncodeFloat(normal), - result2 + result2, ); expect(result1).toEqual(result2); @@ -764,7 +764,7 @@ describe("Core/AttributeCompression", function () { AttributeCompression.octDecode(encoded.x, encoded.y, result1); AttributeCompression.octDecodeFloat( AttributeCompression.octEncodeFloat(normal), - result2 + result2, ); expect(result1).toEqual(result2); }); @@ -794,9 +794,9 @@ describe("Core/AttributeCompression", function () { const encoded = AttributeCompression.octEncode(vector, new Cartesian2()); const encodedFloat = AttributeCompression.octPackFloat(encoded); expect( - AttributeCompression.octDecodeFloat(encodedFloat, new Cartesian3()) + AttributeCompression.octDecodeFloat(encodedFloat, new Cartesian3()), ).toEqual( - AttributeCompression.octDecode(encoded.x, encoded.y, new Cartesian3()) + AttributeCompression.octDecode(encoded.x, encoded.y, new Cartesian3()), ); }); @@ -820,20 +820,20 @@ describe("Core/AttributeCompression", function () { expect(decodedX).toEqual( AttributeCompression.octDecodeFloat( AttributeCompression.octEncodeFloat(x), - new Cartesian3() - ) + new Cartesian3(), + ), ); expect(decodedY).toEqual( AttributeCompression.octDecodeFloat( AttributeCompression.octEncodeFloat(y), - new Cartesian3() - ) + new Cartesian3(), + ), ); expect(decodedZ).toEqual( AttributeCompression.octDecodeFloat( AttributeCompression.octEncodeFloat(z), - new Cartesian3() - ) + new Cartesian3(), + ), ); }); @@ -843,7 +843,7 @@ describe("Core/AttributeCompression", function () { undefined, new Cartesian3(), new Cartesian3(), - new Cartesian2() + new Cartesian2(), ); }).toThrowDeveloperError(); }); @@ -854,7 +854,7 @@ describe("Core/AttributeCompression", function () { new Cartesian3(), undefined, new Cartesian3(), - new Cartesian2() + new Cartesian2(), ); }).toThrowDeveloperError(); }); @@ -865,7 +865,7 @@ describe("Core/AttributeCompression", function () { new Cartesian3(), new Cartesian3(), undefined, - new Cartesian2() + new Cartesian2(), ); }).toThrowDeveloperError(); }); @@ -876,7 +876,7 @@ describe("Core/AttributeCompression", function () { new Cartesian3(), new Cartesian3(), new Cartesian3(), - undefined + undefined, ); }).toThrowDeveloperError(); }); @@ -887,7 +887,7 @@ describe("Core/AttributeCompression", function () { undefined, new Cartesian3(), new Cartesian3(), - new Cartesian3() + new Cartesian3(), ); }).toThrowDeveloperError(); }); @@ -898,7 +898,7 @@ describe("Core/AttributeCompression", function () { new Cartesian2(), undefined, new Cartesian3(), - new Cartesian3() + new Cartesian3(), ); }).toThrowDeveloperError(); }); @@ -909,7 +909,7 @@ describe("Core/AttributeCompression", function () { new Cartesian2(), new Cartesian3(), undefined, - new Cartesian3() + new Cartesian3(), ); }).toThrowDeveloperError(); }); @@ -920,7 +920,7 @@ describe("Core/AttributeCompression", function () { new Cartesian2(), new Cartesian3(), new Cartesian3(), - undefined + undefined, ); }).toThrowDeveloperError(); }); @@ -930,8 +930,8 @@ describe("Core/AttributeCompression", function () { expect( AttributeCompression.decompressTextureCoordinates( AttributeCompression.compressTextureCoordinates(coords), - new Cartesian2() - ) + new Cartesian2(), + ), ).toEqualEpsilon(coords, 1.0 / 4096.0); }); @@ -945,7 +945,7 @@ describe("Core/AttributeCompression", function () { expect(function () { AttributeCompression.decompressTextureCoordinates( undefined, - new Cartesian2() + new Cartesian2(), ); }).toThrowDeveloperError(); }); @@ -961,8 +961,8 @@ describe("Core/AttributeCompression", function () { expect( AttributeCompression.decompressTextureCoordinates( AttributeCompression.compressTextureCoordinates(coords), - new Cartesian2() - ) + new Cartesian2(), + ), ).toEqual(coords); }); @@ -971,8 +971,8 @@ describe("Core/AttributeCompression", function () { expect( AttributeCompression.decompressTextureCoordinates( AttributeCompression.compressTextureCoordinates(coords), - new Cartesian2() - ) + new Cartesian2(), + ), ).toEqual(coords); }); @@ -981,8 +981,8 @@ describe("Core/AttributeCompression", function () { expect( AttributeCompression.decompressTextureCoordinates( AttributeCompression.compressTextureCoordinates(coords), - new Cartesian2() - ) + new Cartesian2(), + ), ).toEqualEpsilon(coords, 1.0 / 4095.0); }); @@ -991,8 +991,8 @@ describe("Core/AttributeCompression", function () { expect( AttributeCompression.decompressTextureCoordinates( AttributeCompression.compressTextureCoordinates(coords), - new Cartesian2() - ) + new Cartesian2(), + ), ).toEqualEpsilon(coords, 1.0 / 4095.0); }); @@ -1001,8 +1001,8 @@ describe("Core/AttributeCompression", function () { expect( AttributeCompression.decompressTextureCoordinates( AttributeCompression.compressTextureCoordinates(coords), - new Cartesian2() - ) + new Cartesian2(), + ), ).toEqualEpsilon(coords, 1.0 / 4095.0); }); @@ -1056,7 +1056,7 @@ describe("Core/AttributeCompression", function () { const vBuffer = new Uint16Array( encoded.buffer, length * Uint16Array.BYTES_PER_ELEMENT, - length + length, ); AttributeCompression.zigZagDeltaDecode(uBuffer, vBuffer); @@ -1079,18 +1079,18 @@ describe("Core/AttributeCompression", function () { const encoded = deltaZigZagEncode( decodedUBuffer, decodedVBuffer, - decodedHeightBuffer + decodedHeightBuffer, ); const uBuffer = new Uint16Array(encoded.buffer, 0, length); const vBuffer = new Uint16Array( encoded.buffer, length * Uint16Array.BYTES_PER_ELEMENT, - length + length, ); const heightBuffer = new Uint16Array( encoded.buffer, 2 * length * Uint16Array.BYTES_PER_ELEMENT, - length + length, ); AttributeCompression.zigZagDeltaDecode(uBuffer, vBuffer, heightBuffer); @@ -1116,7 +1116,7 @@ describe("Core/AttributeCompression", function () { expect(function () { AttributeCompression.zigZagDeltaDecode( new Uint16Array(10), - new Uint16Array(11) + new Uint16Array(11), ); }).toThrowDeveloperError(); }); @@ -1126,7 +1126,7 @@ describe("Core/AttributeCompression", function () { AttributeCompression.zigZagDeltaDecode( new Uint16Array(10), new Uint16Array(10), - new Uint16Array(11) + new Uint16Array(11), ); }).toThrowDeveloperError(); }); @@ -1137,7 +1137,7 @@ describe("Core/AttributeCompression", function () { undefined, ComponentDatatype.UNSIGNED_BYTE, AttributeType.VEC3, - 1 + 1, ); }).toThrowDeveloperError(); }); @@ -1148,7 +1148,7 @@ describe("Core/AttributeCompression", function () { new Uint8Array([0, 0, 0, 0]), undefined, AttributeType.VEC3, - 1 + 1, ); }).toThrowDeveloperError(); }); @@ -1159,7 +1159,7 @@ describe("Core/AttributeCompression", function () { new Uint8Array([0, 0, 0, 0]), ComponentDatatype.UNSIGNED_BYTE, undefined, - 1 + 1, ); }).toThrowDeveloperError(); }); @@ -1170,7 +1170,7 @@ describe("Core/AttributeCompression", function () { new Uint8Array([0, 0, 0, 0]), ComponentDatatype.UNSIGNED_BYTE, AttributeType.VEC3, - undefined + undefined, ); }).toThrowDeveloperError(); }); @@ -1182,7 +1182,7 @@ describe("Core/AttributeCompression", function () { new Int8Array(input), ComponentDatatype.BYTE, AttributeType.VEC3, - 3 + 3, ); for (let i = 0; i < input.length; i++) { expect(result[i]).toEqualEpsilon(expected[i], CesiumMath.EPSILON2); @@ -1196,7 +1196,7 @@ describe("Core/AttributeCompression", function () { new Uint8Array(input), ComponentDatatype.UNSIGNED_BYTE, AttributeType.VEC3, - 3 + 3, ); for (let i = 0; i < input.length; i++) { expect(result[i]).toEqualEpsilon(expected[i], CesiumMath.EPSILON2); @@ -1210,7 +1210,7 @@ describe("Core/AttributeCompression", function () { new Int16Array(input), ComponentDatatype.SHORT, AttributeType.VEC3, - 3 + 3, ); for (let i = 0; i < input.length; i++) { expect(result[i]).toEqualEpsilon(expected[i], CesiumMath.EPSILON5); @@ -1224,7 +1224,7 @@ describe("Core/AttributeCompression", function () { new Uint16Array(input), ComponentDatatype.UNSIGNED_SHORT, AttributeType.VEC3, - 3 + 3, ); for (let i = 0; i < input.length; i++) { expect(result[i]).toEqualEpsilon(expected[i], CesiumMath.EPSILON5); @@ -1233,14 +1233,7 @@ describe("Core/AttributeCompression", function () { it("dequantize works with INT", function () { const input = [ - -2147483647, - -2147483647, - -2147483647, - 0, - 0, - 0, - 2147483647, - 2147483647, + -2147483647, -2147483647, -2147483647, 0, 0, 0, 2147483647, 2147483647, 2147483647, ]; const expected = [-1, -1, -1, 0, 0, 0, 1, 1, 1]; @@ -1248,7 +1241,7 @@ describe("Core/AttributeCompression", function () { new Int32Array(input), ComponentDatatype.INT, AttributeType.VEC3, - 3 + 3, ); for (let i = 0; i < input.length; i++) { expect(result[i]).toEqual(expected[i]); @@ -1257,14 +1250,7 @@ describe("Core/AttributeCompression", function () { it("dequantize works with UNSIGNED_INT", function () { const input = [ - 0, - 0, - 0, - 2147483647, - 2147483647, - 2147483647, - 4294967295, - 4294967295, + 0, 0, 0, 2147483647, 2147483647, 2147483647, 4294967295, 4294967295, 4294967295, ]; const expected = [0, 0, 0, 0.5, 0.5, 0.5, 1, 1, 1]; @@ -1272,7 +1258,7 @@ describe("Core/AttributeCompression", function () { new Uint32Array(input), ComponentDatatype.UNSIGNED_INT, AttributeType.VEC3, - 3 + 3, ); for (let i = 0; i < input.length; i++) { expect(result[i]).toEqual(expected[i]); @@ -1289,7 +1275,7 @@ describe("Core/AttributeCompression", function () { expect(function () { return AttributeCompression.decodeRGB565( new Uint16Array([0]), - new Float32Array(1) + new Float32Array(1), ); }).toThrowDeveloperError(); }); diff --git a/packages/engine/Specs/Core/AxisAlignedBoundingBoxSpec.js b/packages/engine/Specs/Core/AxisAlignedBoundingBoxSpec.js index 0a7ced5342d9..d01e1155ec3d 100644 --- a/packages/engine/Specs/Core/AxisAlignedBoundingBoxSpec.js +++ b/packages/engine/Specs/Core/AxisAlignedBoundingBoxSpec.js @@ -109,7 +109,7 @@ describe("Core/AxisAlignedBoundingBox", function () { it("clone without a result parameter", function () { const box = new AxisAlignedBoundingBox( Cartesian3.UNIT_Y, - Cartesian3.UNIT_X + Cartesian3.UNIT_X, ); const result = box.clone(); expect(box).not.toBe(result); @@ -120,7 +120,7 @@ describe("Core/AxisAlignedBoundingBox", function () { const box = new AxisAlignedBoundingBox( Cartesian3.UNIT_Y, Cartesian3.UNIT_X, - Cartesian3.UNIT_Z + Cartesian3.UNIT_Z, ); const result = box.clone(); expect(box).not.toBe(result); @@ -130,11 +130,11 @@ describe("Core/AxisAlignedBoundingBox", function () { it("clone with a result parameter", function () { const box = new AxisAlignedBoundingBox( Cartesian3.UNIT_Y, - Cartesian3.UNIT_X + Cartesian3.UNIT_X, ); const result = new AxisAlignedBoundingBox( Cartesian3.ZERO, - Cartesian3.UNIT_Z + Cartesian3.UNIT_Z, ); const returnedResult = box.clone(result); expect(result).toBe(returnedResult); @@ -145,7 +145,7 @@ describe("Core/AxisAlignedBoundingBox", function () { it('clone works with "this" result parameter', function () { const box = new AxisAlignedBoundingBox( Cartesian3.UNIT_Y, - Cartesian3.UNIT_X + Cartesian3.UNIT_X, ); const returnedResult = box.clone(box); expect(box).toBe(returnedResult); @@ -157,7 +157,7 @@ describe("Core/AxisAlignedBoundingBox", function () { const box = new AxisAlignedBoundingBox( Cartesian3.UNIT_X, Cartesian3.UNIT_Y, - Cartesian3.UNIT_Z + Cartesian3.UNIT_Z, ); const bogie = new Cartesian3(2, 3, 4); expect( @@ -165,24 +165,24 @@ describe("Core/AxisAlignedBoundingBox", function () { new AxisAlignedBoundingBox( Cartesian3.UNIT_X, Cartesian3.UNIT_Y, - Cartesian3.UNIT_Z - ) - ) + Cartesian3.UNIT_Z, + ), + ), ).toEqual(true); expect( box.equals( - new AxisAlignedBoundingBox(bogie, Cartesian3.UNIT_Y, Cartesian3.UNIT_Y) - ) + new AxisAlignedBoundingBox(bogie, Cartesian3.UNIT_Y, Cartesian3.UNIT_Y), + ), ).toEqual(false); expect( box.equals( - new AxisAlignedBoundingBox(Cartesian3.UNIT_X, bogie, Cartesian3.UNIT_Z) - ) + new AxisAlignedBoundingBox(Cartesian3.UNIT_X, bogie, Cartesian3.UNIT_Z), + ), ).toEqual(false); expect( box.equals( - new AxisAlignedBoundingBox(Cartesian3.UNIT_X, Cartesian3.UNIT_Y, bogie) - ) + new AxisAlignedBoundingBox(Cartesian3.UNIT_X, Cartesian3.UNIT_Y, bogie), + ), ).toEqual(false); expect(box.equals(undefined)).toEqual(false); }); @@ -197,7 +197,7 @@ describe("Core/AxisAlignedBoundingBox", function () { it("intersectPlane works with box on the positive side of a plane", function () { const box = new AxisAlignedBoundingBox( Cartesian3.negate(Cartesian3.UNIT_X, new Cartesian3()), - Cartesian3.ZERO + Cartesian3.ZERO, ); const normal = Cartesian3.negate(Cartesian3.UNIT_X, new Cartesian3()); const position = Cartesian3.UNIT_X; @@ -208,7 +208,7 @@ describe("Core/AxisAlignedBoundingBox", function () { it("intersectPlane works with box on the negative side of a plane", function () { const box = new AxisAlignedBoundingBox( Cartesian3.negate(Cartesian3.UNIT_X, new Cartesian3()), - Cartesian3.ZERO + Cartesian3.ZERO, ); const normal = Cartesian3.UNIT_X; const position = Cartesian3.UNIT_X; @@ -219,7 +219,7 @@ describe("Core/AxisAlignedBoundingBox", function () { it("intersectPlane works with box intersecting a plane", function () { const box = new AxisAlignedBoundingBox( Cartesian3.ZERO, - Cartesian3.multiplyByScalar(Cartesian3.UNIT_X, 2.0, new Cartesian3()) + Cartesian3.multiplyByScalar(Cartesian3.UNIT_X, 2.0, new Cartesian3()), ); const normal = Cartesian3.UNIT_X; const position = Cartesian3.UNIT_X; diff --git a/packages/engine/Specs/Core/BingMapsGeocoderServiceSpec.js b/packages/engine/Specs/Core/BingMapsGeocoderServiceSpec.js index 9d5dbccfda02..cf707183a611 100644 --- a/packages/engine/Specs/Core/BingMapsGeocoderServiceSpec.js +++ b/packages/engine/Specs/Core/BingMapsGeocoderServiceSpec.js @@ -34,7 +34,7 @@ describe("Core/BingMapsGeocoderService", function () { Resource._Implementations.loadAndExecuteScript = function ( url, functionName, - deferred + deferred, ) { const parsedUrl = new URL(url); expect(parsedUrl.searchParams.get("query")).toEqual(query); @@ -67,7 +67,7 @@ describe("Core/BingMapsGeocoderService", function () { Resource._Implementations.loadAndExecuteScript = function ( url, functionName, - deferred + deferred, ) { const parsedUrl = new URL(url); expect(parsedUrl.searchParams.get("query")).toEqual(query); @@ -90,7 +90,7 @@ describe("Core/BingMapsGeocoderService", function () { Resource._Implementations.loadAndExecuteScript = function ( url, functionName, - deferred + deferred, ) { deferred.resolve(data); }; @@ -111,7 +111,7 @@ describe("Core/BingMapsGeocoderService", function () { Resource._Implementations.loadAndExecuteScript = function ( url, functionName, - deferred + deferred, ) { deferred.resolve(data); }; @@ -125,7 +125,7 @@ describe("Core/BingMapsGeocoderService", function () { expect(service.credit).toBeInstanceOf(Credit); expect(service.credit.html).toEqual( - `<img src="http:\/\/dev.virtualearth.net\/Branding\/logo_powered_by.png"/>` + `<img src="http:\/\/dev.virtualearth.net\/Branding\/logo_powered_by.png"/>`, ); expect(service.credit.showOnScreen).toBe(false); }); diff --git a/packages/engine/Specs/Core/BoundingRectangleSpec.js b/packages/engine/Specs/Core/BoundingRectangleSpec.js index 9046e54b0523..f10b3410c341 100644 --- a/packages/engine/Specs/Core/BoundingRectangleSpec.js +++ b/packages/engine/Specs/Core/BoundingRectangleSpec.js @@ -55,19 +55,19 @@ describe("Core/BoundingRectangle", function () { it("equals", function () { const rectangle = new BoundingRectangle(1.0, 2.0, 3.0, 4.0); expect(rectangle.equals(new BoundingRectangle(1.0, 2.0, 3.0, 4.0))).toEqual( - true + true, ); expect(rectangle.equals(new BoundingRectangle(5.0, 2.0, 3.0, 4.0))).toEqual( - false + false, ); expect(rectangle.equals(new BoundingRectangle(1.0, 6.0, 3.0, 4.0))).toEqual( - false + false, ); expect(rectangle.equals(new BoundingRectangle(1.0, 2.0, 7.0, 4.0))).toEqual( - false + false, ); expect(rectangle.equals(new BoundingRectangle(1.0, 2.0, 3.0, 8.0))).toEqual( - false + false, ); expect(rectangle.equals(undefined)).toEqual(false); }); @@ -123,10 +123,10 @@ describe("Core/BoundingRectangle", function () { rectangle.west, rectangle.south, rectangle.east - rectangle.west, - rectangle.north - rectangle.south + rectangle.north - rectangle.south, ); expect(BoundingRectangle.fromRectangle(rectangle, projection)).toEqual( - expected + expected, ); }); @@ -136,7 +136,7 @@ describe("Core/BoundingRectangle", function () { rectangle.west, rectangle.south, rectangle.east - rectangle.west, - rectangle.north - rectangle.south + rectangle.north - rectangle.south, ); const projection = new GeographicProjection(Ellipsoid.UNIT_SPHERE); @@ -144,7 +144,7 @@ describe("Core/BoundingRectangle", function () { const returnedResult = BoundingRectangle.fromRectangle( rectangle, projection, - result + result, ); expect(result).toBe(returnedResult); expect(returnedResult).toEqual(expected); @@ -157,19 +157,19 @@ describe("Core/BoundingRectangle", function () { const rectangle5 = new BoundingRectangle(2, -6, 4, 4); const rectangle6 = new BoundingRectangle(2, 8, 4, 4); expect(BoundingRectangle.intersect(rectangle1, rectangle2)).toEqual( - Intersect.INTERSECTING + Intersect.INTERSECTING, ); expect(BoundingRectangle.intersect(rectangle1, rectangle3)).toEqual( - Intersect.OUTSIDE + Intersect.OUTSIDE, ); expect(BoundingRectangle.intersect(rectangle1, rectangle4)).toEqual( - Intersect.OUTSIDE + Intersect.OUTSIDE, ); expect(BoundingRectangle.intersect(rectangle1, rectangle5)).toEqual( - Intersect.OUTSIDE + Intersect.OUTSIDE, ); expect(BoundingRectangle.intersect(rectangle1, rectangle6)).toEqual( - Intersect.OUTSIDE + Intersect.OUTSIDE, ); }); @@ -189,7 +189,7 @@ describe("Core/BoundingRectangle", function () { const returnedResult = BoundingRectangle.union( rectangle1, rectangle2, - result + result, ); expect(result).toBe(returnedResult); expect(returnedResult).toEqual(expected); @@ -291,10 +291,9 @@ describe("Core/BoundingRectangle", function () { }).toThrowDeveloperError(); }); - createPackableSpecs(BoundingRectangle, new BoundingRectangle(1, 2, 3, 4), [ - 1, - 2, - 3, - 4, - ]); + createPackableSpecs( + BoundingRectangle, + new BoundingRectangle(1, 2, 3, 4), + [1, 2, 3, 4], + ); }); diff --git a/packages/engine/Specs/Core/BoundingSphereSpec.js b/packages/engine/Specs/Core/BoundingSphereSpec.js index 2ff3b3ade619..82283f4a7d60 100644 --- a/packages/engine/Specs/Core/BoundingSphereSpec.js +++ b/packages/engine/Specs/Core/BoundingSphereSpec.js @@ -125,19 +125,19 @@ describe("Core/BoundingSphere", function () { it("equals", function () { const sphere = new BoundingSphere(new Cartesian3(1.0, 2.0, 3.0), 4.0); expect( - sphere.equals(new BoundingSphere(new Cartesian3(1.0, 2.0, 3.0), 4.0)) + sphere.equals(new BoundingSphere(new Cartesian3(1.0, 2.0, 3.0), 4.0)), ).toEqual(true); expect( - sphere.equals(new BoundingSphere(new Cartesian3(5.0, 2.0, 3.0), 4.0)) + sphere.equals(new BoundingSphere(new Cartesian3(5.0, 2.0, 3.0), 4.0)), ).toEqual(false); expect( - sphere.equals(new BoundingSphere(new Cartesian3(1.0, 6.0, 3.0), 4.0)) + sphere.equals(new BoundingSphere(new Cartesian3(1.0, 6.0, 3.0), 4.0)), ).toEqual(false); expect( - sphere.equals(new BoundingSphere(new Cartesian3(1.0, 2.0, 7.0), 4.0)) + sphere.equals(new BoundingSphere(new Cartesian3(1.0, 2.0, 7.0), 4.0)), ).toEqual(false); expect( - sphere.equals(new BoundingSphere(new Cartesian3(1.0, 2.0, 3.0), 8.0)) + sphere.equals(new BoundingSphere(new Cartesian3(1.0, 2.0, 3.0), 8.0)), ).toEqual(false); expect(sphere.equals(undefined)).toEqual(false); }); @@ -185,7 +185,7 @@ describe("Core/BoundingSphere", function () { positions.push( new Cartesian3(1, 1, 1), new Cartesian3(2, 2, 2), - new Cartesian3(3, 3, 3) + new Cartesian3(3, 3, 3), ); const sphere = BoundingSphere.fromPoints(positions); const radius = sphere.radius; @@ -261,10 +261,10 @@ describe("Core/BoundingSphere", function () { for (let i = 0; i < numElements; i += 3) { expect(positions[i] <= max.x && positions[i] >= min.x).toEqual(true); expect(positions[i + 1] <= max.y && positions[i + 1] >= min.y).toEqual( - true + true, ); expect(positions[i + 2] <= max.z && positions[i + 2] >= min.z).toEqual( - true + true, ); } }); @@ -273,7 +273,7 @@ describe("Core/BoundingSphere", function () { const sphere = BoundingSphere.fromVertices( getPositionsAsFlatArrayWithStride5(), undefined, - 5 + 5, ); expect(sphere.center).toEqual(positionsCenter); expect(sphere.radius).toEqual(positionsRadius); @@ -284,10 +284,10 @@ describe("Core/BoundingSphere", function () { const sphere = BoundingSphere.fromVertices( getPositionsAsFlatArrayWithStride5(), center, - 5 + 5, ); expect(sphere.center).toEqual( - Cartesian3.add(positionsCenter, center, new Cartesian3()) + Cartesian3.add(positionsCenter, center, new Cartesian3()), ); expect(sphere.radius).toEqual(positionsRadius); }); @@ -306,11 +306,11 @@ describe("Core/BoundingSphere", function () { getPositionsAsFlatArrayWithStride5(), center, 5, - result + result, ); expect(sphere).toEqual(result); expect(result.center).toEqual( - Cartesian3.add(positionsCenter, center, new Cartesian3()) + Cartesian3.add(positionsCenter, center, new Cartesian3()), ); expect(result.radius).toEqual(positionsRadius); }); @@ -326,7 +326,7 @@ describe("Core/BoundingSphere", function () { positions.low.length = positions.low.length - 1; const sphere = BoundingSphere.fromEncodedCartesianVertices( positions.high, - positions.low + positions.low, ); expect(sphere.center).toEqual(Cartesian3.ZERO); expect(sphere.radius).toEqual(0.0); @@ -336,7 +336,7 @@ describe("Core/BoundingSphere", function () { const positions = getPositionsAsEncodedFlatArray(); const sphere = BoundingSphere.fromEncodedCartesianVertices( positions.high, - positions.low + positions.low, ); expect(sphere.center).toEqual(positionsCenter); expect(sphere.radius).toEqual(positionsRadius); @@ -346,7 +346,7 @@ describe("Core/BoundingSphere", function () { let positions = getPositionsAsEncodedFlatArray(); const sphere = BoundingSphere.fromEncodedCartesianVertices( positions.high, - positions.low + positions.low, ); const radius = sphere.radius; const center = sphere.center; @@ -374,7 +374,7 @@ describe("Core/BoundingSphere", function () { ]; for (let j = 0; j < appendedPositions.length; ++j) { const encoded = EncodedCartesian3.fromCartesian( - Cartesian3.add(appendedPositions[j], center, new Cartesian3()) + Cartesian3.add(appendedPositions[j], center, new Cartesian3()), ); positions.high.push(encoded.high.x); positions.high.push(encoded.high.y); @@ -386,7 +386,7 @@ describe("Core/BoundingSphere", function () { const sphere = BoundingSphere.fromEncodedCartesianVertices( positions.high, - positions.low + positions.low, ); const radius = sphere.radius; const sphereCenter = sphere.center; @@ -399,10 +399,10 @@ describe("Core/BoundingSphere", function () { for (let i = 0; i < numElements; i += 3) { expect(positions[i] <= max.x && positions[i] >= min.x).toEqual(true); expect(positions[i + 1] <= max.y && positions[i + 1] >= min.y).toEqual( - true + true, ); expect(positions[i + 2] <= max.z && positions[i + 2] >= min.z).toEqual( - true + true, ); } }); @@ -413,7 +413,7 @@ describe("Core/BoundingSphere", function () { const sphere = BoundingSphere.fromEncodedCartesianVertices( positions.high, positions.low, - result + result, ); expect(sphere).toEqual(result); expect(result.center).toEqual(positionsCenter); @@ -432,11 +432,11 @@ describe("Core/BoundingSphere", function () { const expected = new BoundingSphere( Cartesian3.ZERO, Math.sqrt( - rectangle.east * rectangle.east + rectangle.north * rectangle.north - ) + rectangle.east * rectangle.east + rectangle.north * rectangle.north, + ), ); expect(BoundingSphere.fromRectangle2D(rectangle, projection)).toEqual( - expected + expected, ); }); @@ -451,10 +451,10 @@ describe("Core/BoundingSphere", function () { const ellipsoid = Ellipsoid.WGS84; const expected = new BoundingSphere( Cartesian3.ZERO, - ellipsoid.maximumRadius + ellipsoid.maximumRadius, ); expect(BoundingSphere.fromRectangle3D(rectangle, ellipsoid)).toEqual( - expected + expected, ); }); @@ -465,14 +465,14 @@ describe("Core/BoundingSphere", function () { const points = Rectangle.subsample(rectangle, ellipsoid, height); const expected = BoundingSphere.fromPoints(points); expect( - BoundingSphere.fromRectangle3D(rectangle, ellipsoid, height) + BoundingSphere.fromRectangle3D(rectangle, ellipsoid, height), ).toEqual(expected); }); it("fromCornerPoints", function () { const sphere = BoundingSphere.fromCornerPoints( new Cartesian3(-1.0, -0.0, 0.0), - new Cartesian3(1.0, 0.0, 0.0) + new Cartesian3(1.0, 0.0, 0.0), ); expect(sphere).toEqual(new BoundingSphere(Cartesian3.ZERO, 1.0)); }); @@ -482,7 +482,7 @@ describe("Core/BoundingSphere", function () { const result = BoundingSphere.fromCornerPoints( new Cartesian3(0.0, -1.0, 0.0), new Cartesian3(0.0, 1.0, 0.0), - sphere + sphere, ); expect(result).toBe(sphere); expect(result).toEqual(new BoundingSphere(Cartesian3.ZERO, 1.0)); @@ -513,7 +513,7 @@ describe("Core/BoundingSphere", function () { const result = BoundingSphere.fromEllipsoid(ellipsoid, sphere); expect(result).toBe(sphere); expect(result).toEqual( - new BoundingSphere(Cartesian3.ZERO, ellipsoid.maximumRadius) + new BoundingSphere(Cartesian3.ZERO, ellipsoid.maximumRadius), ); }); @@ -548,7 +548,7 @@ describe("Core/BoundingSphere", function () { const sphere = BoundingSphere.fromBoundingSpheres([one, two]); expect(sphere).toEqual( - BoundingSphere.union(one, two, new BoundingSphere()) + BoundingSphere.union(one, two, new BoundingSphere()), ); }); @@ -593,7 +593,7 @@ describe("Core/BoundingSphere", function () { const transformation = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, - scale + scale, ); const sphere = new BoundingSphere(); @@ -612,7 +612,7 @@ describe("Core/BoundingSphere", function () { const transformation = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, - scale + scale, ); const sphere = BoundingSphere.fromTransformation(transformation); @@ -662,7 +662,7 @@ describe("Core/BoundingSphere", function () { it("expands to contain another sphere", function () { const bs1 = new BoundingSphere( Cartesian3.negate(Cartesian3.UNIT_X, new Cartesian3()), - 1.0 + 1.0, ); const bs2 = new BoundingSphere(Cartesian3.UNIT_X, 1.0); const expected = new BoundingSphere(Cartesian3.ZERO, 2.0); @@ -688,18 +688,18 @@ describe("Core/BoundingSphere", function () { Cartesian3.multiplyByScalar( Cartesian3.negate(Cartesian3.UNIT_X, new Cartesian3()), 3.0, - new Cartesian3() + new Cartesian3(), ), - 3.0 + 3.0, ); const bs2 = new BoundingSphere(Cartesian3.UNIT_X, 1.0); const expected = new BoundingSphere( Cartesian3.multiplyByScalar( Cartesian3.negate(Cartesian3.UNIT_X, new Cartesian3()), 2.0, - new Cartesian3() + new Cartesian3(), ), - 4.0 + 4.0, ); BoundingSphere.union(bs1, bs2, bs1); expect(bs1).toEqual(expected); @@ -708,12 +708,12 @@ describe("Core/BoundingSphere", function () { it("expands to contain another point", function () { const bs = new BoundingSphere( Cartesian3.negate(Cartesian3.UNIT_X, new Cartesian3()), - 1.0 + 1.0, ); const point = Cartesian3.UNIT_X; const expected = new BoundingSphere( Cartesian3.negate(Cartesian3.UNIT_X, new Cartesian3()), - 2.0 + 2.0, ); expect(BoundingSphere.expand(bs, point)).toEqual(expected); }); @@ -737,7 +737,7 @@ describe("Core/BoundingSphere", function () { const transform = Matrix4.fromTranslation(new Cartesian3(1.0, 2.0, 3.0)); const expected = new BoundingSphere(new Cartesian3(1.0, 2.0, 3.0), 1.0); expect(BoundingSphere.transformWithoutScale(bs, transform)).toEqual( - expected + expected, ); }); @@ -746,7 +746,7 @@ describe("Core/BoundingSphere", function () { const transform = Matrix4.fromScale(new Cartesian3(1.0, 2.0, 3.0)); const expected = new BoundingSphere(Cartesian3.ZERO, 1.0); expect(BoundingSphere.transformWithoutScale(bs, transform)).toEqual( - expected + expected, ); }); @@ -756,7 +756,7 @@ describe("Core/BoundingSphere", function () { const direction = Cartesian3.UNIT_X; const expected = new Interval(1.0, 3.0); expect( - BoundingSphere.computePlaneDistances(bs, position, direction) + BoundingSphere.computePlaneDistances(bs, position, direction), ).toEqual(expected); }); @@ -766,7 +766,7 @@ describe("Core/BoundingSphere", function () { const expected = 1.52786405; expect(BoundingSphere.distanceSquaredTo(bs, position)).toEqualEpsilon( expected, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); }); @@ -783,27 +783,26 @@ describe("Core/BoundingSphere", function () { const positions2D = []; for (let i = 0; i < positions.length; ++i) { const position = positions[i]; - const cartographic = projection.ellipsoid.cartesianToCartographic( - position - ); + const cartographic = + projection.ellipsoid.cartesianToCartographic(position); positions2D.push(projection.project(cartographic)); } const boundingSphere3D = BoundingSphere.fromPoints(positions); const boundingSphere2D = BoundingSphere.projectTo2D( boundingSphere3D, - projection + projection, ); const actualSphere = BoundingSphere.fromPoints(positions2D); actualSphere.center = new Cartesian3( actualSphere.center.z, actualSphere.center.x, - actualSphere.center.y + actualSphere.center.y, ); expect(boundingSphere2D.center).toEqualEpsilon( actualSphere.center, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); expect(boundingSphere2D.radius).toBeGreaterThan(actualSphere.radius); }); @@ -816,9 +815,8 @@ describe("Core/BoundingSphere", function () { const positions2D = []; for (let i = 0; i < positions.length; ++i) { const position = positions[i]; - const cartographic = projection.ellipsoid.cartesianToCartographic( - position - ); + const cartographic = + projection.ellipsoid.cartesianToCartographic(position); positions2D.push(projection.project(cartographic)); } @@ -826,19 +824,19 @@ describe("Core/BoundingSphere", function () { const boundingSphere2D = BoundingSphere.projectTo2D( boundingSphere3D, projection, - sphere + sphere, ); const actualSphere = BoundingSphere.fromPoints(positions2D); actualSphere.center = new Cartesian3( actualSphere.center.z, actualSphere.center.x, - actualSphere.center.y + actualSphere.center.y, ); expect(boundingSphere2D).toBe(sphere); expect(boundingSphere2D.center).toEqualEpsilon( actualSphere.center, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); expect(boundingSphere2D.radius).toBeGreaterThan(actualSphere.radius); }); @@ -995,7 +993,7 @@ describe("Core/BoundingSphere", function () { expect(function () { BoundingSphere.computePlaneDistances( new BoundingSphere(), - new Cartesian3() + new Cartesian3(), ); }).toThrowDeveloperError(); }); @@ -1015,15 +1013,15 @@ describe("Core/BoundingSphere", function () { function expectBoundingSphereToContainPoint( boundingSphere, point, - projection + projection, ) { const pointInCartesian = projection.project(point); let distanceFromCenter = Cartesian3.magnitude( Cartesian3.subtract( pointInCartesian, boundingSphere.center, - new Cartesian3() - ) + new Cartesian3(), + ), ); // The distanceFromCenter for corner points at the height extreme should equal the @@ -1044,7 +1042,7 @@ describe("Core/BoundingSphere", function () { rectangle, projection, minHeight, - maxHeight + maxHeight, ); // Test that the corners are inside the bounding sphere. @@ -1093,56 +1091,56 @@ describe("Core/BoundingSphere", function () { point = new Cartographic( Rectangle.center(rectangle).longitude, rectangle.south, - minHeight + minHeight, ); expectBoundingSphereToContainPoint(boundingSphere, point, projection); point = new Cartographic( Rectangle.center(rectangle).longitude, rectangle.south, - maxHeight + maxHeight, ); expectBoundingSphereToContainPoint(boundingSphere, point, projection); point = new Cartographic( Rectangle.center(rectangle).longitude, rectangle.north, - minHeight + minHeight, ); expectBoundingSphereToContainPoint(boundingSphere, point, projection); point = new Cartographic( Rectangle.center(rectangle).longitude, rectangle.north, - maxHeight + maxHeight, ); expectBoundingSphereToContainPoint(boundingSphere, point, projection); point = new Cartographic( rectangle.west, Rectangle.center(rectangle).latitude, - minHeight + minHeight, ); expectBoundingSphereToContainPoint(boundingSphere, point, projection); point = new Cartographic( rectangle.west, Rectangle.center(rectangle).latitude, - maxHeight + maxHeight, ); expectBoundingSphereToContainPoint(boundingSphere, point, projection); point = new Cartographic( rectangle.east, Rectangle.center(rectangle).latitude, - minHeight + minHeight, ); expectBoundingSphereToContainPoint(boundingSphere, point, projection); point = new Cartographic( rectangle.east, Rectangle.center(rectangle).latitude, - maxHeight + maxHeight, ); expectBoundingSphereToContainPoint(boundingSphere, point, projection); }); @@ -1157,6 +1155,6 @@ describe("Core/BoundingSphere", function () { createPackableSpecs( BoundingSphere, new BoundingSphere(new Cartesian3(1.0, 2.0, 3.0), 4.0), - [1.0, 2.0, 3.0, 4.0] + [1.0, 2.0, 3.0, 4.0], ); }); diff --git a/packages/engine/Specs/Core/BoxGeometrySpec.js b/packages/engine/Specs/Core/BoxGeometrySpec.js index 80ef2af551a1..68d6d490b064 100644 --- a/packages/engine/Specs/Core/BoxGeometrySpec.js +++ b/packages/engine/Specs/Core/BoxGeometrySpec.js @@ -31,7 +31,7 @@ describe("Core/BoxGeometry", function () { minimum: new Cartesian3(-1, -2, -3), maximum: new Cartesian3(1, 2, 3), vertexFormat: VertexFormat.POSITION_ONLY, - }) + }), ); expect(m.attributes.position.values.length).toEqual(8 * 3); // 8 corners @@ -46,7 +46,7 @@ describe("Core/BoxGeometry", function () { minimum: minimumCorner, maximum: maximumCorner, vertexFormat: VertexFormat.ALL, - }) + }), ); const numVertices = 24; //3 points x 8 corners @@ -61,7 +61,7 @@ describe("Core/BoxGeometry", function () { expect(m.boundingSphere.center).toEqual(Cartesian3.ZERO); expect(m.boundingSphere.radius).toEqual( - Cartesian3.magnitude(maximumCorner) * 0.5 + Cartesian3.magnitude(maximumCorner) * 0.5, ); }); @@ -72,7 +72,7 @@ describe("Core/BoxGeometry", function () { maximum: new Cartesian3(1, 2, 3), vertexFormat: VertexFormat.POSITION_ONLY, offsetAttribute: GeometryOffsetAttribute.ALL, - }) + }), ); const numVertices = 8; @@ -103,7 +103,7 @@ describe("Core/BoxGeometry", function () { BoxGeometry.fromDimensions({ dimensions: new Cartesian3(1, 2, 3), vertexFormat: VertexFormat.POSITION_ONLY, - }) + }), ); expect(m.attributes.position.values.length).toEqual(8 * 3); @@ -120,7 +120,7 @@ describe("Core/BoxGeometry", function () { const min = new Cartesian3(-1, -2, -3); const max = new Cartesian3(1, 2, 3); const m = BoxGeometry.fromAxisAlignedBoundingBox( - new AxisAlignedBoundingBox(min, max) + new AxisAlignedBoundingBox(min, max), ); expect(m._minimum).toEqual(min); expect(m._maximum).toEqual(max); @@ -145,6 +145,6 @@ describe("Core/BoxGeometry", function () { maximum: new Cartesian3(4.0, 5.0, 6.0), vertexFormat: VertexFormat.POSITION_AND_NORMAL, }), - [1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 1.0, 1.0, 0.0, 0.0, 0.0, 0.0, -1.0] + [1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 1.0, 1.0, 0.0, 0.0, 0.0, 0.0, -1.0], ); }); diff --git a/packages/engine/Specs/Core/BoxOutlineGeometrySpec.js b/packages/engine/Specs/Core/BoxOutlineGeometrySpec.js index 90a7c3a866c9..080a2ff9a3cf 100644 --- a/packages/engine/Specs/Core/BoxOutlineGeometrySpec.js +++ b/packages/engine/Specs/Core/BoxOutlineGeometrySpec.js @@ -29,7 +29,7 @@ describe("Core/BoxOutlineGeometry", function () { new BoxOutlineGeometry({ minimum: new Cartesian3(-1, -2, -3), maximum: new Cartesian3(1, 2, 3), - }) + }), ); expect(m.attributes.position.values.length).toEqual(8 * 3); @@ -42,7 +42,7 @@ describe("Core/BoxOutlineGeometry", function () { minimum: new Cartesian3(-1, -2, -3), maximum: new Cartesian3(1, 2, 3), offsetAttribute: GeometryOffsetAttribute.ALL, - }) + }), ); const numVertices = 8; @@ -72,7 +72,7 @@ describe("Core/BoxOutlineGeometry", function () { const m = BoxOutlineGeometry.createGeometry( BoxOutlineGeometry.fromDimensions({ dimensions: new Cartesian3(1, 2, 3), - }) + }), ); expect(m.attributes.position.values.length).toEqual(8 * 3); @@ -89,7 +89,7 @@ describe("Core/BoxOutlineGeometry", function () { const min = new Cartesian3(-1, -2, -3); const max = new Cartesian3(1, 2, 3); const m = BoxOutlineGeometry.fromAxisAlignedBoundingBox( - new AxisAlignedBoundingBox(min, max) + new AxisAlignedBoundingBox(min, max), ); expect(m._min).toEqual(min); expect(m._max).toEqual(max); @@ -112,6 +112,6 @@ describe("Core/BoxOutlineGeometry", function () { minimum: new Cartesian3(1.0, 2.0, 3.0), maximum: new Cartesian3(4.0, 5.0, 6.0), }), - [1.0, 2.0, 3.0, 4.0, 5.0, 6.0, -1.0] + [1.0, 2.0, 3.0, 4.0, 5.0, 6.0, -1.0], ); }); diff --git a/packages/engine/Specs/Core/Cartesian2Spec.js b/packages/engine/Specs/Core/Cartesian2Spec.js index d95b5e0fd096..98e27de8fc27 100644 --- a/packages/engine/Specs/Core/Cartesian2Spec.js +++ b/packages/engine/Specs/Core/Cartesian2Spec.js @@ -85,42 +85,42 @@ describe("Core/Cartesian2", function () { second = new Cartesian2(1.0, 0.0); expected = new Cartesian2(1.0, 0.0); expect(Cartesian2.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian2(1.0, 0.0); second = new Cartesian2(2.0, 0.0); expected = new Cartesian2(1.0, 0.0); expect(Cartesian2.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian2(2.0, -15.0); second = new Cartesian2(1.0, -20.0); expected = new Cartesian2(1.0, -20.0); expect(Cartesian2.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian2(2.0, -20.0); second = new Cartesian2(1.0, -15.0); expected = new Cartesian2(1.0, -20.0); expect(Cartesian2.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian2(2.0, -15.0); second = new Cartesian2(1.0, -20.0); expected = new Cartesian2(1.0, -20.0); expect(Cartesian2.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian2(2.0, -15.0); second = new Cartesian2(1.0, -20.0); expected = new Cartesian2(1.0, -20.0); expect(Cartesian2.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); }); @@ -139,13 +139,13 @@ describe("Core/Cartesian2", function () { const second = new Cartesian2(1.0, 0.0); const expected = new Cartesian2(1.0, 0.0); expect(Cartesian2.minimumByComponent(first, second, first)).toEqual( - expected + expected, ); first.x = 1.0; second.x = 2.0; expect(Cartesian2.minimumByComponent(first, second, second)).toEqual( - expected + expected, ); }); @@ -166,13 +166,13 @@ describe("Core/Cartesian2", function () { const second = new Cartesian2(1.0, 0.0); const expected = new Cartesian2(1.0, 0.0); expect(Cartesian2.minimumByComponent(first, second, expected)).toEqual( - expected + expected, ); second.x = 3.0; expected.x = 2.0; expect(Cartesian2.minimumByComponent(first, second, expected)).toEqual( - expected + expected, ); }); @@ -182,13 +182,13 @@ describe("Core/Cartesian2", function () { const expected = new Cartesian2(0.0, 1.0); const result = new Cartesian2(); expect(Cartesian2.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); second.y = 3.0; expected.y = 2.0; expect(Cartesian2.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); }); @@ -202,42 +202,42 @@ describe("Core/Cartesian2", function () { second = new Cartesian2(1.0, 0.0); expected = new Cartesian2(2.0, 0.0); expect(Cartesian2.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian2(1.0, 0.0); second = new Cartesian2(2.0, 0.0); expected = new Cartesian2(2.0, 0.0); expect(Cartesian2.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian2(2.0, -15.0); second = new Cartesian2(1.0, -20.0); expected = new Cartesian2(2.0, -15.0); expect(Cartesian2.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian2(2.0, -20.0); second = new Cartesian2(1.0, -15.0); expected = new Cartesian2(2.0, -15.0); expect(Cartesian2.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian2(2.0, -15.0); second = new Cartesian2(1.0, -20.0); expected = new Cartesian2(2.0, -15.0); expect(Cartesian2.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian2(2.0, -15.0); second = new Cartesian2(1.0, -20.0); expected = new Cartesian2(2.0, -15.0); expect(Cartesian2.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); }); @@ -256,13 +256,13 @@ describe("Core/Cartesian2", function () { const second = new Cartesian2(1.0, 0.0); const expected = new Cartesian2(2.0, 0.0); expect(Cartesian2.maximumByComponent(first, second, first)).toEqual( - expected + expected, ); first.x = 1.0; second.x = 2.0; expect(Cartesian2.maximumByComponent(first, second, second)).toEqual( - expected + expected, ); }); @@ -284,13 +284,13 @@ describe("Core/Cartesian2", function () { const expected = new Cartesian2(2.0, 0.0); const result = new Cartesian2(); expect(Cartesian2.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); second.x = 3.0; expected.x = 3.0; expect(Cartesian2.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); }); @@ -300,13 +300,13 @@ describe("Core/Cartesian2", function () { const expected = new Cartesian2(0.0, 2.0); const result = new Cartesian2(); expect(Cartesian2.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); second.y = 3.0; expected.y = 3.0; expect(Cartesian2.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); }); @@ -422,7 +422,7 @@ describe("Core/Cartesian2", function () { it("distance", function () { const distance = Cartesian2.distance( new Cartesian2(1.0, 0.0), - new Cartesian2(2.0, 0.0) + new Cartesian2(2.0, 0.0), ); expect(distance).toEqual(1.0); }); @@ -442,7 +442,7 @@ describe("Core/Cartesian2", function () { it("distanceSquared", function () { const distanceSquared = Cartesian2.distanceSquared( new Cartesian2(1.0, 0.0), - new Cartesian2(3.0, 0.0) + new Cartesian2(3.0, 0.0), ); expect(distanceSquared).toEqual(4.0); }); @@ -582,7 +582,7 @@ describe("Core/Cartesian2", function () { const returnedResult = Cartesian2.multiplyByScalar( cartesian, scalar, - result + result, ); expect(result).toBe(returnedResult); expect(result).toEqual(expectedResult); @@ -595,7 +595,7 @@ describe("Core/Cartesian2", function () { const returnedResult = Cartesian2.multiplyByScalar( cartesian, scalar, - cartesian + cartesian, ); expect(cartesian).toBe(returnedResult); expect(cartesian).toEqual(expectedResult); @@ -618,7 +618,7 @@ describe("Core/Cartesian2", function () { const returnedResult = Cartesian2.divideByScalar( cartesian, scalar, - cartesian + cartesian, ); expect(cartesian).toBe(returnedResult); expect(cartesian).toEqual(expectedResult); @@ -709,11 +709,11 @@ describe("Core/Cartesian2", function () { const y = new Cartesian2(1.0, 1.0); expect(Cartesian2.angleBetween(x, y)).toEqualEpsilon( CesiumMath.PI_OVER_FOUR, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(Cartesian2.angleBetween(y, x)).toEqualEpsilon( CesiumMath.PI_OVER_FOUR, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); }); @@ -722,11 +722,11 @@ describe("Core/Cartesian2", function () { const y = new Cartesian2(-1.0, -1.0); expect(Cartesian2.angleBetween(x, y)).toEqualEpsilon( (CesiumMath.PI * 3.0) / 4.0, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(Cartesian2.angleBetween(y, x)).toEqualEpsilon( (CesiumMath.PI * 3.0) / 4.0, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); }); @@ -738,27 +738,27 @@ describe("Core/Cartesian2", function () { it("most orthogonal angle is x", function () { const v = new Cartesian2(0.0, 1.0); expect(Cartesian2.mostOrthogonalAxis(v, new Cartesian2())).toEqual( - Cartesian2.UNIT_X + Cartesian2.UNIT_X, ); }); it("most orthogonal angle is y", function () { const v = new Cartesian2(1.0, 0.0); expect(Cartesian2.mostOrthogonalAxis(v, new Cartesian2())).toEqual( - Cartesian2.UNIT_Y + Cartesian2.UNIT_Y, ); }); it("equals", function () { const cartesian = new Cartesian2(1.0, 2.0); expect(Cartesian2.equals(cartesian, new Cartesian2(1.0, 2.0))).toEqual( - true + true, ); expect(Cartesian2.equals(cartesian, new Cartesian2(2.0, 2.0))).toEqual( - false + false, ); expect(Cartesian2.equals(cartesian, new Cartesian2(2.0, 1.0))).toEqual( - false + false, ); expect(Cartesian2.equals(cartesian, undefined)).toEqual(false); }); @@ -766,49 +766,49 @@ describe("Core/Cartesian2", function () { it("equalsEpsilon", function () { let cartesian = new Cartesian2(1.0, 2.0); expect(cartesian.equalsEpsilon(new Cartesian2(1.0, 2.0), 0.0)).toEqual( - true + true, ); expect(cartesian.equalsEpsilon(new Cartesian2(1.0, 2.0), 1.0)).toEqual( - true + true, ); expect(cartesian.equalsEpsilon(new Cartesian2(2.0, 2.0), 1.0)).toEqual( - true + true, ); expect(cartesian.equalsEpsilon(new Cartesian2(1.0, 3.0), 1.0)).toEqual( - true + true, ); expect( - cartesian.equalsEpsilon(new Cartesian2(1.0, 3.0), CesiumMath.EPSILON6) + cartesian.equalsEpsilon(new Cartesian2(1.0, 3.0), CesiumMath.EPSILON6), ).toEqual(false); expect(cartesian.equalsEpsilon(undefined, 1)).toEqual(false); cartesian = new Cartesian2(3000000.0, 4000000.0); expect( - cartesian.equalsEpsilon(new Cartesian2(3000000.0, 4000000.0), 0.0) + cartesian.equalsEpsilon(new Cartesian2(3000000.0, 4000000.0), 0.0), ).toEqual(true); expect( cartesian.equalsEpsilon( new Cartesian2(3000000.0, 4000000.2), - CesiumMath.EPSILON7 - ) + CesiumMath.EPSILON7, + ), ).toEqual(true); expect( cartesian.equalsEpsilon( new Cartesian2(3000000.2, 4000000.0), - CesiumMath.EPSILON7 - ) + CesiumMath.EPSILON7, + ), ).toEqual(true); expect( cartesian.equalsEpsilon( new Cartesian2(3000000.2, 4000000.2), - CesiumMath.EPSILON7 - ) + CesiumMath.EPSILON7, + ), ).toEqual(true); expect( cartesian.equalsEpsilon( new Cartesian2(3000000.2, 4000000.2), - CesiumMath.EPSILON9 - ) + CesiumMath.EPSILON9, + ), ).toEqual(false); expect(cartesian.equalsEpsilon(undefined, 1)).toEqual(false); @@ -1100,6 +1100,6 @@ describe("Core/Cartesian2", function () { Cartesian2, [new Cartesian2(1, 2), new Cartesian2(3, 4)], [1, 2, 3, 4], - 2 + 2, ); }); diff --git a/packages/engine/Specs/Core/Cartesian3Spec.js b/packages/engine/Specs/Core/Cartesian3Spec.js index 09d9b13ff264..d2cae1feef9d 100644 --- a/packages/engine/Specs/Core/Cartesian3Spec.js +++ b/packages/engine/Specs/Core/Cartesian3Spec.js @@ -40,7 +40,7 @@ describe("Core/Cartesian3", function () { const existing = new Cartesian3(); expect(cartesian).toEqualEpsilon( Cartesian3.fromSpherical(spherical, existing), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(cartesian).toEqualEpsilon(existing, CesiumMath.EPSILON15); }); @@ -118,42 +118,42 @@ describe("Core/Cartesian3", function () { second = new Cartesian3(1.0, 0.0, 0.0); expected = new Cartesian3(1.0, 0.0, 0.0); expect(Cartesian3.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian3(1.0, 0.0, 0.0); second = new Cartesian3(2.0, 0.0, 0.0); expected = new Cartesian3(1.0, 0.0, 0.0); expect(Cartesian3.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian3(2.0, -15.0, 0.0); second = new Cartesian3(1.0, -20.0, 0.0); expected = new Cartesian3(1.0, -20.0, 0.0); expect(Cartesian3.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian3(2.0, -20.0, 0.0); second = new Cartesian3(1.0, -15.0, 0.0); expected = new Cartesian3(1.0, -20.0, 0.0); expect(Cartesian3.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian3(2.0, -15.0, 26.4); second = new Cartesian3(1.0, -20.0, 26.5); expected = new Cartesian3(1.0, -20.0, 26.4); expect(Cartesian3.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian3(2.0, -15.0, 26.5); second = new Cartesian3(1.0, -20.0, 26.4); expected = new Cartesian3(1.0, -20.0, 26.4); expect(Cartesian3.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); }); @@ -172,13 +172,13 @@ describe("Core/Cartesian3", function () { const second = new Cartesian3(1.0, 0.0, 0.0); const expected = new Cartesian3(1.0, 0.0, 0.0); expect(Cartesian3.minimumByComponent(first, second, first)).toEqual( - expected + expected, ); first.x = 1.0; second.x = 2.0; expect(Cartesian3.minimumByComponent(first, second, second)).toEqual( - expected + expected, ); }); @@ -200,13 +200,13 @@ describe("Core/Cartesian3", function () { const expected = new Cartesian3(1.0, 0.0, 0.0); const result = new Cartesian3(); expect(Cartesian3.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); second.x = 3.0; expected.x = 2.0; expect(Cartesian3.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); }); @@ -216,13 +216,13 @@ describe("Core/Cartesian3", function () { const expected = new Cartesian3(0.0, 1.0, 0.0); const result = new Cartesian3(); expect(Cartesian3.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); second.y = 3.0; expected.y = 2.0; expect(Cartesian3.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); }); @@ -232,13 +232,13 @@ describe("Core/Cartesian3", function () { const expected = new Cartesian3(0.0, 0.0, 1.0); const result = new Cartesian3(); expect(Cartesian3.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); second.z = 3.0; expected.z = 2.0; expect(Cartesian3.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); }); @@ -252,42 +252,42 @@ describe("Core/Cartesian3", function () { second = new Cartesian3(1.0, 0.0, 0.0); expected = new Cartesian3(2.0, 0.0, 0.0); expect(Cartesian3.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian3(1.0, 0.0, 0.0); second = new Cartesian3(2.0, 0.0, 0.0); expected = new Cartesian3(2.0, 0.0, 0.0); expect(Cartesian3.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian3(2.0, -15.0, 0.0); second = new Cartesian3(1.0, -20.0, 0.0); expected = new Cartesian3(2.0, -15.0, 0.0); expect(Cartesian3.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian3(2.0, -20.0, 0.0); second = new Cartesian3(1.0, -15.0, 0.0); expected = new Cartesian3(2.0, -15.0, 0.0); expect(Cartesian3.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian3(2.0, -15.0, 26.4); second = new Cartesian3(1.0, -20.0, 26.5); expected = new Cartesian3(2.0, -15.0, 26.5); expect(Cartesian3.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian3(2.0, -15.0, 26.5); second = new Cartesian3(1.0, -20.0, 26.4); expected = new Cartesian3(2.0, -15.0, 26.5); expect(Cartesian3.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); }); @@ -306,13 +306,13 @@ describe("Core/Cartesian3", function () { const second = new Cartesian3(1.0, 0.0, 0.0); const expected = new Cartesian3(2.0, 0.0, 0.0); expect(Cartesian3.maximumByComponent(first, second, first)).toEqual( - expected + expected, ); first.x = 1.0; second.x = 2.0; expect(Cartesian3.maximumByComponent(first, second, second)).toEqual( - expected + expected, ); }); @@ -334,13 +334,13 @@ describe("Core/Cartesian3", function () { const expected = new Cartesian3(2.0, 0.0, 0.0); const result = new Cartesian3(); expect(Cartesian3.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); second.x = 3.0; expected.x = 3.0; expect(Cartesian3.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); }); @@ -350,13 +350,13 @@ describe("Core/Cartesian3", function () { const expected = new Cartesian3(0.0, 2.0, 0.0); const result = new Cartesian3(); expect(Cartesian3.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); second.y = 3.0; expected.y = 3.0; expect(Cartesian3.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); }); @@ -366,13 +366,13 @@ describe("Core/Cartesian3", function () { const expected = new Cartesian3(0.0, 0.0, 2.0); const result = new Cartesian3(); expect(Cartesian3.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); second.z = 3.0; expected.z = 3.0; expect(Cartesian3.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); }); @@ -488,7 +488,7 @@ describe("Core/Cartesian3", function () { it("distance", function () { const distance = Cartesian3.distance( new Cartesian3(1.0, 0.0, 0.0), - new Cartesian3(2.0, 0.0, 0.0) + new Cartesian3(2.0, 0.0, 0.0), ); expect(distance).toEqual(1.0); }); @@ -508,7 +508,7 @@ describe("Core/Cartesian3", function () { it("distanceSquared", function () { const distanceSquared = Cartesian3.distanceSquared( new Cartesian3(1.0, 0.0, 0.0), - new Cartesian3(3.0, 0.0, 0.0) + new Cartesian3(3.0, 0.0, 0.0), ); expect(distanceSquared).toEqual(4.0); }); @@ -640,7 +640,7 @@ describe("Core/Cartesian3", function () { const returnedResult = Cartesian3.multiplyByScalar( cartesian, scalar, - result + result, ); expect(result).toBe(returnedResult); expect(result).toEqual(expectedResult); @@ -653,7 +653,7 @@ describe("Core/Cartesian3", function () { const returnedResult = Cartesian3.multiplyByScalar( cartesian, scalar, - cartesian + cartesian, ); expect(cartesian).toBe(returnedResult); expect(cartesian).toEqual(expectedResult); @@ -676,7 +676,7 @@ describe("Core/Cartesian3", function () { const returnedResult = Cartesian3.divideByScalar( cartesian, scalar, - cartesian + cartesian, ); expect(cartesian).toBe(returnedResult); expect(cartesian).toEqual(expectedResult); @@ -781,11 +781,11 @@ describe("Core/Cartesian3", function () { const y = new Cartesian3(1.0, 1.0, 0.0); expect(Cartesian3.angleBetween(x, y)).toEqualEpsilon( CesiumMath.PI_OVER_FOUR, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(Cartesian3.angleBetween(y, x)).toEqualEpsilon( CesiumMath.PI_OVER_FOUR, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); }); @@ -794,11 +794,11 @@ describe("Core/Cartesian3", function () { const y = new Cartesian3(0.0, -1.0, -1.0); expect(Cartesian3.angleBetween(x, y)).toEqualEpsilon( (CesiumMath.PI * 3.0) / 4.0, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(Cartesian3.angleBetween(y, x)).toEqualEpsilon( (CesiumMath.PI * 3.0) / 4.0, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); }); @@ -810,42 +810,42 @@ describe("Core/Cartesian3", function () { it("most orthogonal angle is x", function () { const v = new Cartesian3(0.0, 1.0, 2.0); expect(Cartesian3.mostOrthogonalAxis(v, new Cartesian3())).toEqual( - Cartesian3.UNIT_X + Cartesian3.UNIT_X, ); }); it("most orthogonal angle is y", function () { const v = new Cartesian3(1.0, 0.0, 2.0); expect(Cartesian3.mostOrthogonalAxis(v, new Cartesian3())).toEqual( - Cartesian3.UNIT_Y + Cartesian3.UNIT_Y, ); }); it("most orthogonal angle is z", function () { let v = new Cartesian3(1.0, 3.0, 0.0); expect(Cartesian3.mostOrthogonalAxis(v, new Cartesian3())).toEqual( - Cartesian3.UNIT_Z + Cartesian3.UNIT_Z, ); v = new Cartesian3(3.0, 1.0, 0.0); expect(Cartesian3.mostOrthogonalAxis(v, new Cartesian3())).toEqual( - Cartesian3.UNIT_Z + Cartesian3.UNIT_Z, ); }); it("equals", function () { const cartesian = new Cartesian3(1.0, 2.0, 3.0); expect(Cartesian3.equals(cartesian, new Cartesian3(1.0, 2.0, 3.0))).toEqual( - true + true, ); expect(Cartesian3.equals(cartesian, new Cartesian3(2.0, 2.0, 3.0))).toEqual( - false + false, ); expect(Cartesian3.equals(cartesian, new Cartesian3(2.0, 1.0, 3.0))).toEqual( - false + false, ); expect(Cartesian3.equals(cartesian, new Cartesian3(1.0, 2.0, 4.0))).toEqual( - false + false, ); expect(Cartesian3.equals(cartesian, undefined)).toEqual(false); }); @@ -853,37 +853,37 @@ describe("Core/Cartesian3", function () { it("equalsEpsilon", function () { let cartesian = new Cartesian3(1.0, 2.0, 3.0); expect(cartesian.equalsEpsilon(new Cartesian3(1.0, 2.0, 3.0), 0.0)).toEqual( - true + true, ); expect(cartesian.equalsEpsilon(new Cartesian3(1.0, 2.0, 3.0), 1.0)).toEqual( - true + true, ); expect(cartesian.equalsEpsilon(new Cartesian3(2.0, 2.0, 3.0), 1.0)).toEqual( - true + true, ); expect(cartesian.equalsEpsilon(new Cartesian3(1.0, 3.0, 3.0), 1.0)).toEqual( - true + true, ); expect(cartesian.equalsEpsilon(new Cartesian3(1.0, 2.0, 4.0), 1.0)).toEqual( - true + true, ); expect( cartesian.equalsEpsilon( new Cartesian3(2.0, 2.0, 3.0), - CesiumMath.EPSILON6 - ) + CesiumMath.EPSILON6, + ), ).toEqual(false); expect( cartesian.equalsEpsilon( new Cartesian3(1.0, 3.0, 3.0), - CesiumMath.EPSILON6 - ) + CesiumMath.EPSILON6, + ), ).toEqual(false); expect( cartesian.equalsEpsilon( new Cartesian3(1.0, 2.0, 4.0), - CesiumMath.EPSILON6 - ) + CesiumMath.EPSILON6, + ), ).toEqual(false); expect(cartesian.equalsEpsilon(undefined, 1)).toEqual(false); @@ -891,38 +891,38 @@ describe("Core/Cartesian3", function () { expect( cartesian.equalsEpsilon( new Cartesian3(3000000.0, 4000000.0, 5000000.0), - 0.0 - ) + 0.0, + ), ).toEqual(true); expect( cartesian.equalsEpsilon( new Cartesian3(3000000.2, 4000000.0, 5000000.0), - CesiumMath.EPSILON7 - ) + CesiumMath.EPSILON7, + ), ).toEqual(true); expect( cartesian.equalsEpsilon( new Cartesian3(3000000.0, 4000000.2, 5000000.0), - CesiumMath.EPSILON7 - ) + CesiumMath.EPSILON7, + ), ).toEqual(true); expect( cartesian.equalsEpsilon( new Cartesian3(3000000.0, 4000000.0, 5000000.2), - CesiumMath.EPSILON7 - ) + CesiumMath.EPSILON7, + ), ).toEqual(true); expect( cartesian.equalsEpsilon( new Cartesian3(3000000.2, 4000000.2, 5000000.2), - CesiumMath.EPSILON7 - ) + CesiumMath.EPSILON7, + ), ).toEqual(true); expect( cartesian.equalsEpsilon( new Cartesian3(3000000.2, 4000000.2, 5000000.2), - CesiumMath.EPSILON9 - ) + CesiumMath.EPSILON9, + ), ).toEqual(false); expect(cartesian.equalsEpsilon(undefined, 1)).toEqual(false); @@ -1208,7 +1208,7 @@ describe("Core/Cartesian3", function () { const ellipsoid = Ellipsoid.WGS84; const actual = Cartesian3.fromDegrees(lon, lat); const expected = ellipsoid.cartographicToCartesian( - Cartographic.fromDegrees(lon, lat) + Cartographic.fromDegrees(lon, lat), ); expect(actual).toEqual(expected); }); @@ -1220,7 +1220,7 @@ describe("Core/Cartesian3", function () { const ellipsoid = Ellipsoid.WGS84; const actual = Cartesian3.fromDegrees(lon, lat, height); const expected = ellipsoid.cartographicToCartesian( - Cartographic.fromDegrees(lon, lat, height) + Cartographic.fromDegrees(lon, lat, height), ); expect(actual).toEqual(expected); }); @@ -1233,7 +1233,7 @@ describe("Core/Cartesian3", function () { const result = new Cartesian3(); const actual = Cartesian3.fromDegrees(lon, lat, height, ellipsoid, result); const expected = ellipsoid.cartographicToCartesian( - Cartographic.fromDegrees(lon, lat, height) + Cartographic.fromDegrees(lon, lat, height), ); expect(actual).toEqual(expected); expect(actual).toBe(result); @@ -1257,7 +1257,7 @@ describe("Core/Cartesian3", function () { const expectedPosition = new Cartesian3( 1593514.338295244, 691991.9979835141, - 20442.318221152018 + 20442.318221152018, ); const position = Cartesian3.fromDegrees(23.47315, 0.67416); @@ -1270,7 +1270,7 @@ describe("Core/Cartesian3", function () { const ellipsoid = Ellipsoid.WGS84; const actual = Cartesian3.fromRadians(lon, lat); const expected = ellipsoid.cartographicToCartesian( - new Cartographic(lon, lat) + new Cartographic(lon, lat), ); expect(actual).toEqual(expected); }); @@ -1282,7 +1282,7 @@ describe("Core/Cartesian3", function () { const ellipsoid = Ellipsoid.WGS84; const actual = Cartesian3.fromRadians(lon, lat, height); const expected = ellipsoid.cartographicToCartesian( - new Cartographic(lon, lat, height) + new Cartographic(lon, lat, height), ); expect(actual).toEqual(expected); }); @@ -1295,7 +1295,7 @@ describe("Core/Cartesian3", function () { const result = new Cartesian3(); const actual = Cartesian3.fromRadians(lon, lat, height, ellipsoid, result); const expected = ellipsoid.cartographicToCartesian( - new Cartographic(lon, lat, height) + new Cartographic(lon, lat, height), ); expect(actual).toEqual(expected); expect(actual).toBe(result); @@ -1307,7 +1307,7 @@ describe("Core/Cartesian3", function () { const expectedPosition = new Cartesian3( 1593514.3406204558, 691991.9927155221, - 20442.315293410087 + 20442.315293410087, ); const position = Cartesian3.fromRadians(0.40968375, 0.01176631); @@ -1349,18 +1349,13 @@ describe("Core/Cartesian3", function () { new Cartesian3( 1653831.6133167143, -520773.6558050613, - -110428.9555038242 + -110428.9555038242, ), new Cartesian3(1556660.3478111108, 98714.16930719782, 765259.9782626687), ]; const positions = Cartesian3.fromDegreesArray([ - 23.47315, - 0.67416, - 342.52135, - -3.64417, - 3.6285, - 26.13341, + 23.47315, 0.67416, 342.52135, -3.64417, 3.6285, 26.13341, ]); expect(positions).toEqualEpsilon(expectedPositions, CesiumMath.EPSILON8); }); @@ -1409,7 +1404,7 @@ describe("Core/Cartesian3", function () { const actual = Cartesian3.fromRadiansArray( [lon1, lat1, lon2, lat2], ellipsoid, - result + result, ); const expected = ellipsoid.cartographicArrayToCartesianArray([ new Cartographic(lon1, lat1), @@ -1427,18 +1422,13 @@ describe("Core/Cartesian3", function () { new Cartesian3( 1653831.6107836158, -520773.6656886929, - -110428.94683022468 + -110428.94683022468, ), new Cartesian3(1556660.3474447567, 98714.16630095398, 765259.9793956806), ]; const positions = Cartesian3.fromRadiansArray([ - 0.40968375, - 0.01176631, - 5.97812531, - -0.06360276, - 0.06332927, - 0.45611405, + 0.40968375, 0.01176631, 5.97812531, -0.06360276, 0.06332927, 0.45611405, ]); expect(positions).toEqualEpsilon(expectedPositions, CesiumMath.EPSILON8); }); @@ -1493,21 +1483,13 @@ describe("Core/Cartesian3", function () { new Cartesian3( 1653926.8033485617, -520803.63011470815, - -110435.31149297487 + -110435.31149297487, ), new Cartesian3(1556749.9449302435, 98719.85102524245, 765304.0245374623), ]; const positions = Cartesian3.fromDegreesArrayHeights([ - 23.47315, - 0.67416, - 100, - 342.52135, - -3.64417, - 100, - 3.6285, - 26.13341, - 100, + 23.47315, 0.67416, 100, 342.52135, -3.64417, 100, 3.6285, 26.13341, 100, ]); expect(positions).toEqualEpsilon(expectedPositions, CesiumMath.EPSILON8); }); @@ -1567,7 +1549,7 @@ describe("Core/Cartesian3", function () { const actual = Cartesian3.fromRadiansArrayHeights( [lon1, lat1, alt1, lon2, lat2, alt2], ellipsoid, - result + result, ); const expected = ellipsoid.cartographicArrayToCartesianArray([ new Cartographic(lon1, lat1, alt1), @@ -1585,21 +1567,14 @@ describe("Core/Cartesian3", function () { new Cartesian3( 1653926.8008153175, -520803.6399989086, - -110435.30281887612 + -110435.30281887612, ), new Cartesian3(1556749.9445638682, 98719.84801882556, 765304.0256705394), ]; const positions = Cartesian3.fromRadiansArrayHeights([ - 0.40968375, - 0.01176631, - 100, - 5.97812531, - -0.06360276, - 100, - 0.06332927, - 0.45611405, - 100, + 0.40968375, 0.01176631, 100, 5.97812531, -0.06360276, 100, 0.06332927, + 0.45611405, 100, ]); expect(positions).toEqualEpsilon(expectedPositions, CesiumMath.EPSILON8); }); @@ -1729,21 +1704,21 @@ describe("Core/Cartesian3", function () { return Cartesian3.projectVector( undefined, new Cartesian3(), - new Cartesian3() + new Cartesian3(), ); }).toThrowDeveloperError(); expect(function () { return Cartesian3.projectVector( new Cartesian3(), undefined, - new Cartesian3() + new Cartesian3(), ); }).toThrowDeveloperError(); expect(function () { return Cartesian3.projectVector( new Cartesian3(), new Cartesian3(), - undefined + undefined, ); }).toThrowDeveloperError(); }); @@ -1753,6 +1728,6 @@ describe("Core/Cartesian3", function () { Cartesian3, [new Cartesian3(1, 2, 3), new Cartesian3(4, 5, 6)], [1, 2, 3, 4, 5, 6], - 3 + 3, ); }); diff --git a/packages/engine/Specs/Core/Cartesian4Spec.js b/packages/engine/Specs/Core/Cartesian4Spec.js index b9146991493a..41bea584dc9b 100644 --- a/packages/engine/Specs/Core/Cartesian4Spec.js +++ b/packages/engine/Specs/Core/Cartesian4Spec.js @@ -65,7 +65,7 @@ describe("Core/Cartesian4", function () { const cartesian4 = new Cartesian4(); const result = Cartesian4.fromColor( new Color(1.0, 2.0, 3.0, 4.0), - cartesian4 + cartesian4, ); expect(cartesian4).toBe(result); expect(cartesian4).toEqual(new Cartesian4(1.0, 2.0, 3.0, 4.0)); @@ -149,56 +149,56 @@ describe("Core/Cartesian4", function () { second = new Cartesian4(1.0, 0.0, 0.0, 0.0); expected = new Cartesian4(1.0, 0.0, 0.0, 0.0); expect(Cartesian4.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian4(1.0, 0.0, 0.0, 0.0); second = new Cartesian4(2.0, 0.0, 0.0, 0.0); expected = new Cartesian4(1.0, 0.0, 0.0, 0.0); expect(Cartesian4.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian4(2.0, -15.0, 0.0, 0.0); second = new Cartesian4(1.0, -20.0, 0.0, 0.0); expected = new Cartesian4(1.0, -20.0, 0.0, 0.0); expect(Cartesian4.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian4(2.0, -20.0, 0.0, 0.0); second = new Cartesian4(1.0, -15.0, 0.0, 0.0); expected = new Cartesian4(1.0, -20.0, 0.0, 0.0); expect(Cartesian4.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian4(2.0, -15.0, 26.4, 0.0); second = new Cartesian4(1.0, -20.0, 26.5, 0.0); expected = new Cartesian4(1.0, -20.0, 26.4, 0.0); expect(Cartesian4.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian4(2.0, -15.0, 26.5, 0.0); second = new Cartesian4(1.0, -20.0, 26.4, 0.0); expected = new Cartesian4(1.0, -20.0, 26.4, 0.0); expect(Cartesian4.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian4(2.0, -15.0, 26.4, -450.0); second = new Cartesian4(1.0, -20.0, 26.5, 450.0); expected = new Cartesian4(1.0, -20.0, 26.4, -450.0); expect(Cartesian4.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian4(2.0, -15.0, 26.5, 450.0); second = new Cartesian4(1.0, -20.0, 26.4, -450.0); expected = new Cartesian4(1.0, -20.0, 26.4, -450.0); expect(Cartesian4.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); }); @@ -217,13 +217,13 @@ describe("Core/Cartesian4", function () { const second = new Cartesian4(1.0, 0.0, 0.0, 0.0); const expected = new Cartesian4(1.0, 0.0, 0.0, 0.0); expect(Cartesian4.minimumByComponent(first, second, first)).toEqual( - expected + expected, ); first.x = 1.0; second.x = 2.0; expect(Cartesian4.minimumByComponent(first, second, second)).toEqual( - expected + expected, ); }); @@ -245,13 +245,13 @@ describe("Core/Cartesian4", function () { const expected = new Cartesian4(1.0, 0.0, 0.0, 0.0); const result = new Cartesian4(); expect(Cartesian4.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); second.x = 3.0; expected.x = 2.0; expect(Cartesian4.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); }); @@ -261,13 +261,13 @@ describe("Core/Cartesian4", function () { const expected = new Cartesian4(0.0, 1.0, 0.0, 0.0); const result = new Cartesian4(); expect(Cartesian4.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); second.y = 3.0; expected.y = 2.0; expect(Cartesian4.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); }); @@ -277,13 +277,13 @@ describe("Core/Cartesian4", function () { const expected = new Cartesian4(0.0, 0.0, 1.0, 0.0); const result = new Cartesian4(); expect(Cartesian4.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); second.z = 3.0; expected.z = 2.0; expect(Cartesian4.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); }); @@ -293,13 +293,13 @@ describe("Core/Cartesian4", function () { const expected = new Cartesian4(0.0, 0.0, 0.0, 1.0); const result = new Cartesian4(); expect(Cartesian4.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); second.w = 3.0; expected.w = 2.0; expect(Cartesian4.minimumByComponent(first, second, result)).toEqual( - expected + expected, ); }); @@ -313,56 +313,56 @@ describe("Core/Cartesian4", function () { expected = new Cartesian4(2.0, 0.0, 0.0, 0.0); const result = new Cartesian4(); expect(Cartesian4.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian4(1.0, 0.0, 0.0, 0.0); second = new Cartesian4(2.0, 0.0, 0.0, 0.0); expected = new Cartesian4(2.0, 0.0, 0.0, 0.0); expect(Cartesian4.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian4(2.0, -15.0, 0.0, 0.0); second = new Cartesian4(1.0, -20.0, 0.0, 0.0); expected = new Cartesian4(2.0, -15.0, 0.0, 0.0); expect(Cartesian4.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian4(2.0, -20.0, 0.0, 0.0); second = new Cartesian4(1.0, -15.0, 0.0, 0.0); expected = new Cartesian4(2.0, -15.0, 0.0, 0.0); expect(Cartesian4.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian4(2.0, -15.0, 26.4, 0.0); second = new Cartesian4(1.0, -20.0, 26.5, 0.0); expected = new Cartesian4(2.0, -15.0, 26.5, 0.0); expect(Cartesian4.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian4(2.0, -15.0, 26.5, 0.0); second = new Cartesian4(1.0, -20.0, 26.4, 0.0); expected = new Cartesian4(2.0, -15.0, 26.5, 0.0); expect(Cartesian4.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian4(2.0, -15.0, 26.5, 450.0); second = new Cartesian4(1.0, -20.0, 26.4, -450.0); expected = new Cartesian4(2.0, -15.0, 26.5, 450.0); expect(Cartesian4.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); first = new Cartesian4(2.0, -15.0, 26.5, -450.0); second = new Cartesian4(1.0, -20.0, 26.4, 450.0); expected = new Cartesian4(2.0, -15.0, 26.5, 450.0); expect(Cartesian4.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); }); @@ -381,13 +381,13 @@ describe("Core/Cartesian4", function () { const second = new Cartesian4(1.0, 0.0, 0.0, 0.0); const expected = new Cartesian4(2.0, 0.0, 0.0, 0.0); expect(Cartesian4.maximumByComponent(first, second, first)).toEqual( - expected + expected, ); first.x = 1.0; second.x = 2.0; expect(Cartesian4.maximumByComponent(first, second, second)).toEqual( - expected + expected, ); }); @@ -396,13 +396,13 @@ describe("Core/Cartesian4", function () { const second = new Cartesian4(1.0, 0.0, 0.0, 0.0); const expected = new Cartesian4(2.0, 0.0, 0.0, 0.0); expect(Cartesian4.maximumByComponent(first, second, second)).toEqual( - expected + expected, ); first.x = 1.0; second.x = 2.0; expect(Cartesian4.maximumByComponent(first, second, second)).toEqual( - expected + expected, ); }); @@ -424,13 +424,13 @@ describe("Core/Cartesian4", function () { const expected = new Cartesian4(2.0, 0.0, 0.0, 0.0); const result = new Cartesian4(); expect(Cartesian4.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); second.x = 3.0; expected.x = 3.0; expect(Cartesian4.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); }); @@ -440,13 +440,13 @@ describe("Core/Cartesian4", function () { const expected = new Cartesian4(0.0, 2.0, 0.0, 0.0); const result = new Cartesian4(); expect(Cartesian4.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); second.y = 3.0; expected.y = 3.0; expect(Cartesian4.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); }); @@ -456,13 +456,13 @@ describe("Core/Cartesian4", function () { const expected = new Cartesian4(0.0, 0.0, 2.0, 0.0); const result = new Cartesian4(); expect(Cartesian4.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); second.z = 3.0; expected.z = 3.0; expect(Cartesian4.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); }); @@ -472,13 +472,13 @@ describe("Core/Cartesian4", function () { const expected = new Cartesian4(0.0, 0.0, 0.0, 2.0); const result = new Cartesian4(); expect(Cartesian4.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); second.w = 3.0; expected.w = 3.0; expect(Cartesian4.maximumByComponent(first, second, result)).toEqual( - expected + expected, ); }); @@ -594,7 +594,7 @@ describe("Core/Cartesian4", function () { it("distance", function () { const distance = Cartesian4.distance( new Cartesian4(1.0, 0.0, 0.0, 0.0), - new Cartesian4(2.0, 0.0, 0.0, 0.0) + new Cartesian4(2.0, 0.0, 0.0, 0.0), ); expect(distance).toEqual(1.0); }); @@ -614,7 +614,7 @@ describe("Core/Cartesian4", function () { it("distanceSquared", function () { const distanceSquared = Cartesian4.distanceSquared( new Cartesian4(1.0, 0.0, 0.0, 0.0), - new Cartesian4(3.0, 0.0, 0.0, 0.0) + new Cartesian4(3.0, 0.0, 0.0, 0.0), ); expect(distanceSquared).toEqual(4.0); }); @@ -746,7 +746,7 @@ describe("Core/Cartesian4", function () { const returnedResult = Cartesian4.multiplyByScalar( cartesian, scalar, - result + result, ); expect(result).toBe(returnedResult); expect(result).toEqual(expectedResult); @@ -759,7 +759,7 @@ describe("Core/Cartesian4", function () { const returnedResult = Cartesian4.multiplyByScalar( cartesian, scalar, - cartesian + cartesian, ); expect(cartesian).toBe(returnedResult); expect(cartesian).toEqual(expectedResult); @@ -782,7 +782,7 @@ describe("Core/Cartesian4", function () { const returnedResult = Cartesian4.divideByScalar( cartesian, scalar, - cartesian + cartesian, ); expect(cartesian).toBe(returnedResult); expect(cartesian).toEqual(expectedResult); @@ -855,67 +855,67 @@ describe("Core/Cartesian4", function () { it("most orthogonal angle is x", function () { const v = new Cartesian4(0.0, 1.0, 2.0, 3.0); expect(Cartesian4.mostOrthogonalAxis(v, new Cartesian4())).toEqual( - Cartesian4.UNIT_X + Cartesian4.UNIT_X, ); }); it("most orthogonal angle is y", function () { const v = new Cartesian4(1.0, 0.0, 2.0, 3.0); expect(Cartesian4.mostOrthogonalAxis(v, new Cartesian4())).toEqual( - Cartesian4.UNIT_Y + Cartesian4.UNIT_Y, ); }); it("most orthogonal angle is z", function () { let v = new Cartesian4(2.0, 3.0, 0.0, 1.0); expect(Cartesian4.mostOrthogonalAxis(v, new Cartesian4())).toEqual( - Cartesian4.UNIT_Z + Cartesian4.UNIT_Z, ); v = new Cartesian4(3.0, 2.0, 0.0, 1.0); expect(Cartesian4.mostOrthogonalAxis(v, new Cartesian4())).toEqual( - Cartesian4.UNIT_Z + Cartesian4.UNIT_Z, ); }); it("most orthogonal angle is w", function () { let v = new Cartesian4(1.0, 2.0, 3.0, 0.0); expect(Cartesian4.mostOrthogonalAxis(v, new Cartesian4())).toEqual( - Cartesian4.UNIT_W + Cartesian4.UNIT_W, ); v = new Cartesian4(2.0, 3.0, 1.0, 0.0); expect(Cartesian4.mostOrthogonalAxis(v, new Cartesian4())).toEqual( - Cartesian4.UNIT_W + Cartesian4.UNIT_W, ); v = new Cartesian4(3.0, 1.0, 2.0, 0.0); expect(Cartesian4.mostOrthogonalAxis(v, new Cartesian4())).toEqual( - Cartesian4.UNIT_W + Cartesian4.UNIT_W, ); v = new Cartesian4(3.0, 2.0, 1.0, 0.0); expect(Cartesian4.mostOrthogonalAxis(v, new Cartesian4())).toEqual( - Cartesian4.UNIT_W + Cartesian4.UNIT_W, ); }); it("equals", function () { const cartesian = new Cartesian4(1.0, 2.0, 3.0, 4.0); expect( - Cartesian4.equals(cartesian, new Cartesian4(1.0, 2.0, 3.0, 4.0)) + Cartesian4.equals(cartesian, new Cartesian4(1.0, 2.0, 3.0, 4.0)), ).toEqual(true); expect( - Cartesian4.equals(cartesian, new Cartesian4(2.0, 2.0, 3.0, 4.0)) + Cartesian4.equals(cartesian, new Cartesian4(2.0, 2.0, 3.0, 4.0)), ).toEqual(false); expect( - Cartesian4.equals(cartesian, new Cartesian4(2.0, 1.0, 3.0, 4.0)) + Cartesian4.equals(cartesian, new Cartesian4(2.0, 1.0, 3.0, 4.0)), ).toEqual(false); expect( - Cartesian4.equals(cartesian, new Cartesian4(1.0, 2.0, 4.0, 4.0)) + Cartesian4.equals(cartesian, new Cartesian4(1.0, 2.0, 4.0, 4.0)), ).toEqual(false); expect( - Cartesian4.equals(cartesian, new Cartesian4(1.0, 2.0, 3.0, 5.0)) + Cartesian4.equals(cartesian, new Cartesian4(1.0, 2.0, 3.0, 5.0)), ).toEqual(false); expect(Cartesian4.equals(cartesian, undefined)).toEqual(false); }); @@ -923,46 +923,46 @@ describe("Core/Cartesian4", function () { it("equalsEpsilon", function () { let cartesian = new Cartesian4(1.0, 2.0, 3.0, 4.0); expect( - cartesian.equalsEpsilon(new Cartesian4(1.0, 2.0, 3.0, 4.0), 0.0) + cartesian.equalsEpsilon(new Cartesian4(1.0, 2.0, 3.0, 4.0), 0.0), ).toEqual(true); expect( - cartesian.equalsEpsilon(new Cartesian4(1.0, 2.0, 3.0, 4.0), 1.0) + cartesian.equalsEpsilon(new Cartesian4(1.0, 2.0, 3.0, 4.0), 1.0), ).toEqual(true); expect( - cartesian.equalsEpsilon(new Cartesian4(2.0, 2.0, 3.0, 4.0), 1.0) + cartesian.equalsEpsilon(new Cartesian4(2.0, 2.0, 3.0, 4.0), 1.0), ).toEqual(true); expect( - cartesian.equalsEpsilon(new Cartesian4(1.0, 3.0, 3.0, 4.0), 1.0) + cartesian.equalsEpsilon(new Cartesian4(1.0, 3.0, 3.0, 4.0), 1.0), ).toEqual(true); expect( - cartesian.equalsEpsilon(new Cartesian4(1.0, 2.0, 4.0, 4.0), 1.0) + cartesian.equalsEpsilon(new Cartesian4(1.0, 2.0, 4.0, 4.0), 1.0), ).toEqual(true); expect( - cartesian.equalsEpsilon(new Cartesian4(1.0, 2.0, 3.0, 5.0), 1.0) + cartesian.equalsEpsilon(new Cartesian4(1.0, 2.0, 3.0, 5.0), 1.0), ).toEqual(true); expect( cartesian.equalsEpsilon( new Cartesian4(2.0, 2.0, 3.0, 4.0), - CesiumMath.EPSILON6 - ) + CesiumMath.EPSILON6, + ), ).toEqual(false); expect( cartesian.equalsEpsilon( new Cartesian4(1.0, 3.0, 3.0, 4.0), - CesiumMath.EPSILON6 - ) + CesiumMath.EPSILON6, + ), ).toEqual(false); expect( cartesian.equalsEpsilon( new Cartesian4(1.0, 2.0, 4.0, 4.0), - CesiumMath.EPSILON6 - ) + CesiumMath.EPSILON6, + ), ).toEqual(false); expect( cartesian.equalsEpsilon( new Cartesian4(1.0, 2.0, 3.0, 5.0), - CesiumMath.EPSILON6 - ) + CesiumMath.EPSILON6, + ), ).toEqual(false); expect(cartesian.equalsEpsilon(undefined, 1)).toEqual(false); @@ -970,44 +970,44 @@ describe("Core/Cartesian4", function () { expect( cartesian.equalsEpsilon( new Cartesian4(3000000.0, 4000000.0, 5000000.0, 6000000.0), - 0.0 - ) + 0.0, + ), ).toEqual(true); expect( cartesian.equalsEpsilon( new Cartesian4(3000000.2, 4000000.0, 5000000.0, 6000000.0), - CesiumMath.EPSILON7 - ) + CesiumMath.EPSILON7, + ), ).toEqual(true); expect( cartesian.equalsEpsilon( new Cartesian4(3000000.0, 4000000.2, 5000000.0, 6000000.0), - CesiumMath.EPSILON7 - ) + CesiumMath.EPSILON7, + ), ).toEqual(true); expect( cartesian.equalsEpsilon( new Cartesian4(3000000.0, 4000000.0, 5000000.2, 6000000.0), - CesiumMath.EPSILON7 - ) + CesiumMath.EPSILON7, + ), ).toEqual(true); expect( cartesian.equalsEpsilon( new Cartesian4(3000000.0, 4000000.0, 5000000.0, 6000000.2), - CesiumMath.EPSILON7 - ) + CesiumMath.EPSILON7, + ), ).toEqual(true); expect( cartesian.equalsEpsilon( new Cartesian4(3000000.2, 4000000.2, 5000000.2, 6000000.2), - CesiumMath.EPSILON7 - ) + CesiumMath.EPSILON7, + ), ).toEqual(true); expect( cartesian.equalsEpsilon( new Cartesian4(3000000.2, 4000000.2, 5000000.2, 6000000.2), - CesiumMath.EPSILON9 - ) + CesiumMath.EPSILON9, + ), ).toEqual(false); expect(cartesian.equalsEpsilon(undefined, 1)).toEqual(false); @@ -1316,6 +1316,6 @@ describe("Core/Cartesian4", function () { Cartesian4, [new Cartesian4(1, 2, 3, 4), new Cartesian4(5, 6, 7, 8)], [1, 2, 3, 4, 5, 6, 7, 8], - 4 + 4, ); }); diff --git a/packages/engine/Specs/Core/CartographicGeocoderServiceSpec.js b/packages/engine/Specs/Core/CartographicGeocoderServiceSpec.js index 98fb8ae18020..1cc60b71a198 100644 --- a/packages/engine/Specs/Core/CartographicGeocoderServiceSpec.js +++ b/packages/engine/Specs/Core/CartographicGeocoderServiceSpec.js @@ -16,7 +16,7 @@ describe("Core/CartographicGeocoderService", function () { return service.geocode(query).then(function (results) { expect(results.length).toEqual(1); expect(results[0].destination).toEqual( - Cartesian3.fromDegrees(-75.0, 35.0, 300.0) + Cartesian3.fromDegrees(-75.0, 35.0, 300.0), ); }); }); @@ -26,7 +26,7 @@ describe("Core/CartographicGeocoderService", function () { return service.geocode(query).then(function (results) { expect(results.length).toEqual(1); expect(results[0].destination).toEqual( - Cartesian3.fromDegrees(-75.0, 35.0, 300.0) + Cartesian3.fromDegrees(-75.0, 35.0, 300.0), ); }); }); @@ -36,7 +36,7 @@ describe("Core/CartographicGeocoderService", function () { return service.geocode(query).then(function (results) { expect(results.length).toEqual(1); expect(results[0].destination).toEqual( - Cartesian3.fromDegrees(1.0, 2.0, 3.0) + Cartesian3.fromDegrees(1.0, 2.0, 3.0), ); }); }); @@ -47,7 +47,7 @@ describe("Core/CartographicGeocoderService", function () { return service.geocode(query).then(function (results) { expect(results.length).toEqual(1); expect(results[0].destination).toEqual( - Cartesian3.fromDegrees(1.0, 2.0, defaultHeight) + Cartesian3.fromDegrees(1.0, 2.0, defaultHeight), ); }); }); diff --git a/packages/engine/Specs/Core/CartographicSpec.js b/packages/engine/Specs/Core/CartographicSpec.js index cd281154bd8e..221282c89b43 100644 --- a/packages/engine/Specs/Core/CartographicSpec.js +++ b/packages/engine/Specs/Core/CartographicSpec.js @@ -9,12 +9,12 @@ describe("Core/Cartographic", function () { const surfaceCartesian = new Cartesian3( 4094327.7921465295, 1909216.4044747739, - 4487348.4088659193 + 4487348.4088659193, ); const surfaceCartographic = new Cartographic( CesiumMath.toRadians(25.0), CesiumMath.toRadians(45.0), - 0.0 + 0.0, ); afterEach(function () { @@ -42,7 +42,7 @@ describe("Core/Cartographic", function () { const ellipsoid = Ellipsoid.WGS84; const actual = Cartographic.toCartesian(new Cartographic(lon, lat, height)); const expected = ellipsoid.cartographicToCartesian( - new Cartographic(lon, lat, height) + new Cartographic(lon, lat, height), ); expect(actual).toEqual(expected); }); @@ -53,7 +53,7 @@ describe("Core/Cartographic", function () { const expectedPosition = new Cartesian3( 1593514.338295244, 691991.9979835141, - 20442.318221152018 + 20442.318221152018, ); const cartographic = Cartographic.fromDegrees(23.47315, 0.67416); const position = Cartographic.toCartesian(cartographic); @@ -144,14 +144,14 @@ describe("Core/Cartographic", function () { const position = new Cartesian3( 1593514.338295244, 691991.9979835141, - 20442.318221152018 + 20442.318221152018, ); const cartographic = new Cartographic.fromCartesian(position); const expectedCartographic = Cartographic.fromDegrees(23.47315, 0.67416); expect(cartographic).toEqualEpsilon( expectedCartographic, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); }); @@ -166,7 +166,7 @@ describe("Core/Cartographic", function () { const cartesian1 = Cartesian3.fromRadians( cartographic1.longitude, cartographic1.latitude, - cartographic1.height + cartographic1.height, ); const cartographic2 = Cartographic.fromCartesian(cartesian1); @@ -178,7 +178,7 @@ describe("Core/Cartographic", function () { const cartesian1 = Cartesian3.fromRadians( cartographic1.longitude, cartographic1.latitude, - cartographic1.height + cartographic1.height, ); const cartographic2 = Cartographic.fromCartesian(cartesian1); @@ -219,28 +219,28 @@ describe("Core/Cartographic", function () { it("equalsEpsilon", function () { const cartographic = new Cartographic(1.0, 2.0, 3.0); expect( - cartographic.equalsEpsilon(new Cartographic(1.0, 2.0, 3.0), 0.0) + cartographic.equalsEpsilon(new Cartographic(1.0, 2.0, 3.0), 0.0), ).toEqual(true); expect( - cartographic.equalsEpsilon(new Cartographic(1.0, 2.0, 3.0), 1.0) + cartographic.equalsEpsilon(new Cartographic(1.0, 2.0, 3.0), 1.0), ).toEqual(true); expect( - cartographic.equalsEpsilon(new Cartographic(2.0, 2.0, 3.0), 1.0) + cartographic.equalsEpsilon(new Cartographic(2.0, 2.0, 3.0), 1.0), ).toEqual(true); expect( - cartographic.equalsEpsilon(new Cartographic(1.0, 3.0, 3.0), 1.0) + cartographic.equalsEpsilon(new Cartographic(1.0, 3.0, 3.0), 1.0), ).toEqual(true); expect( - cartographic.equalsEpsilon(new Cartographic(1.0, 2.0, 4.0), 1.0) + cartographic.equalsEpsilon(new Cartographic(1.0, 2.0, 4.0), 1.0), ).toEqual(true); expect( - cartographic.equalsEpsilon(new Cartographic(2.0, 2.0, 3.0), 0.99999) + cartographic.equalsEpsilon(new Cartographic(2.0, 2.0, 3.0), 0.99999), ).toEqual(false); expect( - cartographic.equalsEpsilon(new Cartographic(1.0, 3.0, 3.0), 0.99999) + cartographic.equalsEpsilon(new Cartographic(1.0, 3.0, 3.0), 0.99999), ).toEqual(false); expect( - cartographic.equalsEpsilon(new Cartographic(1.0, 2.0, 4.0), 0.99999) + cartographic.equalsEpsilon(new Cartographic(1.0, 2.0, 4.0), 0.99999), ).toEqual(false); expect(cartographic.equalsEpsilon(undefined, 1)).toEqual(false); }); diff --git a/packages/engine/Specs/Core/CatmullRomSplineSpec.js b/packages/engine/Specs/Core/CatmullRomSplineSpec.js index 4dd08e164df3..3384a766e325 100644 --- a/packages/engine/Specs/Core/CatmullRomSplineSpec.js +++ b/packages/engine/Specs/Core/CatmullRomSplineSpec.js @@ -47,7 +47,7 @@ describe("Core/CatmullRomSpline", function () { const end = Cartesian3.subtract( points[points.length - 1], points[points.length - 2], - new Cartesian3() + new Cartesian3(), ); const crs = new CatmullRomSpline({ points: points, @@ -71,13 +71,13 @@ describe("Core/CatmullRomSpline", function () { Cartesian3.subtract( Cartesian3.multiplyByScalar(controlPoint1, 2.0, start), controlPoint2, - start + start, ), controlPoint0, - start + start, ), 0.5, - start + start, ); const controlPointn0 = Cartesian3.clone(points[points.length - 1]); @@ -90,13 +90,13 @@ describe("Core/CatmullRomSpline", function () { Cartesian3.subtract( controlPointn0, Cartesian3.multiplyByScalar(controlPointn1, 2.0, end), - end + end, ), controlPointn2, - end + end, ), 0.5, - end + end, ); const crs = new CatmullRomSpline({ @@ -142,8 +142,8 @@ describe("Core/CatmullRomSpline", function () { Cartesian3.multiplyByScalar( Cartesian3.subtract(points[i + 1], points[i - 1], new Cartesian3()), 0.5, - new Cartesian3() - ) + new Cartesian3(), + ), ); } tangents.push(crs.lastTangent); @@ -158,7 +158,7 @@ describe("Core/CatmullRomSpline", function () { for (let j = times[0]; j <= times[points.length - 1]; j = j + granularity) { expect(hs.evaluate(j)).toEqualEpsilon( crs.evaluate(j), - CesiumMath.EPSILON4 + CesiumMath.EPSILON4, ); } }); @@ -186,7 +186,7 @@ describe("Core/CatmullRomSpline", function () { const t = (times[0] + times[1]) * 0.5; expect(crs.evaluate(t)).toEqual( - Cartesian3.lerp(points[0], points[1], t, new Cartesian3()) + Cartesian3.lerp(points[0], points[1], t, new Cartesian3()), ); }); @@ -204,7 +204,7 @@ describe("Core/CatmullRomSpline", function () { const actual = crs.evaluate(t, result); expect(actual).toBe(result); expect(actual).toEqual( - Cartesian3.lerp(points[0], points[1], t, new Cartesian3()) + Cartesian3.lerp(points[0], points[1], t, new Cartesian3()), ); }); }); diff --git a/packages/engine/Specs/Core/CesiumTerrainProviderSpec.js b/packages/engine/Specs/Core/CesiumTerrainProviderSpec.js index c89c43b83545..9422bc626d51 100644 --- a/packages/engine/Specs/Core/CesiumTerrainProviderSpec.js +++ b/packages/engine/Specs/Core/CesiumTerrainProviderSpec.js @@ -33,7 +33,7 @@ describe("Core/CesiumTerrainProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { if (url.indexOf("layer.json") >= 0) { Resource._DefaultImplementations.loadWithXhr( @@ -42,7 +42,7 @@ describe("Core/CesiumTerrainProvider", function () { method, data, headers, - deferred + deferred, ); } else { return oldLoad( @@ -52,7 +52,7 @@ describe("Core/CesiumTerrainProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ); } }; @@ -60,7 +60,7 @@ describe("Core/CesiumTerrainProvider", function () { function returnHeightmapTileJson() { return returnTileJson( - "Data/CesiumTerrainTileJson/StandardHeightmap.tile.json" + "Data/CesiumTerrainTileJson/StandardHeightmap.tile.json", ); } @@ -74,7 +74,7 @@ describe("Core/CesiumTerrainProvider", function () { function returnOctVertexNormalTileJson() { return returnTileJson( - "Data/CesiumTerrainTileJson/OctVertexNormals.tile.json" + "Data/CesiumTerrainTileJson/OctVertexNormals.tile.json", ); } @@ -84,7 +84,7 @@ describe("Core/CesiumTerrainProvider", function () { function returnPartialAvailabilityTileJson() { return returnTileJson( - "Data/CesiumTerrainTileJson/PartialAvailability.tile.json" + "Data/CesiumTerrainTileJson/PartialAvailability.tile.json", ); } @@ -102,7 +102,7 @@ describe("Core/CesiumTerrainProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { if (url.indexOf("layer.json") >= 0) { Resource._DefaultImplementations.loadWithXhr( @@ -111,7 +111,7 @@ describe("Core/CesiumTerrainProvider", function () { method, data, headers, - deferred + deferred, ); } else { return oldLoad( @@ -121,7 +121,7 @@ describe("Core/CesiumTerrainProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ); } }; @@ -129,7 +129,7 @@ describe("Core/CesiumTerrainProvider", function () { function returnMetadataAvailabilityTileJson() { return returnTileJson( - "Data/CesiumTerrainTileJson/MetadataAvailability.tile.json" + "Data/CesiumTerrainTileJson/MetadataAvailability.tile.json", ); } @@ -147,7 +147,7 @@ describe("Core/CesiumTerrainProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { if (url.indexOf("layer.json") >= 0) { Resource._DefaultImplementations.loadWithXhr( @@ -156,7 +156,7 @@ describe("Core/CesiumTerrainProvider", function () { method, data, headers, - deferred + deferred, ); } else { return oldLoad( @@ -166,7 +166,7 @@ describe("Core/CesiumTerrainProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ); } }; @@ -193,17 +193,17 @@ describe("Core/CesiumTerrainProvider", function () { it("fromIonAssetId throws without assetId", async function () { await expectAsync( - CesiumTerrainProvider.fromIonAssetId() + CesiumTerrainProvider.fromIonAssetId(), ).toBeRejectedWithDeveloperError( - "assetId is required, actual value was undefined" + "assetId is required, actual value was undefined", ); }); it("fromUrl throws without url", async function () { await expectAsync( - CesiumTerrainProvider.fromUrl() + CesiumTerrainProvider.fromUrl(), ).toBeRejectedWithDeveloperError( - "url is required, actual value was undefined" + "url is required, actual value was undefined", ); }); @@ -214,7 +214,7 @@ describe("Core/CesiumTerrainProvider", function () { it("fromUrl resolves with url promise", async function () { const provider = await CesiumTerrainProvider.fromUrl( - Promise.resolve("made/up/url") + Promise.resolve("made/up/url"), ); expect(provider).toBeInstanceOf(CesiumTerrainProvider); }); @@ -230,7 +230,7 @@ describe("Core/CesiumTerrainProvider", function () { it("fromUrl rejects if url rejects", async function () { await expectAsync( - CesiumTerrainProvider.fromUrl(Promise.reject(new Error("my message"))) + CesiumTerrainProvider.fromUrl(Promise.reject(new Error("my message"))), ).toBeRejectedWithError("my message"); }); @@ -267,11 +267,11 @@ describe("Core/CesiumTerrainProvider", function () { expect(provider.getLevelMaximumGeometricError(0)).toBeGreaterThan(0.0); expect(provider.getLevelMaximumGeometricError(0)).toEqualEpsilon( provider.getLevelMaximumGeometricError(1) * 2.0, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(provider.getLevelMaximumGeometricError(1)).toEqualEpsilon( provider.getLevelMaximumGeometricError(2) * 2.0, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -332,7 +332,7 @@ describe("Core/CesiumTerrainProvider", function () { }); expect(provider._tileCredits[0].html).toBe( - "This is a child tileset! This amazing data is courtesy The Amazing Data Source!" + "This is a child tileset! This amazing data is courtesy The Amazing Data Source!", ); expect(provider.requestVertexNormals).toBe(true); expect(provider.requestWaterMask).toBe(true); @@ -360,10 +360,10 @@ describe("Core/CesiumTerrainProvider", function () { returnTileJson("Data/CesiumTerrainTileJson/InvalidFormat.tile.json"); await expectAsync( - CesiumTerrainProvider.fromUrl("made/up/url") + CesiumTerrainProvider.fromUrl("made/up/url"), ).toBeRejectedWithError( RuntimeError, - 'The tile format "awesometron-9000.0" is invalid or not supported.' + 'The tile format "awesometron-9000.0" is invalid or not supported.', ); }); @@ -371,10 +371,10 @@ describe("Core/CesiumTerrainProvider", function () { returnTileJson("Data/CesiumTerrainTileJson/QuantizedMesh2.0.tile.json"); await expectAsync( - CesiumTerrainProvider.fromUrl("made/up/url") + CesiumTerrainProvider.fromUrl("made/up/url"), ).toBeRejectedWithError( RuntimeError, - 'The tile format "quantized-mesh-2.0" is invalid or not supported.' + 'The tile format "quantized-mesh-2.0" is invalid or not supported.', ); }); @@ -382,7 +382,7 @@ describe("Core/CesiumTerrainProvider", function () { returnTileJson("Data/CesiumTerrainTileJson/QuantizedMesh1.1.tile.json"); await expectAsync( - CesiumTerrainProvider.fromUrl("made/up/url") + CesiumTerrainProvider.fromUrl("made/up/url"), ).toBeResolved(); }); @@ -390,10 +390,10 @@ describe("Core/CesiumTerrainProvider", function () { returnTileJson("Data/CesiumTerrainTileJson/NoTiles.tile.json"); await expectAsync( - CesiumTerrainProvider.fromUrl("made/up/url") + CesiumTerrainProvider.fromUrl("made/up/url"), ).toBeRejectedWithError( RuntimeError, - "The layer.json file does not specify any tile URL templates." + "The layer.json file does not specify any tile URL templates.", ); }); @@ -401,10 +401,10 @@ describe("Core/CesiumTerrainProvider", function () { returnTileJson("Data/CesiumTerrainTileJson/EmptyTilesArray.tile.json"); await expectAsync( - CesiumTerrainProvider.fromUrl("made/up/url") + CesiumTerrainProvider.fromUrl("made/up/url"), ).toBeRejectedWithError( RuntimeError, - "The layer.json file does not specify any tile URL templates." + "The layer.json file does not specify any tile URL templates.", ); }); @@ -414,7 +414,7 @@ describe("Core/CesiumTerrainProvider", function () { const provider = await CesiumTerrainProvider.fromUrl("made/up/url"); expect(provider._tileCredits[0].html).toBe( - "This amazing data is courtesy The Amazing Data Source!" + "This amazing data is courtesy The Amazing Data Source!", ); }); @@ -432,10 +432,10 @@ describe("Core/CesiumTerrainProvider", function () { }; expect( - CesiumTerrainProvider._getAvailabilityTile(layer, 0, 0, 0) + CesiumTerrainProvider._getAvailabilityTile(layer, 0, 0, 0), ).toBeUndefined(); expect( - CesiumTerrainProvider._getAvailabilityTile(layer, 1, 0, 0) + CesiumTerrainProvider._getAvailabilityTile(layer, 1, 0, 0), ).toBeUndefined(); }); @@ -456,7 +456,7 @@ describe("Core/CesiumTerrainProvider", function () { }); expect( - CesiumTerrainProvider._getAvailabilityTile(layer, 80, 50, 10) + CesiumTerrainProvider._getAvailabilityTile(layer, 80, 50, 10), ).toEqual({ level: 0, x: 0, @@ -487,10 +487,10 @@ describe("Core/CesiumTerrainProvider", function () { } expect( - CesiumTerrainProvider._getAvailabilityTile(layer, xs[0], ys[0], 20) + CesiumTerrainProvider._getAvailabilityTile(layer, xs[0], ys[0], 20), ).toEqual(expected); expect( - CesiumTerrainProvider._getAvailabilityTile(layer, xs[1], ys[1], 20) + CesiumTerrainProvider._getAvailabilityTile(layer, xs[1], ys[1], 20), ).toEqual(expected); }); @@ -504,7 +504,7 @@ describe("Core/CesiumTerrainProvider", function () { await provider.requestTileGeometry(0, 0, 0); } catch (e) { expect( - Resource._Implementations.loadWithXhr.calls.mostRecent().args[0] + Resource._Implementations.loadWithXhr.calls.mostRecent().args[0], ).toContain("foo0.com"); } @@ -512,7 +512,7 @@ describe("Core/CesiumTerrainProvider", function () { await provider.requestTileGeometry(1, 0, 0); } catch (e) { expect( - Resource._Implementations.loadWithXhr.calls.mostRecent().args[0] + Resource._Implementations.loadWithXhr.calls.mostRecent().args[0], ).toContain("foo1.com"); } @@ -520,7 +520,7 @@ describe("Core/CesiumTerrainProvider", function () { await provider.requestTileGeometry(1, -1, 0); } catch (e) { expect( - Resource._Implementations.loadWithXhr.calls.mostRecent().args[0] + Resource._Implementations.loadWithXhr.calls.mostRecent().args[0], ).toContain("foo2.com"); } @@ -528,7 +528,7 @@ describe("Core/CesiumTerrainProvider", function () { await provider.requestTileGeometry(1, 0, 1); } catch (e) { expect( - Resource._Implementations.loadWithXhr.calls.mostRecent().args[0] + Resource._Implementations.loadWithXhr.calls.mostRecent().args[0], ).toContain("foo3.com"); } }); @@ -544,14 +544,14 @@ describe("Core/CesiumTerrainProvider", function () { await provider.requestTileGeometry(0, 0, 0); } catch (e) { expect( - Resource._Implementations.loadWithXhr.calls.mostRecent().args[0] + Resource._Implementations.loadWithXhr.calls.mostRecent().args[0], ).toContain("foo0.com"); } try { await provider.requestTileGeometry(1, 0, 0); } catch (e) { expect( - Resource._Implementations.loadWithXhr.calls.mostRecent().args[0] + Resource._Implementations.loadWithXhr.calls.mostRecent().args[0], ).toContain("foo1.com"); } @@ -559,14 +559,14 @@ describe("Core/CesiumTerrainProvider", function () { await provider.requestTileGeometry(1, -1, 0); } catch (e) { expect( - Resource._Implementations.loadWithXhr.calls.mostRecent().args[0] + Resource._Implementations.loadWithXhr.calls.mostRecent().args[0], ).toContain("foo2.com"); } try { await provider.requestTileGeometry(1, 0, 1); } catch (e) { expect( - Resource._Implementations.loadWithXhr.calls.mostRecent().args[0] + Resource._Implementations.loadWithXhr.calls.mostRecent().args[0], ).toContain("foo3.com"); } }); @@ -579,7 +579,7 @@ describe("Core/CesiumTerrainProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { // Just return any old file, as long as its big enough return Resource._DefaultImplementations.loadWithXhr( @@ -588,7 +588,7 @@ describe("Core/CesiumTerrainProvider", function () { method, data, headers, - deferred + deferred, ); }; @@ -607,7 +607,7 @@ describe("Core/CesiumTerrainProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { Resource._DefaultImplementations.loadWithXhr( "Data/CesiumTerrainTileJson/tile.terrain", @@ -615,7 +615,7 @@ describe("Core/CesiumTerrainProvider", function () { method, data, headers, - deferred + deferred, ); }; @@ -634,7 +634,7 @@ describe("Core/CesiumTerrainProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { Resource._DefaultImplementations.loadWithXhr( "Data/CesiumTerrainTileJson/tile.32bitIndices.terrain", @@ -642,7 +642,7 @@ describe("Core/CesiumTerrainProvider", function () { method, data, headers, - deferred + deferred, ); }; @@ -662,7 +662,7 @@ describe("Core/CesiumTerrainProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { Resource._DefaultImplementations.loadWithXhr( "Data/CesiumTerrainTileJson/tile.vertexnormals.terrain", @@ -670,7 +670,7 @@ describe("Core/CesiumTerrainProvider", function () { method, data, headers, - deferred + deferred, ); }; @@ -690,7 +690,7 @@ describe("Core/CesiumTerrainProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { Resource._DefaultImplementations.loadWithXhr( "Data/CesiumTerrainTileJson/tile.watermask.terrain", @@ -698,7 +698,7 @@ describe("Core/CesiumTerrainProvider", function () { method, data, headers, - deferred + deferred, ); }; @@ -718,7 +718,7 @@ describe("Core/CesiumTerrainProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { Resource._DefaultImplementations.loadWithXhr( "Data/CesiumTerrainTileJson/tile.octvertexnormals.watermask.terrain", @@ -726,7 +726,7 @@ describe("Core/CesiumTerrainProvider", function () { method, data, headers, - deferred + deferred, ); }; @@ -747,7 +747,7 @@ describe("Core/CesiumTerrainProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { Resource._DefaultImplementations.loadWithXhr( "Data/CesiumTerrainTileJson/tile.octvertexnormals.terrain", @@ -755,7 +755,7 @@ describe("Core/CesiumTerrainProvider", function () { method, data, headers, - deferred + deferred, ); }; @@ -775,7 +775,7 @@ describe("Core/CesiumTerrainProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { Resource._DefaultImplementations.loadWithXhr( "Data/CesiumTerrainTileJson/tile.vertexnormals.unknownext.terrain", @@ -783,7 +783,7 @@ describe("Core/CesiumTerrainProvider", function () { method, data, headers, - deferred + deferred, ); }; @@ -803,7 +803,7 @@ describe("Core/CesiumTerrainProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { Resource._DefaultImplementations.loadWithXhr( "Data/CesiumTerrainTileJson/tile.octvertexnormals.unknownext.terrain", @@ -811,7 +811,7 @@ describe("Core/CesiumTerrainProvider", function () { method, data, headers, - deferred + deferred, ); }; @@ -831,7 +831,7 @@ describe("Core/CesiumTerrainProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { Resource._DefaultImplementations.loadWithXhr( "Data/CesiumTerrainTileJson/tile.unknownext.terrain", @@ -839,7 +839,7 @@ describe("Core/CesiumTerrainProvider", function () { method, data, headers, - deferred + deferred, ); }; @@ -858,7 +858,7 @@ describe("Core/CesiumTerrainProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { Resource._DefaultImplementations.loadWithXhr( "Data/CesiumTerrainTileJson/tile.metadataavailability.terrain", @@ -866,15 +866,14 @@ describe("Core/CesiumTerrainProvider", function () { method, data, headers, - deferred + deferred, ); }; returnMetadataAvailabilityTileJson(); - const terrainProvider = await CesiumTerrainProvider.fromUrl( - "made/up/url" - ); + const terrainProvider = + await CesiumTerrainProvider.fromUrl("made/up/url"); expect(terrainProvider.hasMetadata).toBe(true); expect(terrainProvider._layers[0].availabilityLevels).toBe(10); @@ -895,7 +894,7 @@ describe("Core/CesiumTerrainProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { Resource._DefaultImplementations.loadWithXhr( "Data/CesiumTerrainTileJson/tile.metadataavailability.terrain", @@ -903,15 +902,14 @@ describe("Core/CesiumTerrainProvider", function () { method, data, headers, - deferred + deferred, ); }; returnParentUrlTileJsonWithMetadataAvailability(); - const terrainProvider = await CesiumTerrainProvider.fromUrl( - "made/up/url" - ); + const terrainProvider = + await CesiumTerrainProvider.fromUrl("made/up/url"); expect(terrainProvider.hasMetadata).toBe(true); const layers = terrainProvider._layers; @@ -936,7 +934,7 @@ describe("Core/CesiumTerrainProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { // Do nothing, so requests never complete deferreds.push(deferred); @@ -980,7 +978,7 @@ describe("Core/CesiumTerrainProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { Resource._DefaultImplementations.loadWithXhr( "Data/CesiumTerrainTileJson/tile.terrain", @@ -988,7 +986,7 @@ describe("Core/CesiumTerrainProvider", function () { method, data, headers, - deferred + deferred, ); }; @@ -1019,7 +1017,7 @@ describe("Core/CesiumTerrainProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { Resource._DefaultImplementations.loadWithXhr( "Data/CesiumTerrainTileJson/tile.metadataavailability.terrain", @@ -1027,15 +1025,14 @@ describe("Core/CesiumTerrainProvider", function () { method, data, headers, - deferred + deferred, ); }; returnMetadataAvailabilityTileJson(); - const terrainProvider = await CesiumTerrainProvider.fromUrl( - "made/up/url" - ); + const terrainProvider = + await CesiumTerrainProvider.fromUrl("made/up/url"); expect(terrainProvider.getTileDataAvailable(0, 0, 0)).toBe(true); expect(terrainProvider.getTileDataAvailable(0, 0, 1)).toBeUndefined(); @@ -1052,7 +1049,7 @@ describe("Core/CesiumTerrainProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { // Just return any old file, as long as its big enough Resource._DefaultImplementations.loadWithXhr( @@ -1061,7 +1058,7 @@ describe("Core/CesiumTerrainProvider", function () { method, data, headers, - deferred + deferred, ); }; @@ -1078,17 +1075,17 @@ describe("Core/CesiumTerrainProvider", function () { { requestVertexNormals: true, requestWaterMask: true, - } + }, ); const getDerivedResource = spyOn( IonResource.prototype, - "getDerivedResource" + "getDerivedResource", ).and.callThrough(); await terrainProvider.requestTileGeometry(0, 0, 0); const options = getDerivedResource.calls.argsFor(0)[0]; expect(options.queryParameters.extensions).toEqual( - "octvertexnormals-watermask-metadata" + "octvertexnormals-watermask-metadata", ); }); }); diff --git a/packages/engine/Specs/Core/CircleGeometrySpec.js b/packages/engine/Specs/Core/CircleGeometrySpec.js index 78cc3929c4df..d1a0c7c333ed 100644 --- a/packages/engine/Specs/Core/CircleGeometrySpec.js +++ b/packages/engine/Specs/Core/CircleGeometrySpec.js @@ -43,7 +43,7 @@ describe("Core/CircleGeometry", function () { center: Cartesian3.fromDegrees(0, 0), granularity: 0.1, radius: 1.0, - }) + }), ); const numVertices = 16; //rows of 1 + 4 + 6 + 4 + 1 @@ -61,7 +61,7 @@ describe("Core/CircleGeometry", function () { center: Cartesian3.fromDegrees(0, 0), granularity: 0.1, radius: 1.0, - }) + }), ); const numVertices = 16; @@ -83,7 +83,7 @@ describe("Core/CircleGeometry", function () { granularity: 0.1, radius: 1.0, extrudedHeight: 10000, - }) + }), ); const numVertices = 48; // 16 top circle + 16 bottom circle + 8 top edge + 8 bottom edge @@ -101,7 +101,7 @@ describe("Core/CircleGeometry", function () { granularity: 0.1, radius: 1.0, extrudedHeight: 10000, - }) + }), ); const numVertices = 48; @@ -123,7 +123,7 @@ describe("Core/CircleGeometry", function () { granularity: 0.1, radius: 1.0, stRotation: CesiumMath.PI_OVER_TWO, - }) + }), ); const positions = m.attributes.position.values; @@ -183,27 +183,27 @@ describe("Core/CircleGeometry", function () { expect(textureCoordinateRotationPoints.length).toEqual(6); expect(textureCoordinateRotationPoints[0]).toEqualEpsilon( 0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[1]).toEqualEpsilon( 1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[2]).toEqualEpsilon( 1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[3]).toEqualEpsilon( 1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[4]).toEqualEpsilon( 0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[5]).toEqualEpsilon( 0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); diff --git a/packages/engine/Specs/Core/CircleOutlineGeometrySpec.js b/packages/engine/Specs/Core/CircleOutlineGeometrySpec.js index 1f8a92ae0e1f..5124c2e720b5 100644 --- a/packages/engine/Specs/Core/CircleOutlineGeometrySpec.js +++ b/packages/engine/Specs/Core/CircleOutlineGeometrySpec.js @@ -36,7 +36,7 @@ describe("Core/CircleOutlineGeometry", function () { center: Cartesian3.fromDegrees(0, 0), granularity: 0.1, radius: 1.0, - }) + }), ); expect(m.attributes.position.values.length).toEqual(8 * 3); @@ -52,7 +52,7 @@ describe("Core/CircleOutlineGeometry", function () { granularity: 0.1, radius: 1.0, extrudedHeight: 5, - }) + }), ); expect(m.attributes.position.values.length).toEqual(16 * 3); //8 top circle + 8 bottom circle @@ -68,7 +68,7 @@ describe("Core/CircleOutlineGeometry", function () { radius: 1.0, extrudedHeight: 10000, numberOfVerticalLines: 0, - }) + }), ); expect(m.attributes.position.values.length).toEqual(16 * 3); @@ -123,7 +123,7 @@ describe("Core/CircleOutlineGeometry", function () { CircleOutlineGeometry, packableInstance, packedInstance, - "extruded" + "extruded", ); //Because extrudedHeight is optional and has to be taken into account when packing, we have a second test without it. @@ -155,6 +155,6 @@ describe("Core/CircleOutlineGeometry", function () { CircleOutlineGeometry, packableInstance, packedInstance, - "at height" + "at height", ); }); diff --git a/packages/engine/Specs/Core/ClockSpec.js b/packages/engine/Specs/Core/ClockSpec.js index 48a3e97c1717..be69c6277a6f 100644 --- a/packages/engine/Specs/Core/ClockSpec.js +++ b/packages/engine/Specs/Core/ClockSpec.js @@ -10,7 +10,7 @@ describe("Core/Clock", function () { it("sets default parameters when constructed", function () { const clock = new Clock(); expect(clock.stopTime).toEqual( - JulianDate.addDays(clock.startTime, 1, new JulianDate()) + JulianDate.addDays(clock.startTime, 1, new JulianDate()), ); expect(clock.startTime).toEqual(clock.currentTime); expect(clock.multiplier).toEqual(1.0); @@ -225,7 +225,7 @@ describe("Core/Clock", function () { currentTime = JulianDate.addSeconds( currentTime, multiplier, - new JulianDate() + new JulianDate(), ); expect(currentTime).toEqual(clock.tick()); expect(clock.currentTime).toEqual(currentTime); @@ -233,7 +233,7 @@ describe("Core/Clock", function () { currentTime = JulianDate.addSeconds( currentTime, multiplier, - new JulianDate() + new JulianDate(), ); expect(currentTime).toEqual(clock.tick()); expect(clock.currentTime).toEqual(currentTime); @@ -258,7 +258,7 @@ describe("Core/Clock", function () { currentTime = JulianDate.addSeconds( currentTime, multiplier, - new JulianDate() + new JulianDate(), ); expect(currentTime).toEqual(clock.tick()); expect(clock.currentTime).toEqual(currentTime); @@ -266,7 +266,7 @@ describe("Core/Clock", function () { currentTime = JulianDate.addSeconds( currentTime, multiplier, - new JulianDate() + new JulianDate(), ); expect(currentTime).toEqual(clock.tick()); expect(clock.currentTime).toEqual(currentTime); @@ -291,7 +291,7 @@ describe("Core/Clock", function () { currentTime = JulianDate.addSeconds( currentTime, multiplier, - new JulianDate() + new JulianDate(), ); expect(currentTime).toEqual(clock.tick()); expect(clock.currentTime).toEqual(currentTime); @@ -299,7 +299,7 @@ describe("Core/Clock", function () { currentTime = JulianDate.addSeconds( currentTime, multiplier, - new JulianDate() + new JulianDate(), ); expect(currentTime).toEqual(clock.tick()); expect(clock.currentTime).toEqual(currentTime); @@ -324,7 +324,7 @@ describe("Core/Clock", function () { currentTime = JulianDate.addSeconds( currentTime, multiplier, - new JulianDate() + new JulianDate(), ); expect(currentTime).toEqual(clock.tick()); expect(clock.currentTime).toEqual(currentTime); @@ -332,7 +332,7 @@ describe("Core/Clock", function () { currentTime = JulianDate.addSeconds( currentTime, multiplier, - new JulianDate() + new JulianDate(), ); expect(currentTime).toEqual(clock.tick()); expect(clock.currentTime).toEqual(currentTime); @@ -361,7 +361,7 @@ describe("Core/Clock", function () { currentTime = JulianDate.addSeconds( currentTime, multiplier, - new JulianDate() + new JulianDate(), ); expect(currentTime).toEqual(clock.tick()); expect(clock.currentTime).toEqual(currentTime); @@ -504,8 +504,8 @@ describe("Core/Clock", function () { JulianDate.addSeconds( JulianDate.fromDate(baseDate), 1.0, - new JulianDate() - ) + new JulianDate(), + ), ); }); @@ -597,8 +597,8 @@ describe("Core/Clock", function () { JulianDate.addSeconds( JulianDate.fromDate(baseDate), 2.0, - new JulianDate() - ) + new JulianDate(), + ), ); }); @@ -629,8 +629,8 @@ describe("Core/Clock", function () { JulianDate.addSeconds( JulianDate.fromDate(baseDate), 1.0, - new JulianDate() - ) + new JulianDate(), + ), ); jasmine.clock().tick(1000); @@ -641,8 +641,8 @@ describe("Core/Clock", function () { JulianDate.addSeconds( JulianDate.fromDate(baseDate), 2.0, - new JulianDate() - ) + new JulianDate(), + ), ); clock.currentTime = start; @@ -661,8 +661,8 @@ describe("Core/Clock", function () { JulianDate.addSeconds( JulianDate.fromDate(baseDate), 1.0, - new JulianDate() - ) + new JulianDate(), + ), ); }); }); diff --git a/packages/engine/Specs/Core/ColorGeometryInstanceAttributeSpec.js b/packages/engine/Specs/Core/ColorGeometryInstanceAttributeSpec.js index e2557eb6bfa9..abf3282a25aa 100644 --- a/packages/engine/Specs/Core/ColorGeometryInstanceAttributeSpec.js +++ b/packages/engine/Specs/Core/ColorGeometryInstanceAttributeSpec.js @@ -8,7 +8,7 @@ describe("Core/ColorGeometryInstanceAttribute", function () { it("constructor", function () { const attribute = new ColorGeometryInstanceAttribute(1.0, 1.0, 0.0, 0.5); expect(attribute.componentDatatype).toEqual( - ComponentDatatype.UNSIGNED_BYTE + ComponentDatatype.UNSIGNED_BYTE, ); expect(attribute.componentsPerAttribute).toEqual(4); expect(attribute.normalize).toEqual(true); @@ -21,7 +21,7 @@ describe("Core/ColorGeometryInstanceAttribute", function () { const color = Color.AQUA; const attribute = ColorGeometryInstanceAttribute.fromColor(color); expect(attribute.componentDatatype).toEqual( - ComponentDatatype.UNSIGNED_BYTE + ComponentDatatype.UNSIGNED_BYTE, ); expect(attribute.componentsPerAttribute).toEqual(4); expect(attribute.normalize).toEqual(true); @@ -40,7 +40,7 @@ describe("Core/ColorGeometryInstanceAttribute", function () { const color = Color.AQUA; const expectedResult = new Uint8Array(color.toBytes()); expect(ColorGeometryInstanceAttribute.toValue(color)).toEqual( - expectedResult + expectedResult, ); }); @@ -50,7 +50,7 @@ describe("Core/ColorGeometryInstanceAttribute", function () { const result = new Uint8Array(4); const returnedResult = ColorGeometryInstanceAttribute.toValue( color, - result + result, ); expect(returnedResult).toBe(result); expect(returnedResult).toEqual(expectedResult); @@ -68,38 +68,38 @@ describe("Core/ColorGeometryInstanceAttribute", function () { expect( ColorGeometryInstanceAttribute.equals( color, - new ColorGeometryInstanceAttribute(0.1, 0.2, 0.3, 0.4) - ) + new ColorGeometryInstanceAttribute(0.1, 0.2, 0.3, 0.4), + ), ).toEqual(true); expect( ColorGeometryInstanceAttribute.equals( color, - new ColorGeometryInstanceAttribute(0.5, 0.2, 0.3, 0.4) - ) + new ColorGeometryInstanceAttribute(0.5, 0.2, 0.3, 0.4), + ), ).toEqual(false); expect( ColorGeometryInstanceAttribute.equals( color, - new ColorGeometryInstanceAttribute(0.1, 0.5, 0.3, 0.4) - ) + new ColorGeometryInstanceAttribute(0.1, 0.5, 0.3, 0.4), + ), ).toEqual(false); expect( ColorGeometryInstanceAttribute.equals( color, - new ColorGeometryInstanceAttribute(0.1, 0.2, 0.5, 0.4) - ) + new ColorGeometryInstanceAttribute(0.1, 0.2, 0.5, 0.4), + ), ).toEqual(false); expect( ColorGeometryInstanceAttribute.equals( color, - new ColorGeometryInstanceAttribute(0.1, 0.2, 0.3, 0.5) - ) + new ColorGeometryInstanceAttribute(0.1, 0.2, 0.3, 0.5), + ), ).toEqual(false); expect(ColorGeometryInstanceAttribute.equals(color, undefined)).toEqual( - false + false, ); expect(ColorGeometryInstanceAttribute.equals(undefined, color)).toEqual( - false + false, ); }); }); diff --git a/packages/engine/Specs/Core/ColorSpec.js b/packages/engine/Specs/Core/ColorSpec.js index 96ab7d124170..157b2781e0d3 100644 --- a/packages/engine/Specs/Core/ColorSpec.js +++ b/packages/engine/Specs/Core/ColorSpec.js @@ -85,7 +85,7 @@ describe("Core/Color", function () { const color = new Color(); const result = Color.fromCartesian4( new Cartesian4(1.0, 2.0, 3.0, 4.0), - color + color, ); expect(color).toBe(result); expect(color).toEqual(new Color(1.0, 2.0, 3.0, 4.0)); @@ -152,10 +152,10 @@ describe("Core/Color", function () { expect(Color.BLUE.toCssColorString()).toEqual("rgb(0,0,255)"); expect(Color.LIME.toCssColorString()).toEqual("rgb(0,255,0)"); expect(new Color(0.0, 0.0, 0.0, 1.0).toCssColorString()).toEqual( - "rgb(0,0,0)" + "rgb(0,0,0)", ); expect(new Color(0.1, 0.2, 0.3, 0.4).toCssColorString()).toEqual( - "rgba(25,51,76,0.4)" + "rgba(25,51,76,0.4)", ); }); @@ -170,13 +170,13 @@ describe("Core/Color", function () { it("fromCssColorString supports transparent", function () { expect(Color.fromCssColorString("transparent")).toEqual( - new Color(0.0, 0.0, 0.0, 0.0) + new Color(0.0, 0.0, 0.0, 0.0), ); }); it("fromCssColorString supports the #rgb format", function () { expect(Color.fromCssColorString("#369")).toEqual( - new Color(0.2, 0.4, 0.6, 1.0) + new Color(0.2, 0.4, 0.6, 1.0), ); }); @@ -194,19 +194,19 @@ describe("Core/Color", function () { it("fromCssColorString supports the #rgba format", function () { expect(Color.fromCssColorString("#369c")).toEqual( - new Color(0.2, 0.4, 0.6, 0.8) + new Color(0.2, 0.4, 0.6, 0.8), ); }); it("fromCssColorString supports the #rgba format with uppercase", function () { expect(Color.fromCssColorString("#369C")).toEqual( - new Color(0.2, 0.4, 0.6, 0.8) + new Color(0.2, 0.4, 0.6, 0.8), ); }); it("fromCssColorString supports the #rrggbb format", function () { expect(Color.fromCssColorString("#336699")).toEqual( - new Color(0.2, 0.4, 0.6, 1.0) + new Color(0.2, 0.4, 0.6, 1.0), ); }); @@ -224,13 +224,13 @@ describe("Core/Color", function () { it("fromCssColorString supports the #rrggbbaa format", function () { expect(Color.fromCssColorString("#336699cc")).toEqual( - new Color(0.2, 0.4, 0.6, 0.8) + new Color(0.2, 0.4, 0.6, 0.8), ); }); it("fromCssColorString supports the #rrggbbaa format with uppercase", function () { expect(Color.fromCssColorString("#336699CC")).toEqual( - new Color(0.2, 0.4, 0.6, 0.8) + new Color(0.2, 0.4, 0.6, 0.8), ); }); @@ -239,7 +239,7 @@ describe("Core/Color", function () { expect(Color.fromCssColorString("rgb(0, 255, 0)")).toEqual(Color.LIME); expect(Color.fromCssColorString("rgb(0, 0, 255)")).toEqual(Color.BLUE); expect(Color.fromCssColorString("rgb(51, 102, 204)")).toEqual( - new Color(0.2, 0.4, 0.8, 1.0) + new Color(0.2, 0.4, 0.8, 1.0), ); }); @@ -248,7 +248,7 @@ describe("Core/Color", function () { expect(Color.fromCssColorString("rgb(0 255 0)")).toEqual(Color.LIME); expect(Color.fromCssColorString("rgb(0 0 255)")).toEqual(Color.BLUE); expect(Color.fromCssColorString("rgb(51 102 204)")).toEqual( - new Color(0.2, 0.4, 0.8, 1.0) + new Color(0.2, 0.4, 0.8, 1.0), ); }); @@ -257,7 +257,7 @@ describe("Core/Color", function () { expect(Color.fromCssColorString("rgb(0, 100%, 0)")).toEqual(Color.LIME); expect(Color.fromCssColorString("rgb(0, 0, 100%)")).toEqual(Color.BLUE); expect(Color.fromCssColorString("rgb(20%, 40%, 80%)")).toEqual( - new Color(0.2, 0.4, 0.8, 1.0) + new Color(0.2, 0.4, 0.8, 1.0), ); }); @@ -266,20 +266,20 @@ describe("Core/Color", function () { expect(Color.fromCssColorString("rgb(0 100% 0)")).toEqual(Color.LIME); expect(Color.fromCssColorString("rgb(0 0 100%)")).toEqual(Color.BLUE); expect(Color.fromCssColorString("rgb(20% 40% 80%)")).toEqual( - new Color(0.2, 0.4, 0.8, 1.0) + new Color(0.2, 0.4, 0.8, 1.0), ); }); it("fromCssColorString supports the rgba() format with absolute values", function () { expect(Color.fromCssColorString("rgba(255, 0, 0, 1.0)")).toEqual(Color.RED); expect(Color.fromCssColorString("rgba(0, 255, 0, 1.0)")).toEqual( - Color.LIME + Color.LIME, ); expect(Color.fromCssColorString("rgba(0, 0, 255, 1.0)")).toEqual( - Color.BLUE + Color.BLUE, ); expect(Color.fromCssColorString("rgba(51, 102, 204, 0.6)")).toEqual( - new Color(0.2, 0.4, 0.8, 0.6) + new Color(0.2, 0.4, 0.8, 0.6), ); }); @@ -288,35 +288,35 @@ describe("Core/Color", function () { expect(Color.fromCssColorString("rgba(0 255 0 / 1.0)")).toEqual(Color.LIME); expect(Color.fromCssColorString("rgba(0 0 255 / 1.0)")).toEqual(Color.BLUE); expect(Color.fromCssColorString("rgba(51 102 204 / 0.6)")).toEqual( - new Color(0.2, 0.4, 0.8, 0.6) + new Color(0.2, 0.4, 0.8, 0.6), ); }); it("fromCssColorString supports the rgba() format with percentages", function () { expect(Color.fromCssColorString("rgba(100%, 0, 0, 1.0)")).toEqual( - Color.RED + Color.RED, ); expect(Color.fromCssColorString("rgba(0, 100%, 0, 1.0)")).toEqual( - Color.LIME + Color.LIME, ); expect(Color.fromCssColorString("rgba(0, 0, 100%, 1.0)")).toEqual( - Color.BLUE + Color.BLUE, ); expect(Color.fromCssColorString("rgba(20%, 40%, 80%, 0.6)")).toEqual( - new Color(0.2, 0.4, 0.8, 0.6) + new Color(0.2, 0.4, 0.8, 0.6), ); }); it("fromCssColorString supports the rgba() format with percentages (space-separated)", function () { expect(Color.fromCssColorString("rgba(100% 0 0 / 1.0)")).toEqual(Color.RED); expect(Color.fromCssColorString("rgba(0 100% 0 / 1.0)")).toEqual( - Color.LIME + Color.LIME, ); expect(Color.fromCssColorString("rgba(0 0 100% / 1.0)")).toEqual( - Color.BLUE + Color.BLUE, ); expect(Color.fromCssColorString("rgba(20% 40% 80% / 0.6)")).toEqual( - new Color(0.2, 0.4, 0.8, 0.6) + new Color(0.2, 0.4, 0.8, 0.6), ); }); @@ -332,7 +332,7 @@ describe("Core/Color", function () { expect(Color.fromCssColorString("hsl(240, 100%, 50%)")).toEqual(Color.BLUE); expect(Color.fromCssColorString("hsl(220, 60%, 50%)")).toEqualEpsilon( new Color(0.2, 0.4, 0.8), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -342,46 +342,46 @@ describe("Core/Color", function () { expect(Color.fromCssColorString("hsl(240 100% 50%)")).toEqual(Color.BLUE); expect(Color.fromCssColorString("hsl(220 60% 50%)")).toEqualEpsilon( new Color(0.2, 0.4, 0.8), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); it("fromCssColorString supports the hsla() format", function () { expect(Color.fromCssColorString("hsla(0, 100%, 50%, 1.0)")).toEqual( - Color.RED + Color.RED, ); expect(Color.fromCssColorString("hsla(120, 100%, 50%, 1.0)")).toEqual( - Color.LIME + Color.LIME, ); expect(Color.fromCssColorString("hsla(240, 100%, 50%, 1.0)")).toEqual( - Color.BLUE + Color.BLUE, ); expect(Color.fromCssColorString("hsla(220, 60%, 50%, 0.6)")).toEqualEpsilon( new Color(0.2, 0.4, 0.8, 0.6), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); it("fromCssColorString supports the hsla() format (space-separated)", function () { expect(Color.fromCssColorString("hsla(0 100% 50% / 1.0)")).toEqual( - Color.RED + Color.RED, ); expect(Color.fromCssColorString("hsla(120 100% 50% / 1.0)")).toEqual( - Color.LIME + Color.LIME, ); expect(Color.fromCssColorString("hsla(240 100% 50% / 1.0)")).toEqual( - Color.BLUE + Color.BLUE, ); expect(Color.fromCssColorString("hsla(220 60% 50% / 0.6)")).toEqualEpsilon( new Color(0.2, 0.4, 0.8, 0.6), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); it("fromCssColorString wraps hue into valid range for hsl() format", function () { expect(Color.fromCssColorString("hsl(720, 100%, 50%)")).toEqual(Color.RED); expect(Color.fromCssColorString("hsla(720, 100%, 50%, 1.0)")).toEqual( - Color.RED + Color.RED, ); }); @@ -426,13 +426,13 @@ describe("Core/Color", function () { it("fromCssColorString understands the color string even with any number of unnecessary leading, trailing or middle spaces", function () { expect(Color.fromCssColorString(" rgb( 0, 0, 255)")).toEqual(Color.BLUE); expect(Color.fromCssColorString("rgb( 255, 255, 255) ")).toEqual( - Color.WHITE + Color.WHITE, ); expect(Color.fromCssColorString("rgb (0 0 255) ")).toEqual(Color.BLUE); expect(Color.fromCssColorString(" #FF0000")).toEqual(Color.RED); expect(Color.fromCssColorString("#FF0 ")).toEqual(Color.YELLOW); expect(Color.fromCssColorString(" hsla(720, 100%, 50%, 1.0) ")).toEqual( - Color.RED + Color.RED, ); expect(Color.fromCssColorString("hsl (720, 100%, 50%)")).toEqual(Color.RED); }); @@ -443,7 +443,7 @@ describe("Core/Color", function () { expect(Color.fromHsl(240.0 / 360.0, 1.0, 0.5, 1.0)).toEqual(Color.BLUE); expect(Color.fromHsl(220.0 / 360.0, 0.6, 0.5, 0.7)).toEqualEpsilon( new Color(0.2, 0.4, 0.8, 0.7), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -508,12 +508,12 @@ describe("Core/Color", function () { expect(color.red).toBeBetween(options.minimumRed, options.maximumRed); expect(color.green).toBeBetween( options.minimumGreen, - options.maximumGreen + options.maximumGreen, ); expect(color.blue).toBeBetween(options.minimumBlue, options.maximumBlue); expect(color.alpha).toBeBetween( options.minimumAlpha, - options.maximumAlpha + options.maximumAlpha, ); } }); @@ -597,7 +597,7 @@ describe("Core/Color", function () { it("toString produces correct results", function () { expect(new Color(0.1, 0.2, 0.3, 0.4).toString()).toEqual( - "(0.1, 0.2, 0.3, 0.4)" + "(0.1, 0.2, 0.3, 0.4)", ); }); @@ -939,10 +939,9 @@ describe("Core/Color", function () { expect(result.alpha).toEqualEpsilon(0.5, CesiumMath.EPSILON15); }); - createPackableSpecs(Color, new Color(0.1, 0.2, 0.3, 0.4), [ - 0.1, - 0.2, - 0.3, - 0.4, - ]); + createPackableSpecs( + Color, + new Color(0.1, 0.2, 0.3, 0.4), + [0.1, 0.2, 0.3, 0.4], + ); }); diff --git a/packages/engine/Specs/Core/ComponentDatatypeSpec.js b/packages/engine/Specs/Core/ComponentDatatypeSpec.js index 10957085f84b..b1047d3f1bb0 100644 --- a/packages/engine/Specs/Core/ComponentDatatypeSpec.js +++ b/packages/engine/Specs/Core/ComponentDatatypeSpec.js @@ -3,28 +3,28 @@ import { ComponentDatatype } from "../../index.js"; describe("Core/ComponentDatatype", function () { it("fromTypedArray works", function () { expect(ComponentDatatype.fromTypedArray(new Int8Array())).toBe( - ComponentDatatype.BYTE + ComponentDatatype.BYTE, ); expect(ComponentDatatype.fromTypedArray(new Uint8Array())).toBe( - ComponentDatatype.UNSIGNED_BYTE + ComponentDatatype.UNSIGNED_BYTE, ); expect(ComponentDatatype.fromTypedArray(new Int16Array())).toBe( - ComponentDatatype.SHORT + ComponentDatatype.SHORT, ); expect(ComponentDatatype.fromTypedArray(new Uint16Array())).toBe( - ComponentDatatype.UNSIGNED_SHORT + ComponentDatatype.UNSIGNED_SHORT, ); expect(ComponentDatatype.fromTypedArray(new Int32Array())).toBe( - ComponentDatatype.INT + ComponentDatatype.INT, ); expect(ComponentDatatype.fromTypedArray(new Uint32Array())).toBe( - ComponentDatatype.UNSIGNED_INT + ComponentDatatype.UNSIGNED_INT, ); expect(ComponentDatatype.fromTypedArray(new Float32Array())).toBe( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(ComponentDatatype.fromTypedArray(new Float64Array())).toBe( - ComponentDatatype.DOUBLE + ComponentDatatype.DOUBLE, ); }); @@ -37,15 +37,15 @@ describe("Core/ComponentDatatype", function () { it("validate works", function () { expect(ComponentDatatype.validate(ComponentDatatype.BYTE)).toBe(true); expect(ComponentDatatype.validate(ComponentDatatype.UNSIGNED_BYTE)).toBe( - true + true, ); expect(ComponentDatatype.validate(ComponentDatatype.SHORT)).toBe(true); expect(ComponentDatatype.validate(ComponentDatatype.UNSIGNED_SHORT)).toBe( - true + true, ); expect(ComponentDatatype.validate(ComponentDatatype.INT)).toBe(true); expect(ComponentDatatype.validate(ComponentDatatype.UNSIGNED_INT)).toBe( - true + true, ); expect(ComponentDatatype.validate(ComponentDatatype.FLOAT)).toBe(true); expect(ComponentDatatype.validate(ComponentDatatype.DOUBLE)).toBe(true); @@ -56,14 +56,14 @@ describe("Core/ComponentDatatype", function () { it("createTypedArray works with size", function () { let typedArray = ComponentDatatype.createTypedArray( ComponentDatatype.BYTE, - 0 + 0, ); expect(typedArray).toBeInstanceOf(Int8Array); expect(typedArray.length).toBe(0); typedArray = ComponentDatatype.createTypedArray( ComponentDatatype.UNSIGNED_BYTE, - 1 + 1, ); expect(typedArray).toBeInstanceOf(Uint8Array); expect(typedArray.length).toBe(1); @@ -74,7 +74,7 @@ describe("Core/ComponentDatatype", function () { typedArray = ComponentDatatype.createTypedArray( ComponentDatatype.UNSIGNED_SHORT, - 3 + 3, ); expect(typedArray).toBeInstanceOf(Uint16Array); expect(typedArray.length).toBe(3); @@ -85,7 +85,7 @@ describe("Core/ComponentDatatype", function () { typedArray = ComponentDatatype.createTypedArray( ComponentDatatype.UNSIGNED_INT, - 5 + 5, ); expect(typedArray).toBeInstanceOf(Uint32Array); expect(typedArray.length).toBe(5); @@ -96,7 +96,7 @@ describe("Core/ComponentDatatype", function () { typedArray = ComponentDatatype.createTypedArray( ComponentDatatype.DOUBLE, - 7 + 7, ); expect(typedArray).toBeInstanceOf(Float64Array); expect(typedArray.length).toBe(7); @@ -106,7 +106,7 @@ describe("Core/ComponentDatatype", function () { const values = [34, 12, 4, 1]; let typedArray = ComponentDatatype.createTypedArray( ComponentDatatype.BYTE, - values + values, ); expect(typedArray).toBeInstanceOf(Int8Array); expect(typedArray).toEqual(values); @@ -114,7 +114,7 @@ describe("Core/ComponentDatatype", function () { typedArray = ComponentDatatype.createTypedArray( ComponentDatatype.UNSIGNED_BYTE, - values + values, ); expect(typedArray).toBeInstanceOf(Uint8Array); expect(typedArray).toEqual(values); @@ -122,7 +122,7 @@ describe("Core/ComponentDatatype", function () { typedArray = ComponentDatatype.createTypedArray( ComponentDatatype.SHORT, - values + values, ); expect(typedArray).toBeInstanceOf(Int16Array); expect(typedArray).toEqual(values); @@ -130,7 +130,7 @@ describe("Core/ComponentDatatype", function () { typedArray = ComponentDatatype.createTypedArray( ComponentDatatype.UNSIGNED_SHORT, - values + values, ); expect(typedArray).toBeInstanceOf(Uint16Array); expect(typedArray).toEqual(values); @@ -138,7 +138,7 @@ describe("Core/ComponentDatatype", function () { typedArray = ComponentDatatype.createTypedArray( ComponentDatatype.INT, - values + values, ); expect(typedArray).toBeInstanceOf(Int32Array); expect(typedArray).toEqual(values); @@ -146,7 +146,7 @@ describe("Core/ComponentDatatype", function () { typedArray = ComponentDatatype.createTypedArray( ComponentDatatype.UNSIGNED_INT, - values + values, ); expect(typedArray).toBeInstanceOf(Uint32Array); expect(typedArray).toEqual(values); @@ -154,7 +154,7 @@ describe("Core/ComponentDatatype", function () { typedArray = ComponentDatatype.createTypedArray( ComponentDatatype.FLOAT, - values + values, ); expect(typedArray).toBeInstanceOf(Float32Array); expect(typedArray).toEqual(values); @@ -162,7 +162,7 @@ describe("Core/ComponentDatatype", function () { typedArray = ComponentDatatype.createTypedArray( ComponentDatatype.DOUBLE, - values + values, ); expect(typedArray).toBeInstanceOf(Float64Array); expect(typedArray).toEqual(values); @@ -176,64 +176,64 @@ describe("Core/ComponentDatatype", function () { ComponentDatatype.BYTE, buffer, 0, - 1 - ) + 1, + ), ).toBeInstanceOf(Int8Array); expect( ComponentDatatype.createArrayBufferView( ComponentDatatype.UNSIGNED_BYTE, buffer, 0, - 1 - ) + 1, + ), ).toBeInstanceOf(Uint8Array); expect( ComponentDatatype.createArrayBufferView( ComponentDatatype.SHORT, buffer, 0, - 1 - ) + 1, + ), ).toBeInstanceOf(Int16Array); expect( ComponentDatatype.createArrayBufferView( ComponentDatatype.UNSIGNED_SHORT, buffer, 0, - 1 - ) + 1, + ), ).toBeInstanceOf(Uint16Array); expect( ComponentDatatype.createArrayBufferView( ComponentDatatype.INT, buffer, 0, - 1 - ) + 1, + ), ).toBeInstanceOf(Int32Array); expect( ComponentDatatype.createArrayBufferView( ComponentDatatype.UNSIGNED_INT, buffer, 0, - 1 - ) + 1, + ), ).toBeInstanceOf(Uint32Array); expect( ComponentDatatype.createArrayBufferView( ComponentDatatype.FLOAT, buffer, 0, - 1 - ) + 1, + ), ).toBeInstanceOf(Float32Array); expect( ComponentDatatype.createArrayBufferView( ComponentDatatype.DOUBLE, buffer, 0, - 1 - ) + 1, + ), ).toBeInstanceOf(Float64Array); }); @@ -269,7 +269,7 @@ describe("Core/ComponentDatatype", function () { ComponentDatatype.BYTE, undefined, 0, - 1 + 1, ); }).toThrowDeveloperError(); }); @@ -277,23 +277,23 @@ describe("Core/ComponentDatatype", function () { it("fromName works", function () { expect(ComponentDatatype.fromName("BYTE")).toEqual(ComponentDatatype.BYTE); expect(ComponentDatatype.fromName("UNSIGNED_BYTE")).toEqual( - ComponentDatatype.UNSIGNED_BYTE + ComponentDatatype.UNSIGNED_BYTE, ); expect(ComponentDatatype.fromName("SHORT")).toEqual( - ComponentDatatype.SHORT + ComponentDatatype.SHORT, ); expect(ComponentDatatype.fromName("UNSIGNED_SHORT")).toEqual( - ComponentDatatype.UNSIGNED_SHORT + ComponentDatatype.UNSIGNED_SHORT, ); expect(ComponentDatatype.fromName("INT")).toEqual(ComponentDatatype.INT); expect(ComponentDatatype.fromName("UNSIGNED_INT")).toEqual( - ComponentDatatype.UNSIGNED_INT + ComponentDatatype.UNSIGNED_INT, ); expect(ComponentDatatype.fromName("FLOAT")).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(ComponentDatatype.fromName("DOUBLE")).toEqual( - ComponentDatatype.DOUBLE + ComponentDatatype.DOUBLE, ); }); diff --git a/packages/engine/Specs/Core/CoplanarPolygonGeometrySpec.js b/packages/engine/Specs/Core/CoplanarPolygonGeometrySpec.js index 11e767ab5081..3ccf284c23c2 100644 --- a/packages/engine/Specs/Core/CoplanarPolygonGeometrySpec.js +++ b/packages/engine/Specs/Core/CoplanarPolygonGeometrySpec.js @@ -26,20 +26,10 @@ describe("Core/CoplanarPolygonGeometry", function () { const geometry = CoplanarPolygonGeometry.createGeometry( CoplanarPolygonGeometry.fromPositions({ positions: Cartesian3.fromDegreesArrayHeights([ - 49.0, - 18.0, - 1000.0, - 49.0, - 18.0, - 5000.0, - 49.0, - 18.0, - 5000.0, - 49.0, - 18.0, - 1000.0, + 49.0, 18.0, 1000.0, 49.0, 18.0, 5000.0, 49.0, 18.0, 5000.0, 49.0, + 18.0, 1000.0, ]), - }) + }), ); expect(geometry).toBeUndefined(); }); @@ -48,17 +38,9 @@ describe("Core/CoplanarPolygonGeometry", function () { const geometry = CoplanarPolygonGeometry.createGeometry( CoplanarPolygonGeometry.fromPositions({ positions: Cartesian3.fromDegreesArrayHeights([ - 0.0, - 0.0, - 1.0, - 0.0, - 0.0, - 2.0, - 0.0, - 0.0, - 3.0, + 0.0, 0.0, 1.0, 0.0, 0.0, 2.0, 0.0, 0.0, 3.0, ]), - }) + }), ); expect(geometry).toBeUndefined(); }); @@ -69,19 +51,14 @@ describe("Core/CoplanarPolygonGeometry", function () { holes: [ { positions: Cartesian3.fromDegreesArray([ - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, + 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, ]), }, ], }; const geometry = CoplanarPolygonGeometry.createGeometry( - new CoplanarPolygonGeometry({ polygonHierarchy: hierarchy }) + new CoplanarPolygonGeometry({ polygonHierarchy: hierarchy }), ); expect(geometry).toBeUndefined(); }); @@ -91,20 +68,9 @@ describe("Core/CoplanarPolygonGeometry", function () { CoplanarPolygonGeometry.fromPositions({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArrayHeights([ - -1.0, - -1.0, - 0.0, - -1.0, - 0.0, - 1.0, - -1.0, - 1.0, - 1.0, - -1.0, - 2.0, - 0.0, + -1.0, -1.0, 0.0, -1.0, 0.0, 1.0, -1.0, 1.0, 1.0, -1.0, 2.0, 0.0, ]), - }) + }), ); expect(p.attributes.position.values.length).toEqual(4 * 3); @@ -116,20 +82,9 @@ describe("Core/CoplanarPolygonGeometry", function () { CoplanarPolygonGeometry.fromPositions({ vertexFormat: VertexFormat.ALL, positions: Cartesian3.fromDegreesArrayHeights([ - -1.0, - -1.0, - 0.0, - -1.0, - 0.0, - 1.0, - -1.0, - 1.0, - 1.0, - -1.0, - 2.0, - 0.0, + -1.0, -1.0, 0.0, -1.0, 0.0, 1.0, -1.0, 1.0, 1.0, -1.0, 2.0, 0.0, ]), - }) + }), ); const numVertices = 4; @@ -147,20 +102,9 @@ describe("Core/CoplanarPolygonGeometry", function () { CoplanarPolygonGeometry.fromPositions({ vertexFormat: VertexFormat.ALL, positions: Cartesian3.fromDegreesArrayHeights([ - 90.0, - -1.0, - 0.0, - 90.0, - 1.0, - 0.0, - 92.0, - 1.0, - 0.0, - 92.0, - -1.0, - 0.0, + 90.0, -1.0, 0.0, 90.0, 1.0, 0.0, 92.0, 1.0, 0.0, 92.0, -1.0, 0.0, ]), - }) + }), ); const center = Cartesian3.fromDegrees(91.0, 0.0); @@ -174,28 +118,13 @@ describe("Core/CoplanarPolygonGeometry", function () { // pack without explicit texture coordinates const positions = Cartesian3.fromDegreesArray([ - -12.4, - 3.5, - -12.0, - 3.5, - -12.0, - 4.0, + -12.4, 3.5, -12.0, 3.5, -12.0, 4.0, ]); const holePositions0 = Cartesian3.fromDegreesArray([ - -12.2, - 3.5, - -12.2, - 3.6, - -12.3, - 3.6, + -12.2, 3.5, -12.2, 3.6, -12.3, 3.6, ]); const holePositions1 = Cartesian3.fromDegreesArray([ - -12.2, - 3.5, - -12.25, - 3.5, - -12.25, - 3.55, + -12.2, 3.5, -12.25, 3.5, -12.25, 3.55, ]); const hierarchy = { positions: positions, @@ -238,7 +167,7 @@ describe("Core/CoplanarPolygonGeometry", function () { packedInstance.push( Ellipsoid.WGS84.radii.x, Ellipsoid.WGS84.radii.y, - Ellipsoid.WGS84.radii.z + Ellipsoid.WGS84.radii.z, ); packedInstance.push(1, 0, 0, 0, 0, 0, 0, -1, 45); createPackableSpecs(CoplanarPolygonGeometry, polygon, packedInstance); @@ -275,7 +204,7 @@ describe("Core/CoplanarPolygonGeometry", function () { packedInstanceTextured.push( Ellipsoid.WGS84.radii.x, Ellipsoid.WGS84.radii.y, - Ellipsoid.WGS84.radii.z + Ellipsoid.WGS84.radii.z, ); packedInstanceTextured.push(1, 0, 0, 0, 0, 0, 0); packedInstanceTextured.push(9.0, 0.0); @@ -284,6 +213,6 @@ describe("Core/CoplanarPolygonGeometry", function () { createPackableSpecs( CoplanarPolygonGeometry, polygonTextured, - packedInstanceTextured + packedInstanceTextured, ); }); diff --git a/packages/engine/Specs/Core/CoplanarPolygonOutlineGeometrySpec.js b/packages/engine/Specs/Core/CoplanarPolygonOutlineGeometrySpec.js index 90c922124f6b..ee579d803f93 100644 --- a/packages/engine/Specs/Core/CoplanarPolygonOutlineGeometrySpec.js +++ b/packages/engine/Specs/Core/CoplanarPolygonOutlineGeometrySpec.js @@ -19,20 +19,10 @@ describe("Core/CoplanarPolygonOutlineGeometry", function () { const geometry = CoplanarPolygonOutlineGeometry.createGeometry( CoplanarPolygonOutlineGeometry.fromPositions({ positions: Cartesian3.fromDegreesArrayHeights([ - 49.0, - 18.0, - 1000.0, - 49.0, - 18.0, - 5000.0, - 49.0, - 18.0, - 5000.0, - 49.0, - 18.0, - 1000.0, + 49.0, 18.0, 1000.0, 49.0, 18.0, 5000.0, 49.0, 18.0, 5000.0, 49.0, + 18.0, 1000.0, ]), - }) + }), ); expect(geometry).toBeUndefined(); }); @@ -41,17 +31,9 @@ describe("Core/CoplanarPolygonOutlineGeometry", function () { const geometry = CoplanarPolygonOutlineGeometry.createGeometry( CoplanarPolygonOutlineGeometry.fromPositions({ positions: Cartesian3.fromDegreesArrayHeights([ - 0.0, - 0.0, - 1.0, - 0.0, - 0.0, - 2.0, - 0.0, - 0.0, - 3.0, + 0.0, 0.0, 1.0, 0.0, 0.0, 2.0, 0.0, 0.0, 3.0, ]), - }) + }), ); expect(geometry).toBeUndefined(); }); @@ -62,19 +44,14 @@ describe("Core/CoplanarPolygonOutlineGeometry", function () { holes: [ { positions: Cartesian3.fromDegreesArray([ - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, + 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, ]), }, ], }; const geometry = CoplanarPolygonOutlineGeometry.createGeometry( - new CoplanarPolygonOutlineGeometry({ polygonHierarchy: hierarchy }) + new CoplanarPolygonOutlineGeometry({ polygonHierarchy: hierarchy }), ); expect(geometry).toBeUndefined(); }); @@ -83,20 +60,9 @@ describe("Core/CoplanarPolygonOutlineGeometry", function () { const geometry = CoplanarPolygonOutlineGeometry.createGeometry( CoplanarPolygonOutlineGeometry.fromPositions({ positions: Cartesian3.fromDegreesArrayHeights([ - -1.0, - -1.0, - 0.0, - -1.0, - 0.0, - 1.0, - -1.0, - 1.0, - 1.0, - -1.0, - 2.0, - 0.0, + -1.0, -1.0, 0.0, -1.0, 0.0, 1.0, -1.0, 1.0, 1.0, -1.0, 2.0, 0.0, ]), - }) + }), ); expect(geometry.attributes.position.values.length).toEqual(4 * 3); @@ -104,28 +70,13 @@ describe("Core/CoplanarPolygonOutlineGeometry", function () { }); const positions = Cartesian3.fromDegreesArray([ - -124.0, - 35.0, - -110.0, - 35.0, - -110.0, - 40.0, + -124.0, 35.0, -110.0, 35.0, -110.0, 40.0, ]); const holePositions0 = Cartesian3.fromDegreesArray([ - -122.0, - 36.0, - -122.0, - 39.0, - -112.0, - 39.0, + -122.0, 36.0, -122.0, 39.0, -112.0, 39.0, ]); const holePositions1 = Cartesian3.fromDegreesArray([ - -120.0, - 36.5, - -114.0, - 36.5, - -114.0, - 38.5, + -120.0, 36.5, -114.0, 36.5, -114.0, 38.5, ]); const hierarchy = { positions: positions, diff --git a/packages/engine/Specs/Core/CorridorGeometrySpec.js b/packages/engine/Specs/Core/CorridorGeometrySpec.js index c8d4c6efd2d9..26c91005b708 100644 --- a/packages/engine/Specs/Core/CorridorGeometrySpec.js +++ b/packages/engine/Specs/Core/CorridorGeometrySpec.js @@ -31,7 +31,7 @@ describe("Core/CorridorGeometry", function () { new CorridorGeometry({ positions: Cartesian3.fromDegreesArray([90.0, -30.0, 90.0, -30.0]), width: 10000, - }) + }), ); expect(geometry).toBeUndefined(); @@ -41,16 +41,16 @@ describe("Core/CorridorGeometry", function () { new Cartesian3( -1349511.388149118, -5063973.22857992, - 3623141.6372688496 + 3623141.6372688496, ), //same lon/lat, different height new Cartesian3( -1349046.4811926484, -5062228.688739784, - 3621885.0521561056 + 3621885.0521561056, ), ], width: 10000, - }) + }), ); expect(geometry).toBeUndefined(); }); @@ -62,7 +62,7 @@ describe("Core/CorridorGeometry", function () { positions: Cartesian3.fromDegreesArray([90.0, -30.0, 90.0, -35.0]), cornerType: CornerType.MITERED, width: 30000, - }) + }), ); const numVertices = 12; //6 left + 6 right @@ -78,7 +78,7 @@ describe("Core/CorridorGeometry", function () { positions: Cartesian3.fromDegreesArray([90.0, -30.0, 90.0, -35.0]), cornerType: CornerType.MITERED, width: 30000, - }) + }), ); const numVertices = 12; @@ -99,7 +99,7 @@ describe("Core/CorridorGeometry", function () { cornerType: CornerType.MITERED, width: 30000, extrudedHeight: 30000, - }) + }), ); const numVertices = 72; // 6 positions x 4 for a box at each position x 3 to duplicate for normals @@ -116,7 +116,7 @@ describe("Core/CorridorGeometry", function () { cornerType: CornerType.MITERED, width: 30000, extrudedHeight: 30000, - }) + }), ); const numVertices = 72; @@ -137,7 +137,7 @@ describe("Core/CorridorGeometry", function () { cornerType: CornerType.MITERED, width: 30000, offsetAttribute: GeometryOffsetAttribute.TOP, - }) + }), ); const numVertices = 12; @@ -158,7 +158,7 @@ describe("Core/CorridorGeometry", function () { width: 30000, extrudedHeight: 30000, offsetAttribute: GeometryOffsetAttribute.TOP, - }) + }), ); const numVertices = 72; @@ -182,7 +182,7 @@ describe("Core/CorridorGeometry", function () { width: 30000, extrudedHeight: 30000, offsetAttribute: GeometryOffsetAttribute.ALL, - }) + }), ); const numVertices = 72; @@ -199,16 +199,11 @@ describe("Core/CorridorGeometry", function () { new CorridorGeometry({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArray([ - 90.0, - -30.0, - 90.0, - -31.0, - 91.0, - -31.0, + 90.0, -30.0, 90.0, -31.0, 91.0, -31.0, ]), cornerType: CornerType.MITERED, width: 30000, - }) + }), ); expect(m.attributes.position.values.length).toEqual(8 * 3); // 4 left + 4 right @@ -220,16 +215,11 @@ describe("Core/CorridorGeometry", function () { new CorridorGeometry({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArray([ - 90.0, - -30.0, - 90.0, - -31.0, - 89.0, - -31.0, + 90.0, -30.0, 90.0, -31.0, 89.0, -31.0, ]), cornerType: CornerType.MITERED, width: 30000, - }) + }), ); expect(m.attributes.position.values.length).toEqual(8 * 3); @@ -241,18 +231,11 @@ describe("Core/CorridorGeometry", function () { new CorridorGeometry({ vertexFormat: VertexFormat.POSITION_AND_ST, positions: Cartesian3.fromDegreesArray([ - 90.0, - -30.0, - 90.0, - -31.0, - 89.0, - -31.0, - 89.0, - -32.0, + 90.0, -30.0, 90.0, -31.0, 89.0, -31.0, 89.0, -32.0, ]), cornerType: CornerType.ROUNDED, width: 30000, - }) + }), ); const endCaps = 72; // 36 points * 2 end caps @@ -269,18 +252,11 @@ describe("Core/CorridorGeometry", function () { new CorridorGeometry({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArray([ - 90.0, - -30.0, - 90.0, - -31.0, - 89.0, - -31.0, - 89.0, - -32.0, + 90.0, -30.0, 90.0, -31.0, 89.0, -31.0, 89.0, -32.0, ]), cornerType: CornerType.BEVELED, width: 30000, - }) + }), ); expect(m.attributes.position.values.length).toEqual(10 * 3); @@ -292,20 +268,14 @@ describe("Core/CorridorGeometry", function () { new CorridorGeometry({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArray([ - 2.00571672577652, - 52.7781459942399, - 1.99188457974115, - 52.7764958852886, - 2.01325961458495, - 52.7674170680511, - 1.98708058340534, - 52.7733979856253, - 2.00634853946644, + 2.00571672577652, 52.7781459942399, 1.99188457974115, + 52.7764958852886, 2.01325961458495, 52.7674170680511, + 1.98708058340534, 52.7733979856253, 2.00634853946644, 52.7650460748473, ]), cornerType: CornerType.BEVELED, width: 100, - }) + }), ); expect(m.attributes.position.values.length).toEqual(13 * 3); // 3 points * 3 corners + 2 points * 2 ends @@ -317,17 +287,12 @@ describe("Core/CorridorGeometry", function () { new CorridorGeometry({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArray([ - -67.655, - 0.0, - -67.655, - 15.0, - -67.655, - 20.0, + -67.655, 0.0, -67.655, 15.0, -67.655, 20.0, ]), cornerType: CornerType.BEVELED, width: 400000, granularity: Math.PI / 6.0, - }) + }), ); expect(m.attributes.position.values.length).toEqual(4 * 3); @@ -346,24 +311,14 @@ describe("Core/CorridorGeometry", function () { const corridor1 = new CorridorGeometry({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArray([ - -67.655, - 0.0, - -67.655, - 15.0, - -67.655, - 20.0, + -67.655, 0.0, -67.655, 15.0, -67.655, 20.0, ]), width: 0, }); const corridor2 = new CorridorGeometry({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArray([ - -67.655, - 0.0, - -67.655, - 15.0, - -67.655, - 20.0, + -67.655, 0.0, -67.655, 15.0, -67.655, 20.0, ]), width: -100, }); @@ -375,19 +330,14 @@ describe("Core/CorridorGeometry", function () { expect(geometry0).toBeUndefined(); expect(geometry1).toBeUndefined(); expect(geometry2).toBeUndefined(); - } + }, ); it("computing rectangle property", function () { const c = new CorridorGeometry({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArray([ - -67.655, - 0.0, - -67.655, - 15.0, - -67.655, - 20.0, + -67.655, 0.0, -67.655, 15.0, -67.655, 20.0, ]), cornerType: CornerType.MITERED, width: 1, @@ -397,11 +347,11 @@ describe("Core/CorridorGeometry", function () { const r = c.rectangle; expect(CesiumMath.toDegrees(r.north)).toEqualEpsilon( 20.0, - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); expect(CesiumMath.toDegrees(r.south)).toEqualEpsilon( 0.0, - CesiumMath.EPSILON20 + CesiumMath.EPSILON20, ); expect(CesiumMath.toDegrees(r.east)).toEqual(-67.65499522658291); expect(CesiumMath.toDegrees(r.west)).toEqual(-67.6550047734171); @@ -411,12 +361,7 @@ describe("Core/CorridorGeometry", function () { const options = { vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArray([ - -67.655, - 0.0, - -67.655, - 15.0, - -67.655, - 20.0, + -67.655, 0.0, -67.655, 15.0, -67.655, 20.0, ]), cornerType: CornerType.MITERED, width: 1, @@ -432,12 +377,7 @@ describe("Core/CorridorGeometry", function () { it("computeRectangle with result parameter", function () { const options = { positions: Cartesian3.fromDegreesArray([ - 72.0, - 0.0, - 85.0, - 15.0, - 83.0, - 20.0, + 72.0, 0.0, 85.0, 15.0, 83.0, 20.0, ]), width: 5, }; @@ -455,12 +395,7 @@ describe("Core/CorridorGeometry", function () { const c = new CorridorGeometry({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArray([ - -67.655, - 0.0, - -67.655, - 15.0, - -67.655, - 20.0, + -67.655, 0.0, -67.655, 15.0, -67.655, 20.0, ]), cornerType: CornerType.MITERED, width: 1, @@ -472,27 +407,27 @@ describe("Core/CorridorGeometry", function () { expect(textureCoordinateRotationPoints.length).toEqual(6); expect(textureCoordinateRotationPoints[0]).toEqualEpsilon( 0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[1]).toEqualEpsilon( 0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[2]).toEqualEpsilon( 0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[3]).toEqualEpsilon( 1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[4]).toEqualEpsilon( 1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[5]).toEqualEpsilon( 0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); @@ -517,7 +452,7 @@ describe("Core/CorridorGeometry", function () { packedInstance.push( Ellipsoid.WGS84.radii.x, Ellipsoid.WGS84.radii.y, - Ellipsoid.WGS84.radii.z + Ellipsoid.WGS84.radii.z, ); packedInstance.push(1.0, 0.0, 0.0, 0.0, 0.0, 0.0); packedInstance.push(30000.0, 0.0, 0.0, 2.0, 0.1, 0.0, -1); diff --git a/packages/engine/Specs/Core/CorridorOutlineGeometrySpec.js b/packages/engine/Specs/Core/CorridorOutlineGeometrySpec.js index 53ea95769ecf..5d8e84dee9ce 100644 --- a/packages/engine/Specs/Core/CorridorOutlineGeometrySpec.js +++ b/packages/engine/Specs/Core/CorridorOutlineGeometrySpec.js @@ -28,7 +28,7 @@ describe("Core/CorridorOutlineGeometry", function () { new CorridorOutlineGeometry({ positions: Cartesian3.fromDegreesArray([90.0, -30.0, 90.0, -30.0]), width: 10000, - }) + }), ); expect(geometry).toBeUndefined(); @@ -38,16 +38,16 @@ describe("Core/CorridorOutlineGeometry", function () { new Cartesian3( -1349511.388149118, -5063973.22857992, - 3623141.6372688496 + 3623141.6372688496, ), //same lon/lat, different height new Cartesian3( -1349046.4811926484, -5062228.688739784, - 3621885.0521561056 + 3621885.0521561056, ), ], width: 10000, - }) + }), ); expect(geometry).toBeUndefined(); }); @@ -58,7 +58,7 @@ describe("Core/CorridorOutlineGeometry", function () { positions: Cartesian3.fromDegreesArray([90.0, -30.0, 90.0, -35.0]), cornerType: CornerType.MITERED, width: 30000, - }) + }), ); expect(m.attributes.position.values.length).toEqual(12 * 3); // 6 left + 6 right @@ -72,7 +72,7 @@ describe("Core/CorridorOutlineGeometry", function () { cornerType: CornerType.MITERED, width: 30000, extrudedHeight: 30000, - }) + }), ); expect(m.attributes.position.values.length).toEqual(24 * 3); // 6 positions * 4 for a box at each position @@ -86,7 +86,7 @@ describe("Core/CorridorOutlineGeometry", function () { cornerType: CornerType.MITERED, width: 30000, offsetAttribute: GeometryOffsetAttribute.TOP, - }) + }), ); const numVertices = 12; @@ -106,7 +106,7 @@ describe("Core/CorridorOutlineGeometry", function () { width: 30000, extrudedHeight: 30000, offsetAttribute: GeometryOffsetAttribute.TOP, - }) + }), ); const numVertices = 24; @@ -126,7 +126,7 @@ describe("Core/CorridorOutlineGeometry", function () { width: 30000, extrudedHeight: 30000, offsetAttribute: GeometryOffsetAttribute.ALL, - }) + }), ); const numVertices = 24; @@ -142,16 +142,11 @@ describe("Core/CorridorOutlineGeometry", function () { const m = CorridorOutlineGeometry.createGeometry( new CorridorOutlineGeometry({ positions: Cartesian3.fromDegreesArray([ - 90.0, - -30.0, - 90.0, - -31.0, - 91.0, - -31.0, + 90.0, -30.0, 90.0, -31.0, 91.0, -31.0, ]), cornerType: CornerType.MITERED, width: 30000, - }) + }), ); expect(m.attributes.position.values.length).toEqual(8 * 3); @@ -162,16 +157,11 @@ describe("Core/CorridorOutlineGeometry", function () { const m = CorridorOutlineGeometry.createGeometry( new CorridorOutlineGeometry({ positions: Cartesian3.fromDegreesArray([ - 90.0, - -30.0, - 90.0, - -31.0, - 89.0, - -31.0, + 90.0, -30.0, 90.0, -31.0, 89.0, -31.0, ]), cornerType: CornerType.MITERED, width: 30000, - }) + }), ); expect(m.attributes.position.values.length).toEqual(8 * 3); @@ -182,18 +172,11 @@ describe("Core/CorridorOutlineGeometry", function () { const m = CorridorOutlineGeometry.createGeometry( new CorridorOutlineGeometry({ positions: Cartesian3.fromDegreesArray([ - 90.0, - -30.0, - 90.0, - -31.0, - 89.0, - -31.0, - 89.0, - -32.0, + 90.0, -30.0, 90.0, -31.0, 89.0, -31.0, 89.0, -32.0, ]), cornerType: CornerType.ROUNDED, width: 30000, - }) + }), ); const endCaps = 72; // 36 points * 2 end caps @@ -208,18 +191,11 @@ describe("Core/CorridorOutlineGeometry", function () { const m = CorridorOutlineGeometry.createGeometry( new CorridorOutlineGeometry({ positions: Cartesian3.fromDegreesArray([ - 90.0, - -30.0, - 90.0, - -31.0, - 89.0, - -31.0, - 89.0, - -32.0, + 90.0, -30.0, 90.0, -31.0, 89.0, -31.0, 89.0, -32.0, ]), cornerType: CornerType.BEVELED, width: 30000, - }) + }), ); expect(m.attributes.position.values.length).toEqual(10 * 3); @@ -236,41 +212,28 @@ describe("Core/CorridorOutlineGeometry", function () { }); const corridorOutline1 = new CorridorOutlineGeometry({ positions: Cartesian3.fromDegreesArray([ - -67.655, - 0.0, - -67.655, - 15.0, - -67.655, - 20.0, + -67.655, 0.0, -67.655, 15.0, -67.655, 20.0, ]), width: 0, }); const corridorOutline2 = new CorridorOutlineGeometry({ positions: Cartesian3.fromDegreesArray([ - -67.655, - 0.0, - -67.655, - 15.0, - -67.655, - 20.0, + -67.655, 0.0, -67.655, 15.0, -67.655, 20.0, ]), width: -100, }); - const geometry0 = CorridorOutlineGeometry.createGeometry( - corridorOutline0 - ); - const geometry1 = CorridorOutlineGeometry.createGeometry( - corridorOutline1 - ); - const geometry2 = CorridorOutlineGeometry.createGeometry( - corridorOutline2 - ); + const geometry0 = + CorridorOutlineGeometry.createGeometry(corridorOutline0); + const geometry1 = + CorridorOutlineGeometry.createGeometry(corridorOutline1); + const geometry2 = + CorridorOutlineGeometry.createGeometry(corridorOutline2); expect(geometry0).toBeUndefined(); expect(geometry1).toBeUndefined(); expect(geometry2).toBeUndefined(); - } + }, ); const positions = Cartesian3.fromDegreesArray([90.0, -30.0, 90.0, -31.0]); @@ -292,7 +255,7 @@ describe("Core/CorridorOutlineGeometry", function () { packedInstance.push( Ellipsoid.WGS84.radii.x, Ellipsoid.WGS84.radii.y, - Ellipsoid.WGS84.radii.z + Ellipsoid.WGS84.radii.z, ); packedInstance.push(30000.0, 0.0, 0.0, 2.0, 0.1, -1); createPackableSpecs(CorridorOutlineGeometry, corridor, packedInstance); diff --git a/packages/engine/Specs/Core/CullingVolumeSpec.js b/packages/engine/Specs/Core/CullingVolumeSpec.js index d991b148028c..e904e4d276a7 100644 --- a/packages/engine/Specs/Core/CullingVolumeSpec.js +++ b/packages/engine/Specs/Core/CullingVolumeSpec.js @@ -19,7 +19,7 @@ describe("Core/CullingVolume", function () { cullingVolume = frustum.computeCullingVolume( new Cartesian3(), Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()), - Cartesian3.UNIT_Y + Cartesian3.UNIT_Y, ); }); @@ -33,7 +33,7 @@ describe("Core/CullingVolume", function () { expect(function () { return new CullingVolume().computeVisibilityWithPlaneMask( undefined, - CullingVolume.MASK_INDETERMINATE + CullingVolume.MASK_INDETERMINATE, ); }).toThrowDeveloperError(); }); @@ -42,7 +42,7 @@ describe("Core/CullingVolume", function () { expect(function () { return new CullingVolume().computeVisibilityWithPlaneMask( new BoundingSphere(), - undefined + undefined, ); }).toThrowDeveloperError(); }); @@ -52,7 +52,7 @@ describe("Core/CullingVolume", function () { const mask = culling.computeVisibilityWithPlaneMask( bound, - CullingVolume.MASK_INDETERMINATE + CullingVolume.MASK_INDETERMINATE, ); if (intersect === Intersect.INSIDE) { expect(mask).toEqual(CullingVolume.MASK_INSIDE); @@ -87,7 +87,7 @@ describe("Core/CullingVolume", function () { testWithAndWithoutPlaneMask( cullingVolume, box2, - Intersect.INTERSECTING + Intersect.INTERSECTING, ); }); @@ -101,7 +101,7 @@ describe("Core/CullingVolume", function () { testWithAndWithoutPlaneMask( cullingVolume, box3, - Intersect.INTERSECTING + Intersect.INTERSECTING, ); }); @@ -115,7 +115,7 @@ describe("Core/CullingVolume", function () { testWithAndWithoutPlaneMask( cullingVolume, box4, - Intersect.INTERSECTING + Intersect.INTERSECTING, ); }); @@ -129,7 +129,7 @@ describe("Core/CullingVolume", function () { testWithAndWithoutPlaneMask( cullingVolume, box5, - Intersect.INTERSECTING + Intersect.INTERSECTING, ); }); @@ -143,7 +143,7 @@ describe("Core/CullingVolume", function () { testWithAndWithoutPlaneMask( cullingVolume, box6, - Intersect.INTERSECTING + Intersect.INTERSECTING, ); }); @@ -157,7 +157,7 @@ describe("Core/CullingVolume", function () { testWithAndWithoutPlaneMask( cullingVolume, box7, - Intersect.INTERSECTING + Intersect.INTERSECTING, ); }); }); @@ -243,7 +243,7 @@ describe("Core/CullingVolume", function () { testWithAndWithoutPlaneMask( cullingVolume, sphere2, - Intersect.INTERSECTING + Intersect.INTERSECTING, ); }); @@ -255,7 +255,7 @@ describe("Core/CullingVolume", function () { testWithAndWithoutPlaneMask( cullingVolume, sphere3, - Intersect.INTERSECTING + Intersect.INTERSECTING, ); }); @@ -267,7 +267,7 @@ describe("Core/CullingVolume", function () { testWithAndWithoutPlaneMask( cullingVolume, sphere4, - Intersect.INTERSECTING + Intersect.INTERSECTING, ); }); @@ -279,7 +279,7 @@ describe("Core/CullingVolume", function () { testWithAndWithoutPlaneMask( cullingVolume, sphere5, - Intersect.INTERSECTING + Intersect.INTERSECTING, ); }); @@ -291,7 +291,7 @@ describe("Core/CullingVolume", function () { testWithAndWithoutPlaneMask( cullingVolume, sphere6, - Intersect.INTERSECTING + Intersect.INTERSECTING, ); }); @@ -303,7 +303,7 @@ describe("Core/CullingVolume", function () { testWithAndWithoutPlaneMask( cullingVolume, sphere7, - Intersect.INTERSECTING + Intersect.INTERSECTING, ); }); }); @@ -362,10 +362,10 @@ describe("Core/CullingVolume", function () { describe("construct from bounding sphere", function () { const boundingSphereCullingVolume = new BoundingSphere( new Cartesian3(1000.0, 2000.0, 3000.0), - 100.0 + 100.0, ); const cullingVolume = CullingVolume.fromBoundingSphere( - boundingSphereCullingVolume + boundingSphereCullingVolume, ); it("throws without a boundingSphere", function () { @@ -385,12 +385,12 @@ describe("Core/CullingVolume", function () { const offset = new Cartesian3( 0.0, 0.0, - boundingSphereCullingVolume.radius * 1.5 + boundingSphereCullingVolume.radius * 1.5, ); const center = Cartesian3.add( boundingSphereCullingVolume.center, offset, - new Cartesian3() + new Cartesian3(), ); const radius = boundingSphereCullingVolume.radius * 0.5; const sphere2 = new BoundingSphere(center, radius); @@ -398,7 +398,7 @@ describe("Core/CullingVolume", function () { testWithAndWithoutPlaneMask( cullingVolume, sphere2, - Intersect.INTERSECTING + Intersect.INTERSECTING, ); }); @@ -406,12 +406,12 @@ describe("Core/CullingVolume", function () { const offset = new Cartesian3( 0.0, 0.0, - -boundingSphereCullingVolume.radius * 1.5 + -boundingSphereCullingVolume.radius * 1.5, ); const center = Cartesian3.add( boundingSphereCullingVolume.center, offset, - new Cartesian3() + new Cartesian3(), ); const radius = boundingSphereCullingVolume.radius * 0.5; const sphere3 = new BoundingSphere(center, radius); @@ -419,7 +419,7 @@ describe("Core/CullingVolume", function () { testWithAndWithoutPlaneMask( cullingVolume, sphere3, - Intersect.INTERSECTING + Intersect.INTERSECTING, ); }); @@ -427,12 +427,12 @@ describe("Core/CullingVolume", function () { const offset = new Cartesian3( -boundingSphereCullingVolume.radius * 1.5, 0.0, - 0.0 + 0.0, ); const center = Cartesian3.add( boundingSphereCullingVolume.center, offset, - new Cartesian3() + new Cartesian3(), ); const radius = boundingSphereCullingVolume.radius * 0.5; const sphere4 = new BoundingSphere(center, radius); @@ -440,7 +440,7 @@ describe("Core/CullingVolume", function () { testWithAndWithoutPlaneMask( cullingVolume, sphere4, - Intersect.INTERSECTING + Intersect.INTERSECTING, ); }); @@ -448,12 +448,12 @@ describe("Core/CullingVolume", function () { const offset = new Cartesian3( boundingSphereCullingVolume.radius * 1.5, 0.0, - 0.0 + 0.0, ); const center = Cartesian3.add( boundingSphereCullingVolume.center, offset, - new Cartesian3() + new Cartesian3(), ); const radius = boundingSphereCullingVolume.radius * 0.5; const sphere5 = new BoundingSphere(center, radius); @@ -461,7 +461,7 @@ describe("Core/CullingVolume", function () { testWithAndWithoutPlaneMask( cullingVolume, sphere5, - Intersect.INTERSECTING + Intersect.INTERSECTING, ); }); @@ -469,12 +469,12 @@ describe("Core/CullingVolume", function () { const offset = new Cartesian3( 0.0, boundingSphereCullingVolume.radius * 1.5, - 0.0 + 0.0, ); const center = Cartesian3.add( boundingSphereCullingVolume.center, offset, - new Cartesian3() + new Cartesian3(), ); const radius = boundingSphereCullingVolume.radius * 0.5; const sphere6 = new BoundingSphere(center, radius); @@ -482,7 +482,7 @@ describe("Core/CullingVolume", function () { testWithAndWithoutPlaneMask( cullingVolume, sphere6, - Intersect.INTERSECTING + Intersect.INTERSECTING, ); }); @@ -490,12 +490,12 @@ describe("Core/CullingVolume", function () { const offset = new Cartesian3( 0.0, -boundingSphereCullingVolume.radius * 1.5, - 0.0 + 0.0, ); const center = Cartesian3.add( boundingSphereCullingVolume.center, offset, - new Cartesian3() + new Cartesian3(), ); const radius = boundingSphereCullingVolume.radius * 0.5; const sphere7 = new BoundingSphere(center, radius); @@ -503,7 +503,7 @@ describe("Core/CullingVolume", function () { testWithAndWithoutPlaneMask( cullingVolume, sphere7, - Intersect.INTERSECTING + Intersect.INTERSECTING, ); }); }); @@ -513,12 +513,12 @@ describe("Core/CullingVolume", function () { const offset = new Cartesian3( 0.0, 0.0, - boundingSphereCullingVolume.radius * 2.0 + boundingSphereCullingVolume.radius * 2.0, ); const center = Cartesian3.add( boundingSphereCullingVolume.center, offset, - new Cartesian3() + new Cartesian3(), ); const radius = boundingSphereCullingVolume.radius * 0.5; const sphere8 = new BoundingSphere(center, radius); @@ -530,12 +530,12 @@ describe("Core/CullingVolume", function () { const offset = new Cartesian3( 0.0, 0.0, - -boundingSphereCullingVolume.radius * 2.0 + -boundingSphereCullingVolume.radius * 2.0, ); const center = Cartesian3.add( boundingSphereCullingVolume.center, offset, - new Cartesian3() + new Cartesian3(), ); const radius = boundingSphereCullingVolume.radius * 0.5; const sphere9 = new BoundingSphere(center, radius); @@ -547,12 +547,12 @@ describe("Core/CullingVolume", function () { const offset = new Cartesian3( -boundingSphereCullingVolume.radius * 2.0, 0.0, - 0.0 + 0.0, ); const center = Cartesian3.add( boundingSphereCullingVolume.center, offset, - new Cartesian3() + new Cartesian3(), ); const radius = boundingSphereCullingVolume.radius * 0.5; const sphere10 = new BoundingSphere(center, radius); @@ -564,12 +564,12 @@ describe("Core/CullingVolume", function () { const offset = new Cartesian3( boundingSphereCullingVolume.radius * 2.0, 0.0, - 0.0 + 0.0, ); const center = Cartesian3.add( boundingSphereCullingVolume.center, offset, - new Cartesian3() + new Cartesian3(), ); const radius = boundingSphereCullingVolume.radius * 0.5; const sphere11 = new BoundingSphere(center, radius); @@ -581,12 +581,12 @@ describe("Core/CullingVolume", function () { const offset = new Cartesian3( 0.0, boundingSphereCullingVolume.radius * 2.0, - 0.0 + 0.0, ); const center = Cartesian3.add( boundingSphereCullingVolume.center, offset, - new Cartesian3() + new Cartesian3(), ); const radius = boundingSphereCullingVolume.radius * 0.5; const sphere12 = new BoundingSphere(center, radius); @@ -598,12 +598,12 @@ describe("Core/CullingVolume", function () { const offset = new Cartesian3( 0.0, -boundingSphereCullingVolume.radius * 2.0, - 0.0 + 0.0, ); const center = Cartesian3.add( boundingSphereCullingVolume.center, offset, - new Cartesian3() + new Cartesian3(), ); const radius = boundingSphereCullingVolume.radius * 0.5; const sphere13 = new BoundingSphere(center, radius); diff --git a/packages/engine/Specs/Core/CustomHeightmapTerrainProviderSpec.js b/packages/engine/Specs/Core/CustomHeightmapTerrainProviderSpec.js index 226cad01729b..012574abf77c 100644 --- a/packages/engine/Specs/Core/CustomHeightmapTerrainProviderSpec.js +++ b/packages/engine/Specs/Core/CustomHeightmapTerrainProviderSpec.js @@ -7,7 +7,7 @@ import { describe("Core/CustomHeightmapTerrainProvider", function () { it("conforms to TerrainProvider interface", function () { expect(CustomHeightmapTerrainProvider).toConformToInterface( - TerrainProvider + TerrainProvider, ); }); @@ -117,11 +117,12 @@ describe("Core/CustomHeightmapTerrainProvider", function () { height: height, }); - const geometricError = TerrainProvider.getEstimatedLevelZeroGeometricErrorForAHeightmap( - provider.tilingScheme.ellipsoid, - Math.max(provider.width, provider.height), - provider.tilingScheme.getNumberOfXTilesAtLevel(0) - ); + const geometricError = + TerrainProvider.getEstimatedLevelZeroGeometricErrorForAHeightmap( + provider.tilingScheme.ellipsoid, + Math.max(provider.width, provider.height), + provider.tilingScheme.getNumberOfXTilesAtLevel(0), + ); expect(provider.getLevelMaximumGeometricError(0)).toBe(geometricError); }); diff --git a/packages/engine/Specs/Core/CylinderGeometrySpec.js b/packages/engine/Specs/Core/CylinderGeometrySpec.js index 2b00afbfe928..137b7a43faa2 100644 --- a/packages/engine/Specs/Core/CylinderGeometrySpec.js +++ b/packages/engine/Specs/Core/CylinderGeometrySpec.js @@ -49,7 +49,7 @@ describe("Core/CylinderGeometry", function () { topRadius: 1, bottomRadius: 1, slices: 3, - }) + }), ); const numVertices = 12; // (3 top + 3 bottom) * 2 to duplicate for sides @@ -67,7 +67,7 @@ describe("Core/CylinderGeometry", function () { bottomRadius: 1, slices: 3, offsetAttribute: GeometryOffsetAttribute.ALL, - }) + }), ); const numVertices = 12; @@ -87,7 +87,7 @@ describe("Core/CylinderGeometry", function () { topRadius: 1, bottomRadius: 1, slices: 3, - }) + }), ); const numVertices = 12; @@ -108,7 +108,7 @@ describe("Core/CylinderGeometry", function () { topRadius: 0, bottomRadius: 1, slices: 3, - }) + }), ); const numVertices = 12; //(3 top 3 bottom) duplicated @@ -125,7 +125,7 @@ describe("Core/CylinderGeometry", function () { topRadius: 1, bottomRadius: 0, slices: 3, - }) + }), ); const numVertices = 12; //(3 top 3 bottom) duplicated @@ -175,7 +175,7 @@ describe("Core/CylinderGeometry", function () { expect(geometry2).toBeUndefined(); expect(geometry3).toBeUndefined(); expect(geometry4).toBeUndefined(); - } + }, ); const cylinder = new CylinderGeometry({ @@ -186,17 +186,7 @@ describe("Core/CylinderGeometry", function () { slices: 3, }); const packedInstance = [ - 1.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 1.0, - 1.0, - 0.0, - 3.0, - -1.0, + 1.0, 0.0, 0.0, 0.0, 0.0, 0.0, 1.0, 1.0, 0.0, 3.0, -1.0, ]; createPackableSpecs(CylinderGeometry, cylinder, packedInstance); }); diff --git a/packages/engine/Specs/Core/CylinderOutlineGeometrySpec.js b/packages/engine/Specs/Core/CylinderOutlineGeometrySpec.js index 1868d8cf7135..55bb1c388bc3 100644 --- a/packages/engine/Specs/Core/CylinderOutlineGeometrySpec.js +++ b/packages/engine/Specs/Core/CylinderOutlineGeometrySpec.js @@ -47,7 +47,7 @@ describe("Core/CylinderOutlineGeometry", function () { topRadius: 1, bottomRadius: 1, slices: 3, - }) + }), ); expect(m.attributes.position.values.length).toEqual(6 * 3); // 3 top + 3 bottom @@ -62,7 +62,7 @@ describe("Core/CylinderOutlineGeometry", function () { bottomRadius: 1, slices: 3, offsetAttribute: GeometryOffsetAttribute.ALL, - }) + }), ); const numVertices = 6; @@ -82,7 +82,7 @@ describe("Core/CylinderOutlineGeometry", function () { bottomRadius: 1, slices: 3, numberOfVerticalLines: 0, - }) + }), ); const numVertices = 6; //3 top 3 bottom @@ -121,28 +121,23 @@ describe("Core/CylinderOutlineGeometry", function () { bottomRadius: -100, }); - const geometry0 = CylinderOutlineGeometry.createGeometry( - cylinderOutline0 - ); - const geometry1 = CylinderOutlineGeometry.createGeometry( - cylinderOutline1 - ); - const geometry2 = CylinderOutlineGeometry.createGeometry( - cylinderOutline2 - ); - const geometry3 = CylinderOutlineGeometry.createGeometry( - cylinderOutline3 - ); - const geometry4 = CylinderOutlineGeometry.createGeometry( - cylinderOutline4 - ); + const geometry0 = + CylinderOutlineGeometry.createGeometry(cylinderOutline0); + const geometry1 = + CylinderOutlineGeometry.createGeometry(cylinderOutline1); + const geometry2 = + CylinderOutlineGeometry.createGeometry(cylinderOutline2); + const geometry3 = + CylinderOutlineGeometry.createGeometry(cylinderOutline3); + const geometry4 = + CylinderOutlineGeometry.createGeometry(cylinderOutline4); expect(geometry0).toBeUndefined(); expect(geometry1).toBeUndefined(); expect(geometry2).toBeUndefined(); expect(geometry3).toBeUndefined(); expect(geometry4).toBeUndefined(); - } + }, ); const cylinder = new CylinderOutlineGeometry({ diff --git a/packages/engine/Specs/Core/DistanceDisplayConditionGeometryInstanceAttributeSpec.js b/packages/engine/Specs/Core/DistanceDisplayConditionGeometryInstanceAttributeSpec.js index 2a815fde929a..fe9db086a205 100644 --- a/packages/engine/Specs/Core/DistanceDisplayConditionGeometryInstanceAttributeSpec.js +++ b/packages/engine/Specs/Core/DistanceDisplayConditionGeometryInstanceAttributeSpec.js @@ -8,7 +8,7 @@ describe("Core/DistanceDisplayConditionGeometryInstanceAttribute", function () { it("constructor", function () { const attribute = new DistanceDisplayConditionGeometryInstanceAttribute( 10.0, - 100.0 + 100.0, ); expect(attribute.componentDatatype).toEqual(ComponentDatatype.FLOAT); expect(attribute.componentsPerAttribute).toEqual(2); @@ -26,9 +26,10 @@ describe("Core/DistanceDisplayConditionGeometryInstanceAttribute", function () { it("fromDistanceDisplayCondition", function () { const dc = new DistanceDisplayCondition(10.0, 100.0); - const attribute = DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( - dc - ); + const attribute = + DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( + dc, + ); expect(attribute.componentDatatype).toEqual(ComponentDatatype.FLOAT); expect(attribute.componentsPerAttribute).toEqual(2); expect(attribute.normalize).toEqual(false); @@ -46,7 +47,7 @@ describe("Core/DistanceDisplayConditionGeometryInstanceAttribute", function () { it("fromDistanceDisplayCondition throws with far >= near", function () { expect(function () { DistanceDisplayConditionGeometryInstanceAttribute.fromDistanceDisplayCondition( - new DistanceDisplayCondition(100.0, 10.0) + new DistanceDisplayCondition(100.0, 10.0), ); }).toThrowDeveloperError(); }); @@ -55,7 +56,7 @@ describe("Core/DistanceDisplayConditionGeometryInstanceAttribute", function () { const dc = new DistanceDisplayCondition(10.0, 200.0); const expectedResult = new Float32Array([dc.near, dc.far]); expect( - DistanceDisplayConditionGeometryInstanceAttribute.toValue(dc) + DistanceDisplayConditionGeometryInstanceAttribute.toValue(dc), ).toEqual(expectedResult); }); @@ -63,10 +64,8 @@ describe("Core/DistanceDisplayConditionGeometryInstanceAttribute", function () { const dc = new DistanceDisplayCondition(10.0, 200.0); const expectedResult = new Float32Array([dc.near, dc.far]); const result = new Float32Array(2); - const returnedResult = DistanceDisplayConditionGeometryInstanceAttribute.toValue( - dc, - result - ); + const returnedResult = + DistanceDisplayConditionGeometryInstanceAttribute.toValue(dc, result); expect(returnedResult).toBe(result); expect(returnedResult).toEqual(expectedResult); }); diff --git a/packages/engine/Specs/Core/DistanceDisplayConditionSpec.js b/packages/engine/Specs/Core/DistanceDisplayConditionSpec.js index c726947622c6..2eebd13e1b21 100644 --- a/packages/engine/Specs/Core/DistanceDisplayConditionSpec.js +++ b/packages/engine/Specs/Core/DistanceDisplayConditionSpec.js @@ -33,20 +33,20 @@ describe("Core/DistanceDisplayCondition", function () { expect( DistanceDisplayCondition.equals( dc, - new DistanceDisplayCondition(10.0, 100.0) - ) + new DistanceDisplayCondition(10.0, 100.0), + ), ).toEqual(true); expect( DistanceDisplayCondition.equals( dc, - new DistanceDisplayCondition(11.0, 100.0) - ) + new DistanceDisplayCondition(11.0, 100.0), + ), ).toEqual(false); expect( DistanceDisplayCondition.equals( dc, - new DistanceDisplayCondition(10.0, 101.0) - ) + new DistanceDisplayCondition(10.0, 101.0), + ), ).toEqual(false); expect(DistanceDisplayCondition.equals(dc, undefined)).toEqual(false); }); @@ -104,6 +104,6 @@ describe("Core/DistanceDisplayCondition", function () { createPackableSpecs( DistanceDisplayCondition, new DistanceDisplayCondition(1, 2), - [1, 2] + [1, 2], ); }); diff --git a/packages/engine/Specs/Core/EarthOrientationParametersSpec.js b/packages/engine/Specs/Core/EarthOrientationParametersSpec.js index 23e41e19ee2e..c93568689067 100644 --- a/packages/engine/Specs/Core/EarthOrientationParametersSpec.js +++ b/packages/engine/Specs/Core/EarthOrientationParametersSpec.js @@ -88,7 +88,7 @@ describe("Core/EarthOrientationParameters", function () { if (defined(previousDate)) { expect( - JulianDate.compare(previousDate, leapSecond.julianDate) + JulianDate.compare(previousDate, leapSecond.julianDate), ).toBeLessThan(0); } @@ -229,7 +229,7 @@ describe("Core/EarthOrientationParameters", function () { const dt = JulianDate.secondsDifference( date, - JulianDate.fromIso8601(eopDescription.data.samples[nColumns]) + JulianDate.fromIso8601(eopDescription.data.samples[nColumns]), ) / 86400.0; let expected = linearInterp(dt, x0, x1); expect(result.xPoleWander).toEqualEpsilon(expected, 1e-22); @@ -320,12 +320,12 @@ describe("Core/EarthOrientationParameters", function () { const dateSlightlyBefore = JulianDate.addSeconds( dateAtLeapSecond, -1.0, - new JulianDate() + new JulianDate(), ); const dateSlightlyAfter = JulianDate.addSeconds( dateAtLeapSecond, 1.0, - new JulianDate() + new JulianDate(), ); const nColumns = eopDescription.data.columnNames.length; const x0 = eopDescription.data.samples[1 * nColumns + 6]; @@ -333,7 +333,7 @@ describe("Core/EarthOrientationParameters", function () { const x2 = eopDescription.data.samples[3 * nColumns + 6]; const t0 = JulianDate.fromIso8601(eopDescription.data.samples[nColumns]); const t1 = JulianDate.fromIso8601( - eopDescription.data.samples[2 * nColumns] + eopDescription.data.samples[2 * nColumns], ); let dt = JulianDate.secondsDifference(dateSlightlyBefore, t0) / (86400.0 + 1); @@ -350,14 +350,14 @@ describe("Core/EarthOrientationParameters", function () { expect(resultAfter.ut1MinusUtc).toEqualEpsilon(expectedAfter, 1.0e-15); // Check to make sure the values are (correctly) discontinuous expect( - Math.abs(resultBefore.ut1MinusUtc - resultAfter.ut1MinusUtc) > 0.5 + Math.abs(resultBefore.ut1MinusUtc - resultAfter.ut1MinusUtc) > 0.5, ).toEqual(true); }); }); it("fromUrl loads EOP data", async function () { const eop = await EarthOrientationParameters.fromUrl( - "Data/EarthOrientationParameters/EOP-2011-July.json" + "Data/EarthOrientationParameters/EOP-2011-July.json", ); expect(eop).toBeInstanceOf(EarthOrientationParameters); @@ -374,22 +374,22 @@ describe("Core/EarthOrientationParameters", function () { it("fromUrl throws a RuntimeError when loading invalid EOP data", async function () { await expectAsync( EarthOrientationParameters.fromUrl( - "Data/EarthOrientationParameters/EOP-Invalid.json" - ) + "Data/EarthOrientationParameters/EOP-Invalid.json", + ), ).toBeRejectedWithError( RuntimeError, - "Error in loaded EOP data: The columnNames property is required." + "Error in loaded EOP data: The columnNames property is required.", ); }); it("fromUrl throws a RuntimeError when using a missing EOP data file", async function () { await expectAsync( EarthOrientationParameters.fromUrl( - "Data/EarthOrientationParameters/EOP-DoesNotExist.json" - ) + "Data/EarthOrientationParameters/EOP-DoesNotExist.json", + ), ).toBeRejectedWithError( RuntimeError, - "An error occurred while retrieving the EOP data from the URL Data/EarthOrientationParameters/EOP-DoesNotExist.json." + "An error occurred while retrieving the EOP data from the URL Data/EarthOrientationParameters/EOP-DoesNotExist.json.", ); }); }); diff --git a/packages/engine/Specs/Core/EllipseGeometrySpec.js b/packages/engine/Specs/Core/EllipseGeometrySpec.js index 06a1463fb84b..838f1aaa1fc1 100644 --- a/packages/engine/Specs/Core/EllipseGeometrySpec.js +++ b/packages/engine/Specs/Core/EllipseGeometrySpec.js @@ -68,7 +68,7 @@ describe("Core/EllipseGeometry", function () { granularity: 0.1, semiMajorAxis: 1.0, semiMinorAxis: 1.0, - }) + }), ); expect(m.attributes.position.values.length).toEqual(16 * 3); // rows of 1 + 4 + 6 + 4 + 1 @@ -85,7 +85,7 @@ describe("Core/EllipseGeometry", function () { granularity: 0.1, semiMajorAxis: 1.0, semiMinorAxis: 1.0, - }) + }), ); const numVertices = 16; @@ -108,7 +108,7 @@ describe("Core/EllipseGeometry", function () { semiMajorAxis: 1.0, semiMinorAxis: 1.0, stRotation: CesiumMath.PI_OVER_TWO, - }) + }), ); const positions = m.attributes.position.values; @@ -135,7 +135,7 @@ describe("Core/EllipseGeometry", function () { semiMajorAxis: 1.0, semiMinorAxis: 1.0, extrudedHeight: 50000, - }) + }), ); const numVertices = 48; // 16 top + 16 bottom + 8 top edge + 8 bottom edge @@ -154,7 +154,7 @@ describe("Core/EllipseGeometry", function () { semiMajorAxis: 1.0, semiMinorAxis: 1.0, offsetAttribute: GeometryOffsetAttribute.TOP, - }) + }), ); const numVertices = 16; @@ -177,7 +177,7 @@ describe("Core/EllipseGeometry", function () { semiMinorAxis: 1.0, extrudedHeight: 50000, offsetAttribute: GeometryOffsetAttribute.TOP, - }) + }), ); const numVertices = 48; @@ -203,7 +203,7 @@ describe("Core/EllipseGeometry", function () { semiMinorAxis: 1.0, extrudedHeight: 50000, offsetAttribute: GeometryOffsetAttribute.ALL, - }) + }), ); const numVertices = 48; @@ -225,7 +225,7 @@ describe("Core/EllipseGeometry", function () { semiMajorAxis: 1.0, semiMinorAxis: 1.0, extrudedHeight: 50000, - }) + }), ); const numVertices = 48; @@ -296,7 +296,7 @@ describe("Core/EllipseGeometry", function () { const sv = EllipseGeometry.createShadowVolume( m, minHeightFunc, - maxHeightFunc + maxHeightFunc, ); expect(sv._center.equals(m._center)).toBe(true); @@ -310,7 +310,7 @@ describe("Core/EllipseGeometry", function () { expect(sv._height).toBe(maxHeightFunc()); expect(sv._vertexFormat.bitangent).toBe( - VertexFormat.POSITION_ONLY.bitangent + VertexFormat.POSITION_ONLY.bitangent, ); expect(sv._vertexFormat.color).toBe(VertexFormat.POSITION_ONLY.color); expect(sv._vertexFormat.normal).toBe(VertexFormat.POSITION_ONLY.normal); @@ -344,7 +344,7 @@ describe("Core/EllipseGeometry", function () { r = ellipse.rectangle; expect(r.north).toEqualEpsilon( CesiumMath.PI_OVER_TWO - CesiumMath.EPSILON7, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(r.south).toEqualEpsilon(1.570483806950967, CesiumMath.EPSILON7); expect(r.east).toEqualEpsilon(CesiumMath.PI, CesiumMath.EPSILON7); @@ -399,27 +399,27 @@ describe("Core/EllipseGeometry", function () { expect(textureCoordinateRotationPoints.length).toEqual(6); expect(textureCoordinateRotationPoints[0]).toEqualEpsilon( 0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[1]).toEqualEpsilon( 1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[2]).toEqualEpsilon( 1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[3]).toEqualEpsilon( 1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[4]).toEqualEpsilon( 0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[5]).toEqualEpsilon( 0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); ellipse = new EllipseGeometry({ @@ -433,27 +433,27 @@ describe("Core/EllipseGeometry", function () { expect(textureCoordinateRotationPoints.length).toEqual(6); expect(textureCoordinateRotationPoints[0]).toEqualEpsilon( 0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[1]).toEqualEpsilon( 0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[2]).toEqualEpsilon( 0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[3]).toEqualEpsilon( 1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[4]).toEqualEpsilon( 1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[5]).toEqualEpsilon( 0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); diff --git a/packages/engine/Specs/Core/EllipseOutlineGeometrySpec.js b/packages/engine/Specs/Core/EllipseOutlineGeometrySpec.js index 8e4618e9fbee..c4be63eb0d56 100644 --- a/packages/engine/Specs/Core/EllipseOutlineGeometrySpec.js +++ b/packages/engine/Specs/Core/EllipseOutlineGeometrySpec.js @@ -64,7 +64,7 @@ describe("Core/EllipseOutlineGeometry", function () { granularity: 0.1, semiMajorAxis: 1.0, semiMinorAxis: 1.0, - }) + }), ); expect(m.attributes.position.values.length).toEqual(8 * 3); @@ -81,7 +81,7 @@ describe("Core/EllipseOutlineGeometry", function () { semiMajorAxis: 1.0, semiMinorAxis: 1.0, extrudedHeight: 5.0, - }) + }), ); expect(m.attributes.position.values.length).toEqual(16 * 3); // 8 top + 8 bottom @@ -97,7 +97,7 @@ describe("Core/EllipseOutlineGeometry", function () { semiMajorAxis: 1.0, semiMinorAxis: 1.0, offsetAttribute: GeometryOffsetAttribute.TOP, - }) + }), ); const numVertices = 8; @@ -119,7 +119,7 @@ describe("Core/EllipseOutlineGeometry", function () { semiMinorAxis: 1.0, extrudedHeight: 5.0, offsetAttribute: GeometryOffsetAttribute.TOP, - }) + }), ); const numVertices = 16; @@ -141,7 +141,7 @@ describe("Core/EllipseOutlineGeometry", function () { semiMinorAxis: 1.0, extrudedHeight: 5.0, offsetAttribute: GeometryOffsetAttribute.ALL, - }) + }), ); const numVertices = 16; @@ -163,7 +163,7 @@ describe("Core/EllipseOutlineGeometry", function () { semiMinorAxis: 1.0, extrudedHeight: 5.0, numberOfVerticalLines: 0, - }) + }), ); expect(m.attributes.position.values.length).toEqual(16 * 3); @@ -236,7 +236,7 @@ describe("Core/EllipseOutlineGeometry", function () { EllipseOutlineGeometry, packableInstance, packedInstance, - "extruded" + "extruded", ); //Because extrudedHeight is optional and has to be taken into account when packing, we have a second test without it. @@ -270,6 +270,6 @@ describe("Core/EllipseOutlineGeometry", function () { EllipseOutlineGeometry, packableInstance, packedInstance, - "at height" + "at height", ); }); diff --git a/packages/engine/Specs/Core/EllipsoidGeodesicSpec.js b/packages/engine/Specs/Core/EllipsoidGeodesicSpec.js index 3b7c1edb274f..20b9b4572831 100644 --- a/packages/engine/Specs/Core/EllipsoidGeodesicSpec.js +++ b/packages/engine/Specs/Core/EllipsoidGeodesicSpec.js @@ -24,7 +24,7 @@ describe("Core/EllipsoidGeodesic", function () { expect(function () { const elGeo = new EllipsoidGeodesic( new Cartographic(Math.PI, Math.PI), - new Cartographic(0, Math.PI) + new Cartographic(0, Math.PI), ); return elGeo.interpolateUsingSurfaceDistance(0); }).toThrowDeveloperError(); @@ -82,7 +82,7 @@ describe("Core/EllipsoidGeodesic", function () { const start = new Cartographic(CesiumMath.PI_OVER_TWO, 0); const end = new Cartographic( CesiumMath.PI_OVER_TWO, - CesiumMath.PI_OVER_TWO + CesiumMath.PI_OVER_TWO, ); const geodesic = new EllipsoidGeodesic(); geodesic.setEndPoints(start, end); @@ -98,7 +98,7 @@ describe("Core/EllipsoidGeodesic", function () { const geodesic = new EllipsoidGeodesic(start, end, ellipsoid); expect(CesiumMath.PI_OVER_TWO).toEqualEpsilon( geodesic.startHeading, - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); }); @@ -110,7 +110,7 @@ describe("Core/EllipsoidGeodesic", function () { const geodesic = new EllipsoidGeodesic(start, end, ellipsoid); expect(CesiumMath.PI_OVER_TWO).toEqualEpsilon( geodesic.endHeading, - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); }); @@ -122,7 +122,7 @@ describe("Core/EllipsoidGeodesic", function () { const geodesic = new EllipsoidGeodesic(start, end, ellipsoid); expect(CesiumMath.PI_OVER_TWO * 6).toEqualEpsilon( geodesic.surfaceDistance, - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); }); @@ -150,7 +150,7 @@ describe("Core/EllipsoidGeodesic", function () { const thirtyDegrees = Math.PI / 6; expect(thirtyDegrees * 6).toEqualEpsilon( geodesic.surfaceDistance, - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); }); @@ -165,7 +165,7 @@ describe("Core/EllipsoidGeodesic", function () { const sixtyDegrees = Math.PI / 3; expect(sixtyDegrees * 6).toEqualEpsilon( geodesic.surfaceDistance, - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); }); @@ -183,7 +183,7 @@ describe("Core/EllipsoidGeodesic", function () { expect(start.longitude).toEqualEpsilon( first.longitude, - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); expect(start.latitude).toEqualEpsilon(first.latitude, CesiumMath.EPSILON13); expect(end.longitude).toEqualEpsilon(last.longitude, CesiumMath.EPSILON13); @@ -205,11 +205,11 @@ describe("Core/EllipsoidGeodesic", function () { expect(expectedMid.longitude).toEqualEpsilon( midpoint.longitude, - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); expect(expectedMid.latitude).toEqualEpsilon( midpoint.latitude, - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); }); @@ -226,7 +226,7 @@ describe("Core/EllipsoidGeodesic", function () { expect(start.longitude).toEqualEpsilon( first.longitude, - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); expect(start.latitude).toEqualEpsilon(first.latitude, CesiumMath.EPSILON13); expect(end.longitude).toEqualEpsilon(last.longitude, CesiumMath.EPSILON13); @@ -247,11 +247,11 @@ describe("Core/EllipsoidGeodesic", function () { expect(expectedMid.longitude).toEqualEpsilon( midpoint.longitude, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(expectedMid.latitude).toEqualEpsilon( midpoint.latitude, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); @@ -270,11 +270,11 @@ describe("Core/EllipsoidGeodesic", function () { expect(expectedMid.longitude).toEqualEpsilon( result.longitude, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(expectedMid.latitude).toEqualEpsilon( result.latitude, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); @@ -296,11 +296,11 @@ describe("Core/EllipsoidGeodesic", function () { expect(expectedMid.longitude).toEqualEpsilon( result.longitude, - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); expect(expectedMid.latitude).toEqualEpsilon( result.latitude, - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); }); }); diff --git a/packages/engine/Specs/Core/EllipsoidGeometrySpec.js b/packages/engine/Specs/Core/EllipsoidGeometrySpec.js index 7800e7afbba2..8165e22fa2be 100644 --- a/packages/engine/Specs/Core/EllipsoidGeometrySpec.js +++ b/packages/engine/Specs/Core/EllipsoidGeometrySpec.js @@ -47,7 +47,7 @@ describe("Core/EllipsoidGeometry", function () { vertexFormat: VertexFormat.POSITION_ONLY, slicePartitions: 3, stackPartitions: 3, - }) + }), ); // The vertices are 6x6 because an additional slice and stack are added @@ -66,7 +66,7 @@ describe("Core/EllipsoidGeometry", function () { slicePartitions: 3, stackPartitions: 3, offsetAttribute: GeometryOffsetAttribute.ALL, - }) + }), ); const numVertices = 36; @@ -84,7 +84,7 @@ describe("Core/EllipsoidGeometry", function () { vertexFormat: VertexFormat.ALL, slicePartitions: 3, stackPartitions: 3, - }) + }), ); const numVertices = 36; @@ -103,7 +103,7 @@ describe("Core/EllipsoidGeometry", function () { vertexFormat: VertexFormat.ALL, slicePartitions: 3, stackPartitions: 3, - }) + }), ); const positions = m.attributes.position.values; @@ -119,16 +119,16 @@ describe("Core/EllipsoidGeometry", function () { expect(Cartesian3.magnitude(position)).toEqualEpsilon( 1.0, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(normal).toEqualEpsilon( Cartesian3.normalize(position, new Cartesian3()), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(Cartesian3.dot(Cartesian3.UNIT_Z, tangent)).not.toBeLessThan(0.0); expect(bitangent).toEqualEpsilon( Cartesian3.cross(normal, tangent, new Cartesian3()), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); } }); @@ -140,7 +140,7 @@ describe("Core/EllipsoidGeometry", function () { slicePartitions: 3, stackPartitions: 3, innerRadii: new Cartesian3(0.5, 0.5, 0.5), - }) + }), ); const numVertices = 72; // 6 rows * 6 positions * 2 surfaces @@ -159,7 +159,7 @@ describe("Core/EllipsoidGeometry", function () { innerRadii: new Cartesian3(0.5, 0.5, 0.5), minimumClock: CesiumMath.toRadians(90.0), maximumClock: CesiumMath.toRadians(270.0), - }) + }), ); const numVertices = 70; @@ -179,7 +179,7 @@ describe("Core/EllipsoidGeometry", function () { minimumClock: CesiumMath.toRadians(90.0), maximumClock: CesiumMath.toRadians(270.0), minimumCone: CesiumMath.toRadians(30.0), - }) + }), ); const numVertices = 60; @@ -212,7 +212,7 @@ describe("Core/EllipsoidGeometry", function () { innerRadii: new Cartesian3(0.5, 0.5, 0.5), minimumCone: CesiumMath.toRadians(60.0), maximumCone: CesiumMath.toRadians(140.0), - }) + }), ); const positions = m.attributes.position.values; @@ -248,7 +248,7 @@ describe("Core/EllipsoidGeometry", function () { maximumClock: CesiumMath.toRadians(270.0), minimumCone: CesiumMath.toRadians(30.0), maximumCone: CesiumMath.toRadians(120.0), - }) + }), ); const numVertices = 50; @@ -353,25 +353,8 @@ describe("Core/EllipsoidGeometry", function () { stackPartitions: 3, }); const packedInstance = [ - 1.0, - 2.0, - 3.0, - 0.5, - 0.6, - 0.7, - 1.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.1, - 0.2, - 0.3, - 0.4, - 3.0, - 3.0, - -1, + 1.0, 2.0, 3.0, 0.5, 0.6, 0.7, 1.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.1, 0.2, 0.3, + 0.4, 3.0, 3.0, -1, ]; createPackableSpecs(EllipsoidGeometry, ellipsoidgeometry, packedInstance); }); diff --git a/packages/engine/Specs/Core/EllipsoidOutlineGeometrySpec.js b/packages/engine/Specs/Core/EllipsoidOutlineGeometrySpec.js index e24be1fefa9f..c71224601d3b 100644 --- a/packages/engine/Specs/Core/EllipsoidOutlineGeometrySpec.js +++ b/packages/engine/Specs/Core/EllipsoidOutlineGeometrySpec.js @@ -73,7 +73,7 @@ describe("Core/EllipsoidOutlineGeometry", function () { stackPartitions: 3, slicePartitions: 3, subdivisions: 3, - }) + }), ); expect(m.attributes.position.values.length).toEqual(24 * 3); @@ -92,7 +92,7 @@ describe("Core/EllipsoidOutlineGeometry", function () { stackPartitions: 3, slicePartitions: 3, subdivisions: 3, - }) + }), ); expect(m.attributes.position.values.length).toEqual(24 * 3); @@ -107,7 +107,7 @@ describe("Core/EllipsoidOutlineGeometry", function () { slicePartitions: 3, subdivisions: 3, offsetAttribute: GeometryOffsetAttribute.ALL, - }) + }), ); const numVertices = 24; @@ -176,42 +176,30 @@ describe("Core/EllipsoidOutlineGeometry", function () { innerRadii: new Cartesian3(100000.0, 100000.0, -10.0), }); - const geometry0 = EllipsoidOutlineGeometry.createGeometry( - ellipsoidOutline0 - ); - const geometry1 = EllipsoidOutlineGeometry.createGeometry( - ellipsoidOutline1 - ); - const geometry2 = EllipsoidOutlineGeometry.createGeometry( - ellipsoidOutline2 - ); - const geometry3 = EllipsoidOutlineGeometry.createGeometry( - ellipsoidOutline3 - ); - const geometry4 = EllipsoidOutlineGeometry.createGeometry( - ellipsoidOutline4 - ); - const geometry5 = EllipsoidOutlineGeometry.createGeometry( - ellipsoidOutline5 - ); - const geometry6 = EllipsoidOutlineGeometry.createGeometry( - ellipsoidOutline6 - ); - const geometry7 = EllipsoidOutlineGeometry.createGeometry( - ellipsoidOutline7 - ); - const geometry8 = EllipsoidOutlineGeometry.createGeometry( - ellipsoidOutline8 - ); - const geometry9 = EllipsoidOutlineGeometry.createGeometry( - ellipsoidOutline9 - ); - const geometry10 = EllipsoidOutlineGeometry.createGeometry( - ellipsoidOutline10 - ); - const geometry11 = EllipsoidOutlineGeometry.createGeometry( - ellipsoidOutline11 - ); + const geometry0 = + EllipsoidOutlineGeometry.createGeometry(ellipsoidOutline0); + const geometry1 = + EllipsoidOutlineGeometry.createGeometry(ellipsoidOutline1); + const geometry2 = + EllipsoidOutlineGeometry.createGeometry(ellipsoidOutline2); + const geometry3 = + EllipsoidOutlineGeometry.createGeometry(ellipsoidOutline3); + const geometry4 = + EllipsoidOutlineGeometry.createGeometry(ellipsoidOutline4); + const geometry5 = + EllipsoidOutlineGeometry.createGeometry(ellipsoidOutline5); + const geometry6 = + EllipsoidOutlineGeometry.createGeometry(ellipsoidOutline6); + const geometry7 = + EllipsoidOutlineGeometry.createGeometry(ellipsoidOutline7); + const geometry8 = + EllipsoidOutlineGeometry.createGeometry(ellipsoidOutline8); + const geometry9 = + EllipsoidOutlineGeometry.createGeometry(ellipsoidOutline9); + const geometry10 = + EllipsoidOutlineGeometry.createGeometry(ellipsoidOutline10); + const geometry11 = + EllipsoidOutlineGeometry.createGeometry(ellipsoidOutline11); expect(geometry0).toBeUndefined(); expect(geometry1).toBeUndefined(); @@ -239,24 +227,11 @@ describe("Core/EllipsoidOutlineGeometry", function () { subdivisions: 3, }); const packedInstance = [ - 1.0, - 2.0, - 3.0, - 0.5, - 0.6, - 0.7, - 0.1, - 0.2, - 0.3, - 0.4, - 3.0, - 3.0, - 3.0, - -1, + 1.0, 2.0, 3.0, 0.5, 0.6, 0.7, 0.1, 0.2, 0.3, 0.4, 3.0, 3.0, 3.0, -1, ]; createPackableSpecs( EllipsoidOutlineGeometry, ellipsoidgeometry, - packedInstance + packedInstance, ); }); diff --git a/packages/engine/Specs/Core/EllipsoidRhumbLineSpec.js b/packages/engine/Specs/Core/EllipsoidRhumbLineSpec.js index afc652627b08..add1998ace05 100644 --- a/packages/engine/Specs/Core/EllipsoidRhumbLineSpec.js +++ b/packages/engine/Specs/Core/EllipsoidRhumbLineSpec.js @@ -32,7 +32,7 @@ describe("Core/EllipsoidRhumbLine", function () { expect(function () { const rhumb = new EllipsoidRhumbLine( new Cartographic(Math.PI, Math.PI), - new Cartographic(0, Math.PI) + new Cartographic(0, Math.PI), ); return rhumb.interpolateUsingSurfaceDistance(0); }).toThrowDeveloperError(); @@ -64,7 +64,7 @@ describe("Core/EllipsoidRhumbLine", function () { start, heading, distance, - ellipsoid + ellipsoid, ); expect(start).toEqual(rhumb.start); expect(distance).toEqualEpsilon(rhumb.surfaceDistance, CesiumMath.EPSILON6); @@ -84,7 +84,7 @@ describe("Core/EllipsoidRhumbLine", function () { heading, distance, ellipsoid, - scratch + scratch, ); expect(rhumb).toBe(scratch); expect(rhumb.ellipsoid).toBe(ellipsoid); @@ -120,7 +120,7 @@ describe("Core/EllipsoidRhumbLine", function () { const start = new Cartographic(CesiumMath.PI_OVER_TWO, 0); const end = new Cartographic( CesiumMath.PI_OVER_TWO, - CesiumMath.PI_OVER_TWO + CesiumMath.PI_OVER_TWO, ); const rhumb = new EllipsoidRhumbLine(); rhumb.setEndPoints(start, end); @@ -136,7 +136,7 @@ describe("Core/EllipsoidRhumbLine", function () { const rhumb = new EllipsoidRhumbLine(start, end, ellipsoid); expect(CesiumMath.PI_OVER_TWO).toEqualEpsilon( rhumb.heading, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); @@ -169,7 +169,7 @@ describe("Core/EllipsoidRhumbLine", function () { expect(CesiumMath.PI_OVER_TWO).toEqualEpsilon( rhumb.heading, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); start = new Cartographic(3 * CesiumMath.PI_OVER_TWO, 0.3); @@ -177,7 +177,7 @@ describe("Core/EllipsoidRhumbLine", function () { const rhumb2 = new EllipsoidRhumbLine(start, end, ellipsoid); expect(-CesiumMath.PI_OVER_TWO).toEqualEpsilon( rhumb2.heading, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); @@ -201,7 +201,7 @@ describe("Core/EllipsoidRhumbLine", function () { const rhumb = new EllipsoidRhumbLine(start, end, ellipsoid); expect(CesiumMath.PI_OVER_TWO * 6).toEqualEpsilon( rhumb.surfaceDistance, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); @@ -213,7 +213,7 @@ describe("Core/EllipsoidRhumbLine", function () { const rhumb = new EllipsoidRhumbLine(start, end, ellipsoid); expect(thirtyDegrees * 6).toEqualEpsilon( rhumb.surfaceDistance, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); @@ -227,47 +227,47 @@ describe("Core/EllipsoidRhumbLine", function () { const westEastRhumb = new EllipsoidRhumbLine( fortyFiveWest, fortyFiveEast, - ellipsoid + ellipsoid, ); const southNorthRhumb = new EllipsoidRhumbLine( fortyFiveSouth, fortyFiveNorth, - ellipsoid + ellipsoid, ); const eastWestRhumb = new EllipsoidRhumbLine( fortyFiveEast, fortyFiveWest, - ellipsoid + ellipsoid, ); const northSouthRhumb = new EllipsoidRhumbLine( fortyFiveNorth, fortyFiveSouth, - ellipsoid + ellipsoid, ); expect(CesiumMath.PI_OVER_TWO * 6).toEqualEpsilon( westEastRhumb.surfaceDistance, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(CesiumMath.PI_OVER_TWO * 6).toEqualEpsilon( southNorthRhumb.surfaceDistance, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(westEastRhumb.surfaceDistance).toEqualEpsilon( southNorthRhumb.surfaceDistance, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(CesiumMath.PI_OVER_TWO * 6).toEqualEpsilon( eastWestRhumb.surfaceDistance, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(CesiumMath.PI_OVER_TWO * 6).toEqualEpsilon( northSouthRhumb.surfaceDistance, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(eastWestRhumb.surfaceDistance).toEqualEpsilon( northSouthRhumb.surfaceDistance, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); @@ -280,7 +280,7 @@ describe("Core/EllipsoidRhumbLine", function () { const distance = Math.cos(fortyfiveDegrees) * CesiumMath.PI_OVER_TWO * 6; expect(distance).toEqualEpsilon( rhumb.surfaceDistance, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); @@ -294,7 +294,7 @@ describe("Core/EllipsoidRhumbLine", function () { initial, fifteenDegrees, 0.0, - ellipsoid + ellipsoid, ); return rhumb.interpolateUsingSurfaceDistance(0); }).toThrowDeveloperError(); @@ -310,14 +310,14 @@ describe("Core/EllipsoidRhumbLine", function () { initial, fifteenDegrees, distance, - ellipsoid + ellipsoid, ); const rhumb2 = new EllipsoidRhumbLine(initial, rhumb1.end, ellipsoid); expect(fifteenDegrees).toEqualEpsilon(rhumb2.heading, CesiumMath.EPSILON12); expect(distance).toEqualEpsilon( rhumb2.surfaceDistance, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); }); @@ -330,14 +330,14 @@ describe("Core/EllipsoidRhumbLine", function () { initial, fifteenDegrees, distance, - ellipsoid + ellipsoid, ); const rhumb2 = new EllipsoidRhumbLine(initial, rhumb1.end, ellipsoid); expect(fifteenDegrees).toEqualEpsilon(rhumb2.heading, CesiumMath.EPSILON12); expect(distance).toEqualEpsilon( rhumb2.surfaceDistance, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); }); @@ -357,65 +357,65 @@ describe("Core/EllipsoidRhumbLine", function () { initial, eightyNineDegrees, distance, - ellipsoid + ellipsoid, ); let rhumb2 = new EllipsoidRhumbLine(initial, rhumb1.end, ellipsoid); expect(rhumb1.heading).toEqualEpsilon(rhumb2.heading, CesiumMath.EPSILON12); expect(rhumb1.surfaceDistance).toEqualEpsilon( rhumb2.surfaceDistance, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); rhumb1 = EllipsoidRhumbLine.fromStartHeadingDistance( initial, eightyNinePointNineDegrees, distance, - ellipsoid + ellipsoid, ); rhumb2 = new EllipsoidRhumbLine(initial, rhumb1.end, ellipsoid); expect(rhumb1.heading).toEqualEpsilon(rhumb2.heading, CesiumMath.EPSILON12); expect(rhumb1.surfaceDistance).toEqualEpsilon( rhumb2.surfaceDistance, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); rhumb1 = EllipsoidRhumbLine.fromStartHeadingDistance( initial, ninetyDegrees, distance, - ellipsoid + ellipsoid, ); rhumb2 = new EllipsoidRhumbLine(initial, rhumb1.end, ellipsoid); expect(rhumb1.heading).toEqualEpsilon(rhumb2.heading, CesiumMath.EPSILON12); expect(rhumb1.surfaceDistance).toEqualEpsilon( rhumb2.surfaceDistance, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); rhumb1 = EllipsoidRhumbLine.fromStartHeadingDistance( initial, ninetyPointOneDegrees, distance, - ellipsoid + ellipsoid, ); rhumb2 = new EllipsoidRhumbLine(initial, rhumb1.end, ellipsoid); expect(rhumb1.heading).toEqualEpsilon(rhumb2.heading, CesiumMath.EPSILON12); expect(rhumb1.surfaceDistance).toEqualEpsilon( rhumb2.surfaceDistance, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); rhumb1 = EllipsoidRhumbLine.fromStartHeadingDistance( initial, ninetyPointZeroTwoDegrees, distance, - ellipsoid + ellipsoid, ); rhumb2 = new EllipsoidRhumbLine(initial, rhumb1.end, ellipsoid); expect(rhumb1.heading).toEqualEpsilon(rhumb2.heading, CesiumMath.EPSILON12); expect(rhumb1.surfaceDistance).toEqualEpsilon( rhumb2.surfaceDistance, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); }); @@ -434,65 +434,65 @@ describe("Core/EllipsoidRhumbLine", function () { initial, eightyNineDegrees, distance, - ellipsoid + ellipsoid, ); let rhumb2 = new EllipsoidRhumbLine(initial, rhumb1.end, ellipsoid); expect(rhumb1.heading).toEqualEpsilon(rhumb2.heading, CesiumMath.EPSILON12); expect(rhumb1.surfaceDistance).toEqualEpsilon( rhumb2.surfaceDistance, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); rhumb1 = EllipsoidRhumbLine.fromStartHeadingDistance( initial, eightyNinePointNineDegrees, distance, - ellipsoid + ellipsoid, ); rhumb2 = new EllipsoidRhumbLine(initial, rhumb1.end, ellipsoid); expect(rhumb1.heading).toEqualEpsilon(rhumb2.heading, CesiumMath.EPSILON12); expect(rhumb1.surfaceDistance).toEqualEpsilon( rhumb2.surfaceDistance, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); rhumb1 = EllipsoidRhumbLine.fromStartHeadingDistance( initial, ninetyDegrees, distance, - ellipsoid + ellipsoid, ); rhumb2 = new EllipsoidRhumbLine(initial, rhumb1.end, ellipsoid); expect(rhumb1.heading).toEqualEpsilon(rhumb2.heading, CesiumMath.EPSILON12); expect(rhumb1.surfaceDistance).toEqualEpsilon( rhumb2.surfaceDistance, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); rhumb1 = EllipsoidRhumbLine.fromStartHeadingDistance( initial, ninetyPointOneDegrees, distance, - ellipsoid + ellipsoid, ); rhumb2 = new EllipsoidRhumbLine(initial, rhumb1.end, ellipsoid); expect(rhumb1.heading).toEqualEpsilon(rhumb2.heading, CesiumMath.EPSILON12); expect(rhumb1.surfaceDistance).toEqualEpsilon( rhumb2.surfaceDistance, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); rhumb1 = EllipsoidRhumbLine.fromStartHeadingDistance( initial, ninetyPointZeroTwoDegrees, distance, - ellipsoid + ellipsoid, ); rhumb2 = new EllipsoidRhumbLine(initial, rhumb1.end, ellipsoid); expect(rhumb1.heading).toEqualEpsilon(rhumb2.heading, CesiumMath.EPSILON12); expect(rhumb1.surfaceDistance).toEqualEpsilon( rhumb2.surfaceDistance, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); }); @@ -507,13 +507,13 @@ describe("Core/EllipsoidRhumbLine", function () { initial, CesiumMath.PI_OVER_TWO, distance, - ellipsoid + ellipsoid, ); expect(rhumb1.heading).toEqualEpsilon(rhumb2.heading, CesiumMath.EPSILON12); expect(rhumb1.surfaceDistance).toEqualEpsilon( rhumb2.surfaceDistance, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); }); @@ -529,21 +529,21 @@ describe("Core/EllipsoidRhumbLine", function () { initial, 3.0 * CesiumMath.PI_OVER_TWO, distance, - ellipsoid + ellipsoid, ); expect(-CesiumMath.PI_OVER_TWO).toEqualEpsilon( rhumb1.heading, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(distance).toEqualEpsilon( rhumb1.surfaceDistance, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); expect(rhumb1.heading).toEqualEpsilon(rhumb2.heading, CesiumMath.EPSILON12); expect(rhumb1.surfaceDistance).toEqualEpsilon( rhumb2.surfaceDistance, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); const rhumb3 = new EllipsoidRhumbLine(final, initial, ellipsoid); @@ -551,20 +551,20 @@ describe("Core/EllipsoidRhumbLine", function () { final, CesiumMath.PI_OVER_TWO, distance, - ellipsoid + ellipsoid, ); expect(CesiumMath.PI_OVER_TWO).toEqualEpsilon( rhumb3.heading, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(distance).toEqualEpsilon( rhumb3.surfaceDistance, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); expect(rhumb3.heading).toEqualEpsilon(rhumb4.heading, CesiumMath.EPSILON12); expect(rhumb3.surfaceDistance).toEqualEpsilon( rhumb4.surfaceDistance, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); }); @@ -579,11 +579,11 @@ describe("Core/EllipsoidRhumbLine", function () { expect(0.0).toEqualEpsilon(rhumb.heading, CesiumMath.EPSILON12); expect(geodesic.startHeading).toEqualEpsilon( rhumb.heading, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(geodesic.surfaceDistance).toEqualEpsilon( rhumb.surfaceDistance, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); }); @@ -597,15 +597,15 @@ describe("Core/EllipsoidRhumbLine", function () { const geodesic = new EllipsoidGeodesic(initial, final, ellipsoid); expect(CesiumMath.PI_OVER_TWO).toEqualEpsilon( rhumb.heading, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(geodesic.startHeading).toEqualEpsilon( rhumb.heading, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(geodesic.surfaceDistance).toEqualEpsilon( rhumb.surfaceDistance, - CesiumMath.EPSILON4 + CesiumMath.EPSILON4, ); // Due to computational difference, slightly larger tolerance }); @@ -622,14 +622,14 @@ describe("Core/EllipsoidRhumbLine", function () { initial, eightyDegrees, distance, - ellipsoid + ellipsoid, ); const rhumb2 = new EllipsoidRhumbLine(initial, rhumb1.end, ellipsoid); expect(rhumb1.heading).toEqualEpsilon(rhumb2.heading, CesiumMath.EPSILON12); expect(rhumb1.surfaceDistance).toEqualEpsilon( rhumb2.surfaceDistance, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); }); @@ -644,11 +644,11 @@ describe("Core/EllipsoidRhumbLine", function () { expect(halfway.longitude).toEqualEpsilon( interpolatedPoint.longitude, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(halfway.latitude).toEqualEpsilon( interpolatedPoint.latitude, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); @@ -665,11 +665,11 @@ describe("Core/EllipsoidRhumbLine", function () { expect(halfway.longitude).toEqualEpsilon( interpolatedPoint.longitude, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(halfway.latitude).toEqualEpsilon( interpolatedPoint.latitude, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); @@ -685,7 +685,7 @@ describe("Core/EllipsoidRhumbLine", function () { expect(start.longitude).toEqualEpsilon( first.longitude, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(start.latitude).toEqualEpsilon(first.latitude, CesiumMath.EPSILON12); expect(end.longitude).toEqualEpsilon(last.longitude, CesiumMath.EPSILON12); @@ -704,11 +704,11 @@ describe("Core/EllipsoidRhumbLine", function () { expect(expectedMid.longitude).toEqualEpsilon( midpoint.longitude, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(expectedMid.latitude).toEqualEpsilon( midpoint.latitude, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); @@ -723,7 +723,7 @@ describe("Core/EllipsoidRhumbLine", function () { expect(start.longitude).toEqualEpsilon( first.longitude, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(start.latitude).toEqualEpsilon(first.latitude, CesiumMath.EPSILON12); expect(end.longitude).toEqualEpsilon(last.longitude, CesiumMath.EPSILON12); @@ -741,11 +741,11 @@ describe("Core/EllipsoidRhumbLine", function () { expect(expectedMid.longitude).toEqualEpsilon( midpoint.longitude, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(expectedMid.latitude).toEqualEpsilon( midpoint.latitude, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); @@ -761,11 +761,11 @@ describe("Core/EllipsoidRhumbLine", function () { expect(expectedMid.longitude).toEqualEpsilon( midpoint.longitude, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(expectedMid.latitude).toEqualEpsilon( midpoint.latitude, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); @@ -781,11 +781,11 @@ describe("Core/EllipsoidRhumbLine", function () { expect(expectedMid.longitude).toEqualEpsilon( midpoint.longitude, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(expectedMid.latitude).toEqualEpsilon( midpoint.latitude, - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); }); @@ -801,11 +801,11 @@ describe("Core/EllipsoidRhumbLine", function () { expect(expectedMid.longitude).toEqualEpsilon( result.longitude, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(expectedMid.latitude).toEqualEpsilon( result.latitude, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); @@ -824,11 +824,11 @@ describe("Core/EllipsoidRhumbLine", function () { expect(expectedMid.longitude).toEqualEpsilon( result.longitude, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(expectedMid.latitude).toEqualEpsilon( result.latitude, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); @@ -840,50 +840,50 @@ describe("Core/EllipsoidRhumbLine", function () { const midpointUsingInterpolation = rhumb.interpolateUsingFraction(0.5); const midpointUsingIntersection = rhumb.findIntersectionWithLongitude( - midpointUsingInterpolation.longitude + midpointUsingInterpolation.longitude, ); expect( Cartographic.equalsEpsilon( midpointUsingInterpolation, midpointUsingIntersection, - CesiumMath.EPSILON12 - ) + CesiumMath.EPSILON12, + ), ).toBe(true); let pointUsingInterpolation = rhumb.interpolateUsingFraction(0.1); let pointUsingIntersection = rhumb.findIntersectionWithLongitude( - pointUsingInterpolation.longitude + pointUsingInterpolation.longitude, ); expect( Cartographic.equalsEpsilon( pointUsingInterpolation, pointUsingIntersection, - CesiumMath.EPSILON12 - ) + CesiumMath.EPSILON12, + ), ).toBe(true); pointUsingInterpolation = rhumb.interpolateUsingFraction(0.75); pointUsingIntersection = rhumb.findIntersectionWithLongitude( - pointUsingInterpolation.longitude + pointUsingInterpolation.longitude, ); expect( Cartographic.equalsEpsilon( pointUsingInterpolation, pointUsingIntersection, - CesiumMath.EPSILON12 - ) + CesiumMath.EPSILON12, + ), ).toBe(true); pointUsingInterpolation = rhumb.interpolateUsingFraction(1.1); pointUsingIntersection = rhumb.findIntersectionWithLongitude( - pointUsingInterpolation.longitude + pointUsingInterpolation.longitude, ); expect( Cartographic.equalsEpsilon( pointUsingInterpolation, pointUsingIntersection, - CesiumMath.EPSILON12 - ) + CesiumMath.EPSILON12, + ), ).toBe(true); }); @@ -900,16 +900,16 @@ describe("Core/EllipsoidRhumbLine", function () { Cartographic.equalsEpsilon( idlIntersection1, idlIntersection2, - CesiumMath.EPSILON12 - ) + CesiumMath.EPSILON12, + ), ).toBe(true); expect(idlIntersection1.longitude).toEqualEpsilon( Math.PI, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(idlIntersection2.longitude).toEqualEpsilon( Math.PI, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); rhumb.setEndPoints(end, start); @@ -921,16 +921,16 @@ describe("Core/EllipsoidRhumbLine", function () { Cartographic.equalsEpsilon( idlIntersection1, idlIntersection2, - CesiumMath.EPSILON12 - ) + CesiumMath.EPSILON12, + ), ).toBe(true); expect(idlIntersection1.longitude).toEqualEpsilon( -Math.PI, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(idlIntersection2.longitude).toEqualEpsilon( -Math.PI, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); }); @@ -942,14 +942,14 @@ describe("Core/EllipsoidRhumbLine", function () { const midpointUsingInterpolation = rhumb.interpolateUsingFraction(0.5); const midpointUsingIntersection = rhumb.findIntersectionWithLongitude( - midpointUsingInterpolation.longitude + midpointUsingInterpolation.longitude, ); expect( Cartographic.equalsEpsilon( midpointUsingInterpolation, midpointUsingIntersection, - CesiumMath.EPSILON12 - ) + CesiumMath.EPSILON12, + ), ).toBe(true); }); @@ -961,7 +961,7 @@ describe("Core/EllipsoidRhumbLine", function () { const midpointUsingInterpolation = rhumb.interpolateUsingFraction(0.5); const midpointUsingIntersection = rhumb.findIntersectionWithLongitude( - midpointUsingInterpolation.longitude + midpointUsingInterpolation.longitude, ); expect(midpointUsingIntersection).not.toBeDefined(); @@ -973,13 +973,12 @@ describe("Core/EllipsoidRhumbLine", function () { const rhumb = new EllipsoidRhumbLine(start, end); - const midpointUsingIntersection = rhumb.findIntersectionWithLongitude( - thirtyDegrees - ); + const midpointUsingIntersection = + rhumb.findIntersectionWithLongitude(thirtyDegrees); expect(midpointUsingIntersection.latitude).toEqualEpsilon( CesiumMath.PI_OVER_TWO, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); @@ -991,50 +990,50 @@ describe("Core/EllipsoidRhumbLine", function () { const midpointUsingInterpolation = rhumb.interpolateUsingFraction(0.5); const midpointUsingIntersection = rhumb.findIntersectionWithLatitude( - midpointUsingInterpolation.latitude + midpointUsingInterpolation.latitude, ); expect( Cartographic.equalsEpsilon( midpointUsingInterpolation, midpointUsingIntersection, - CesiumMath.EPSILON12 - ) + CesiumMath.EPSILON12, + ), ).toBe(true); let pointUsingInterpolation = rhumb.interpolateUsingFraction(0.1); let pointUsingIntersection = rhumb.findIntersectionWithLatitude( - pointUsingInterpolation.latitude + pointUsingInterpolation.latitude, ); expect( Cartographic.equalsEpsilon( pointUsingInterpolation, pointUsingIntersection, - CesiumMath.EPSILON12 - ) + CesiumMath.EPSILON12, + ), ).toBe(true); pointUsingInterpolation = rhumb.interpolateUsingFraction(0.75); pointUsingIntersection = rhumb.findIntersectionWithLatitude( - pointUsingInterpolation.latitude + pointUsingInterpolation.latitude, ); expect( Cartographic.equalsEpsilon( pointUsingInterpolation, pointUsingIntersection, - CesiumMath.EPSILON12 - ) + CesiumMath.EPSILON12, + ), ).toBe(true); pointUsingInterpolation = rhumb.interpolateUsingFraction(1.1); pointUsingIntersection = rhumb.findIntersectionWithLatitude( - pointUsingInterpolation.latitude + pointUsingInterpolation.latitude, ); expect( Cartographic.equalsEpsilon( pointUsingInterpolation, pointUsingIntersection, - CesiumMath.EPSILON12 - ) + CesiumMath.EPSILON12, + ), ).toBe(true); }); @@ -1046,7 +1045,7 @@ describe("Core/EllipsoidRhumbLine", function () { const midpointUsingInterpolation = rhumb.interpolateUsingFraction(0.5); const midpointUsingIntersection = rhumb.findIntersectionWithLatitude( - midpointUsingInterpolation.latitude + midpointUsingInterpolation.latitude, ); expect(midpointUsingIntersection).not.toBeDefined(); @@ -1060,14 +1059,14 @@ describe("Core/EllipsoidRhumbLine", function () { const midpointUsingInterpolation = rhumb.interpolateUsingFraction(0.5); const midpointUsingIntersection = rhumb.findIntersectionWithLatitude( - midpointUsingInterpolation.latitude + midpointUsingInterpolation.latitude, ); expect( Cartographic.equalsEpsilon( midpointUsingInterpolation, midpointUsingIntersection, - CesiumMath.EPSILON12 - ) + CesiumMath.EPSILON12, + ), ).toBe(true); }); @@ -1075,12 +1074,12 @@ describe("Core/EllipsoidRhumbLine", function () { const p0 = new Cartesian3( 899411.2767873341, -5079219.747324299, - 3738850.924729517 + 3738850.924729517, ); const p1 = new Cartesian3( 899411.0994891181, -5079219.778719673, - 3738850.9247295167 + 3738850.9247295167, ); const ellipsoid = Ellipsoid.WGS84; diff --git a/packages/engine/Specs/Core/EllipsoidSpec.js b/packages/engine/Specs/Core/EllipsoidSpec.js index 416d589039ee..40f470cbfed9 100644 --- a/packages/engine/Specs/Core/EllipsoidSpec.js +++ b/packages/engine/Specs/Core/EllipsoidSpec.js @@ -14,18 +14,18 @@ describe("Core/Ellipsoid", function () { const radiiSquared = Cartesian3.multiplyComponents( radii, radii, - new Cartesian3() + new Cartesian3(), ); const radiiToTheFourth = Cartesian3.multiplyComponents( radiiSquared, radiiSquared, - new Cartesian3() + new Cartesian3(), ); const oneOverRadii = new Cartesian3(1 / radii.x, 1 / radii.y, 1 / radii.z); const oneOverRadiiSquared = new Cartesian3( 1 / radiiSquared.x, 1 / radiiSquared.y, - 1 / radiiSquared.z + 1 / radiiSquared.z, ); const minimumRadius = 1.0; const maximumRadius = 3.0; @@ -34,34 +34,34 @@ describe("Core/Ellipsoid", function () { const spaceCartesian = new Cartesian3( 4582719.8827300891, -4582719.8827300882, - 1725510.4250797231 + 1725510.4250797231, ); const spaceCartesianGeodeticSurfaceNormal = new Cartesian3( 0.6829975339864266, -0.68299753398642649, - 0.25889908678270795 + 0.25889908678270795, ); const spaceCartographic = new Cartographic( CesiumMath.toRadians(-45.0), CesiumMath.toRadians(15.0), - 330000.0 + 330000.0, ); const spaceCartographicGeodeticSurfaceNormal = new Cartesian3( 0.68301270189221941, -0.6830127018922193, - 0.25881904510252074 + 0.25881904510252074, ); const surfaceCartesian = new Cartesian3( 4094327.7921465295, 1909216.4044747739, - 4487348.4088659193 + 4487348.4088659193, ); const surfaceCartographic = new Cartographic( CesiumMath.toRadians(25.0), CesiumMath.toRadians(45.0), - 0.0 + 0.0, ); it("default constructor creates zero Ellipsoid", function () { @@ -110,12 +110,11 @@ describe("Core/Ellipsoid", function () { it("geodeticSurfaceNormalCartographic works without a result parameter", function () { const ellipsoid = Ellipsoid.WGS84; - const returnedResult = ellipsoid.geodeticSurfaceNormalCartographic( - spaceCartographic - ); + const returnedResult = + ellipsoid.geodeticSurfaceNormalCartographic(spaceCartographic); expect(returnedResult).toEqualEpsilon( spaceCartographicGeodeticSurfaceNormal, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -124,12 +123,12 @@ describe("Core/Ellipsoid", function () { const result = new Cartesian3(); const returnedResult = ellipsoid.geodeticSurfaceNormalCartographic( spaceCartographic, - result + result, ); expect(returnedResult).toBe(result); expect(returnedResult).toEqualEpsilon( spaceCartographicGeodeticSurfaceNormal, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -138,7 +137,7 @@ describe("Core/Ellipsoid", function () { const returnedResult = ellipsoid.geodeticSurfaceNormal(spaceCartesian); expect(returnedResult).toEqualEpsilon( spaceCartesianGeodeticSurfaceNormal, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -153,12 +152,12 @@ describe("Core/Ellipsoid", function () { const result = new Cartesian3(); const returnedResult = ellipsoid.geodeticSurfaceNormal( spaceCartesian, - result + result, ); expect(returnedResult).toBe(result); expect(returnedResult).toEqualEpsilon( spaceCartesianGeodeticSurfaceNormal, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -173,7 +172,7 @@ describe("Core/Ellipsoid", function () { const result = new Cartesian3(); const returnedResult = ellipsoid.cartographicToCartesian( spaceCartographic, - result + result, ); expect(result).toBe(returnedResult); expect(returnedResult).toEqualEpsilon(spaceCartesian, CesiumMath.EPSILON7); @@ -188,11 +187,11 @@ describe("Core/Ellipsoid", function () { expect(returnedResult.length).toEqual(2); expect(returnedResult[0]).toEqualEpsilon( spaceCartesian, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(returnedResult[1]).toEqualEpsilon( surfaceCartesian, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); @@ -202,18 +201,18 @@ describe("Core/Ellipsoid", function () { const result = [resultCartesian]; const returnedResult = ellipsoid.cartographicArrayToCartesianArray( [spaceCartographic, surfaceCartographic], - result + result, ); expect(result).toBe(returnedResult); expect(result[0]).toBe(resultCartesian); expect(returnedResult.length).toEqual(2); expect(returnedResult[0]).toEqualEpsilon( spaceCartesian, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(returnedResult[1]).toEqualEpsilon( surfaceCartesian, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); @@ -222,7 +221,7 @@ describe("Core/Ellipsoid", function () { const returnedResult = ellipsoid.cartesianToCartographic(surfaceCartesian); expect(returnedResult).toEqualEpsilon( surfaceCartographic, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); }); @@ -231,12 +230,12 @@ describe("Core/Ellipsoid", function () { const result = new Cartographic(); const returnedResult = ellipsoid.cartesianToCartographic( surfaceCartesian, - result + result, ); expect(result).toBe(returnedResult); expect(returnedResult).toEqualEpsilon( surfaceCartographic, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); }); @@ -244,10 +243,10 @@ describe("Core/Ellipsoid", function () { const expected = new Cartographic( 9.999999999999999e-11, 1.0067394967422763e-20, - -6378137.0 + -6378137.0, ); const returnedResult = Ellipsoid.WGS84.cartesianToCartographic( - new Cartesian3(1e-50, 1e-60, 1e-70) + new Cartesian3(1e-50, 1e-60, 1e-70), ); expect(returnedResult).toEqual(expected); }); @@ -255,7 +254,7 @@ describe("Core/Ellipsoid", function () { it("cartesianToCartographic return undefined very close to center", function () { const ellipsoid = Ellipsoid.WGS84; const returnedResult = ellipsoid.cartesianToCartographic( - new Cartesian3(1e-150, 1e-150, 1e-150) + new Cartesian3(1e-150, 1e-150, 1e-150), ); expect(returnedResult).toBeUndefined(); }); @@ -275,11 +274,11 @@ describe("Core/Ellipsoid", function () { expect(returnedResult.length).toEqual(2); expect(returnedResult[0]).toEqualEpsilon( spaceCartographic, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(returnedResult[1]).toEqualEpsilon( surfaceCartographic, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); @@ -289,7 +288,7 @@ describe("Core/Ellipsoid", function () { const result = [resultCartographic]; const returnedResult = ellipsoid.cartesianArrayToCartographicArray( [spaceCartesian, surfaceCartesian], - result + result, ); expect(result).toBe(returnedResult); expect(result.length).toEqual(2); @@ -327,7 +326,7 @@ describe("Core/Ellipsoid", function () { const expected = new Cartesian3( 0.2680893773941855, 1.1160466902266495, - 2.3559801120411263 + 2.3559801120411263, ); const cartesian = new Cartesian3(4.0, 5.0, 6.0); const returnedResult = ellipsoid.scaleToGeodeticSurface(cartesian); @@ -339,7 +338,7 @@ describe("Core/Ellipsoid", function () { const expected = new Cartesian3( 0.2680893773941855, 1.1160466902266495, - 2.3559801120411263 + 2.3559801120411263, ); const cartesian = new Cartesian3(4.0, 5.0, 6.0); const result = new Cartesian3(); @@ -377,7 +376,7 @@ describe("Core/Ellipsoid", function () { const expected = new Cartesian3( 0.7807200583588266, 0.9759000729485333, - 1.1710800875382399 + 1.1710800875382399, ); const cartesian = new Cartesian3(4.0, 5.0, 6.0); const returnedResult = ellipsoid.scaleToGeocentricSurface(cartesian); @@ -389,13 +388,13 @@ describe("Core/Ellipsoid", function () { const expected = new Cartesian3( 0.7807200583588266, 0.9759000729485333, - 1.1710800875382399 + 1.1710800875382399, ); const cartesian = new Cartesian3(4.0, 5.0, 6.0); const result = new Cartesian3(); const returnedResult = ellipsoid.scaleToGeocentricSurface( cartesian, - result + result, ); expect(returnedResult).toBe(result); expect(result).toEqualEpsilon(expected, CesiumMath.EPSILON16); @@ -423,7 +422,7 @@ describe("Core/Ellipsoid", function () { const result = new Cartesian3(); const returnedResult = ellipsoid.transformPositionToScaledSpace( cartesian, - result + result, ); expect(returnedResult).toBe(result); expect(result).toEqualEpsilon(expected, CesiumMath.EPSILON16); @@ -433,9 +432,8 @@ describe("Core/Ellipsoid", function () { const ellipsoid = new Ellipsoid(2.0, 3.0, 4.0); const expected = new Cartesian3(4.0, 6.0, 8.0); const cartesian = new Cartesian3(2.0, 2.0, 2.0); - const returnedResult = ellipsoid.transformPositionFromScaledSpace( - cartesian - ); + const returnedResult = + ellipsoid.transformPositionFromScaledSpace(cartesian); expect(returnedResult).toEqualEpsilon(expected, CesiumMath.EPSILON16); }); @@ -446,7 +444,7 @@ describe("Core/Ellipsoid", function () { const result = new Cartesian3(); const returnedResult = ellipsoid.transformPositionFromScaledSpace( cartesian, - result + result, ); expect(returnedResult).toBe(result); expect(result).toEqualEpsilon(expected, CesiumMath.EPSILON16); @@ -595,11 +593,10 @@ describe("Core/Ellipsoid", function () { it("getSurfaceNormalIntersectionWithZAxis works without a result parameter", function () { const ellipsoid = Ellipsoid.WGS84; const cartographic = Cartographic.fromDegrees(35.23, 33.23); - const cartesianOnTheSurface = ellipsoid.cartographicToCartesian( - cartographic - ); + const cartesianOnTheSurface = + ellipsoid.cartographicToCartesian(cartographic); const returnedResult = ellipsoid.getSurfaceNormalIntersectionWithZAxis( - cartesianOnTheSurface + cartesianOnTheSurface, ); expect(returnedResult).toBeInstanceOf(Cartesian3); }); @@ -607,13 +604,12 @@ describe("Core/Ellipsoid", function () { it("getSurfaceNormalIntersectionWithZAxis works with a result parameter", function () { const ellipsoid = Ellipsoid.WGS84; const cartographic = Cartographic.fromDegrees(35.23, 33.23); - const cartesianOnTheSurface = ellipsoid.cartographicToCartesian( - cartographic - ); + const cartesianOnTheSurface = + ellipsoid.cartographicToCartesian(cartographic); const returnedResult = ellipsoid.getSurfaceNormalIntersectionWithZAxis( cartesianOnTheSurface, undefined, - cartesianOnTheSurface + cartesianOnTheSurface, ); expect(returnedResult).toBe(cartesianOnTheSurface); }); @@ -621,12 +617,11 @@ describe("Core/Ellipsoid", function () { it("getSurfaceNormalIntersectionWithZAxis returns undefined if the result is outside the ellipsoid with buffer parameter", function () { const ellipsoid = Ellipsoid.WGS84; const cartographic = Cartographic.fromDegrees(35.23, 33.23); - const cartesianOnTheSurface = ellipsoid.cartographicToCartesian( - cartographic - ); + const cartesianOnTheSurface = + ellipsoid.cartographicToCartesian(cartographic); const returnedResult = ellipsoid.getSurfaceNormalIntersectionWithZAxis( cartesianOnTheSurface, - ellipsoid.radii.z + ellipsoid.radii.z, ); expect(returnedResult).toBe(undefined); }); @@ -636,12 +631,11 @@ describe("Core/Ellipsoid", function () { const minorAxis = 1; const ellipsoid = new Ellipsoid(majorAxis, majorAxis, minorAxis); const cartographic = Cartographic.fromDegrees(45.0, 90.0); - const cartesianOnTheSurface = ellipsoid.cartographicToCartesian( - cartographic - ); + const cartesianOnTheSurface = + ellipsoid.cartographicToCartesian(cartographic); const returnedResult = ellipsoid.getSurfaceNormalIntersectionWithZAxis( cartesianOnTheSurface, - undefined + undefined, ); expect(returnedResult).toBe(undefined); }); @@ -651,7 +645,7 @@ describe("Core/Ellipsoid", function () { const cartographic = Cartographic.fromDegrees(35.23, 33.23); let cartesianOnTheSurface = ellipsoid.cartographicToCartesian(cartographic); const surfaceNormal = ellipsoid.geodeticSurfaceNormal( - cartesianOnTheSurface + cartesianOnTheSurface, ); const magnitude = cartesianOnTheSurface.x / surfaceNormal.x; @@ -659,7 +653,7 @@ describe("Core/Ellipsoid", function () { expected.z = cartesianOnTheSurface.z - surfaceNormal.z * magnitude; let result = ellipsoid.getSurfaceNormalIntersectionWithZAxis( cartesianOnTheSurface, - undefined + undefined, ); expect(result).toEqualEpsilon(expected, CesiumMath.EPSILON8); @@ -667,7 +661,7 @@ describe("Core/Ellipsoid", function () { cartesianOnTheSurface = new Cartesian3(ellipsoid.radii.x, 0, 0); result = ellipsoid.getSurfaceNormalIntersectionWithZAxis( cartesianOnTheSurface, - undefined + undefined, ); expect(result).toEqualEpsilon(Cartesian3.ZERO, CesiumMath.EPSILON8); }); @@ -680,24 +674,24 @@ describe("Core/Ellipsoid", function () { let result = ellipsoid.getSurfaceNormalIntersectionWithZAxis( cartesianOnTheSurface, - undefined + undefined, ); let surfaceNormalWithLength = Cartesian3.multiplyByScalar( surfaceNormal, ellipsoid.maximumRadius, - new Cartesian3() + new Cartesian3(), ); let position = Cartesian3.add( result, surfaceNormalWithLength, - new Cartesian3() + new Cartesian3(), ); let resultCartographic = ellipsoid.cartesianToCartographic(position); resultCartographic.height = 0.0; expect(resultCartographic).toEqualEpsilon( cartographic, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); // at the north pole @@ -707,22 +701,22 @@ describe("Core/Ellipsoid", function () { surfaceNormalWithLength = Cartesian3.multiplyByScalar( surfaceNormal, ellipsoid.maximumRadius, - new Cartesian3() + new Cartesian3(), ); result = ellipsoid.getSurfaceNormalIntersectionWithZAxis( cartesianOnTheSurface, - undefined + undefined, ); position = Cartesian3.add( result, surfaceNormalWithLength, - new Cartesian3() + new Cartesian3(), ); resultCartographic = ellipsoid.cartesianToCartographic(position); resultCartographic.height = 0.0; expect(resultCartographic).toEqualEpsilon( cartographic, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); }); @@ -735,14 +729,13 @@ describe("Core/Ellipsoid", function () { it("getLocalCurvature returns expected values at the equator", function () { const ellipsoid = Ellipsoid.WGS84; const cartographic = Cartographic.fromDegrees(0.0, 0.0); - const cartesianOnTheSurface = ellipsoid.cartographicToCartesian( - cartographic - ); + const cartesianOnTheSurface = + ellipsoid.cartographicToCartesian(cartographic); const returnedResult = ellipsoid.getLocalCurvature(cartesianOnTheSurface); const expectedResult = new Cartesian2( 1.0 / ellipsoid.maximumRadius, ellipsoid.maximumRadius / - (ellipsoid.minimumRadius * ellipsoid.minimumRadius) + (ellipsoid.minimumRadius * ellipsoid.minimumRadius), ); expect(returnedResult).toEqualEpsilon(expectedResult, CesiumMath.EPSILON8); }); @@ -750,16 +743,15 @@ describe("Core/Ellipsoid", function () { it("getLocalCurvature returns expected values at the north pole", function () { const ellipsoid = Ellipsoid.WGS84; const cartographic = Cartographic.fromDegrees(0.0, 90.0); - const cartesianOnTheSurface = ellipsoid.cartographicToCartesian( - cartographic - ); + const cartesianOnTheSurface = + ellipsoid.cartographicToCartesian(cartographic); const returnedResult = ellipsoid.getLocalCurvature(cartesianOnTheSurface); const semiLatusRectum = (ellipsoid.maximumRadius * ellipsoid.maximumRadius) / ellipsoid.minimumRadius; const expectedResult = new Cartesian2( 1.0 / semiLatusRectum, - 1.0 / semiLatusRectum + 1.0 / semiLatusRectum, ); expect(returnedResult).toEqualEpsilon(expectedResult, CesiumMath.EPSILON8); }); @@ -793,9 +785,9 @@ describe("Core/Ellipsoid", function () { -CesiumMath.PI, -CesiumMath.PI_OVER_TWO, CesiumMath.PI, - CesiumMath.PI_OVER_TWO - ) - ) + CesiumMath.PI_OVER_TWO, + ), + ), ).toEqualEpsilon(area, CesiumMath.EPSILON3); // area of a prolate spheroid @@ -813,9 +805,9 @@ describe("Core/Ellipsoid", function () { -CesiumMath.PI, -CesiumMath.PI_OVER_TWO, CesiumMath.PI, - CesiumMath.PI_OVER_TWO - ) - ) + CesiumMath.PI_OVER_TWO, + ), + ), ).toEqualEpsilon(area, CesiumMath.EPSILON3); }); diff --git a/packages/engine/Specs/Core/EllipsoidTangentPlaneSpec.js b/packages/engine/Specs/Core/EllipsoidTangentPlaneSpec.js index c421c4f0cb91..1ad3cf40cdab 100644 --- a/packages/engine/Specs/Core/EllipsoidTangentPlaneSpec.js +++ b/packages/engine/Specs/Core/EllipsoidTangentPlaneSpec.js @@ -16,7 +16,7 @@ describe("Core/EllipsoidTangentPlane", function () { it("constructor sets expected values", function () { const tangentPlane = new EllipsoidTangentPlane( Cartesian3.UNIT_X, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(tangentPlane.ellipsoid).toBe(Ellipsoid.UNIT_SPHERE); expect(tangentPlane.origin).toEqual(Cartesian3.UNIT_X); @@ -29,7 +29,7 @@ describe("Core/EllipsoidTangentPlane", function () { ]; const tangentPlane = EllipsoidTangentPlane.fromPoints( points, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(tangentPlane.ellipsoid).toBe(Ellipsoid.UNIT_SPHERE); expect(tangentPlane.origin).toEqual(Cartesian3.UNIT_X); @@ -65,7 +65,7 @@ describe("Core/EllipsoidTangentPlane", function () { const result = new Cartesian2(); const returnedResult = tangentPlane.projectPointOntoPlane( positions, - result + result, ); expect(result).toBe(returnedResult); expect(returnedResult).toEqual(expectedResult); @@ -110,7 +110,7 @@ describe("Core/EllipsoidTangentPlane", function () { const result = [index0]; const returnedResults = tangentPlane.projectPointsOntoPlane( positions, - result + result, ); expect(result).toBe(returnedResults); expect(result[0]).toBe(index0); @@ -159,7 +159,7 @@ describe("Core/EllipsoidTangentPlane", function () { const result = new Cartesian3(); const returnedResult = tangentPlane.projectPointOntoEllipsoid( position, - result + result, ); expect(result).toBe(returnedResult); expect(returnedResult).toEqual(expectedResult); @@ -199,7 +199,7 @@ describe("Core/EllipsoidTangentPlane", function () { const result = [index0]; const returnedResults = tangentPlane.projectPointsOntoEllipsoid( positions, - result + result, ); expect(result).toBe(returnedResults); expect(result[0]).toBe(index0); @@ -223,16 +223,16 @@ describe("Core/EllipsoidTangentPlane", function () { const tangentPlane = new EllipsoidTangentPlane(origin, ellipsoid); expect( - tangentPlane.projectPointToNearestOnPlane(new Cartesian3(2.0, 0.0, 0.0)) + tangentPlane.projectPointToNearestOnPlane(new Cartesian3(2.0, 0.0, 0.0)), ).toEqual(new Cartesian2(0.0, 0.0)); expect( - tangentPlane.projectPointToNearestOnPlane(new Cartesian3(1.0, 0.0, 0.0)) + tangentPlane.projectPointToNearestOnPlane(new Cartesian3(1.0, 0.0, 0.0)), ).toEqual(new Cartesian2(0.0, 0.0)); expect( - tangentPlane.projectPointToNearestOnPlane(new Cartesian3(0.0, 0.0, 0.0)) + tangentPlane.projectPointToNearestOnPlane(new Cartesian3(0.0, 0.0, 0.0)), ).toEqual(new Cartesian2(0.0, 0.0)); expect( - tangentPlane.projectPointToNearestOnPlane(new Cartesian3(-1.0, 0.0, 0.0)) + tangentPlane.projectPointToNearestOnPlane(new Cartesian3(-1.0, 0.0, 0.0)), ).toEqual(new Cartesian2(0.0, 0.0)); }); @@ -246,7 +246,7 @@ describe("Core/EllipsoidTangentPlane", function () { const result = new Cartesian2(); const returnedResult = tangentPlane.projectPointToNearestOnPlane( positions, - result + result, ); expect(result).toBe(returnedResult); expect(returnedResult).toEqual(expectedResult); @@ -267,9 +267,8 @@ describe("Core/EllipsoidTangentPlane", function () { new Cartesian2(0.0, 0.0), new Cartesian2(1.0, 0.0), ]; - const returnedResults = tangentPlane.projectPointsToNearestOnPlane( - positions - ); + const returnedResults = + tangentPlane.projectPointsToNearestOnPlane(positions); expect(returnedResults).toEqual(expectedResults); }); @@ -293,7 +292,7 @@ describe("Core/EllipsoidTangentPlane", function () { const result = [index0]; const returnedResults = tangentPlane.projectPointsToNearestOnPlane( positions, - result + result, ); expect(result).toBe(returnedResults); expect(result[0]).toBe(index0); @@ -321,7 +320,7 @@ describe("Core/EllipsoidTangentPlane", function () { it("projectPointOntoPlane throws without cartesian", function () { const tangentPlane = new EllipsoidTangentPlane( Cartesian3.UNIT_X, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(function () { return tangentPlane.projectPointOntoPlane(undefined); @@ -331,7 +330,7 @@ describe("Core/EllipsoidTangentPlane", function () { it("projectPointsOntoPlane throws without cartesians", function () { const tangentPlane = new EllipsoidTangentPlane( Cartesian3.UNIT_X, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(function () { return tangentPlane.projectPointsOntoPlane(undefined); @@ -341,7 +340,7 @@ describe("Core/EllipsoidTangentPlane", function () { it("projectPointToNearestOnPlane throws without cartesian", function () { const tangentPlane = new EllipsoidTangentPlane( Cartesian3.UNIT_X, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(function () { return tangentPlane.projectPointToNearestOnPlane(undefined); @@ -351,7 +350,7 @@ describe("Core/EllipsoidTangentPlane", function () { it("projectPointsToNearestOnPlane throws without cartesians", function () { const tangentPlane = new EllipsoidTangentPlane( Cartesian3.UNIT_X, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(function () { return tangentPlane.projectPointsToNearestOnPlane(undefined); @@ -361,7 +360,7 @@ describe("Core/EllipsoidTangentPlane", function () { it("projectPointsOntoEllipsoid throws without cartesians", function () { const tangentPlane = new EllipsoidTangentPlane( Cartesian3.UNIT_X, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(function () { return tangentPlane.projectPointsOntoEllipsoid(undefined); @@ -370,21 +369,12 @@ describe("Core/EllipsoidTangentPlane", function () { it("projectPointsOntoEllipsoid works with an arbitrary ellipsoid using fromPoints", function () { const points = Cartesian3.fromDegreesArray([ - -72.0, - 40.0, - -68.0, - 35.0, - -75.0, - 30.0, - -70.0, - 30.0, - -68.0, - 40.0, + -72.0, 40.0, -68.0, 35.0, -75.0, 30.0, -70.0, 30.0, -68.0, 40.0, ]); const tangentPlane = EllipsoidTangentPlane.fromPoints( points, - Ellipsoid.WGS84 + Ellipsoid.WGS84, ); const points2D = tangentPlane.projectPointsOntoPlane(points); const positionsBack = tangentPlane.projectPointsOntoEllipsoid(points2D); diff --git a/packages/engine/Specs/Core/EllipsoidTerrainProviderSpec.js b/packages/engine/Specs/Core/EllipsoidTerrainProviderSpec.js index f3ed58d94a70..04fb3e6a5005 100644 --- a/packages/engine/Specs/Core/EllipsoidTerrainProviderSpec.js +++ b/packages/engine/Specs/Core/EllipsoidTerrainProviderSpec.js @@ -36,5 +36,5 @@ describe( expect(provider.getTileDataAvailable()).toBeUndefined(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Core/EllipsoidalOccluderSpec.js b/packages/engine/Specs/Core/EllipsoidalOccluderSpec.js index 154d4c502b55..1753aca0e7bc 100644 --- a/packages/engine/Specs/Core/EllipsoidalOccluderSpec.js +++ b/packages/engine/Specs/Core/EllipsoidalOccluderSpec.js @@ -53,39 +53,41 @@ describe("Core/EllipsoidalOccluder", function () { let direction = Cartesian3.normalize( new Cartesian3(1.0, 1.0, 1.0), - new Cartesian3() + new Cartesian3(), ); let point = Cartesian3.multiplyByScalar(direction, 0.5, new Cartesian3()); let scaledSpacePoint = occluder.computeHorizonCullingPoint(point, [point]); - let scaledSpacePointShrunk = occluder.computeHorizonCullingPointPossiblyUnderEllipsoid( - point, - [point], - height - ); + let scaledSpacePointShrunk = + occluder.computeHorizonCullingPointPossiblyUnderEllipsoid( + point, + [point], + height, + ); expect(occluder.isScaledSpacePointVisible(scaledSpacePoint)).toEqual(false); expect( occluder.isScaledSpacePointVisiblePossiblyUnderEllipsoid( scaledSpacePointShrunk, - height - ) + height, + ), ).toEqual(true); direction = new Cartesian3(0.0, 1.0, 0.0); point = Cartesian3.multiplyByScalar(direction, 0.5, new Cartesian3()); scaledSpacePoint = occluder.computeHorizonCullingPoint(point, [point]); - scaledSpacePointShrunk = occluder.computeHorizonCullingPointPossiblyUnderEllipsoid( - point, - [point], - height - ); + scaledSpacePointShrunk = + occluder.computeHorizonCullingPointPossiblyUnderEllipsoid( + point, + [point], + height, + ); expect(occluder.isScaledSpacePointVisible(scaledSpacePoint)).toEqual(false); expect( occluder.isScaledSpacePointVisiblePossiblyUnderEllipsoid( scaledSpacePointShrunk, - height - ) + height, + ), ).toEqual(false); }); @@ -104,7 +106,7 @@ describe("Core/EllipsoidalOccluder", function () { occluder.cameraPosition = new Cartesian3( ellipsoid.minimumRadius - 100, 0.0, - 0.0 + 0.0, ); const point = new Cartesian3(-7000000, 0.0, 0.0); @@ -152,7 +154,7 @@ describe("Core/EllipsoidalOccluder", function () { expect(function () { ellipsoidalOccluder.computeHorizonCullingPoint( directionToPoint, - undefined + undefined, ); }).toThrowDeveloperError(); }); @@ -165,7 +167,7 @@ describe("Core/EllipsoidalOccluder", function () { const result = ellipsoidalOccluder.computeHorizonCullingPoint( directionToPoint, - positions + positions, ); expect(result.x).toEqualEpsilon(1.0, CesiumMath.EPSILON14); @@ -181,7 +183,7 @@ describe("Core/EllipsoidalOccluder", function () { const result = ellipsoidalOccluder.computeHorizonCullingPoint( directionToPoint, - positions + positions, ); expect(result).toBeUndefined(); }); @@ -194,7 +196,7 @@ describe("Core/EllipsoidalOccluder", function () { const result = ellipsoidalOccluder.computeHorizonCullingPoint( directionToPoint, - positions + positions, ); expect(result).toBeUndefined(); }); @@ -210,7 +212,7 @@ describe("Core/EllipsoidalOccluder", function () { const result = ellipsoidalOccluder.computeHorizonCullingPoint( directionToPoint, - positions + positions, ); expect(result).toBeUndefined(); }); @@ -223,7 +225,7 @@ describe("Core/EllipsoidalOccluder", function () { const result = ellipsoidalOccluder.computeHorizonCullingPoint( directionToPoint, - positions + positions, ); expect(result).toBeUndefined(); }); @@ -241,28 +243,28 @@ describe("Core/EllipsoidalOccluder", function () { const firstPositionArray = [positions[0]]; const result = ellipsoidalOccluder.computeHorizonCullingPoint( boundingSphere.center, - firstPositionArray + firstPositionArray, ); const unscaledResult = Cartesian3.multiplyComponents( result, ellipsoid.radii, - new Cartesian3() + new Cartesian3(), ); // The grazing altitude of the ray from the horizon culling point to the // position used to compute it should be very nearly zero. const direction = Cartesian3.normalize( Cartesian3.subtract(positions[0], unscaledResult, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ); const nearest = IntersectionTests.grazingAltitudeLocation( new Ray(unscaledResult, direction), - ellipsoid + ellipsoid, ); const nearestCartographic = ellipsoid.cartesianToCartographic(nearest); expect(nearestCartographic.height).toEqualEpsilon( 0.0, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); }); @@ -279,12 +281,12 @@ describe("Core/EllipsoidalOccluder", function () { const result = ellipsoidalOccluder.computeHorizonCullingPoint( boundingSphere.center, - positions + positions, ); const unscaledResult = Cartesian3.multiplyComponents( result, ellipsoid.radii, - new Cartesian3() + new Cartesian3(), ); // The grazing altitude of the ray from the horizon culling point to the @@ -293,11 +295,11 @@ describe("Core/EllipsoidalOccluder", function () { for (let i = 0; i < positions.length; ++i) { const direction = Cartesian3.normalize( Cartesian3.subtract(positions[i], unscaledResult, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ); const nearest = IntersectionTests.grazingAltitudeLocation( new Ray(unscaledResult, direction), - ellipsoid + ellipsoid, ); const nearestCartographic = ellipsoid.cartesianToCartographic(nearest); if (Math.abs(nearestCartographic.height) < CesiumMath.EPSILON5) { @@ -316,11 +318,12 @@ describe("Core/EllipsoidalOccluder", function () { const positions = [new Cartesian3(12344.0, 0.0, 0.0)]; const directionToPoint = new Cartesian3(1.0, 0.0, 0.0); - const result = ellipsoidalOccluder.computeHorizonCullingPointPossiblyUnderEllipsoid( - directionToPoint, - positions, - -1.0 - ); + const result = + ellipsoidalOccluder.computeHorizonCullingPointPossiblyUnderEllipsoid( + directionToPoint, + positions, + -1.0, + ); expect(result.x).toEqualEpsilon(1.0, CesiumMath.EPSILON14); expect(result.y).toEqualEpsilon(0.0, CesiumMath.EPSILON14); @@ -355,14 +358,14 @@ describe("Core/EllipsoidalOccluder", function () { ellipsoidalOccluder.computeHorizonCullingPointFromVertices( boundingSphere.center, vertices, - 7 + 7, ); expect(function () { ellipsoidalOccluder.computeHorizonCullingPointFromVertices( undefined, vertices, - 7 + 7, ); }).toThrowDeveloperError(); @@ -370,7 +373,7 @@ describe("Core/EllipsoidalOccluder", function () { ellipsoidalOccluder.computeHorizonCullingPointFromVertices( boundingSphere.center, undefined, - 7 + 7, ); }).toThrowDeveloperError(); @@ -378,7 +381,7 @@ describe("Core/EllipsoidalOccluder", function () { ellipsoidalOccluder.computeHorizonCullingPointFromVertices( boundingSphere.center, vertices, - undefined + undefined, ); }).toThrowDeveloperError(); }); @@ -410,14 +413,15 @@ describe("Core/EllipsoidalOccluder", function () { const result1 = ellipsoidalOccluder.computeHorizonCullingPoint( boundingSphere.center, - positions - ); - const result2 = ellipsoidalOccluder.computeHorizonCullingPointFromVertices( - boundingSphere.center, - vertices, - 7, - center + positions, ); + const result2 = + ellipsoidalOccluder.computeHorizonCullingPointFromVertices( + boundingSphere.center, + vertices, + 7, + center, + ); expect(result1.x).toEqualEpsilon(result2.x, CesiumMath.EPSILON14); expect(result1.y).toEqualEpsilon(result2.y, CesiumMath.EPSILON14); @@ -431,13 +435,14 @@ describe("Core/EllipsoidalOccluder", function () { const directionToPoint = new Cartesian3(1.0, 0.0, 0.0); const center = Cartesian3.ZERO; - const result = ellipsoidalOccluder.computeHorizonCullingPointFromVerticesPossiblyUnderEllipsoid( - directionToPoint, - vertices, - 3, - center, - -1.0 - ); + const result = + ellipsoidalOccluder.computeHorizonCullingPointFromVerticesPossiblyUnderEllipsoid( + directionToPoint, + vertices, + 3, + center, + -1.0, + ); expect(result.x).toEqualEpsilon(1.0, CesiumMath.EPSILON14); expect(result.y).toEqualEpsilon(0.0, CesiumMath.EPSILON14); @@ -450,10 +455,11 @@ describe("Core/EllipsoidalOccluder", function () { const ellipsoid = new Ellipsoid(12345.0, 12345.0, 12345.0); const ellipsoidalOccluder = new EllipsoidalOccluder(ellipsoid); const rectangle = Rectangle.MAX_VALUE; - const result = ellipsoidalOccluder.computeHorizonCullingPointFromRectangle( - rectangle, - ellipsoid - ); + const result = + ellipsoidalOccluder.computeHorizonCullingPointFromRectangle( + rectangle, + ellipsoid, + ); expect(result).toBeUndefined(); }); @@ -462,15 +468,16 @@ describe("Core/EllipsoidalOccluder", function () { const ellipsoidalOccluder = new EllipsoidalOccluder(ellipsoid); const rectangle = new Rectangle(0.1, 0.2, 0.3, 0.4); - const result = ellipsoidalOccluder.computeHorizonCullingPointFromRectangle( - rectangle, - ellipsoid - ); + const result = + ellipsoidalOccluder.computeHorizonCullingPointFromRectangle( + rectangle, + ellipsoid, + ); expect(result).toBeDefined(); const unscaledResult = Cartesian3.multiplyComponents( result, ellipsoid.radii, - new Cartesian3() + new Cartesian3(), ); // The grazing altitude of the ray from the horizon culling point to the @@ -486,11 +493,11 @@ describe("Core/EllipsoidalOccluder", function () { for (let i = 0; i < positions.length; ++i) { const direction = Cartesian3.normalize( Cartesian3.subtract(positions[i], unscaledResult, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ); const nearest = IntersectionTests.grazingAltitudeLocation( new Ray(unscaledResult, direction), - ellipsoid + ellipsoid, ); const nearestCartographic = ellipsoid.cartesianToCartographic(nearest); if (Math.abs(nearestCartographic.height) < CesiumMath.EPSILON5) { diff --git a/packages/engine/Specs/Core/EncodedCartesian3Spec.js b/packages/engine/Specs/Core/EncodedCartesian3Spec.js index 203e96725868..d78acddf983b 100644 --- a/packages/engine/Specs/Core/EncodedCartesian3Spec.js +++ b/packages/engine/Specs/Core/EncodedCartesian3Spec.js @@ -98,7 +98,7 @@ describe("Core/EncodedCartesian3", function () { EncodedCartesian3.writeElements( new Cartesian3(), new Float32Array(6), - -1 + -1, ); }).toThrowDeveloperError(); }); diff --git a/packages/engine/Specs/Core/FeatureDetectionSpec.js b/packages/engine/Specs/Core/FeatureDetectionSpec.js index a4a45dd49d03..f6d8fffbd26e 100644 --- a/packages/engine/Specs/Core/FeatureDetectionSpec.js +++ b/packages/engine/Specs/Core/FeatureDetectionSpec.js @@ -81,7 +81,7 @@ describe("Core/FeatureDetection", function () { console.log( `detected Webkit ${webkitVersion.join(".")}${ webkitVersion.isNightly ? " (Nightly)" : "" - }` + }`, ); } }); @@ -91,11 +91,12 @@ describe("Core/FeatureDetection", function () { expect(typeof isInternetExplorer).toEqual("boolean"); if (isInternetExplorer) { - const internetExplorerVersion = FeatureDetection.internetExplorerVersion(); + const internetExplorerVersion = + FeatureDetection.internetExplorerVersion(); checkVersionArray(internetExplorerVersion); console.log( - `detected Internet Explorer ${internetExplorerVersion.join(".")}` + `detected Internet Explorer ${internetExplorerVersion.join(".")}`, ); } }); @@ -131,7 +132,8 @@ describe("Core/FeatureDetection", function () { }); it("detects imageRendering support", function () { - const supportsImageRenderingPixelated = FeatureDetection.supportsImageRenderingPixelated(); + const supportsImageRenderingPixelated = + FeatureDetection.supportsImageRenderingPixelated(); expect(typeof supportsImageRenderingPixelated).toEqual("boolean"); if (supportsImageRenderingPixelated) { expect(FeatureDetection.imageRenderingValue()).toBeDefined(); @@ -163,7 +165,7 @@ describe("Core/FeatureDetection", function () { it("detects WebGL2 support", function () { const scene = createScene(); expect(FeatureDetection.supportsWebgl2(scene)).toEqual( - scene.context.webgl2 + scene.context.webgl2, ); scene.destroyForSpecs(); }); diff --git a/packages/engine/Specs/Core/FrustumGeometrySpec.js b/packages/engine/Specs/Core/FrustumGeometrySpec.js index 24af379c2583..ca897c753485 100644 --- a/packages/engine/Specs/Core/FrustumGeometrySpec.js +++ b/packages/engine/Specs/Core/FrustumGeometrySpec.js @@ -56,7 +56,7 @@ describe("Core/FrustumGeometry", function () { origin: Cartesian3.ZERO, orientation: Quaternion.IDENTITY, vertexFormat: VertexFormat.ALL, - }) + }), ); const numVertices = 24; //3 components x 8 corners @@ -89,27 +89,8 @@ describe("Core/FrustumGeometry", function () { vertexFormat: VertexFormat.POSITION_ONLY, }), [ - 0.0, - 1.0, - 2.0, - 3.0, - 4.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 1.0, - 1.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 1.0, - ] + 0.0, 1.0, 2.0, 3.0, 4.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 1.0, 1.0, + 0.0, 0.0, 0.0, 0.0, 0.0, 1.0, + ], ); }); diff --git a/packages/engine/Specs/Core/FrustumOutlineGeometrySpec.js b/packages/engine/Specs/Core/FrustumOutlineGeometrySpec.js index e5435ea98dd7..aaffd5196156 100644 --- a/packages/engine/Specs/Core/FrustumOutlineGeometrySpec.js +++ b/packages/engine/Specs/Core/FrustumOutlineGeometrySpec.js @@ -55,7 +55,7 @@ describe("Core/FrustumOutlineGeometry", function () { frustum: frustum, origin: Cartesian3.ZERO, orientation: Quaternion.IDENTITY, - }) + }), ); const numVertices = 8; @@ -82,6 +82,6 @@ describe("Core/FrustumOutlineGeometry", function () { orientation: Quaternion.IDENTITY, vertexFormat: VertexFormat.POSITION_ONLY, }), - [0.0, 1.0, 2.0, 3.0, 4.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 1.0, 1.0] + [0.0, 1.0, 2.0, 3.0, 4.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 1.0, 1.0], ); }); diff --git a/packages/engine/Specs/Core/FullscreenSpec.js b/packages/engine/Specs/Core/FullscreenSpec.js index 4f6c48700ec5..f3ddd8945bdf 100644 --- a/packages/engine/Specs/Core/FullscreenSpec.js +++ b/packages/engine/Specs/Core/FullscreenSpec.js @@ -38,7 +38,7 @@ describe("Core/Fullscreen", function () { Fullscreen.requestFullscreen(document.body); expect( - document.body[Fullscreen._names.requestFullscreen] + document.body[Fullscreen._names.requestFullscreen], ).toHaveBeenCalled(); Fullscreen.exitFullscreen(); diff --git a/packages/engine/Specs/Core/GeographicProjectionSpec.js b/packages/engine/Specs/Core/GeographicProjectionSpec.js index 19f890867cb4..33826e1fbd8e 100644 --- a/packages/engine/Specs/Core/GeographicProjectionSpec.js +++ b/packages/engine/Specs/Core/GeographicProjectionSpec.js @@ -23,7 +23,7 @@ describe("Core/GeographicProjection", function () { const cartographic = new Cartographic(0.0, 0.0, height); const projection = new GeographicProjection(); expect(projection.project(cartographic)).toEqual( - new Cartesian3(0.0, 0.0, height) + new Cartesian3(0.0, 0.0, height), ); }); @@ -33,7 +33,7 @@ describe("Core/GeographicProjection", function () { const expected = new Cartesian3( Math.PI * ellipsoid.radii.x, CesiumMath.PI_OVER_TWO * ellipsoid.radii.x, - 0.0 + 0.0, ); const projection = new GeographicProjection(ellipsoid); expect(projection.project(cartographic)).toEqual(expected); @@ -44,7 +44,7 @@ describe("Core/GeographicProjection", function () { const cartographic = new Cartographic( -Math.PI, CesiumMath.PI_OVER_TWO, - 0.0 + 0.0, ); const expected = new Cartesian3(-Math.PI, CesiumMath.PI_OVER_TWO, 0.0); const projection = new GeographicProjection(ellipsoid); @@ -57,7 +57,7 @@ describe("Core/GeographicProjection", function () { const expected = new Cartesian3( Math.PI * ellipsoid.radii.x, CesiumMath.PI_OVER_TWO * ellipsoid.radii.x, - 0.0 + 0.0, ); const projection = new GeographicProjection(ellipsoid); const result = new Cartesian3(0.0, 0.0, 0.0); @@ -70,7 +70,7 @@ describe("Core/GeographicProjection", function () { const cartographic = new Cartographic( CesiumMath.PI_OVER_TWO, CesiumMath.PI_OVER_FOUR, - 12.0 + 12.0, ); const projection = new GeographicProjection(); const projected = projection.project(cartographic); @@ -81,7 +81,7 @@ describe("Core/GeographicProjection", function () { const cartographic = new Cartographic( CesiumMath.PI_OVER_TWO, CesiumMath.PI_OVER_FOUR, - 12.0 + 12.0, ); const projection = new GeographicProjection(); const projected = projection.project(cartographic); diff --git a/packages/engine/Specs/Core/GeographicTilingSchemeSpec.js b/packages/engine/Specs/Core/GeographicTilingSchemeSpec.js index e08cc000e89b..612605618646 100644 --- a/packages/engine/Specs/Core/GeographicTilingSchemeSpec.js +++ b/packages/engine/Specs/Core/GeographicTilingSchemeSpec.js @@ -23,19 +23,19 @@ describe("Core/GeographicTilingScheme", function () { const rectangle = tilingScheme.tileXYToRectangle(0, 0, 0); expect(rectangle.west).toEqualEpsilon( tilingSchemeRectangle.west, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(rectangle.south).toEqualEpsilon( tilingSchemeRectangle.south, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(rectangle.east).toEqualEpsilon( tilingSchemeRectangle.east, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(rectangle.north).toEqualEpsilon( tilingSchemeRectangle.north, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -50,19 +50,19 @@ describe("Core/GeographicTilingScheme", function () { expect(result).toEqual(rectangle); expect(rectangle.west).toEqualEpsilon( tilingSchemeRectangle.west, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(rectangle.south).toEqualEpsilon( tilingSchemeRectangle.south, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(rectangle.east).toEqualEpsilon( tilingSchemeRectangle.east, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(rectangle.north).toEqualEpsilon( tilingSchemeRectangle.north, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -109,20 +109,20 @@ describe("Core/GeographicTilingScheme", function () { expect(northeast.south).toEqualEpsilon( southeast.north, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(northwest.south).toEqualEpsilon( southwest.north, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(northeast.west).toEqualEpsilon( northwest.east, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(southeast.west).toEqualEpsilon( southwest.east, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); }); @@ -136,24 +136,23 @@ describe("Core/GeographicTilingScheme", function () { it("converts radians to degrees", function () { const tilingScheme = new GeographicTilingScheme(); const rectangleInRadians = new Rectangle(0.1, 0.2, 0.3, 0.4); - const nativeRectangle = tilingScheme.rectangleToNativeRectangle( - rectangleInRadians - ); + const nativeRectangle = + tilingScheme.rectangleToNativeRectangle(rectangleInRadians); expect(nativeRectangle.west).toEqualEpsilon( (rectangleInRadians.west * 180) / Math.PI, - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); expect(nativeRectangle.south).toEqualEpsilon( (rectangleInRadians.south * 180) / Math.PI, - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); expect(nativeRectangle.east).toEqualEpsilon( (rectangleInRadians.east * 180) / Math.PI, - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); expect(nativeRectangle.north).toEqualEpsilon( (rectangleInRadians.north * 180) / Math.PI, - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); }); @@ -163,24 +162,24 @@ describe("Core/GeographicTilingScheme", function () { const resultRectangle = new Rectangle(0.0, 0.0, 0.0, 0.0); const outputRectangle = tilingScheme.rectangleToNativeRectangle( rectangleInRadians, - resultRectangle + resultRectangle, ); expect(outputRectangle).toEqual(resultRectangle); expect(resultRectangle.west).toEqualEpsilon( (rectangleInRadians.west * 180) / Math.PI, - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); expect(resultRectangle.south).toEqualEpsilon( (rectangleInRadians.south * 180) / Math.PI, - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); expect(resultRectangle.east).toEqualEpsilon( (rectangleInRadians.east * 180) / Math.PI, - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); expect(resultRectangle.north).toEqualEpsilon( (rectangleInRadians.north * 180) / Math.PI, - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); }); }); @@ -206,18 +205,18 @@ describe("Core/GeographicTilingScheme", function () { const centerOfWesternRootTile = new Cartographic(-Math.PI / 2.0, 0.0); expect(tilingScheme.positionToTileXY(centerOfWesternRootTile, 0)).toEqual( - new Cartesian2(0, 0) + new Cartesian2(0, 0), ); const centerOfNortheastChildOfEasternRootTile = new Cartographic( (3.0 * Math.PI) / 4.0, - Math.PI / 2.0 + Math.PI / 2.0, ); expect( tilingScheme.positionToTileXY( centerOfNortheastChildOfEasternRootTile, - 1 - ) + 1, + ), ).toEqual(new Cartesian2(3, 0)); }); @@ -226,7 +225,7 @@ describe("Core/GeographicTilingScheme", function () { const centerOfMap = new Cartographic(0.0, 0.0); expect(tilingScheme.positionToTileXY(centerOfMap, 1)).toEqual( - new Cartesian2(2, 1) + new Cartesian2(2, 1), ); }); @@ -235,7 +234,7 @@ describe("Core/GeographicTilingScheme", function () { const southeastCorner = new Cartographic(Math.PI, -Math.PI / 2.0); expect(tilingScheme.positionToTileXY(southeastCorner, 0)).toEqual( - new Cartesian2(1, 0) + new Cartesian2(1, 0), ); }); @@ -244,13 +243,13 @@ describe("Core/GeographicTilingScheme", function () { const centerOfNortheastChildOfEasternRootTile = new Cartographic( (3.0 * Math.PI) / 4.0, - Math.PI / 2.0 + Math.PI / 2.0, ); const resultParameter = new Cartesian2(0, 0); const returnedResult = tilingScheme.positionToTileXY( centerOfNortheastChildOfEasternRootTile, 1, - resultParameter + resultParameter, ); expect(resultParameter).toEqual(returnedResult); expect(resultParameter).toEqual(new Cartesian2(3, 0)); diff --git a/packages/engine/Specs/Core/GeometryAttributeSpec.js b/packages/engine/Specs/Core/GeometryAttributeSpec.js index b13cd183c74e..d51bb6e4c848 100644 --- a/packages/engine/Specs/Core/GeometryAttributeSpec.js +++ b/packages/engine/Specs/Core/GeometryAttributeSpec.js @@ -13,18 +13,7 @@ describe("Core/GeometryAttribute", function () { expect(color.componentsPerAttribute).toEqual(4); expect(color.normalize).toEqual(true); expect(color.values).toEqual([ - 255, - 0, - 0, - 255, - 0, - 255, - 0, - 255, - 0, - 0, - 255, - 255, + 255, 0, 0, 255, 0, 255, 0, 255, 0, 0, 255, 255, ]); }); @@ -33,18 +22,7 @@ describe("Core/GeometryAttribute", function () { return new GeometryAttribute({ componentsPerAttribute: 4, values: new Uint8Array([ - 255, - 0, - 0, - 255, - 0, - 255, - 0, - 255, - 0, - 0, - 255, - 255, + 255, 0, 0, 255, 0, 255, 0, 255, 0, 0, 255, 255, ]), }); }).toThrowDeveloperError(); @@ -55,18 +33,7 @@ describe("Core/GeometryAttribute", function () { return new GeometryAttribute({ componentDatatype: ComponentDatatype.UNSIGNED_BYTE, values: new Uint8Array([ - 255, - 0, - 0, - 255, - 0, - 255, - 0, - 255, - 0, - 0, - 255, - 255, + 255, 0, 0, 255, 0, 255, 0, 255, 0, 0, 255, 255, ]), }); }).toThrowDeveloperError(); @@ -78,18 +45,7 @@ describe("Core/GeometryAttribute", function () { componentDatatype: ComponentDatatype.UNSIGNED_BYTE, componentsPerAttribute: 7, values: new Uint8Array([ - 255, - 0, - 0, - 255, - 0, - 255, - 0, - 255, - 0, - 0, - 255, - 255, + 255, 0, 0, 255, 0, 255, 0, 255, 0, 0, 255, 255, ]), }); }).toThrowDeveloperError(); diff --git a/packages/engine/Specs/Core/GeometryInstanceSpec.js b/packages/engine/Specs/Core/GeometryInstanceSpec.js index a6ea60e5be1d..7efb5bd1da72 100644 --- a/packages/engine/Specs/Core/GeometryInstanceSpec.js +++ b/packages/engine/Specs/Core/GeometryInstanceSpec.js @@ -18,15 +18,7 @@ describe("Core/GeometryInstance", function () { componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - 0.0, - 0.0, - 0.0, - 1.0, - 0.0, - 0.0, - 0.0, - 1.0, - 0.0, + 0.0, 0.0, 0.0, 1.0, 0.0, 0.0, 0.0, 1.0, 0.0, ]), }), }, @@ -37,7 +29,7 @@ describe("Core/GeometryInstance", function () { const modelMatrix = Matrix4.multiplyByTranslation( Matrix4.IDENTITY, new Cartesian3(0.0, 0.0, 9000000.0), - new Matrix4() + new Matrix4(), ); const attributes = { color: new GeometryInstanceAttribute({ diff --git a/packages/engine/Specs/Core/GeometryPipelineSpec.js b/packages/engine/Specs/Core/GeometryPipelineSpec.js index 4cba2dd3c472..3d1843964c56 100644 --- a/packages/engine/Specs/Core/GeometryPipelineSpec.js +++ b/packages/engine/Specs/Core/GeometryPipelineSpec.js @@ -29,7 +29,7 @@ describe("Core/GeometryPipeline", function () { attributes: {}, indices: [0, 1, 2, 3, 4, 5], primitiveType: PrimitiveType.TRIANGLES, - }) + }), ); expect(geometry.primitiveType).toEqual(PrimitiveType.LINES); @@ -58,7 +58,7 @@ describe("Core/GeometryPipeline", function () { attributes: {}, indices: [0, 1, 2, 3], primitiveType: PrimitiveType.TRIANGLE_FAN, - }) + }), ); expect(geometry.primitiveType).toEqual(PrimitiveType.LINES); @@ -87,7 +87,7 @@ describe("Core/GeometryPipeline", function () { attributes: {}, indices: [0, 1, 2, 3], primitiveType: PrimitiveType.TRIANGLE_STRIP, - }) + }), ); expect(geometry.primitiveType).toEqual(PrimitiveType.LINES); @@ -123,7 +123,7 @@ describe("Core/GeometryPipeline", function () { attributes: {}, indices: [], primitiveType: PrimitiveType.POINTS, - }) + }), ); }).toThrowDeveloperError(); }); @@ -148,27 +148,11 @@ describe("Core/GeometryPipeline", function () { const lines = GeometryPipeline.createLineSegmentsForVectors( geometry, "normal", - 1.0 + 1.0, ); const linePositions = [ - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 1.0, - 1.0, - 0.0, - 0.0, - 1.0, - 0.0, - 1.0, - 0.0, - 1.0, - 0.0, - 0.0, - 1.0, - 1.0, + 0.0, 0.0, 0.0, 0.0, 0.0, 1.0, 1.0, 0.0, 0.0, 1.0, 0.0, 1.0, 0.0, 1.0, 0.0, + 0.0, 1.0, 1.0, ]; expect(lines.attributes).toBeDefined(); @@ -177,7 +161,7 @@ describe("Core/GeometryPipeline", function () { expect(lines.primitiveType).toEqual(PrimitiveType.LINES); expect(lines.boundingSphere.center).toEqual(geometry.boundingSphere.center); expect(lines.boundingSphere.radius).toEqual( - geometry.boundingSphere.radius + 1.0 + geometry.boundingSphere.radius + 1.0, ); }); @@ -260,24 +244,8 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.FLOAT, componentsPerAttribute: 3, values: [ - 0.0, - 1.0, - 2.0, - 3.0, - 4.0, - 5.0, - 6.0, - 7.0, - 8.0, - 9.0, - 10.0, - 11.0, - 12.0, - 13.0, - 14.0, - 15.0, - 16.0, - 17.0, + 0.0, 1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 9.0, 10.0, 11.0, 12.0, + 13.0, 14.0, 15.0, 16.0, 17.0, ], }), }, @@ -339,24 +307,8 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.FLOAT, componentsPerAttribute: 3, values: [ - 0.0, - 1.0, - 2.0, - 3.0, - 4.0, - 5.0, - 6.0, - 7.0, - 8.0, - 9.0, - 10.0, - 11.0, - 12.0, - 13.0, - 14.0, - 15.0, - 16.0, - 17.0, + 0.0, 1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 9.0, 10.0, 11.0, 12.0, + 13.0, 14.0, 15.0, 16.0, 17.0, ], }), }, @@ -466,7 +418,7 @@ describe("Core/GeometryPipeline", function () { expect(geometries.length).toEqual(1); expect(geometries[0].attributes.time.componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(geometries[0].attributes.time.componentsPerAttribute).toEqual(1); expect(geometries[0].attributes.time.values).toEqual([0, sixtyFourK]); @@ -506,7 +458,7 @@ describe("Core/GeometryPipeline", function () { expect(geometries.length).toEqual(2); expect(geometries[0].attributes.position.values.length).toEqual( - positions.length - 6 + positions.length - 6, ); // Two vertices are not copied (0, 1) expect(geometries[0].indices.length).toEqual(indices.length - 3); // One triangle is not copied (0, 1, 2) @@ -545,7 +497,7 @@ describe("Core/GeometryPipeline", function () { expect(geometries.length).toEqual(2); expect(geometries[0].attributes.position.values.length).toEqual( - positions.length - 12 + positions.length - 12, ); // Four vertices are not copied expect(geometries[0].indices.length).toEqual(indices.length - 4); // Two lines are not copied @@ -580,7 +532,7 @@ describe("Core/GeometryPipeline", function () { expect(geometries.length).toEqual(2); expect(geometries[0].attributes.position.values.length).toEqual( - positions.length - 6 + positions.length - 6, ); // Two vertices are not copied expect(geometries[0].indices.length).toEqual(indices.length - 2); // Two points are not copied @@ -651,16 +603,16 @@ describe("Core/GeometryPipeline", function () { geometry, "position", "position3D", - "position2D" + "position2D", ); const ellipsoid = Ellipsoid.WGS84; const projection = new GeographicProjection(); const projectedP1 = projection.project( - ellipsoid.cartesianToCartographic(p1) + ellipsoid.cartesianToCartographic(p1), ); const projectedP2 = projection.project( - ellipsoid.cartesianToCartographic(p2) + ellipsoid.cartesianToCartographic(p2), ); expect(geometry.attributes.position2D.values[0]).toEqual(projectedP1.x); @@ -696,7 +648,7 @@ describe("Core/GeometryPipeline", function () { }), }, primitiveType: PrimitiveType.POINTS, - }) + }), ); }).toThrowDeveloperError(); }); @@ -714,7 +666,7 @@ describe("Core/GeometryPipeline", function () { }, primitiveType: PrimitiveType.POINTS, }), - "position" + "position", ); }).toThrowDeveloperError(); }); @@ -733,7 +685,7 @@ describe("Core/GeometryPipeline", function () { primitiveType: PrimitiveType.POINTS, }), "position", - "position3D" + "position3D", ); }).toThrowDeveloperError(); }); @@ -753,7 +705,7 @@ describe("Core/GeometryPipeline", function () { }), "position", "position3D", - "position2D" + "position2D", ); }).toThrowDeveloperError(); }); @@ -773,7 +725,7 @@ describe("Core/GeometryPipeline", function () { geometry, "position", "position3D", - "position2D" + "position2D", ); }).toThrowDeveloperError(); }); @@ -792,7 +744,7 @@ describe("Core/GeometryPipeline", function () { geometry, "position", "position3D", - "position2D" + "position2D", ); }).toThrowDeveloperError(); }); @@ -815,7 +767,7 @@ describe("Core/GeometryPipeline", function () { geometry, "position", "positionHigh", - "positionLow" + "positionLow", ); expect(geometry.attributes.positionHigh).toBeDefined(); @@ -847,7 +799,7 @@ describe("Core/GeometryPipeline", function () { }), }, primitiveType: PrimitiveType.POINTS, - }) + }), ); }).toThrowDeveloperError(); }); @@ -865,7 +817,7 @@ describe("Core/GeometryPipeline", function () { }, primitiveType: PrimitiveType.POINTS, }), - "position" + "position", ); }).toThrowDeveloperError(); }); @@ -884,7 +836,7 @@ describe("Core/GeometryPipeline", function () { primitiveType: PrimitiveType.POINTS, }), "position", - "positionHigh" + "positionHigh", ); }).toThrowDeveloperError(); }); @@ -904,7 +856,7 @@ describe("Core/GeometryPipeline", function () { }), "position", "positionHigh", - "positionLow" + "positionLow", ); }).toThrowDeveloperError(); }); @@ -924,7 +876,7 @@ describe("Core/GeometryPipeline", function () { geometry, "position", "positionHigh", - "positionLow" + "positionLow", ); }).toThrowDeveloperError(); }); @@ -964,7 +916,7 @@ describe("Core/GeometryPipeline", function () { 0.0, 0.0, 0.0, - 1.0 + 1.0, ), }); @@ -973,13 +925,13 @@ describe("Core/GeometryPipeline", function () { const transformedNormals = [1.0, 0.0, 0.0, 1.0, 0.0, 0.0, 1.0, 0.0, 0.0]; expect(transformed.geometry.attributes.position.values).toEqual( - transformedPositions + transformedPositions, ); expect(transformed.geometry.attributes.normal.values).toEqual( - transformedNormals + transformedNormals, ); expect(transformed.geometry.boundingSphere).toEqual( - new BoundingSphere(new Cartesian3(0.0, 0.5, 0.5), 1.0) + new BoundingSphere(new Cartesian3(0.0, 0.5, 0.5), 1.0), ); expect(transformed.modelMatrix).toEqual(Matrix4.IDENTITY); }); @@ -1011,13 +963,13 @@ describe("Core/GeometryPipeline", function () { const transformedNormals = [0.0, 0.0, 1.0, 0.0, 0.0, 1.0, 0.0, 0.0, 1.0]; expect(transformed.geometry.attributes.position.values).toEqual( - transformedPositions + transformedPositions, ); expect(transformed.geometry.attributes.normal.values).toEqual( - transformedNormals + transformedNormals, ); expect(transformed.geometry.boundingSphere).toEqual( - new BoundingSphere(new Cartesian3(0.5, 1.0, 0.0), 4.0) + new BoundingSphere(new Cartesian3(0.5, 1.0, 0.0), 4.0), ); expect(transformed.modelMatrix).toEqual(Matrix4.IDENTITY); }); @@ -1049,13 +1001,13 @@ describe("Core/GeometryPipeline", function () { const transformedNormals = [0.0, 0.0, 1.0, 0.0, 0.0, 1.0, 0.0, 0.0, 1.0]; expect(transformed.geometry.attributes.position.values).toEqual( - transformedPositions + transformedPositions, ); expect(transformed.geometry.attributes.normal.values).toEqual( - transformedNormals + transformedNormals, ); expect(transformed.geometry.boundingSphere).toEqual( - new BoundingSphere(new Cartesian3(0.5, 0.5, 0.0), 1.0) + new BoundingSphere(new Cartesian3(0.5, 0.5, 0.0), 1.0), ); expect(transformed.modelMatrix).toEqual(Matrix4.IDENTITY); }); @@ -1124,7 +1076,7 @@ describe("Core/GeometryPipeline", function () { }), }, primitiveType: PrimitiveType.POINTS, - }) + }), ); }); @@ -1172,30 +1124,14 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.FLOAT, componentsPerAttribute: 3, values: new Float32Array([ - 0.0, - 0.0, - 0.0, - 1.0, - 1.0, - 1.0, - 2.0, - 2.0, - 2.0, - 3.0, - 3.0, - 3.0, - 4.0, - 4.0, - 4.0, - 5.0, - 5.0, - 5.0, + 0.0, 0.0, 0.0, 1.0, 1.0, 1.0, 2.0, 2.0, 2.0, 3.0, 3.0, 3.0, 4.0, + 4.0, 4.0, 5.0, 5.0, 5.0, ]), }), }, indices: new Uint16Array([0, 1, 2, 3, 4, 5]), primitiveType: PrimitiveType.TRIANGLES, - }) + }), ); }); @@ -1206,37 +1142,23 @@ describe("Core/GeometryPipeline", function () { geometry: PolygonGeometry.createGeometry( PolygonGeometry.fromPositions({ positions: Cartesian3.fromDegreesArray([ - 179.0, - 1.0, - 179.0, - -1.0, - -179.0, - -1.0, - -179.0, - 1.0, + 179.0, 1.0, 179.0, -1.0, -179.0, -1.0, -179.0, 1.0, ]), vertexFormat: VertexFormat.POSITION_ONLY, granularity: 2.0 * CesiumMath.RADIANS_PER_DEGREE, - }) + }), ), - }) + }), ), new GeometryInstance({ geometry: PolygonGeometry.createGeometry( PolygonGeometry.fromPositions({ positions: Cartesian3.fromDegreesArray([ - -1.0, - 1.0, - -1.0, - -1.0, - 1.0, - -1.0, - 1.0, - 1.0, + -1.0, 1.0, -1.0, -1.0, 1.0, -1.0, 1.0, 1.0, ]), vertexFormat: VertexFormat.POSITION_ONLY, granularity: 2.0 * CesiumMath.RADIANS_PER_DEGREE, - }) + }), ), }), ]; @@ -1281,7 +1203,7 @@ describe("Core/GeometryPipeline", function () { ])[0]; const expected = BoundingSphere.union( instance.geometry.boundingSphere, - anotherInstance.geometry.boundingSphere + anotherInstance.geometry.boundingSphere, ); expect(combined.boundingSphere).toEqual(expected); }); @@ -1483,15 +1405,7 @@ describe("Core/GeometryPipeline", function () { expect(geometry.attributes.normal.values.length).toEqual(3 * 3); expect(geometry.attributes.normal.values).toEqual([ - 0, - 0, - 1, - 0, - 0, - 1, - 0, - 0, - 1, + 0, 0, 1, 0, 0, 1, 0, 0, 1, ]); }); @@ -1517,21 +1431,21 @@ describe("Core/GeometryPipeline", function () { expect(Cartesian3.fromArray(normals, 0)).toEqualEpsilon( a, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(Cartesian3.fromArray(normals, 3)).toEqualEpsilon( Cartesian3.UNIT_Z, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(Cartesian3.fromArray(normals, 6)).toEqualEpsilon( Cartesian3.UNIT_Z, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); a = Cartesian3.normalize(new Cartesian3(1, 0, 1), new Cartesian3()); expect(Cartesian3.fromArray(normals, 9)).toEqualEpsilon( a, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); @@ -1540,27 +1454,7 @@ describe("Core/GeometryPipeline", function () { attributes: { position: new GeometryAttribute({ values: [ - 0, - 0, - 0, - 1, - 0, - 0, - 1, - 0, - 1, - 0, - 0, - 1, - 0, - 1, - 1, - 0, - 1, - 0, - 1, - 1, - 0, + 0, 0, 0, 1, 0, 0, 1, 0, 1, 0, 0, 1, 0, 1, 1, 0, 1, 0, 1, 1, 0, ], componentsPerAttribute: 3, componentDatatype: ComponentDatatype.FLOAT, @@ -1578,40 +1472,40 @@ describe("Core/GeometryPipeline", function () { let a = Cartesian3.normalize(new Cartesian3(-1, -1, -1), new Cartesian3()); expect(Cartesian3.fromArray(normals, 0)).toEqualEpsilon( a, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); a = Cartesian3.normalize(new Cartesian3(0, -1, -1), new Cartesian3()); expect(Cartesian3.fromArray(normals, 3)).toEqualEpsilon( a, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(Cartesian3.fromArray(normals, 6)).toEqualEpsilon( Cartesian3.negate(Cartesian3.UNIT_Y, new Cartesian3()), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); a = Cartesian3.normalize(new Cartesian3(-1, -1, 0), new Cartesian3()); expect(Cartesian3.fromArray(normals, 9)).toEqualEpsilon( a, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(Cartesian3.fromArray(normals, 12)).toEqualEpsilon( Cartesian3.negate(Cartesian3.UNIT_X, new Cartesian3()), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); a = Cartesian3.normalize(new Cartesian3(-1, 0, -1), new Cartesian3()); expect(Cartesian3.fromArray(normals, 15)).toEqualEpsilon( a, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(Cartesian3.fromArray(normals, 18)).toEqualEpsilon( Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); @@ -1656,15 +1550,15 @@ describe("Core/GeometryPipeline", function () { expect(Cartesian3.fromArray(normals, 0)).toEqualEpsilon( a, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(Cartesian3.fromArray(normals, 3)).toEqualEpsilon( a, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(Cartesian3.fromArray(normals, 6)).toEqualEpsilon( a, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); @@ -1848,26 +1742,10 @@ describe("Core/GeometryPipeline", function () { geometry = GeometryPipeline.computeTangentAndBitangent(geometry); expect(geometry.attributes.tangent.values).toEqual([ - 1, - 0, - 0, - 1, - 0, - 0, - 1, - 0, - 0, + 1, 0, 0, 1, 0, 0, 1, 0, 0, ]); expect(geometry.attributes.bitangent.values).toEqual([ - 0, - 1, - 0, - 0, - 1, - 0, - 0, - 1, - 0, + 0, 1, 0, 0, 1, 0, 0, 1, 0, ]); }); @@ -1893,37 +1771,17 @@ describe("Core/GeometryPipeline", function () { geometry = GeometryPipeline.computeTangentAndBitangent(geometry); expect(geometry.attributes.tangent.values).toEqualEpsilon( [ - 0.7071067811865475, - 0, - 0.7071067811865475, - 0, - 1, - 0, - 0, - 1, - 0, - -0.5773502691896258, - 0.5773502691896258, - 0.5773502691896258, + 0.7071067811865475, 0, 0.7071067811865475, 0, 1, 0, 0, 1, 0, + -0.5773502691896258, 0.5773502691896258, 0.5773502691896258, ], - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(geometry.attributes.bitangent.values).toEqualEpsilon( [ - 0, - 1, - 0, - -1, - 0, - 0, - -1, - 0, - 0, - -0.4082482904638631, - -0.8164965809277261, + 0, 1, 0, -1, 0, 0, -1, 0, 0, -0.4082482904638631, -0.8164965809277261, 0.4082482904638631, ], - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); @@ -1937,7 +1795,7 @@ describe("Core/GeometryPipeline", function () { }), maximum: new Cartesian3(250000.0, 250000.0, 250000.0), minimum: new Cartesian3(-250000.0, -250000.0, -250000.0), - }) + }), ); geometry = GeometryPipeline.computeTangentAndBitangent(geometry); const actualTangents = geometry.attributes.tangent.values; @@ -1948,7 +1806,7 @@ describe("Core/GeometryPipeline", function () { vertexFormat: VertexFormat.ALL, maximum: new Cartesian3(250000.0, 250000.0, 250000.0), minimum: new Cartesian3(-250000.0, -250000.0, -250000.0), - }) + }), ); const expectedTangents = expectedGeometry.attributes.tangent.values; const expectedBitangents = expectedGeometry.attributes.bitangent.values; @@ -1981,7 +1839,7 @@ describe("Core/GeometryPipeline", function () { }), maximum: new Cartesian3(250000.0, 250000.0, 250000.0), minimum: new Cartesian3(-250000.0, -250000.0, -250000.0), - }) + }), ); expect(geometry.attributes.normal).not.toBeDefined(); geometry = GeometryPipeline.compressVertices(geometry); @@ -1997,7 +1855,7 @@ describe("Core/GeometryPipeline", function () { }), maximum: new Cartesian3(250000.0, 250000.0, 250000.0), minimum: new Cartesian3(-250000.0, -250000.0, -250000.0), - }) + }), ); expect(geometry.attributes.normal).toBeDefined(); const originalNormals = geometry.attributes.normal.values.slice(); @@ -2011,10 +1869,10 @@ describe("Core/GeometryPipeline", function () { for (let i = 0; i < normals.length; ++i) { expect( - AttributeCompression.octDecodeFloat(normals[i], new Cartesian3()) + AttributeCompression.octDecodeFloat(normals[i], new Cartesian3()), ).toEqualEpsilon( Cartesian3.fromArray(originalNormals, i * 3), - CesiumMath.EPSILON2 + CesiumMath.EPSILON2, ); } }); @@ -2028,7 +1886,7 @@ describe("Core/GeometryPipeline", function () { }), maximum: new Cartesian3(250000.0, 250000.0, 250000.0), minimum: new Cartesian3(-250000.0, -250000.0, -250000.0), - }) + }), ); expect(geometry.attributes.st).toBeDefined(); const originalST = geometry.attributes.st.values.slice(); @@ -2048,7 +1906,7 @@ describe("Core/GeometryPipeline", function () { const texCoord = new Cartesian2(stx, sty); expect(texCoord).toEqualEpsilon( Cartesian2.fromArray(originalST, i * 2, new Cartesian2()), - CesiumMath.EPSILON2 + CesiumMath.EPSILON2, ); } }); @@ -2063,7 +1921,7 @@ describe("Core/GeometryPipeline", function () { }), maximum: new Cartesian3(250000.0, 250000.0, 250000.0), minimum: new Cartesian3(-250000.0, -250000.0, -250000.0), - }) + }), ); expect(geometry.attributes.normal).toBeDefined(); expect(geometry.attributes.st).toBeDefined(); @@ -2083,17 +1941,17 @@ describe("Core/GeometryPipeline", function () { expect( AttributeCompression.decompressTextureCoordinates( stNormal[i], - new Cartesian2() - ) + new Cartesian2(), + ), ).toEqualEpsilon( Cartesian2.fromArray(originalST, i, new Cartesian2()), - CesiumMath.EPSILON2 + CesiumMath.EPSILON2, ); expect( - AttributeCompression.octDecodeFloat(stNormal[i + 1], new Cartesian3()) + AttributeCompression.octDecodeFloat(stNormal[i + 1], new Cartesian3()), ).toEqualEpsilon( Cartesian3.fromArray(originalNormals, (i / 2) * 3), - CesiumMath.EPSILON2 + CesiumMath.EPSILON2, ); } }); @@ -2109,7 +1967,7 @@ describe("Core/GeometryPipeline", function () { }), maximum: new Cartesian3(250000.0, 250000.0, 250000.0), minimum: new Cartesian3(-250000.0, -250000.0, -250000.0), - }) + }), ); expect(geometry.attributes.normal).toBeDefined(); expect(geometry.attributes.tangent).toBeDefined(); @@ -2135,21 +1993,21 @@ describe("Core/GeometryPipeline", function () { const compressed = Cartesian2.fromArray( compressedNormals, i, - new Cartesian2() + new Cartesian2(), ); AttributeCompression.octUnpack(compressed, normal, tangent, bitangent); expect(normal).toEqualEpsilon( Cartesian3.fromArray(originalNormals, (i / 2) * 3), - CesiumMath.EPSILON2 + CesiumMath.EPSILON2, ); expect(tangent).toEqualEpsilon( Cartesian3.fromArray(originalTangents, (i / 2) * 3), - CesiumMath.EPSILON2 + CesiumMath.EPSILON2, ); expect(bitangent).toEqualEpsilon( Cartesian3.fromArray(originalBitangents, (i / 2) * 3), - CesiumMath.EPSILON2 + CesiumMath.EPSILON2, ); } }); @@ -2159,18 +2017,11 @@ describe("Core/GeometryPipeline", function () { geometry: PolygonGeometry.createGeometry( PolygonGeometry.fromPositions({ positions: Cartesian3.fromDegreesArray([ - -1.0, - 1.0, - -1.0, - -1.0, - 1.0, - -1.0, - 1.0, - 1.0, + -1.0, 1.0, -1.0, -1.0, 1.0, -1.0, 1.0, 1.0, ]), vertexFormat: VertexFormat.POSITION_ONLY, granularity: 2.0 * CesiumMath.RADIANS_PER_DEGREE, - }) + }), ), }); @@ -2260,14 +2111,14 @@ describe("Core/GeometryPipeline", function () { index = i * 2; expect(newVec2s[index]).toBe(0); expect(newVec2s[index + 1] === 255 || newVec2s[index + 1] === 127).toBe( - true + true, ); index = i * 3; expect(newVec3s[index]).toBe(0); expect(newVec3s[index + 1]).toBe(0); expect(newVec3s[index + 2] === 255 || newVec3s[index + 2] === 127).toBe( - true + true, ); index = i * 4; @@ -2275,7 +2126,7 @@ describe("Core/GeometryPipeline", function () { expect(newVec4s[index + 1]).toBe(0); expect(newVec4s[index + 2]).toBe(0); expect(newVec4s[index + 3] === 255 || newVec4s[index + 3] === 127).toBe( - true + true, ); } @@ -2298,14 +2149,14 @@ describe("Core/GeometryPipeline", function () { index = i * 2; expect(newVec2s[index]).toBe(0); expect(newVec2s[index + 1] === 0 || newVec2s[index + 1] === 127).toBe( - true + true, ); index = i * 3; expect(newVec3s[index]).toBe(0); expect(newVec3s[index + 1]).toBe(0); expect(newVec3s[index + 2] === 0 || newVec3s[index + 2] === 127).toBe( - true + true, ); index = i * 4; @@ -2313,7 +2164,7 @@ describe("Core/GeometryPipeline", function () { expect(newVec4s[index + 1]).toBe(0); expect(newVec4s[index + 2]).toBe(0); expect(newVec4s[index + 3] === 0 || newVec4s[index + 3] === 127).toBe( - true + true, ); } }); @@ -2326,24 +2177,8 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - 0.0, - 1.0, - 2.0, - 3.0, - 4.0, - 5.0, - 6.0, - 7.0, - 8.0, - 8.0, - 7.0, - 6.0, - 5.0, - 4.0, - 3.0, - 2.0, - 1.0, - 0.0, + 0.0, 1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 8.0, 7.0, 6.0, 5.0, + 4.0, 3.0, 2.0, 1.0, 0.0, ]), }), }, @@ -2363,24 +2198,8 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - 0.0, - 1.0, - 2.0, - 3.0, - 4.0, - 5.0, - 6.0, - 7.0, - 8.0, - 8.0, - 7.0, - 6.0, - 5.0, - 4.0, - 3.0, - 2.0, - 1.0, - 0.0, + 0.0, 1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 8.0, 7.0, 6.0, 5.0, + 4.0, 3.0, 2.0, 1.0, 0.0, ]), }), }, @@ -2420,23 +2239,8 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - 0.0, - 1.0, - 2.0, - 3.0, - 4.0, - 5.0, - 6.0, - 7.0, - 8.0, - 8.0, - 7.0, - 6.0, - 5.0, - 4.0, - 3.0, - 2.0, - 1.0, + 0.0, 1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 8.0, 7.0, 6.0, 5.0, + 4.0, 3.0, 2.0, 1.0, ]), }), }, @@ -2457,18 +2261,7 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - 0.0, - 1.0, - 2.0, - 3.0, - 4.0, - 5.0, - 6.0, - 7.0, - 8.0, - 8.0, - 7.0, - 6.0, + 0.0, 1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 8.0, 7.0, 6.0, ]), }), }, @@ -2508,24 +2301,8 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - 0.0, - 1.0, - 2.0, - 3.0, - 4.0, - 5.0, - 6.0, - 7.0, - 8.0, - 8.0, - 7.0, - 6.0, - 5.0, - 4.0, - 3.0, - 2.0, - 1.0, - 0.0, + 0.0, 1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 8.0, 7.0, 6.0, 5.0, + 4.0, 3.0, 2.0, 1.0, 0.0, ]), }), }, @@ -2536,18 +2313,7 @@ describe("Core/GeometryPipeline", function () { GeometryPipeline.splitLongitude(instance); expect(instance.geometry.primitiveType).toEqual(PrimitiveType.TRIANGLES); expect(instance.geometry.indices).toEqual([ - 0, - 1, - 2, - 0, - 2, - 3, - 3, - 2, - 4, - 3, - 4, - 5, + 0, 1, 2, 0, 2, 3, 3, 2, 4, 3, 4, 5, ]); }); @@ -2578,18 +2344,7 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - 0.0, - 1.0, - 2.0, - 3.0, - 4.0, - 5.0, - 6.0, - 7.0, - 8.0, - 8.0, - 7.0, - 6.0, + 0.0, 1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 8.0, 7.0, 6.0, ]), }), }, @@ -2609,18 +2364,7 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - 0.0, - 1.0, - 2.0, - 3.0, - 4.0, - 5.0, - 6.0, - 7.0, - 8.0, - 8.0, - 7.0, - 6.0, + 0.0, 1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 8.0, 7.0, 6.0, ]), }), }, @@ -2660,15 +2404,7 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - 0.0, - 1.0, - 2.0, - 3.0, - 4.0, - 5.0, - 6.0, - 7.0, - 8.0, + 0.0, 1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, ]), }), }, @@ -2689,18 +2425,7 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - 0.0, - 1.0, - 2.0, - 3.0, - 4.0, - 5.0, - 6.0, - 7.0, - 8.0, - 8.0, - 7.0, - 6.0, + 0.0, 1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 8.0, 7.0, 6.0, ]), }), }, @@ -2740,18 +2465,7 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - 0.0, - 1.0, - 2.0, - 3.0, - 4.0, - 5.0, - 6.0, - 7.0, - 8.0, - 8.0, - 7.0, - 6.0, + 0.0, 1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 8.0, 7.0, 6.0, ]), }), }, @@ -2791,15 +2505,7 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - -1.0, - -1.0, - 0.0, - -1.0, - 1.0, - 2.0, - -1.0, - 2.0, - 2.0, + -1.0, -1.0, 0.0, -1.0, 1.0, 2.0, -1.0, 2.0, 2.0, ]), }), }, @@ -2815,7 +2521,7 @@ describe("Core/GeometryPipeline", function () { expect(instance.westHemisphereGeometry.indices.length).toEqual(3); expect(instance.westHemisphereGeometry.attributes.position).toBeDefined(); expect( - instance.westHemisphereGeometry.attributes.position.values.length + instance.westHemisphereGeometry.attributes.position.values.length, ).toEqual(3 * 3); expect(instance.eastHemisphereGeometry).toBeDefined(); @@ -2823,7 +2529,7 @@ describe("Core/GeometryPipeline", function () { expect(instance.eastHemisphereGeometry.indices.length).toEqual(6); expect(instance.eastHemisphereGeometry.attributes.position).toBeDefined(); expect( - instance.eastHemisphereGeometry.attributes.position.values.length + instance.eastHemisphereGeometry.attributes.position.values.length, ).toEqual(5 * 3); }); @@ -2835,15 +2541,7 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - -1.0, - 1.0, - 2.0, - -1.0, - -1.0, - 0.0, - -1.0, - 2.0, - 2.0, + -1.0, 1.0, 2.0, -1.0, -1.0, 0.0, -1.0, 2.0, 2.0, ]), }), }, @@ -2859,7 +2557,7 @@ describe("Core/GeometryPipeline", function () { expect(instance.westHemisphereGeometry.indices.length).toEqual(3); expect(instance.westHemisphereGeometry.attributes.position).toBeDefined(); expect( - instance.westHemisphereGeometry.attributes.position.values.length + instance.westHemisphereGeometry.attributes.position.values.length, ).toEqual(3 * 3); expect(instance.eastHemisphereGeometry).toBeDefined(); @@ -2867,7 +2565,7 @@ describe("Core/GeometryPipeline", function () { expect(instance.eastHemisphereGeometry.indices.length).toEqual(6); expect(instance.eastHemisphereGeometry.attributes.position).toBeDefined(); expect( - instance.eastHemisphereGeometry.attributes.position.values.length + instance.eastHemisphereGeometry.attributes.position.values.length, ).toEqual(5 * 3); }); @@ -2879,15 +2577,7 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - -1.0, - 1.0, - 2.0, - -1.0, - 2.0, - 2.0, - -1.0, - -1.0, - 0.0, + -1.0, 1.0, 2.0, -1.0, 2.0, 2.0, -1.0, -1.0, 0.0, ]), }), }, @@ -2903,7 +2593,7 @@ describe("Core/GeometryPipeline", function () { expect(instance.westHemisphereGeometry.indices.length).toEqual(3); expect(instance.westHemisphereGeometry.attributes.position).toBeDefined(); expect( - instance.westHemisphereGeometry.attributes.position.values.length + instance.westHemisphereGeometry.attributes.position.values.length, ).toEqual(3 * 3); expect(instance.eastHemisphereGeometry).toBeDefined(); @@ -2911,7 +2601,7 @@ describe("Core/GeometryPipeline", function () { expect(instance.eastHemisphereGeometry.indices.length).toEqual(6); expect(instance.eastHemisphereGeometry.attributes.position).toBeDefined(); expect( - instance.eastHemisphereGeometry.attributes.position.values.length + instance.eastHemisphereGeometry.attributes.position.values.length, ).toEqual(5 * 3); }); @@ -2923,15 +2613,7 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - -1.0, - 1.0, - 0.0, - -1.0, - -1.0, - 0.0, - -2.0, - -1.0, - 0.0, + -1.0, 1.0, 0.0, -1.0, -1.0, 0.0, -2.0, -1.0, 0.0, ]), }), }, @@ -2947,7 +2629,7 @@ describe("Core/GeometryPipeline", function () { expect(instance.westHemisphereGeometry.indices.length).toEqual(6); expect(instance.westHemisphereGeometry.attributes.position).toBeDefined(); expect( - instance.westHemisphereGeometry.attributes.position.values.length + instance.westHemisphereGeometry.attributes.position.values.length, ).toEqual(5 * 3); expect(instance.eastHemisphereGeometry).toBeDefined(); @@ -2955,7 +2637,7 @@ describe("Core/GeometryPipeline", function () { expect(instance.eastHemisphereGeometry.indices.length).toEqual(3); expect(instance.eastHemisphereGeometry.attributes.position).toBeDefined(); expect( - instance.eastHemisphereGeometry.attributes.position.values.length + instance.eastHemisphereGeometry.attributes.position.values.length, ).toEqual(3 * 3); }); @@ -2967,15 +2649,7 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - -2.0, - -1.0, - 0.0, - -1.0, - 1.0, - 0.0, - -1.0, - -1.0, - 0.0, + -2.0, -1.0, 0.0, -1.0, 1.0, 0.0, -1.0, -1.0, 0.0, ]), }), }, @@ -2991,7 +2665,7 @@ describe("Core/GeometryPipeline", function () { expect(instance.westHemisphereGeometry.indices.length).toEqual(6); expect(instance.westHemisphereGeometry.attributes.position).toBeDefined(); expect( - instance.westHemisphereGeometry.attributes.position.values.length + instance.westHemisphereGeometry.attributes.position.values.length, ).toEqual(5 * 3); expect(instance.eastHemisphereGeometry).toBeDefined(); @@ -2999,7 +2673,7 @@ describe("Core/GeometryPipeline", function () { expect(instance.eastHemisphereGeometry.indices.length).toEqual(3); expect(instance.eastHemisphereGeometry.attributes.position).toBeDefined(); expect( - instance.eastHemisphereGeometry.attributes.position.values.length + instance.eastHemisphereGeometry.attributes.position.values.length, ).toEqual(3 * 3); }); @@ -3011,15 +2685,7 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - -1.0, - -1.0, - 0.0, - -2.0, - -1.0, - 0.0, - -1.0, - 1.0, - 0.0, + -1.0, -1.0, 0.0, -2.0, -1.0, 0.0, -1.0, 1.0, 0.0, ]), }), }, @@ -3035,7 +2701,7 @@ describe("Core/GeometryPipeline", function () { expect(instance.westHemisphereGeometry.indices.length).toEqual(6); expect(instance.westHemisphereGeometry.attributes.position).toBeDefined(); expect( - instance.westHemisphereGeometry.attributes.position.values.length + instance.westHemisphereGeometry.attributes.position.values.length, ).toEqual(5 * 3); expect(instance.eastHemisphereGeometry).toBeDefined(); @@ -3043,7 +2709,7 @@ describe("Core/GeometryPipeline", function () { expect(instance.eastHemisphereGeometry.indices.length).toEqual(3); expect(instance.eastHemisphereGeometry.attributes.position).toBeDefined(); expect( - instance.eastHemisphereGeometry.attributes.position.values.length + instance.eastHemisphereGeometry.attributes.position.values.length, ).toEqual(3 * 3); }); @@ -3099,15 +2765,7 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - -1.0, - -1.0, - 1.0, - -1.0, - -2.0, - 1.0, - -1.0, - -2.0, - 2.0, + -1.0, -1.0, 1.0, -1.0, -2.0, 1.0, -1.0, -2.0, 2.0, ]), }), }, @@ -3122,15 +2780,7 @@ describe("Core/GeometryPipeline", function () { const positions = geometry.attributes.position.values; expect(positions).toEqual([ - -1.0, - -1.0, - 1.0, - -1.0, - -2.0, - 1.0, - -1.0, - -2.0, - 2.0, + -1.0, -1.0, 1.0, -1.0, -2.0, 1.0, -1.0, -2.0, 2.0, ]); expect(positions.length).toEqual(3 * 3); }); @@ -3143,15 +2793,7 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - -1.0, - 1.0, - 1.0, - -1.0, - 2.0, - 1.0, - -1.0, - 2.0, - 2.0, + -1.0, 1.0, 1.0, -1.0, 2.0, 1.0, -1.0, 2.0, 2.0, ]), }), }, @@ -3177,15 +2819,7 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - 1.0, - 1.0, - 1.0, - 1.0, - 2.0, - 1.0, - 1.0, - 2.0, - 2.0, + 1.0, 1.0, 1.0, 1.0, 2.0, 1.0, 1.0, 2.0, 2.0, ]), }), }, @@ -3211,60 +2845,28 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - -2.0, - -1.0, - 0.0, - -3.0, - 1.0, - 0.0, - -1.0, - 1.0, - 0.0, + -2.0, -1.0, 0.0, -3.0, 1.0, 0.0, -1.0, 1.0, 0.0, ]), }), normal: new GeometryAttribute({ componentDatatype: ComponentDatatype.FLOAT, componentsPerAttribute: 3, values: new Float32Array([ - 0.0, - 0.0, - 1.0, - 0.0, - 0.0, - 1.0, - 0.0, - 0.0, - 1.0, + 0.0, 0.0, 1.0, 0.0, 0.0, 1.0, 0.0, 0.0, 1.0, ]), }), tangent: new GeometryAttribute({ componentDatatype: ComponentDatatype.FLOAT, componentsPerAttribute: 3, values: new Float32Array([ - -1.0, - 0.0, - 0.0, - -1.0, - 0.0, - 0.0, - -1.0, - 0.0, - 0.0, + -1.0, 0.0, 0.0, -1.0, 0.0, 0.0, -1.0, 0.0, 0.0, ]), }), bitangent: new GeometryAttribute({ componentDatatype: ComponentDatatype.FLOAT, componentsPerAttribute: 3, values: new Float32Array([ - 0.0, - -1.0, - 0.0, - 0.0, - -1.0, - 0.0, - 0.0, - -1.0, - 0.0, + 0.0, -1.0, 0.0, 0.0, -1.0, 0.0, 0.0, -1.0, 0.0, ]), }), st: new GeometryAttribute({ @@ -3285,23 +2887,23 @@ describe("Core/GeometryPipeline", function () { expect(instance.westHemisphereGeometry.indices.length).toEqual(3); expect(instance.westHemisphereGeometry.attributes.position).toBeDefined(); expect( - instance.westHemisphereGeometry.attributes.position.values.length + instance.westHemisphereGeometry.attributes.position.values.length, ).toEqual(3 * 3); expect(instance.westHemisphereGeometry.attributes.normal).toBeDefined(); expect( - instance.westHemisphereGeometry.attributes.normal.values.length + instance.westHemisphereGeometry.attributes.normal.values.length, ).toEqual(3 * 3); expect(instance.westHemisphereGeometry.attributes.bitangent).toBeDefined(); expect( - instance.westHemisphereGeometry.attributes.bitangent.values.length + instance.westHemisphereGeometry.attributes.bitangent.values.length, ).toEqual(3 * 3); expect(instance.westHemisphereGeometry.attributes.tangent).toBeDefined(); expect( - instance.westHemisphereGeometry.attributes.tangent.values.length + instance.westHemisphereGeometry.attributes.tangent.values.length, ).toEqual(3 * 3); expect(instance.westHemisphereGeometry.attributes.st).toBeDefined(); expect(instance.westHemisphereGeometry.attributes.st.values.length).toEqual( - 3 * 2 + 3 * 2, ); expect(instance.eastHemisphereGeometry).toBeDefined(); @@ -3309,23 +2911,23 @@ describe("Core/GeometryPipeline", function () { expect(instance.eastHemisphereGeometry.indices.length).toEqual(6); expect(instance.eastHemisphereGeometry.attributes.position).toBeDefined(); expect( - instance.eastHemisphereGeometry.attributes.position.values.length + instance.eastHemisphereGeometry.attributes.position.values.length, ).toEqual(5 * 3); expect(instance.eastHemisphereGeometry.attributes.normal).toBeDefined(); expect( - instance.eastHemisphereGeometry.attributes.normal.values.length + instance.eastHemisphereGeometry.attributes.normal.values.length, ).toEqual(5 * 3); expect(instance.eastHemisphereGeometry.attributes.bitangent).toBeDefined(); expect( - instance.eastHemisphereGeometry.attributes.bitangent.values.length + instance.eastHemisphereGeometry.attributes.bitangent.values.length, ).toEqual(5 * 3); expect(instance.eastHemisphereGeometry.attributes.tangent).toBeDefined(); expect( - instance.eastHemisphereGeometry.attributes.tangent.values.length + instance.eastHemisphereGeometry.attributes.tangent.values.length, ).toEqual(5 * 3); expect(instance.eastHemisphereGeometry.attributes.st).toBeDefined(); expect(instance.eastHemisphereGeometry.attributes.st.values.length).toEqual( - 5 * 2 + 5 * 2, ); }); @@ -3351,7 +2953,7 @@ describe("Core/GeometryPipeline", function () { expect(instance.westHemisphereGeometry.indices.length).toEqual(2); expect(instance.westHemisphereGeometry.attributes.position).toBeDefined(); expect( - instance.westHemisphereGeometry.attributes.position.values.length + instance.westHemisphereGeometry.attributes.position.values.length, ).toEqual(2 * 3); expect(instance.eastHemisphereGeometry).toBeDefined(); @@ -3359,7 +2961,7 @@ describe("Core/GeometryPipeline", function () { expect(instance.eastHemisphereGeometry.indices.length).toEqual(2); expect(instance.eastHemisphereGeometry.attributes.position).toBeDefined(); expect( - instance.eastHemisphereGeometry.attributes.position.values.length + instance.eastHemisphereGeometry.attributes.position.values.length, ).toEqual(2 * 3); }); @@ -3440,17 +3042,7 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - -1.0, - -1.0, - 0.0, - -1.0, - -1.0, - 0.0, - -1.0, - 1.0, - 2.0, - -1.0, - 1.0, + -1.0, -1.0, 0.0, -1.0, -1.0, 0.0, -1.0, 1.0, 2.0, -1.0, 1.0, 2.0, ]), }), @@ -3458,35 +3050,14 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - -1.0, - 1.0, - 2.0, - -1.0, - 1.0, - 2.0, - -1.0, - 2.0, - 3.0, - -1.0, - 2.0, - 3.0, + -1.0, 1.0, 2.0, -1.0, 1.0, 2.0, -1.0, 2.0, 3.0, -1.0, 2.0, 3.0, ]), }), prevPosition: new GeometryAttribute({ componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - -1.0, - -2.0, - -1.0, - -1.0, - -2.0, - -1.0, - -1.0, - -1.0, - 0.0, - -1.0, - -1.0, + -1.0, -2.0, -1.0, -1.0, -2.0, -1.0, -1.0, -1.0, 0.0, -1.0, -1.0, 0.0, ]), }), @@ -3494,14 +3065,7 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.FLOAT, componentsPerAttribute: 2, values: new Float32Array([ - -1.0, - 5.0, - 1.0, - 5.0, - -1.0, - -5.0, - 1.0, - -5.0, + -1.0, 5.0, 1.0, 5.0, -1.0, -5.0, 1.0, -5.0, ]), }), }, @@ -3518,25 +3082,25 @@ describe("Core/GeometryPipeline", function () { expect(instance.westHemisphereGeometry.indices.length).toEqual(6); expect(instance.westHemisphereGeometry.attributes.position).toBeDefined(); expect( - instance.westHemisphereGeometry.attributes.position.values.length + instance.westHemisphereGeometry.attributes.position.values.length, ).toEqual(4 * 3); expect( - instance.westHemisphereGeometry.attributes.nextPosition + instance.westHemisphereGeometry.attributes.nextPosition, ).toBeDefined(); expect( - instance.westHemisphereGeometry.attributes.nextPosition.values.length + instance.westHemisphereGeometry.attributes.nextPosition.values.length, ).toEqual(4 * 3); expect( - instance.westHemisphereGeometry.attributes.prevPosition + instance.westHemisphereGeometry.attributes.prevPosition, ).toBeDefined(); expect( - instance.westHemisphereGeometry.attributes.prevPosition.values.length + instance.westHemisphereGeometry.attributes.prevPosition.values.length, ).toEqual(4 * 3); expect( - instance.westHemisphereGeometry.attributes.expandAndWidth + instance.westHemisphereGeometry.attributes.expandAndWidth, ).toBeDefined(); expect( - instance.westHemisphereGeometry.attributes.expandAndWidth.values.length + instance.westHemisphereGeometry.attributes.expandAndWidth.values.length, ).toEqual(4 * 2); expect(instance.eastHemisphereGeometry).toBeDefined(); @@ -3544,25 +3108,25 @@ describe("Core/GeometryPipeline", function () { expect(instance.eastHemisphereGeometry.indices.length).toEqual(6); expect(instance.eastHemisphereGeometry.attributes.position).toBeDefined(); expect( - instance.eastHemisphereGeometry.attributes.position.values.length + instance.eastHemisphereGeometry.attributes.position.values.length, ).toEqual(4 * 3); expect( - instance.eastHemisphereGeometry.attributes.nextPosition + instance.eastHemisphereGeometry.attributes.nextPosition, ).toBeDefined(); expect( - instance.eastHemisphereGeometry.attributes.nextPosition.values.length + instance.eastHemisphereGeometry.attributes.nextPosition.values.length, ).toEqual(4 * 3); expect( - instance.eastHemisphereGeometry.attributes.prevPosition + instance.eastHemisphereGeometry.attributes.prevPosition, ).toBeDefined(); expect( - instance.eastHemisphereGeometry.attributes.prevPosition.values.length + instance.eastHemisphereGeometry.attributes.prevPosition.values.length, ).toEqual(4 * 3); expect( - instance.eastHemisphereGeometry.attributes.expandAndWidth + instance.eastHemisphereGeometry.attributes.expandAndWidth, ).toBeDefined(); expect( - instance.eastHemisphereGeometry.attributes.expandAndWidth.values.length + instance.eastHemisphereGeometry.attributes.expandAndWidth.values.length, ).toEqual(4 * 2); }); @@ -3574,53 +3138,21 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - -1.0, - 0.0, - 0.0, - -1.0, - 0.0, - 0.0, - -1.0, - 1.0, - 2.0, - -1.0, - 1.0, - 2.0, + -1.0, 0.0, 0.0, -1.0, 0.0, 0.0, -1.0, 1.0, 2.0, -1.0, 1.0, 2.0, ]), }), nextPosition: new GeometryAttribute({ componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - -1.0, - 1.0, - 2.0, - -1.0, - 1.0, - 2.0, - -1.0, - 2.0, - 3.0, - -1.0, - 2.0, - 3.0, + -1.0, 1.0, 2.0, -1.0, 1.0, 2.0, -1.0, 2.0, 3.0, -1.0, 2.0, 3.0, ]), }), prevPosition: new GeometryAttribute({ componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - -1.0, - -2.0, - -1.0, - -1.0, - -2.0, - -1.0, - -1.0, - 0.0, - 0.0, - -1.0, - 0.0, + -1.0, -2.0, -1.0, -1.0, -2.0, -1.0, -1.0, 0.0, 0.0, -1.0, 0.0, 0.0, ]), }), @@ -3628,14 +3160,7 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.FLOAT, componentsPerAttribute: 2, values: new Float32Array([ - -1.0, - 5.0, - 1.0, - 5.0, - -1.0, - -5.0, - 1.0, - -5.0, + -1.0, 5.0, 1.0, 5.0, -1.0, -5.0, 1.0, -5.0, ]), }), }, @@ -3682,18 +3207,7 @@ describe("Core/GeometryPipeline", function () { 0.0, ]); expect(nextPositions).toEqual([ - -1.0, - 1.0, - 2.0, - -1.0, - 1.0, - 2.0, - -1.0, - 2.0, - 3.0, - -1.0, - 2.0, - 3.0, + -1.0, 1.0, 2.0, -1.0, 1.0, 2.0, -1.0, 2.0, 3.0, -1.0, 2.0, 3.0, ]); }); @@ -3705,17 +3219,7 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - -1.0, - 0.0, - 0.0, - -1.0, - 0.0, - 0.0, - -1.0, - -1.0, - 2.0, - -1.0, - -1.0, + -1.0, 0.0, 0.0, -1.0, 0.0, 0.0, -1.0, -1.0, 2.0, -1.0, -1.0, 2.0, ]), }), @@ -3723,17 +3227,7 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - -1.0, - -1.0, - 2.0, - -1.0, - -1.0, - 2.0, - -1.0, - -2.0, - 0.0, - -1.0, - -2.0, + -1.0, -1.0, 2.0, -1.0, -1.0, 2.0, -1.0, -2.0, 0.0, -1.0, -2.0, 0.0, ]), }), @@ -3741,17 +3235,7 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - -1.0, - 1.0, - -1.0, - -1.0, - 1.0, - -1.0, - -1.0, - 0.0, - 0.0, - -1.0, - 0.0, + -1.0, 1.0, -1.0, -1.0, 1.0, -1.0, -1.0, 0.0, 0.0, -1.0, 0.0, 0.0, ]), }), @@ -3759,14 +3243,7 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.FLOAT, componentsPerAttribute: 2, values: new Float32Array([ - -1.0, - 5.0, - 1.0, - 5.0, - -1.0, - -5.0, - 1.0, - -5.0, + -1.0, 5.0, 1.0, 5.0, -1.0, -5.0, 1.0, -5.0, ]), }), }, @@ -3813,18 +3290,7 @@ describe("Core/GeometryPipeline", function () { 0.0, ]); expect(nextPositions).toEqual([ - -1.0, - -1.0, - 2.0, - -1.0, - -1.0, - 2.0, - -1.0, - -2.0, - 0.0, - -1.0, - -2.0, - 0.0, + -1.0, -1.0, 2.0, -1.0, -1.0, 2.0, -1.0, -2.0, 0.0, -1.0, -2.0, 0.0, ]); }); @@ -3836,35 +3302,14 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - -1.0, - 1.0, - 2.0, - -1.0, - 1.0, - 2.0, - -1.0, - 0.0, - 0.0, - -1.0, - 0.0, - 0.0, + -1.0, 1.0, 2.0, -1.0, 1.0, 2.0, -1.0, 0.0, 0.0, -1.0, 0.0, 0.0, ]), }), nextPosition: new GeometryAttribute({ componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - -1.0, - 0.0, - 0.0, - -1.0, - 0.0, - 0.0, - -1.0, - -1.0, - 2.0, - -1.0, - -1.0, + -1.0, 0.0, 0.0, -1.0, 0.0, 0.0, -1.0, -1.0, 2.0, -1.0, -1.0, 2.0, ]), }), @@ -3872,32 +3317,14 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - -2.0, - 2.0, - 2.0, - -1.0, - 2.0, - 2.0, - -1.0, - 1.0, - 2.0, - -1.0, - 1.0, - 2.0, + -2.0, 2.0, 2.0, -1.0, 2.0, 2.0, -1.0, 1.0, 2.0, -1.0, 1.0, 2.0, ]), }), expandAndWidth: new GeometryAttribute({ componentDatatype: ComponentDatatype.FLOAT, componentsPerAttribute: 2, values: new Float32Array([ - -1.0, - 5.0, - 1.0, - 5.0, - -1.0, - -5.0, - 1.0, - -5.0, + -1.0, 5.0, 1.0, 5.0, -1.0, -5.0, 1.0, -5.0, ]), }), }, @@ -3944,18 +3371,7 @@ describe("Core/GeometryPipeline", function () { 0.0, ]); expect(prevPositions).toEqual([ - -2.0, - 2.0, - 2.0, - -1.0, - 2.0, - 2.0, - -1.0, - 1.0, - 2.0, - -1.0, - 1.0, - 2.0, + -2.0, 2.0, 2.0, -1.0, 2.0, 2.0, -1.0, 1.0, 2.0, -1.0, 1.0, 2.0, ]); }); @@ -3967,17 +3383,7 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - -1.0, - -1.0, - 2.0, - -1.0, - -1.0, - 2.0, - -1.0, - 0.0, - 0.0, - -1.0, - 0.0, + -1.0, -1.0, 2.0, -1.0, -1.0, 2.0, -1.0, 0.0, 0.0, -1.0, 0.0, 0.0, ]), }), @@ -3985,35 +3391,14 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - -1.0, - 0.0, - 0.0, - -1.0, - 0.0, - 0.0, - -1.0, - 1.0, - 2.0, - -1.0, - 1.0, - 2.0, + -1.0, 0.0, 0.0, -1.0, 0.0, 0.0, -1.0, 1.0, 2.0, -1.0, 1.0, 2.0, ]), }), prevPosition: new GeometryAttribute({ componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - -2.0, - -2.0, - 2.0, - -1.0, - -2.0, - 2.0, - -1.0, - -1.0, - 2.0, - -1.0, - -1.0, + -2.0, -2.0, 2.0, -1.0, -2.0, 2.0, -1.0, -1.0, 2.0, -1.0, -1.0, 2.0, ]), }), @@ -4021,14 +3406,7 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.FLOAT, componentsPerAttribute: 2, values: new Float32Array([ - -1.0, - 5.0, - 1.0, - 5.0, - -1.0, - -5.0, - 1.0, - -5.0, + -1.0, 5.0, 1.0, 5.0, -1.0, -5.0, 1.0, -5.0, ]), }), }, @@ -4075,18 +3453,7 @@ describe("Core/GeometryPipeline", function () { 0.0, ]); expect(prevPositions).toEqual([ - -2.0, - -2.0, - 2.0, - -1.0, - -2.0, - 2.0, - -1.0, - -1.0, - 2.0, - -1.0, - -1.0, - 2.0, + -2.0, -2.0, 2.0, -1.0, -2.0, 2.0, -1.0, -1.0, 2.0, -1.0, -1.0, 2.0, ]); }); @@ -4098,53 +3465,21 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - -1.0, - 1.0, - 0.0, - -1.0, - 1.0, - 0.0, - -1.0, - 1.0, - 2.0, - -1.0, - 1.0, - 2.0, + -1.0, 1.0, 0.0, -1.0, 1.0, 0.0, -1.0, 1.0, 2.0, -1.0, 1.0, 2.0, ]), }), nextPosition: new GeometryAttribute({ componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - -1.0, - 1.0, - 2.0, - -1.0, - 1.0, - 2.0, - -1.0, - 2.0, - 3.0, - -1.0, - 2.0, - 3.0, + -1.0, 1.0, 2.0, -1.0, 1.0, 2.0, -1.0, 2.0, 3.0, -1.0, 2.0, 3.0, ]), }), prevPosition: new GeometryAttribute({ componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - -1.0, - -2.0, - -1.0, - -1.0, - -2.0, - -1.0, - -1.0, - 0.0, - 0.0, - -1.0, - 0.0, + -1.0, -2.0, -1.0, -1.0, -2.0, -1.0, -1.0, 0.0, 0.0, -1.0, 0.0, 0.0, ]), }), @@ -4152,14 +3487,7 @@ describe("Core/GeometryPipeline", function () { componentDatatype: ComponentDatatype.FLOAT, componentsPerAttribute: 2, values: new Float32Array([ - -1.0, - 5.0, - 1.0, - 5.0, - -1.0, - -5.0, - 1.0, - -5.0, + -1.0, 5.0, 1.0, 5.0, -1.0, -5.0, 1.0, -5.0, ]), }), }, @@ -4175,18 +3503,7 @@ describe("Core/GeometryPipeline", function () { const positions = geometry.attributes.position.values; expect(positions).toEqual([ - -1.0, - 1.0, - 0.0, - -1.0, - 1.0, - 0.0, - -1.0, - 1.0, - 2.0, - -1.0, - 1.0, - 2.0, + -1.0, 1.0, 0.0, -1.0, 1.0, 0.0, -1.0, 1.0, 2.0, -1.0, 1.0, 2.0, ]); }); }); diff --git a/packages/engine/Specs/Core/GeometrySpec.js b/packages/engine/Specs/Core/GeometrySpec.js index c07668cb52ea..2388cf5fb021 100644 --- a/packages/engine/Specs/Core/GeometrySpec.js +++ b/packages/engine/Specs/Core/GeometrySpec.js @@ -23,7 +23,7 @@ describe("Core/Geometry", function () { const indices = new Uint16Array([0, 1, 2]); const boundingSphere = new BoundingSphere( new Cartesian3(0.5, 0.5, 0.0), - 1.0 + 1.0, ); const geometry = new Geometry({ @@ -65,7 +65,7 @@ describe("Core/Geometry", function () { const indices = new Uint16Array([0, 1, 2]); const boundingSphere = new BoundingSphere( new Cartesian3(0.5, 0.5, 0.0), - 1.0 + 1.0, ); const geometry = new Geometry({ @@ -94,7 +94,7 @@ describe("Core/Geometry", function () { const indices = new Uint16Array([0, 1, 2]); const boundingSphere = new BoundingSphere( new Cartesian3(0.5, 0.5, 0.0), - 1.0 + 1.0, ); const geometry = new Geometry({ @@ -117,50 +117,40 @@ describe("Core/Geometry", function () { it("computes textureCoordinateRotationPoints for collections of points", function () { const positions = Cartesian3.fromDegreesArrayHeights([ - -10.0, - -10.0, - 0, - -10.0, - 10.0, - 0, - 10.0, - -10.0, - 0, - 10.0, - 10.0, - 0, + -10.0, -10.0, 0, -10.0, 10.0, 0, 10.0, -10.0, 0, 10.0, 10.0, 0, ]); const boundingRectangle = Rectangle.fromCartesianArray(positions); - const textureCoordinateRotationPoints = Geometry._textureCoordinateRotationPoints( - positions, - 0.0, - Ellipsoid.WGS84, - boundingRectangle - ); + const textureCoordinateRotationPoints = + Geometry._textureCoordinateRotationPoints( + positions, + 0.0, + Ellipsoid.WGS84, + boundingRectangle, + ); expect(textureCoordinateRotationPoints.length).toEqual(6); expect(textureCoordinateRotationPoints[0]).toEqualEpsilon( 0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[1]).toEqualEpsilon( 0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[2]).toEqualEpsilon( 0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[3]).toEqualEpsilon( 1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[4]).toEqualEpsilon( 1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[5]).toEqualEpsilon( 0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); }); diff --git a/packages/engine/Specs/Core/GoogleEarthEnterpriseMetadataSpec.js b/packages/engine/Specs/Core/GoogleEarthEnterpriseMetadataSpec.js index c0b0996b981b..ba106dde17b9 100644 --- a/packages/engine/Specs/Core/GoogleEarthEnterpriseMetadataSpec.js +++ b/packages/engine/Specs/Core/GoogleEarthEnterpriseMetadataSpec.js @@ -13,13 +13,13 @@ describe("Core/GoogleEarthEnterpriseMetadata", function () { it("tileXYToQuadKey", function () { expect(GoogleEarthEnterpriseMetadata.tileXYToQuadKey(1, 0, 0)).toEqual("2"); expect(GoogleEarthEnterpriseMetadata.tileXYToQuadKey(1, 2, 1)).toEqual( - "02" + "02", ); expect(GoogleEarthEnterpriseMetadata.tileXYToQuadKey(3, 5, 2)).toEqual( - "021" + "021", ); expect(GoogleEarthEnterpriseMetadata.tileXYToQuadKey(4, 7, 2)).toEqual( - "100" + "100", ); }); @@ -113,42 +113,41 @@ describe("Core/GoogleEarthEnterpriseMetadata", function () { let index = 0; spyOn( GoogleEarthEnterpriseMetadata.prototype, - "getQuadTreePacket" + "getQuadTreePacket", ).and.callFake(function (quadKey, version, request) { quadKey = defaultValue(quadKey, "") + index.toString(); this._tileInfo[quadKey] = new GoogleEarthEnterpriseTileInformation( 0xff, 1, 1, - 1 + 1, ); index = (index + 1) % 4; return Promise.resolve(); }); - const metadata = await GoogleEarthEnterpriseMetadata.fromUrl( - "http://test.server" - ); + const metadata = + await GoogleEarthEnterpriseMetadata.fromUrl("http://test.server"); const request = new Request({ throttle: true, }); const tileXY = GoogleEarthEnterpriseMetadata.quadKeyToTileXY(quad); await metadata.populateSubtree(tileXY.x, tileXY.y, tileXY.level, request); expect( - GoogleEarthEnterpriseMetadata.prototype.getQuadTreePacket.calls.count() + GoogleEarthEnterpriseMetadata.prototype.getQuadTreePacket.calls.count(), ).toEqual(4); expect( - GoogleEarthEnterpriseMetadata.prototype.getQuadTreePacket + GoogleEarthEnterpriseMetadata.prototype.getQuadTreePacket, ).toHaveBeenCalledWith("", 1); expect( - GoogleEarthEnterpriseMetadata.prototype.getQuadTreePacket + GoogleEarthEnterpriseMetadata.prototype.getQuadTreePacket, ).toHaveBeenCalledWith("0", 1, request); expect( - GoogleEarthEnterpriseMetadata.prototype.getQuadTreePacket + GoogleEarthEnterpriseMetadata.prototype.getQuadTreePacket, ).toHaveBeenCalledWith("01", 1, request); expect( - GoogleEarthEnterpriseMetadata.prototype.getQuadTreePacket + GoogleEarthEnterpriseMetadata.prototype.getQuadTreePacket, ).toHaveBeenCalledWith("012", 1, request); const tileInfo = metadata._tileInfo; @@ -162,32 +161,34 @@ describe("Core/GoogleEarthEnterpriseMetadata", function () { const baseurl = "http://fake.fake.invalid/"; let req = 0; - spyOn(Resource._Implementations, "loadWithXhr").and.callFake(function ( - url, - responseType, - method, - data, - headers, - deferred, - overrideMimeType - ) { - expect(responseType).toEqual("arraybuffer"); - if (req === 0) { - expect(url).toEqual(`${baseurl}dbRoot.v5?output=proto`); - deferred.reject(); // Reject dbRoot request and use defaults - } else { - expect(url).toEqual(`${baseurl}flatfile?q2-0-q.1`); - Resource._DefaultImplementations.loadWithXhr( - "Data/GoogleEarthEnterprise/gee.metadata", - responseType, - method, - data, - headers, - deferred - ); - } - ++req; - }); + spyOn(Resource._Implementations, "loadWithXhr").and.callFake( + function ( + url, + responseType, + method, + data, + headers, + deferred, + overrideMimeType, + ) { + expect(responseType).toEqual("arraybuffer"); + if (req === 0) { + expect(url).toEqual(`${baseurl}dbRoot.v5?output=proto`); + deferred.reject(); // Reject dbRoot request and use defaults + } else { + expect(url).toEqual(`${baseurl}flatfile?q2-0-q.1`); + Resource._DefaultImplementations.loadWithXhr( + "Data/GoogleEarthEnterprise/gee.metadata", + responseType, + method, + data, + headers, + deferred, + ); + } + ++req; + }, + ); const provider = await GoogleEarthEnterpriseMetadata.fromUrl(baseurl); @@ -215,32 +216,34 @@ describe("Core/GoogleEarthEnterpriseMetadata", function () { }); let req = 0; - spyOn(Resource._Implementations, "loadWithXhr").and.callFake(function ( - url, - responseType, - method, - data, - headers, - deferred, - overrideMimeType - ) { - expect(responseType).toEqual("arraybuffer"); - if (req === 0) { - expect(url).toEqual(`${baseurl}dbRoot.v5?output=proto`); - deferred.reject(); // Reject dbRoot request and use defaults - } else { - expect(url).toEqual(`${baseurl}flatfile?q2-0-q.1`); - Resource._DefaultImplementations.loadWithXhr( - "Data/GoogleEarthEnterprise/gee.metadata", - responseType, - method, - data, - headers, - deferred - ); - } - ++req; - }); + spyOn(Resource._Implementations, "loadWithXhr").and.callFake( + function ( + url, + responseType, + method, + data, + headers, + deferred, + overrideMimeType, + ) { + expect(responseType).toEqual("arraybuffer"); + if (req === 0) { + expect(url).toEqual(`${baseurl}dbRoot.v5?output=proto`); + deferred.reject(); // Reject dbRoot request and use defaults + } else { + expect(url).toEqual(`${baseurl}flatfile?q2-0-q.1`); + Resource._DefaultImplementations.loadWithXhr( + "Data/GoogleEarthEnterprise/gee.metadata", + responseType, + method, + data, + headers, + deferred, + ); + } + ++req; + }, + ); const metadata = await GoogleEarthEnterpriseMetadata.fromUrl(resource); @@ -264,10 +267,10 @@ describe("Core/GoogleEarthEnterpriseMetadata", function () { it("fromUrl rejects on error", async function () { const url = "host.invalid/"; await expectAsync( - GoogleEarthEnterpriseMetadata.fromUrl(url) + GoogleEarthEnterpriseMetadata.fromUrl(url), ).toBeRejectedWithError( RuntimeError, - new RegExp("Request has failed. Status Code: 404") + new RegExp("Request has failed. Status Code: 404"), ); }); }); diff --git a/packages/engine/Specs/Core/GoogleEarthEnterpriseTerrainDataSpec.js b/packages/engine/Specs/Core/GoogleEarthEnterpriseTerrainDataSpec.js index 25bc601ff706..6835b4ca3030 100644 --- a/packages/engine/Specs/Core/GoogleEarthEnterpriseTerrainDataSpec.js +++ b/packages/engine/Specs/Core/GoogleEarthEnterpriseTerrainDataSpec.js @@ -153,7 +153,7 @@ describe("Core/GoogleEarthEnterpriseTerrainData", function () { x: 0, y: 0, level: 0, - }) + }), ) .then(function () { const swPromise = data.upsample(tilingScheme, 0, 0, 0, 0, 0, 1); @@ -206,7 +206,7 @@ describe("Core/GoogleEarthEnterpriseTerrainData", function () { CesiumMath.equalsEpsilon( v, rectangle.south, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ) ) { ++south; @@ -214,7 +214,7 @@ describe("Core/GoogleEarthEnterpriseTerrainData", function () { CesiumMath.equalsEpsilon( v, rectangle.north, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ) ) { ++north; @@ -329,7 +329,7 @@ describe("Core/GoogleEarthEnterpriseTerrainData", function () { encoding.decodePosition(mesh.vertices, i, cartesian); wgs84.cartesianToCartographic(cartesian, cartographic); cartographic.longitude = CesiumMath.convertLongitudeRange( - cartographic.longitude + cartographic.longitude, ); expect(Rectangle.contains(rectangle, cartographic)).toBe(true); } else { @@ -396,7 +396,7 @@ describe("Core/GoogleEarthEnterpriseTerrainData", function () { it("clamps coordinates if given a position outside the mesh", function () { expect(mesh.interpolateHeight(rectangle, 0.0, 0.0)).toBe( - mesh.interpolateHeight(rectangle, rectangle.east, rectangle.south) + mesh.interpolateHeight(rectangle, rectangle.east, rectangle.south), ); }); diff --git a/packages/engine/Specs/Core/GoogleEarthEnterpriseTerrainProviderSpec.js b/packages/engine/Specs/Core/GoogleEarthEnterpriseTerrainProviderSpec.js index 8927fca15e42..57ccdbf5ae7a 100644 --- a/packages/engine/Specs/Core/GoogleEarthEnterpriseTerrainProviderSpec.js +++ b/packages/engine/Specs/Core/GoogleEarthEnterpriseTerrainProviderSpec.js @@ -20,7 +20,7 @@ describe("Core/GoogleEarthEnterpriseTerrainProvider", function () { function installMockGetQuadTreePacket() { spyOn( GoogleEarthEnterpriseMetadata.prototype, - "getQuadTreePacket" + "getQuadTreePacket", ).and.callFake(function (quadKey, version) { quadKey = defaultValue(quadKey, ""); let t = new GoogleEarthEnterpriseTileInformation(0xff, 1, 1, 1); @@ -47,9 +47,8 @@ describe("Core/GoogleEarthEnterpriseTerrainProvider", function () { async function waitForTile(level, x, y, f) { const metadata = await GoogleEarthEnterpriseMetadata.fromUrl("made/up/url"); - terrainProvider = GoogleEarthEnterpriseTerrainProvider.fromMetadata( - metadata - ); + terrainProvider = + GoogleEarthEnterpriseTerrainProvider.fromMetadata(metadata); return pollToPromise(function () { return terrainProvider.getTileDataAvailable(x, y, level); }).then(function () { @@ -78,14 +77,14 @@ describe("Core/GoogleEarthEnterpriseTerrainProvider", function () { it("conforms to TerrainProvider interface", function () { expect(GoogleEarthEnterpriseTerrainProvider).toConformToInterface( - TerrainProvider + TerrainProvider, ); }); it("fromMetadata throws without metadata", function () { installMockGetQuadTreePacket(); expect(() => - GoogleEarthEnterpriseTerrainProvider.fromMetadata() + GoogleEarthEnterpriseTerrainProvider.fromMetadata(), ).toThrowDeveloperError("metadata is required, actual value was undefined"); }); @@ -96,10 +95,10 @@ describe("Core/GoogleEarthEnterpriseTerrainProvider", function () { metadata.terrainPresent = false; expect(() => - GoogleEarthEnterpriseTerrainProvider.fromMetadata(metadata) + GoogleEarthEnterpriseTerrainProvider.fromMetadata(metadata), ).toThrowError( RuntimeError, - "The server made/up/url/ doesn't have terrain" + "The server made/up/url/ doesn't have terrain", ); }); @@ -107,9 +106,8 @@ describe("Core/GoogleEarthEnterpriseTerrainProvider", function () { installMockGetQuadTreePacket(); const metadata = await GoogleEarthEnterpriseMetadata.fromUrl("made/up/url"); - terrainProvider = GoogleEarthEnterpriseTerrainProvider.fromMetadata( - metadata - ); + terrainProvider = + GoogleEarthEnterpriseTerrainProvider.fromMetadata(metadata); expect(terrainProvider.tilingScheme).toBeInstanceOf(GeographicTilingScheme); }); @@ -123,7 +121,7 @@ describe("Core/GoogleEarthEnterpriseTerrainProvider", function () { metadata, { ellipsoid: ellipsoid, - } + }, ); expect(terrainProvider.tilingScheme.ellipsoid).toEqual(ellipsoid); @@ -133,9 +131,8 @@ describe("Core/GoogleEarthEnterpriseTerrainProvider", function () { installMockGetQuadTreePacket(); const metadata = await GoogleEarthEnterpriseMetadata.fromUrl("made/up/url"); - terrainProvider = GoogleEarthEnterpriseTerrainProvider.fromMetadata( - metadata - ); + terrainProvider = + GoogleEarthEnterpriseTerrainProvider.fromMetadata(metadata); expect(terrainProvider.errorEvent).toBeDefined(); expect(terrainProvider.errorEvent).toBe(terrainProvider.errorEvent); }); @@ -144,20 +141,19 @@ describe("Core/GoogleEarthEnterpriseTerrainProvider", function () { installMockGetQuadTreePacket(); const metadata = await GoogleEarthEnterpriseMetadata.fromUrl("made/up/url"); - terrainProvider = GoogleEarthEnterpriseTerrainProvider.fromMetadata( - metadata - ); + terrainProvider = + GoogleEarthEnterpriseTerrainProvider.fromMetadata(metadata); expect(terrainProvider.getLevelMaximumGeometricError(0)).toBeGreaterThan( - 0.0 + 0.0, ); expect(terrainProvider.getLevelMaximumGeometricError(0)).toEqualEpsilon( terrainProvider.getLevelMaximumGeometricError(1) * 2.0, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(terrainProvider.getLevelMaximumGeometricError(1)).toEqualEpsilon( terrainProvider.getLevelMaximumGeometricError(2) * 2.0, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -165,9 +161,8 @@ describe("Core/GoogleEarthEnterpriseTerrainProvider", function () { installMockGetQuadTreePacket(); const metadata = await GoogleEarthEnterpriseMetadata.fromUrl("made/up/url"); - terrainProvider = GoogleEarthEnterpriseTerrainProvider.fromMetadata( - metadata - ); + terrainProvider = + GoogleEarthEnterpriseTerrainProvider.fromMetadata(metadata); expect(terrainProvider.credit).toBeUndefined(); }); @@ -180,7 +175,7 @@ describe("Core/GoogleEarthEnterpriseTerrainProvider", function () { metadata, { credit: "thanks to our awesome made up contributors!", - } + }, ); expect(terrainProvider.credit).toBeDefined(); @@ -190,9 +185,8 @@ describe("Core/GoogleEarthEnterpriseTerrainProvider", function () { installMockGetQuadTreePacket(); const metadata = await GoogleEarthEnterpriseMetadata.fromUrl("made/up/url"); - terrainProvider = GoogleEarthEnterpriseTerrainProvider.fromMetadata( - metadata - ); + terrainProvider = + GoogleEarthEnterpriseTerrainProvider.fromMetadata(metadata); expect(terrainProvider.hasWaterMask).toBe(false); }); @@ -201,9 +195,8 @@ describe("Core/GoogleEarthEnterpriseTerrainProvider", function () { installMockGetQuadTreePacket(); const metadata = await GoogleEarthEnterpriseMetadata.fromUrl("made/up/url"); - terrainProvider = GoogleEarthEnterpriseTerrainProvider.fromMetadata( - metadata - ); + terrainProvider = + GoogleEarthEnterpriseTerrainProvider.fromMetadata(metadata); expect(terrainProvider.hasVertexNormals).toBe(false); }); @@ -218,7 +211,7 @@ describe("Core/GoogleEarthEnterpriseTerrainProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { Resource._DefaultImplementations.loadWithXhr( "Data/GoogleEarthEnterprise/gee.terrain", @@ -226,7 +219,7 @@ describe("Core/GoogleEarthEnterpriseTerrainProvider", function () { method, data, headers, - deferred + deferred, ); }; @@ -244,7 +237,7 @@ describe("Core/GoogleEarthEnterpriseTerrainProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { Resource._DefaultImplementations.loadWithXhr( "Data/GoogleEarthEnterprise/gee.terrain", @@ -252,16 +245,14 @@ describe("Core/GoogleEarthEnterpriseTerrainProvider", function () { method, data, headers, - deferred + deferred, ); }; - const metadata = await GoogleEarthEnterpriseMetadata.fromUrl( - "made/up/url" - ); - terrainProvider = GoogleEarthEnterpriseTerrainProvider.fromMetadata( - metadata - ); + const metadata = + await GoogleEarthEnterpriseMetadata.fromUrl("made/up/url"); + terrainProvider = + GoogleEarthEnterpriseTerrainProvider.fromMetadata(metadata); await pollToPromise(function () { return terrainProvider.getTileDataAvailable(0, 0, 0); @@ -285,7 +276,7 @@ describe("Core/GoogleEarthEnterpriseTerrainProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { if (url.indexOf("dbRoot.v5") !== -1) { return deferred.reject(); // Just reject dbRoot file and use defaults. @@ -299,7 +290,7 @@ describe("Core/GoogleEarthEnterpriseTerrainProvider", function () { method, data, headers, - deferred + deferred, ); } // Do nothing, so requests never complete @@ -307,9 +298,8 @@ describe("Core/GoogleEarthEnterpriseTerrainProvider", function () { }; const metadata = await GoogleEarthEnterpriseMetadata.fromUrl(baseUrl); - terrainProvider = GoogleEarthEnterpriseTerrainProvider.fromMetadata( - metadata - ); + terrainProvider = + GoogleEarthEnterpriseTerrainProvider.fromMetadata(metadata); const promises = []; return pollToPromise(function () { @@ -366,7 +356,7 @@ describe("Core/GoogleEarthEnterpriseTerrainProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { Resource._DefaultImplementations.loadWithXhr( "Data/CesiumTerrainTileJson/tile.terrain", @@ -374,14 +364,13 @@ describe("Core/GoogleEarthEnterpriseTerrainProvider", function () { method, data, headers, - deferred + deferred, ); }; const metadata = await GoogleEarthEnterpriseMetadata.fromUrl(baseUrl); - terrainProvider = GoogleEarthEnterpriseTerrainProvider.fromMetadata( - metadata - ); + terrainProvider = + GoogleEarthEnterpriseTerrainProvider.fromMetadata(metadata); const tileInfo = terrainProvider._metadata._tileInfo; const info = diff --git a/packages/engine/Specs/Core/GroundPolylineGeometrySpec.js b/packages/engine/Specs/Core/GroundPolylineGeometrySpec.js index 84af45fa9cb9..6a6f842b7623 100644 --- a/packages/engine/Specs/Core/GroundPolylineGeometrySpec.js +++ b/packages/engine/Specs/Core/GroundPolylineGeometrySpec.js @@ -55,7 +55,7 @@ describe("Core/GroundPolylineGeometry", function () { }); const geometry = GroundPolylineGeometry.createGeometry( - groundPolylineGeometry + groundPolylineGeometry, ); expect(geometry.indices.length).toEqual(36); @@ -140,8 +140,8 @@ describe("Core/GroundPolylineGeometry", function () { Cartographic.equalsEpsilon( reconstructedCarto, startCartographic, - CesiumMath.EPSILON7 - ) + CesiumMath.EPSILON7, + ), ).toBe(true); const endPosition3D = new Cartesian3(); @@ -155,41 +155,41 @@ describe("Core/GroundPolylineGeometry", function () { Cartographic.equalsEpsilon( reconstructedCarto, endCartographic, - CesiumMath.EPSILON7 - ) + CesiumMath.EPSILON7, + ), ).toBe(true); const startNormal3D = Cartesian3.unpack( - startNormalAndForwardOffsetZ.values + startNormalAndForwardOffsetZ.values, ); expect( Cartesian3.equalsEpsilon( startNormal3D, new Cartesian3(0.0, 1.0, 0.0), - CesiumMath.EPSILON2 - ) + CesiumMath.EPSILON2, + ), ).toBe(true); const endNormal3D = Cartesian3.unpack( - endNormalAndTextureCoordinateNormalizationX.values + endNormalAndTextureCoordinateNormalizationX.values, ); expect( Cartesian3.equalsEpsilon( endNormal3D, new Cartesian3(0.0, -1.0, 0.0), - CesiumMath.EPSILON2 - ) + CesiumMath.EPSILON2, + ), ).toBe(true); const rightNormal3D = Cartesian3.unpack( - rightNormalAndTextureCoordinateNormalizationY.values + rightNormalAndTextureCoordinateNormalizationY.values, ); expect( Cartesian3.equalsEpsilon( rightNormal3D, new Cartesian3(0.0, 0.0, -1.0), - CesiumMath.EPSILON2 - ) + CesiumMath.EPSILON2, + ), ).toBe(true); let texcoordNormalizationX = @@ -208,8 +208,8 @@ describe("Core/GroundPolylineGeometry", function () { Cartographic.equalsEpsilon( reconstructedCarto, startCartographic, - CesiumMath.EPSILON7 - ) + CesiumMath.EPSILON7, + ), ).toBe(true); const endPosition2D = new Cartesian3(); @@ -221,8 +221,8 @@ describe("Core/GroundPolylineGeometry", function () { Cartographic.equalsEpsilon( reconstructedCarto, endCartographic, - CesiumMath.EPSILON7 - ) + CesiumMath.EPSILON7, + ), ).toBe(true); const startNormal2D = new Cartesian3(); @@ -232,8 +232,8 @@ describe("Core/GroundPolylineGeometry", function () { Cartesian3.equalsEpsilon( startNormal2D, new Cartesian3(1.0, 0.0, 0.0), - CesiumMath.EPSILON2 - ) + CesiumMath.EPSILON2, + ), ).toBe(true); const endNormal2D = new Cartesian3(); @@ -243,8 +243,8 @@ describe("Core/GroundPolylineGeometry", function () { Cartesian3.equalsEpsilon( endNormal2D, new Cartesian3(-1.0, 0.0, 0.0), - CesiumMath.EPSILON2 - ) + CesiumMath.EPSILON2, + ), ).toBe(true); const rightNormal2D = new Cartesian3(); @@ -254,8 +254,8 @@ describe("Core/GroundPolylineGeometry", function () { Cartesian3.equalsEpsilon( rightNormal2D, new Cartesian3(0.0, -1.0, 0.0), - CesiumMath.EPSILON2 - ) + CesiumMath.EPSILON2, + ), ).toBe(true); texcoordNormalizationX = texcoordNormalization2D.values[0]; @@ -278,17 +278,17 @@ describe("Core/GroundPolylineGeometry", function () { groundPolylineGeometry._scene3DOnly = true; const geometry = GroundPolylineGeometry.createGeometry( - groundPolylineGeometry + groundPolylineGeometry, ); expect(geometry.attributes.startHiAndForwardOffsetX).toBeDefined(); expect(geometry.attributes.startLoAndForwardOffsetY).toBeDefined(); expect(geometry.attributes.startNormalAndForwardOffsetZ).toBeDefined(); expect( - geometry.attributes.endNormalAndTextureCoordinateNormalizationX + geometry.attributes.endNormalAndTextureCoordinateNormalizationX, ).toBeDefined(); expect( - geometry.attributes.rightNormalAndTextureCoordinateNormalizationY + geometry.attributes.rightNormalAndTextureCoordinateNormalizationY, ).toBeDefined(); expect(geometry.attributes.startHiLo2D).not.toBeDefined(); @@ -319,7 +319,7 @@ describe("Core/GroundPolylineGeometry", function () { }); const geometry = GroundPolylineGeometry.createGeometry( - groundPolylineGeometry + groundPolylineGeometry, ); expect(geometry.indices.length).toEqual(36); @@ -341,7 +341,7 @@ describe("Core/GroundPolylineGeometry", function () { }); const geometry = GroundPolylineGeometry.createGeometry( - groundPolylineGeometry + groundPolylineGeometry, ); expect(geometry).toBeUndefined(); @@ -350,18 +350,13 @@ describe("Core/GroundPolylineGeometry", function () { it("miters turns", function () { const groundPolylineGeometry = new GroundPolylineGeometry({ positions: Cartesian3.fromDegreesArray([ - 0.01, - 0.0, - 0.02, - 0.0, - 0.02, - 0.01, + 0.01, 0.0, 0.02, 0.0, 0.02, 0.01, ]), granularity: 0.0, }); const geometry = GroundPolylineGeometry.createGeometry( - groundPolylineGeometry + groundPolylineGeometry, ); expect(geometry.indices.length).toEqual(72); expect(geometry.attributes.position.values.length).toEqual(48); @@ -373,37 +368,37 @@ describe("Core/GroundPolylineGeometry", function () { const miteredStartNormal = Cartesian3.unpack( startNormalAndForwardOffsetZvalues, - 32 + 32, ); const miteredEndNormal = Cartesian3.unpack( endNormalAndTextureCoordinateNormalizationXvalues, - 0 + 0, ); const reverseMiteredEndNormal = Cartesian3.multiplyByScalar( miteredEndNormal, -1.0, - new Cartesian3() + new Cartesian3(), ); expect( Cartesian3.equalsEpsilon( miteredStartNormal, reverseMiteredEndNormal, - CesiumMath.EPSILON7 - ) + CesiumMath.EPSILON7, + ), ).toBe(true); const approximateExpectedMiterNormal = new Cartesian3(0.0, 1.0, 1.0); Cartesian3.normalize( approximateExpectedMiterNormal, - approximateExpectedMiterNormal + approximateExpectedMiterNormal, ); expect( Cartesian3.equalsEpsilon( approximateExpectedMiterNormal, miteredStartNormal, - CesiumMath.EPSILON2 - ) + CesiumMath.EPSILON2, + ), ).toBe(true); }); @@ -421,7 +416,7 @@ describe("Core/GroundPolylineGeometry", function () { }); let geometry = GroundPolylineGeometry.createGeometry( - groundPolylineGeometry + groundPolylineGeometry, ); let startNormalAndForwardOffsetZvalues = @@ -431,33 +426,33 @@ describe("Core/GroundPolylineGeometry", function () { let miteredStartNormal = Cartesian3.unpack( startNormalAndForwardOffsetZvalues, - 32 + 32, ); let miteredEndNormal = Cartesian3.unpack( endNormalAndTextureCoordinateNormalizationXvalues, - 0 + 0, ); expect( Cartesian3.equalsEpsilon( miteredStartNormal, miteredEndNormal, - CesiumMath.EPSILON7 - ) + CesiumMath.EPSILON7, + ), ).toBe(true); let approximateExpectedMiterNormal = new Cartesian3(0.0, -1.0, 0.0); Cartesian3.normalize( approximateExpectedMiterNormal, - approximateExpectedMiterNormal + approximateExpectedMiterNormal, ); expect( Cartesian3.equalsEpsilon( approximateExpectedMiterNormal, miteredStartNormal, - CesiumMath.EPSILON2 - ) + CesiumMath.EPSILON2, + ), ).toBe(true); // Break miter on loop end @@ -484,33 +479,33 @@ describe("Core/GroundPolylineGeometry", function () { // Check normals at loop end miteredStartNormal = Cartesian3.unpack( startNormalAndForwardOffsetZvalues, - 0 + 0, ); miteredEndNormal = Cartesian3.unpack( endNormalAndTextureCoordinateNormalizationXvalues, - 32 * 2 + 32 * 2, ); expect( Cartesian3.equalsEpsilon( miteredStartNormal, miteredEndNormal, - CesiumMath.EPSILON7 - ) + CesiumMath.EPSILON7, + ), ).toBe(true); approximateExpectedMiterNormal = new Cartesian3(0.0, 1.0, 0.0); Cartesian3.normalize( approximateExpectedMiterNormal, - approximateExpectedMiterNormal + approximateExpectedMiterNormal, ); expect( Cartesian3.equalsEpsilon( approximateExpectedMiterNormal, miteredStartNormal, - CesiumMath.EPSILON2 - ) + CesiumMath.EPSILON2, + ), ).toBe(true); }); @@ -521,7 +516,7 @@ describe("Core/GroundPolylineGeometry", function () { }); let geometry = GroundPolylineGeometry.createGeometry( - groundPolylineGeometry + groundPolylineGeometry, ); expect(geometry.indices.length).toEqual(72); @@ -530,12 +525,7 @@ describe("Core/GroundPolylineGeometry", function () { // Interpolate one segment but not the other groundPolylineGeometry = new GroundPolylineGeometry({ positions: Cartesian3.fromDegreesArray([ - 0.01, - 0.0, - 0.02, - 0.0, - 0.0201, - 0.0, + 0.01, 0.0, 0.02, 0.0, 0.0201, 0.0, ]), granularity: 600.0, }); @@ -562,10 +552,10 @@ describe("Core/GroundPolylineGeometry", function () { }); let rhumbGeometry = GroundPolylineGeometry.createGeometry( - rhumbGroundPolylineGeometry + rhumbGroundPolylineGeometry, ); let geodesicGeometry = GroundPolylineGeometry.createGeometry( - geodesicGroundPolylineGeometry + geodesicGroundPolylineGeometry, ); expect(rhumbGeometry.indices.length).toEqual(3636); @@ -587,16 +577,16 @@ describe("Core/GroundPolylineGeometry", function () { }); rhumbGeometry = GroundPolylineGeometry.createGeometry( - rhumbGroundPolylineGeometry + rhumbGroundPolylineGeometry, ); geodesicGeometry = GroundPolylineGeometry.createGeometry( - geodesicGroundPolylineGeometry + geodesicGroundPolylineGeometry, ); expect(rhumbGeometry.indices.length).toEqual(3636 + 36); expect(geodesicGeometry.indices.length).toEqual(3600 + 36); expect(geodesicGeometry.attributes.position.values.length).toEqual( - 2400 + 24 + 2400 + 24, ); expect(rhumbGeometry.attributes.position.values.length).toEqual(2424 + 24); }); @@ -610,18 +600,13 @@ describe("Core/GroundPolylineGeometry", function () { // Not enough positions to loop, should still be a single segment let geometry = GroundPolylineGeometry.createGeometry( - groundPolylineGeometry + groundPolylineGeometry, ); expect(geometry.indices.length).toEqual(36); groundPolylineGeometry = new GroundPolylineGeometry({ positions: Cartesian3.fromDegreesArray([ - 0.01, - 0.0, - 0.02, - 0.0, - 0.02, - 0.02, + 0.01, 0.0, 0.02, 0.0, 0.02, 0.02, ]), granularity: 0.0, loop: true, @@ -640,7 +625,7 @@ describe("Core/GroundPolylineGeometry", function () { }); let geometry = GroundPolylineGeometry.createGeometry( - groundPolylineGeometry + groundPolylineGeometry, ); expect(geometry.indices.length).toEqual(72); @@ -660,14 +645,7 @@ describe("Core/GroundPolylineGeometry", function () { // Cross IDL going opposite direction and loop groundPolylineGeometry = new GroundPolylineGeometry({ positions: Cartesian3.fromDegreesArray([ - 179.0, - 0.0, - 179.0, - 1.0, - -179.0, - 1.0, - -179.0, - 0.0, + 179.0, 0.0, 179.0, 1.0, -179.0, 1.0, -179.0, 0.0, ]), granularity: 0.0, // no interpolative subdivision loop: true, @@ -709,7 +687,7 @@ describe("Core/GroundPolylineGeometry", function () { groundPolylineGeometry._scene3DOnly = true; GroundPolylineGeometry.setProjectionAndEllipsoid( groundPolylineGeometry, - new WebMercatorProjection(Ellipsoid.UNIT_SPHERE) + new WebMercatorProjection(Ellipsoid.UNIT_SPHERE), ); const packedArray = [0]; @@ -724,14 +702,14 @@ describe("Core/GroundPolylineGeometry", function () { expect( Cartesian3.equals( scratchPositions[0], - groundPolylineGeometry._positions[0] - ) + groundPolylineGeometry._positions[0], + ), ).toBe(true); expect( Cartesian3.equals( scratchPositions[1], - groundPolylineGeometry._positions[1] - ) + groundPolylineGeometry._positions[1], + ), ).toBe(true); expect(scratch.loop).toBe(true); expect(scratch.granularity).toEqual(10.0); @@ -749,7 +727,7 @@ describe("Core/GroundPolylineGeometry", function () { groundPolylineGeometry._scene3DOnly = true; GroundPolylineGeometry.setProjectionAndEllipsoid( groundPolylineGeometry, - new WebMercatorProjection(Ellipsoid.UNIT_SPHERE) + new WebMercatorProjection(Ellipsoid.UNIT_SPHERE), ); const packedArray = [0]; @@ -761,14 +739,14 @@ describe("Core/GroundPolylineGeometry", function () { expect( Cartesian3.equals( scratchPositions[0], - groundPolylineGeometry._positions[0] - ) + groundPolylineGeometry._positions[0], + ), ).toBe(true); expect( Cartesian3.equals( scratchPositions[1], - groundPolylineGeometry._positions[1] - ) + groundPolylineGeometry._positions[1], + ), ).toBe(true); expect(result.loop).toBe(true); expect(result.granularity).toEqual(10.0); @@ -786,22 +764,17 @@ describe("Core/GroundPolylineGeometry", function () { GroundPolylineGeometry.setProjectionAndEllipsoid( groundPolylineGeometry, - new WebMercatorProjection(Ellipsoid.UNIT_SPHERE) + new WebMercatorProjection(Ellipsoid.UNIT_SPHERE), ); expect(groundPolylineGeometry._projectionIndex).toEqual(1); expect( - groundPolylineGeometry._ellipsoid.equals(Ellipsoid.UNIT_SPHERE) + groundPolylineGeometry._ellipsoid.equals(Ellipsoid.UNIT_SPHERE), ).toBe(true); }); const positions = Cartesian3.fromDegreesArray([ - 0.01, - 0.0, - 0.02, - 0.0, - 0.02, - 0.1, + 0.01, 0.0, 0.02, 0.0, 0.02, 0.1, ]); const polyline = new GroundPolylineGeometry({ positions: positions, @@ -813,12 +786,12 @@ describe("Core/GroundPolylineGeometry", function () { const projection = new GeographicProjection(); const cartographic = new Cartographic( CesiumMath.PI - CesiumMath.EPSILON11, - 0.0 + 0.0, ); const normal = new Cartesian3(0.0, -1.0, 0.0); const projectedPosition = projection.project( cartographic, - new Cartesian3() + new Cartesian3(), ); const result = new Cartesian3(); @@ -827,22 +800,20 @@ describe("Core/GroundPolylineGeometry", function () { cartographic, normal, projectedPosition, - result + result, ); expect( Cartesian3.equalsEpsilon( result, new Cartesian3(1.0, 0.0, 0.0), - CesiumMath.EPSILON7 - ) + CesiumMath.EPSILON7, + ), ).toBe(true); }); it("creates bounding spheres that cover the entire polyline volume height", function () { const positions = Cartesian3.fromDegreesArray([ - -122.17580380403314, - 46.19984918190237, - -122.17581380403314, + -122.17580380403314, 46.19984918190237, -122.17581380403314, 46.19984918190237, ]); @@ -853,7 +824,7 @@ describe("Core/GroundPolylineGeometry", function () { }); const geometry = GroundPolylineGeometry.createGeometry( - groundPolylineGeometry + groundPolylineGeometry, ); const boundingSphere = geometry.boundingSphere; @@ -865,10 +836,7 @@ describe("Core/GroundPolylineGeometry", function () { it("creates bounding spheres that cover the entire polyline volume height in negative elevation regions", function () { const positions = Cartesian3.fromDegreesArray([ - 35.549174, - 31.377954, - 35.549174, - 31.377953, + 35.549174, 31.377954, 35.549174, 31.377953, ]); // Dead Sea - provided coordinates from below sea level to above sea level @@ -879,7 +847,7 @@ describe("Core/GroundPolylineGeometry", function () { }); const geometry = GroundPolylineGeometry.createGeometry( - groundPolylineGeometry + groundPolylineGeometry, ); const boundingSphere = geometry.boundingSphere; diff --git a/packages/engine/Specs/Core/HeadingPitchRollSpec.js b/packages/engine/Specs/Core/HeadingPitchRollSpec.js index a65df32d5633..e753e75cc7b4 100644 --- a/packages/engine/Specs/Core/HeadingPitchRollSpec.js +++ b/packages/engine/Specs/Core/HeadingPitchRollSpec.js @@ -18,22 +18,22 @@ describe("Core/HeadingPitchRoll", function () { const headingPitchRoll = new HeadingPitchRoll( 1.0 * deg2rad, 2.0 * deg2rad, - 3.0 * deg2rad + 3.0 * deg2rad, ); expect(headingPitchRoll.heading).toEqual( 1.0 * deg2rad, 2.0 * deg2rad, - 3.0 * deg2rad + 3.0 * deg2rad, ); expect(headingPitchRoll.pitch).toEqual( 2.0 * deg2rad, 2.0 * deg2rad, - 3.0 * deg2rad + 3.0 * deg2rad, ); expect(headingPitchRoll.roll).toEqual( 3.0 * deg2rad, 2.0 * deg2rad, - 3.0 * deg2rad + 3.0 * deg2rad, ); }); @@ -57,7 +57,7 @@ describe("Core/HeadingPitchRoll", function () { hpr.roll = init[2]; const result = HeadingPitchRoll.fromQuaternion( - Quaternion.fromHeadingPitchRoll(hpr) + Quaternion.fromHeadingPitchRoll(hpr), ); expect(init[0]).toEqualEpsilon(result.heading, CesiumMath.EPSILON11); expect(init[1]).toEqualEpsilon(result.pitch, CesiumMath.EPSILON11); @@ -70,7 +70,7 @@ describe("Core/HeadingPitchRoll", function () { 8.801218199179452e-17, -0.7071067801637715, -8.801218315071006e-17, - -0.7071067822093238 + -0.7071067822093238, ); const result = HeadingPitchRoll.fromQuaternion(q); expect(result.pitch).toEqual(-(Math.PI / 2)); @@ -93,15 +93,15 @@ describe("Core/HeadingPitchRoll", function () { const result = HeadingPitchRoll.fromDegrees(init[0], init[1], init[2]); expect(init[0] * deg2rad).toEqualEpsilon( result.heading, - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); expect(init[1] * deg2rad).toEqualEpsilon( result.pitch, - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); expect(init[2] * deg2rad).toEqualEpsilon( result.roll, - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); } }); @@ -118,7 +118,7 @@ describe("Core/HeadingPitchRoll", function () { headingDeg, pitchDeg, rollDeg, - result + result, ); const expected = new HeadingPitchRoll(headingRad, pitchRad, rollRad); expect(actual).toEqual(expected); @@ -129,7 +129,7 @@ describe("Core/HeadingPitchRoll", function () { const headingPitchRoll = new HeadingPitchRoll( 1.0 * deg2rad, 2.0 * deg2rad, - 3.0 * deg2rad + 3.0 * deg2rad, ); const result = new HeadingPitchRoll(); const returnedResult = HeadingPitchRoll.clone(headingPitchRoll, result); @@ -142,11 +142,11 @@ describe("Core/HeadingPitchRoll", function () { const headingPitchRoll = new HeadingPitchRoll( 1.0 * deg2rad, 2.0 * deg2rad, - 3.0 * deg2rad + 3.0 * deg2rad, ); const returnedResult = HeadingPitchRoll.clone( headingPitchRoll, - headingPitchRoll + headingPitchRoll, ); expect(headingPitchRoll).toBe(returnedResult); }); @@ -156,26 +156,26 @@ describe("Core/HeadingPitchRoll", function () { expect( HeadingPitchRoll.equals( headingPitchRoll, - new HeadingPitchRoll(1.0, 2.0, 3.0) - ) + new HeadingPitchRoll(1.0, 2.0, 3.0), + ), ).toEqual(true); expect( HeadingPitchRoll.equals( headingPitchRoll, - new HeadingPitchRoll(2.0, 2.0, 3.0) - ) + new HeadingPitchRoll(2.0, 2.0, 3.0), + ), ).toEqual(false); expect( HeadingPitchRoll.equals( headingPitchRoll, - new HeadingPitchRoll(2.0, 1.0, 3.0) - ) + new HeadingPitchRoll(2.0, 1.0, 3.0), + ), ).toEqual(false); expect( HeadingPitchRoll.equals( headingPitchRoll, - new HeadingPitchRoll(1.0, 2.0, 4.0) - ) + new HeadingPitchRoll(1.0, 2.0, 4.0), + ), ).toEqual(false); expect(HeadingPitchRoll.equals(headingPitchRoll, undefined)).toEqual(false); }); @@ -183,37 +183,37 @@ describe("Core/HeadingPitchRoll", function () { it("equalsEpsilon", function () { let headingPitchRoll = new HeadingPitchRoll(1.0, 2.0, 3.0); expect( - headingPitchRoll.equalsEpsilon(new HeadingPitchRoll(1.0, 2.0, 3.0), 0.0) + headingPitchRoll.equalsEpsilon(new HeadingPitchRoll(1.0, 2.0, 3.0), 0.0), ).toEqual(true); expect( - headingPitchRoll.equalsEpsilon(new HeadingPitchRoll(1.0, 2.0, 3.0), 1.0) + headingPitchRoll.equalsEpsilon(new HeadingPitchRoll(1.0, 2.0, 3.0), 1.0), ).toEqual(true); expect( - headingPitchRoll.equalsEpsilon(new HeadingPitchRoll(2.0, 2.0, 3.0), 1.0) + headingPitchRoll.equalsEpsilon(new HeadingPitchRoll(2.0, 2.0, 3.0), 1.0), ).toEqual(true); expect( - headingPitchRoll.equalsEpsilon(new HeadingPitchRoll(1.0, 3.0, 3.0), 1.0) + headingPitchRoll.equalsEpsilon(new HeadingPitchRoll(1.0, 3.0, 3.0), 1.0), ).toEqual(true); expect( - headingPitchRoll.equalsEpsilon(new HeadingPitchRoll(1.0, 2.0, 4.0), 1.0) + headingPitchRoll.equalsEpsilon(new HeadingPitchRoll(1.0, 2.0, 4.0), 1.0), ).toEqual(true); expect( headingPitchRoll.equalsEpsilon( new HeadingPitchRoll(2.0, 2.0, 3.0), - CesiumMath.EPSILON6 - ) + CesiumMath.EPSILON6, + ), ).toEqual(false); expect( headingPitchRoll.equalsEpsilon( new HeadingPitchRoll(1.0, 3.0, 3.0), - CesiumMath.EPSILON6 - ) + CesiumMath.EPSILON6, + ), ).toEqual(false); expect( headingPitchRoll.equalsEpsilon( new HeadingPitchRoll(1.0, 2.0, 4.0), - CesiumMath.EPSILON6 - ) + CesiumMath.EPSILON6, + ), ).toEqual(false); expect(headingPitchRoll.equalsEpsilon(undefined, 1)).toEqual(false); @@ -221,43 +221,43 @@ describe("Core/HeadingPitchRoll", function () { expect( headingPitchRoll.equalsEpsilon( new HeadingPitchRoll(3000000.0, 4000000.0, 5000000.0), - 0.0 - ) + 0.0, + ), ).toEqual(true); expect( headingPitchRoll.equalsEpsilon( new HeadingPitchRoll(3000000.2, 4000000.0, 5000000.0), - CesiumMath.EPSILON7 - ) + CesiumMath.EPSILON7, + ), ).toEqual(true); expect( headingPitchRoll.equalsEpsilon( new HeadingPitchRoll(3000000.0, 4000000.2, 5000000.0), - CesiumMath.EPSILON7 - ) + CesiumMath.EPSILON7, + ), ).toEqual(true); expect( headingPitchRoll.equalsEpsilon( new HeadingPitchRoll(3000000.0, 4000000.0, 5000000.2), - CesiumMath.EPSILON7 - ) + CesiumMath.EPSILON7, + ), ).toEqual(true); expect( headingPitchRoll.equalsEpsilon( new HeadingPitchRoll(3000000.2, 4000000.2, 5000000.2), - CesiumMath.EPSILON7 - ) + CesiumMath.EPSILON7, + ), ).toEqual(true); expect( headingPitchRoll.equalsEpsilon( new HeadingPitchRoll(3000000.2, 4000000.2, 5000000.2), - CesiumMath.EPSILON9 - ) + CesiumMath.EPSILON9, + ), ).toEqual(false); expect(headingPitchRoll.equalsEpsilon(undefined, 1)).toEqual(false); expect( - HeadingPitchRoll.equalsEpsilon(undefined, headingPitchRoll, 1) + HeadingPitchRoll.equalsEpsilon(undefined, headingPitchRoll, 1), ).toEqual(false); }); diff --git a/packages/engine/Specs/Core/HeapSpec.js b/packages/engine/Specs/Core/HeapSpec.js index 0e5cf83af3c9..a2a480c9c745 100644 --- a/packages/engine/Specs/Core/HeapSpec.js +++ b/packages/engine/Specs/Core/HeapSpec.js @@ -77,7 +77,7 @@ describe("Core/Heap", function () { expect(heap.length).toBeLessThanOrEqual(heap.maximumLength); // allowed one extra slot for swapping expect(heap.internalArray.length).toBeLessThanOrEqual( - heap.maximumLength + 1 + heap.maximumLength + 1, ); }); diff --git a/packages/engine/Specs/Core/HeightmapTerrainDataSpec.js b/packages/engine/Specs/Core/HeightmapTerrainDataSpec.js index ea0b8657bf03..57d0c557da02 100644 --- a/packages/engine/Specs/Core/HeightmapTerrainDataSpec.js +++ b/packages/engine/Specs/Core/HeightmapTerrainDataSpec.js @@ -230,22 +230,8 @@ describe("Core/HeightmapTerrainData", function () { it("upsamples", function () { data = new HeightmapTerrainData({ buffer: new Float32Array([ - 1.0, - 2.0, - 3.0, - 4.0, - 5.0, - 6.0, - 7.0, - 8.0, - 9.0, - 10.0, - 11.0, - 12.0, - 13.0, - 14.0, - 15.0, - 16.0, + 1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 9.0, 10.0, 11.0, 12.0, 13.0, + 14.0, 15.0, 16.0, ]), width: 4, height: 4, @@ -261,22 +247,8 @@ describe("Core/HeightmapTerrainData", function () { expect(upsampled._width).toBe(4); expect(upsampled._height).toBe(4); expect(upsampled._buffer).toEqual([ - 1.0, - 1.5, - 2.0, - 2.5, - 3.0, - 3.5, - 4.0, - 4.5, - 5.0, - 5.5, - 6.0, - 6.5, - 7.0, - 7.5, - 8.0, - 8.5, + 1.0, 1.5, 2.0, 2.5, 3.0, 3.5, 4.0, 4.5, 5.0, 5.5, 6.0, 6.5, 7.0, + 7.5, 8.0, 8.5, ]); }); }); @@ -284,54 +256,9 @@ describe("Core/HeightmapTerrainData", function () { it("upsample works with a stride", function () { data = new HeightmapTerrainData({ buffer: new Uint8Array([ - 1, - 1, - 10, - 2, - 1, - 10, - 3, - 1, - 10, - 4, - 1, - 10, - 5, - 1, - 10, - 6, - 1, - 10, - 7, - 1, - 10, - 8, - 1, - 10, - 9, - 1, - 10, - 10, - 1, - 10, - 11, - 1, - 10, - 12, - 1, - 10, - 13, - 1, - 10, - 14, - 1, - 10, - 15, - 1, - 10, - 16, - 1, - 10, + 1, 1, 10, 2, 1, 10, 3, 1, 10, 4, 1, 10, 5, 1, 10, 6, 1, 10, 7, 1, 10, + 8, 1, 10, 9, 1, 10, 10, 1, 10, 11, 1, 10, 12, 1, 10, 13, 1, 10, 14, 1, + 10, 15, 1, 10, 16, 1, 10, ]), width: 4, height: 4, @@ -351,54 +278,9 @@ describe("Core/HeightmapTerrainData", function () { expect(upsampled._width).toBe(4); expect(upsampled._height).toBe(4); expect(upsampled._buffer).toEqual([ - 1, - 1, - 0, - 1, - 1, - 0, - 2, - 1, - 0, - 2, - 1, - 0, - 3, - 1, - 0, - 3, - 1, - 0, - 4, - 1, - 0, - 4, - 1, - 0, - 5, - 1, - 0, - 5, - 1, - 0, - 6, - 1, - 0, - 6, - 1, - 0, - 7, - 1, - 0, - 7, - 1, - 0, - 8, - 1, - 0, - 8, - 1, - 0, + 1, 1, 0, 1, 1, 0, 2, 1, 0, 2, 1, 0, 3, 1, 0, 3, 1, 0, 4, 1, 0, 4, 1, + 0, 5, 1, 0, 5, 1, 0, 6, 1, 0, 6, 1, 0, 7, 1, 0, 7, 1, 0, 8, 1, 0, 8, + 1, 0, ]); }); }); @@ -406,54 +288,9 @@ describe("Core/HeightmapTerrainData", function () { it("upsample works with a big endian stride", function () { data = new HeightmapTerrainData({ buffer: new Uint8Array([ - 1, - 1, - 10, - 1, - 2, - 10, - 1, - 3, - 10, - 1, - 4, - 10, - 1, - 5, - 10, - 1, - 6, - 10, - 1, - 7, - 10, - 1, - 8, - 10, - 1, - 9, - 10, - 1, - 10, - 10, - 1, - 11, - 10, - 1, - 12, - 10, - 1, - 13, - 10, - 1, - 14, - 10, - 1, - 15, - 10, - 1, - 16, - 10, + 1, 1, 10, 1, 2, 10, 1, 3, 10, 1, 4, 10, 1, 5, 10, 1, 6, 10, 1, 7, 10, + 1, 8, 10, 1, 9, 10, 1, 10, 10, 1, 11, 10, 1, 12, 10, 1, 13, 10, 1, 14, + 10, 1, 15, 10, 1, 16, 10, ]), width: 4, height: 4, @@ -474,54 +311,9 @@ describe("Core/HeightmapTerrainData", function () { expect(upsampled._width).toBe(4); expect(upsampled._height).toBe(4); expect(upsampled._buffer).toEqual([ - 1, - 1, - 0, - 1, - 1, - 0, - 1, - 2, - 0, - 1, - 2, - 0, - 1, - 3, - 0, - 1, - 3, - 0, - 1, - 4, - 0, - 1, - 4, - 0, - 1, - 5, - 0, - 1, - 5, - 0, - 1, - 6, - 0, - 1, - 6, - 0, - 1, - 7, - 0, - 1, - 7, - 0, - 1, - 8, - 0, - 1, - 8, - 0, + 1, 1, 0, 1, 1, 0, 1, 2, 0, 1, 2, 0, 1, 3, 0, 1, 3, 0, 1, 4, 0, 1, 4, + 0, 1, 5, 0, 1, 5, 0, 1, 6, 0, 1, 6, 0, 1, 7, 0, 1, 7, 0, 1, 8, 0, 1, + 8, 0, ]); }); }); @@ -529,22 +321,8 @@ describe("Core/HeightmapTerrainData", function () { it("upsample works for an eastern child", function () { data = new HeightmapTerrainData({ buffer: new Float32Array([ - 1.0, - 2.0, - 3.0, - 4.0, - 5.0, - 6.0, - 7.0, - 8.0, - 9.0, - 10.0, - 11.0, - 12.0, - 13.0, - 14.0, - 15.0, - 16.0, + 1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 9.0, 10.0, 11.0, 12.0, 13.0, + 14.0, 15.0, 16.0, ]), width: 4, height: 4, @@ -560,22 +338,8 @@ describe("Core/HeightmapTerrainData", function () { expect(upsampled._width).toBe(4); expect(upsampled._height).toBe(4); expect(upsampled._buffer).toEqual([ - 2.5, - 3.0, - 3.5, - 4.0, - 4.5, - 5.0, - 5.5, - 6.0, - 6.5, - 7.0, - 7.5, - 8.0, - 8.5, - 9.0, - 9.5, - 10.0, + 2.5, 3.0, 3.5, 4.0, 4.5, 5.0, 5.5, 6.0, 6.5, 7.0, 7.5, 8.0, 8.5, + 9.0, 9.5, 10.0, ]); }); }); @@ -583,54 +347,9 @@ describe("Core/HeightmapTerrainData", function () { it("upsample works with a stride for an eastern child", function () { data = new HeightmapTerrainData({ buffer: new Uint8Array([ - 1, - 1, - 10, - 2, - 1, - 10, - 3, - 1, - 10, - 4, - 1, - 10, - 5, - 1, - 10, - 6, - 1, - 10, - 7, - 1, - 10, - 8, - 1, - 10, - 9, - 1, - 10, - 10, - 1, - 10, - 11, - 1, - 10, - 12, - 1, - 10, - 13, - 1, - 10, - 14, - 1, - 10, - 15, - 1, - 10, - 16, - 1, - 10, + 1, 1, 10, 2, 1, 10, 3, 1, 10, 4, 1, 10, 5, 1, 10, 6, 1, 10, 7, 1, 10, + 8, 1, 10, 9, 1, 10, 10, 1, 10, 11, 1, 10, 12, 1, 10, 13, 1, 10, 14, 1, + 10, 15, 1, 10, 16, 1, 10, ]), width: 4, height: 4, @@ -650,54 +369,9 @@ describe("Core/HeightmapTerrainData", function () { expect(upsampled._width).toBe(4); expect(upsampled._height).toBe(4); expect(upsampled._buffer).toEqual([ - 2, - 1, - 0, - 3, - 1, - 0, - 3, - 1, - 0, - 4, - 1, - 0, - 4, - 1, - 0, - 5, - 1, - 0, - 5, - 1, - 0, - 6, - 1, - 0, - 6, - 1, - 0, - 7, - 1, - 0, - 7, - 1, - 0, - 8, - 1, - 0, - 8, - 1, - 0, - 9, - 1, - 0, - 9, - 1, - 0, - 10, - 1, - 0, + 2, 1, 0, 3, 1, 0, 3, 1, 0, 4, 1, 0, 4, 1, 0, 5, 1, 0, 5, 1, 0, 6, 1, + 0, 6, 1, 0, 7, 1, 0, 7, 1, 0, 8, 1, 0, 8, 1, 0, 9, 1, 0, 9, 1, 0, + 10, 1, 0, ]); }); }); @@ -705,22 +379,8 @@ describe("Core/HeightmapTerrainData", function () { it("upsample clamps out of range data", function () { data = new HeightmapTerrainData({ buffer: new Float32Array([ - -1.0, - -2.0, - -3.0, - -4.0, - 5.0, - 6.0, - 7.0, - 8.0, - 9.0, - 10.0, - 11.0, - 12.0, - 13.0, - 14.0, - 15.0, - 16.0, + -1.0, -2.0, -3.0, -4.0, 5.0, 6.0, 7.0, 8.0, 9.0, 10.0, 11.0, 12.0, + 13.0, 14.0, 15.0, 16.0, ]), width: 4, height: 4, @@ -742,22 +402,7 @@ describe("Core/HeightmapTerrainData", function () { expect(upsampled._width).toBe(4); expect(upsampled._height).toBe(4); expect(upsampled._buffer).toEqual([ - 1, - 1, - 1, - 1, - 2, - 1.5, - 2, - 1.5, - 5, - 5.5, - 6, - 6.5, - 7, - 7, - 7, - 7, + 1, 1, 1, 1, 2, 1.5, 2, 1.5, 5, 5.5, 6, 6.5, 7, 7, 7, 7, ]); }); }); diff --git a/packages/engine/Specs/Core/HermitePolynomialApproximationSpec.js b/packages/engine/Specs/Core/HermitePolynomialApproximationSpec.js index b8a908263921..240888f62a30 100644 --- a/packages/engine/Specs/Core/HermitePolynomialApproximationSpec.js +++ b/packages/engine/Specs/Core/HermitePolynomialApproximationSpec.js @@ -6,40 +6,14 @@ describe("Core/HermitePolynomialApproximation", function () { const xTable = [0, 60, 120, 180, 240, 300, 360, 420]; const yTable = [ - 13378137.0, - 0, - 13374128.3576279, - 0, - 13362104.8328212, - 0, - 13342073.6310691, - 0, - 13314046.7567223, - 0, - 13278041.005799, - 0, - 13234077.9559193, - 0, - 13182183.953374, - 0, + 13378137.0, 0, 13374128.3576279, 0, 13362104.8328212, 0, 13342073.6310691, + 0, 13314046.7567223, 0, 13278041.005799, 0, 13234077.9559193, 0, + 13182183.953374, 0, ]; const dyTable = [ - 0.0, - 0, - -133.614738921601, - 0, - -267.149404854867, - 0, - -400.523972797808, - 0, - -533.658513692378, - 0, - -666.473242324565, - 0, - -798.888565138278, - 0, - -930.82512793439, - 0, + 0.0, 0, -133.614738921601, 0, -267.149404854867, 0, -400.523972797808, 0, + -533.658513692378, 0, -666.473242324565, 0, -798.888565138278, 0, + -930.82512793439, 0, ]; const yTableCombined = new Array(yTable.length * 2); @@ -59,7 +33,7 @@ describe("Core/HermitePolynomialApproximation", function () { x, xTable, yTableCombined, - 4 + 4, ); const expectedResult = 13367002.870928625; //The accuracy is lower because we are no longer using derivative info @@ -74,7 +48,7 @@ describe("Core/HermitePolynomialApproximation", function () { xTable, yTableCombined, 4, - result + result, ); const expectedResult = 13367002.870928625; expect(result).toBe(returnedResult); @@ -88,13 +62,13 @@ describe("Core/HermitePolynomialApproximation", function () { expect(HermitePolynomialApproximation.getRequiredDataPoints(2)).toEqual(3); expect(HermitePolynomialApproximation.getRequiredDataPoints(3)).toEqual(4); expect(HermitePolynomialApproximation.getRequiredDataPoints(3, 1)).toEqual( - 2 + 2, ); expect(HermitePolynomialApproximation.getRequiredDataPoints(5, 1)).toEqual( - 3 + 3, ); expect(HermitePolynomialApproximation.getRequiredDataPoints(7, 1)).toEqual( - 4 + 4, ); }); @@ -105,7 +79,7 @@ describe("Core/HermitePolynomialApproximation", function () { yTableCombined, 2, 1, - 1 + 1, ); const expectedResult = [13367002.870928625, 0.0, -222.65168787012135, 0.0]; expect(result).toEqualEpsilon(expectedResult, 1e-8); diff --git a/packages/engine/Specs/Core/HermiteSplineSpec.js b/packages/engine/Specs/Core/HermiteSplineSpec.js index 3b04ea682381..d66356a7aa9e 100644 --- a/packages/engine/Specs/Core/HermiteSplineSpec.js +++ b/packages/engine/Specs/Core/HermiteSplineSpec.js @@ -81,7 +81,7 @@ describe("Core/HermiteSpline", function () { return Cartesian3.add( Cartesian3.add(Cartesian3.add(p0, p1, p0), p2, p0), p3, - p0 + p0, ); }; } @@ -120,7 +120,7 @@ describe("Core/HermiteSpline", function () { for (let i = 0.0; i < 1.0; i = i + granularity) { expect(hs.evaluate(i)).toEqualEpsilon( interpolate(i), - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); } }); @@ -191,7 +191,7 @@ describe("Core/HermiteSpline", function () { tangents[i] = Cartesian3.multiplyByScalar( Cartesian3.subtract(points[i + 1], points[i - 1], new Cartesian3()), 0.5, - new Cartesian3() + new Cartesian3(), ); } tangents[tangents.length - 1] = new Cartesian3(1165345, 112641, 47281); @@ -200,7 +200,7 @@ describe("Core/HermiteSpline", function () { points[0], tangents[0], points[1], - tangents[1] + tangents[1], ); const hs = HermiteSpline.createC1({ times: times, @@ -212,7 +212,7 @@ describe("Core/HermiteSpline", function () { for (let j = times[0]; j < times[1]; j = j + granularity) { expect(hs.evaluate(j)).toEqualEpsilon( interpolate(j), - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); } }); @@ -263,7 +263,7 @@ describe("Core/HermiteSpline", function () { points[0], p0Tangent, points[1], - p1Tangent + p1Tangent, ); const hs = HermiteSpline.createNaturalCubic({ points: points, @@ -274,7 +274,7 @@ describe("Core/HermiteSpline", function () { for (let i = times[0]; i < times[1]; i = i + granularity) { expect(hs.evaluate(i)).toEqualEpsilon( interpolate(i), - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); } }); @@ -347,7 +347,7 @@ describe("Core/HermiteSpline", function () { points[0], p0Tangent, points[1], - p1Tangent + p1Tangent, ); const hs = HermiteSpline.createClampedCubic({ points: points, @@ -360,7 +360,7 @@ describe("Core/HermiteSpline", function () { for (let i = points[0].time; i < points[1].time; i = i + granularity) { expect(hs.evaluate(i)).toEqualEpsilon( interpolate(i), - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); } }); @@ -473,7 +473,7 @@ describe("Core/HermiteSpline", function () { const expected = new Quaternion(0.0, 0.0, -0.54567, 0.81546); point = hs.evaluate(0.75); expect(Quaternion.equalsEpsilon(point, expected, CesiumMath.EPSILON4)).toBe( - true + true, ); }); @@ -502,7 +502,7 @@ describe("Core/HermiteSpline", function () { const t = (times[0] + times[1]) * 0.5; expect(hs.evaluate(t)).toEqual( - Cartesian3.lerp(points[0], points[1], t, new Cartesian3()) + Cartesian3.lerp(points[0], points[1], t, new Cartesian3()), ); }); @@ -517,7 +517,7 @@ describe("Core/HermiteSpline", function () { const t = (times[0] + times[1]) * 0.5; expect(hs.evaluate(t)).toEqual( - Cartesian3.lerp(points[0], points[1], t, new Cartesian3()) + Cartesian3.lerp(points[0], points[1], t, new Cartesian3()), ); }); }); diff --git a/packages/engine/Specs/Core/Iau2006XysDataSpec.js b/packages/engine/Specs/Core/Iau2006XysDataSpec.js index 059ac4aef448..86e48b9a1d6b 100644 --- a/packages/engine/Specs/Core/Iau2006XysDataSpec.js +++ b/packages/engine/Specs/Core/Iau2006XysDataSpec.js @@ -38,8 +38,8 @@ describe("Core/Iau2006XysData", function () { new Iau2006XysSample( -0.0024019733101066816, -0.000024843279494458311, - -0.000000016941747917421229 - ) + -0.000000016941747917421229, + ), ); }); }); @@ -57,7 +57,7 @@ describe("Core/Iau2006XysData", function () { // this should be the same location as the default, but specifying the value // takes the code through a different code path. xysFileUrlTemplate: buildModuleUrl( - "Assets/IAU2006_XYS/IAU2006_XYS_{0}.json" + "Assets/IAU2006_XYS/IAU2006_XYS_{0}.json", ), }); diff --git a/packages/engine/Specs/Core/IauOrientationAxesSpec.js b/packages/engine/Specs/Core/IauOrientationAxesSpec.js index 8d220d4f1a1c..77c553f27741 100644 --- a/packages/engine/Specs/Core/IauOrientationAxesSpec.js +++ b/packages/engine/Specs/Core/IauOrientationAxesSpec.js @@ -27,7 +27,7 @@ describe("Core/IauOrientationAxes", function () { 0.31035675134719942, -0.022608671404182448, -0.41183090094261243, - 0.91097977859342938 + 0.91097977859342938, ); const mtx = axes.evaluate(date); diff --git a/packages/engine/Specs/Core/IndexDatatypeSpec.js b/packages/engine/Specs/Core/IndexDatatypeSpec.js index a3a8132643bc..7091e8529e4a 100644 --- a/packages/engine/Specs/Core/IndexDatatypeSpec.js +++ b/packages/engine/Specs/Core/IndexDatatypeSpec.js @@ -9,11 +9,11 @@ describe("Core/IndexDatatype", function () { it("createTypedArray creates array", function () { expect(IndexDatatype.createTypedArray(3, 3).BYTES_PER_ELEMENT).toEqual( - Uint16Array.BYTES_PER_ELEMENT + Uint16Array.BYTES_PER_ELEMENT, ); expect( IndexDatatype.createTypedArray(CesiumMath.SIXTY_FOUR_KILOBYTES + 1, 3) - .BYTES_PER_ELEMENT + .BYTES_PER_ELEMENT, ).toEqual(Uint32Array.BYTES_PER_ELEMENT); }); @@ -30,10 +30,10 @@ describe("Core/IndexDatatype", function () { 3, sourceArray.buffer, 0, - 5 + 5, ); expect(indexBuffer.BYTES_PER_ELEMENT).toEqual( - Uint16Array.BYTES_PER_ELEMENT + Uint16Array.BYTES_PER_ELEMENT, ); expect(indexBuffer.length).toEqual(5); expect(indexBuffer[0]).toEqual(0); @@ -46,10 +46,10 @@ describe("Core/IndexDatatype", function () { 3, sourceArray.buffer, Uint16Array.BYTES_PER_ELEMENT * 5, - 5 + 5, ); expect(indexBuffer.BYTES_PER_ELEMENT).toEqual( - Uint16Array.BYTES_PER_ELEMENT + Uint16Array.BYTES_PER_ELEMENT, ); expect(indexBuffer.length).toEqual(5); expect(indexBuffer[0]).toEqual(5); @@ -62,10 +62,10 @@ describe("Core/IndexDatatype", function () { CesiumMath.SIXTY_FOUR_KILOBYTES + 1, sourceArray.buffer, 0, - 5 + 5, ); expect(indexBuffer.BYTES_PER_ELEMENT).toEqual( - Uint32Array.BYTES_PER_ELEMENT + Uint32Array.BYTES_PER_ELEMENT, ); expect(indexBuffer.length).toEqual(5); expect(indexBuffer[0]).toEqual(0); @@ -78,10 +78,10 @@ describe("Core/IndexDatatype", function () { CesiumMath.SIXTY_FOUR_KILOBYTES + 1, sourceArray.buffer, Uint32Array.BYTES_PER_ELEMENT * 5, - 5 + 5, ); expect(indexBuffer.BYTES_PER_ELEMENT).toEqual( - Uint32Array.BYTES_PER_ELEMENT + Uint32Array.BYTES_PER_ELEMENT, ); expect(indexBuffer.length).toEqual(5); expect(indexBuffer[0]).toEqual(5); @@ -105,20 +105,20 @@ describe("Core/IndexDatatype", function () { IndexDatatype.createTypedArrayFromArrayBuffer( 3, sourceArray.buffer, - undefined + undefined, ); }).toThrowDeveloperError(); }); it("getSizeInBytes returns size", function () { expect(IndexDatatype.getSizeInBytes(IndexDatatype.UNSIGNED_BYTE)).toEqual( - Uint8Array.BYTES_PER_ELEMENT + Uint8Array.BYTES_PER_ELEMENT, ); expect(IndexDatatype.getSizeInBytes(IndexDatatype.UNSIGNED_SHORT)).toEqual( - Uint16Array.BYTES_PER_ELEMENT + Uint16Array.BYTES_PER_ELEMENT, ); expect(IndexDatatype.getSizeInBytes(IndexDatatype.UNSIGNED_INT)).toEqual( - Uint32Array.BYTES_PER_ELEMENT + Uint32Array.BYTES_PER_ELEMENT, ); }); @@ -130,13 +130,13 @@ describe("Core/IndexDatatype", function () { it("fromTypedArray works", function () { expect(IndexDatatype.fromTypedArray(new Uint8Array())).toBe( - IndexDatatype.UNSIGNED_BYTE + IndexDatatype.UNSIGNED_BYTE, ); expect(IndexDatatype.fromTypedArray(new Uint16Array())).toBe( - IndexDatatype.UNSIGNED_SHORT + IndexDatatype.UNSIGNED_SHORT, ); expect(IndexDatatype.fromTypedArray(new Uint32Array())).toBe( - IndexDatatype.UNSIGNED_INT + IndexDatatype.UNSIGNED_INT, ); }); diff --git a/packages/engine/Specs/Core/IntersectionTestsSpec.js b/packages/engine/Specs/Core/IntersectionTestsSpec.js index 8b1a1eb776f3..6e6d8ef2a0f9 100644 --- a/packages/engine/Specs/Core/IntersectionTestsSpec.js +++ b/packages/engine/Specs/Core/IntersectionTestsSpec.js @@ -12,7 +12,7 @@ describe("Core/IntersectionTests", function () { it("rayPlane intersects", function () { const ray = new Ray( new Cartesian3(2.0, 0.0, 0.0), - new Cartesian3(-1.0, 0.0, 0.0) + new Cartesian3(-1.0, 0.0, 0.0), ); const plane = new Plane(Cartesian3.UNIT_X, -1.0); @@ -24,7 +24,7 @@ describe("Core/IntersectionTests", function () { it("rayPlane misses", function () { const ray = new Ray( new Cartesian3(2.0, 0.0, 0.0), - new Cartesian3(1.0, 0.0, 0.0) + new Cartesian3(1.0, 0.0, 0.0), ); const plane = new Plane(Cartesian3.UNIT_X, -1.0); @@ -36,7 +36,7 @@ describe("Core/IntersectionTests", function () { it("rayPlane misses (parallel)", function () { const ray = new Ray( new Cartesian3(2.0, 0.0, 0.0), - new Cartesian3(0.0, 1.0, 0.0) + new Cartesian3(0.0, 1.0, 0.0), ); const plane = new Plane(Cartesian3.UNIT_X, -1.0); @@ -80,7 +80,7 @@ describe("Core/IntersectionTests", function () { IntersectionTests.rayTriangle( new Ray(), new Cartesian3(), - new Cartesian3() + new Cartesian3(), ); }).toThrowDeveloperError(); }); @@ -92,7 +92,7 @@ describe("Core/IntersectionTests", function () { const ray = new Ray( Cartesian3.UNIT_Z, - Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()) + Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()), ); const intersection = IntersectionTests.rayTriangle(ray, p0, p1, p2); @@ -106,7 +106,7 @@ describe("Core/IntersectionTests", function () { const ray = new Ray( Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()), - Cartesian3.UNIT_Z + Cartesian3.UNIT_Z, ); const intersection = IntersectionTests.rayTriangle(ray, p0, p1, p2); @@ -120,7 +120,7 @@ describe("Core/IntersectionTests", function () { const ray = new Ray( Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()), - Cartesian3.UNIT_Z + Cartesian3.UNIT_Z, ); const intersection = IntersectionTests.rayTriangle(ray, p0, p1, p2, true); @@ -134,7 +134,7 @@ describe("Core/IntersectionTests", function () { const ray = new Ray( new Cartesian3(0.0, -1.0, 1.0), - Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()) + Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()), ); const intersection = IntersectionTests.rayTriangle(ray, p0, p1, p2); @@ -148,7 +148,7 @@ describe("Core/IntersectionTests", function () { const ray = new Ray( new Cartesian3(1.0, 1.0, 1.0), - Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()) + Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()), ); const intersection = IntersectionTests.rayTriangle(ray, p0, p1, p2); @@ -162,7 +162,7 @@ describe("Core/IntersectionTests", function () { const ray = new Ray( new Cartesian3(-1.0, 1.0, 1.0), - Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()) + Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()), ); const intersection = IntersectionTests.rayTriangle(ray, p0, p1, p2); @@ -214,7 +214,7 @@ describe("Core/IntersectionTests", function () { IntersectionTests.lineSegmentTriangle( new Cartesian3(), new Cartesian3(), - new Cartesian3() + new Cartesian3(), ); }).toThrowDeveloperError(); }); @@ -225,7 +225,7 @@ describe("Core/IntersectionTests", function () { new Cartesian3(), new Cartesian3(), new Cartesian3(), - new Cartesian3() + new Cartesian3(), ); }).toThrowDeveloperError(); }); @@ -243,7 +243,7 @@ describe("Core/IntersectionTests", function () { v1, p0, p1, - p2 + p2, ); expect(intersection).toEqual(Cartesian3.ZERO); }); @@ -261,7 +261,7 @@ describe("Core/IntersectionTests", function () { v1, p0, p1, - p2 + p2, ); expect(intersection).toEqual(Cartesian3.ZERO); }); @@ -280,7 +280,7 @@ describe("Core/IntersectionTests", function () { p0, p1, p2, - true + true, ); expect(intersection).not.toBeDefined(); }); @@ -294,7 +294,7 @@ describe("Core/IntersectionTests", function () { const v1 = Cartesian3.add( v0, Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ); const intersection = IntersectionTests.lineSegmentTriangle( @@ -302,7 +302,7 @@ describe("Core/IntersectionTests", function () { v1, p0, p1, - p2 + p2, ); expect(intersection).not.toBeDefined(); }); @@ -316,7 +316,7 @@ describe("Core/IntersectionTests", function () { const v1 = Cartesian3.add( v0, Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ); const intersection = IntersectionTests.lineSegmentTriangle( @@ -324,7 +324,7 @@ describe("Core/IntersectionTests", function () { v1, p0, p1, - p2 + p2, ); expect(intersection).not.toBeDefined(); }); @@ -338,7 +338,7 @@ describe("Core/IntersectionTests", function () { const v1 = Cartesian3.add( v0, Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ); const intersection = IntersectionTests.lineSegmentTriangle( @@ -346,7 +346,7 @@ describe("Core/IntersectionTests", function () { v1, p0, p1, - p2 + p2, ); expect(intersection).not.toBeDefined(); }); @@ -364,7 +364,7 @@ describe("Core/IntersectionTests", function () { v1, p0, p1, - p2 + p2, ); expect(intersection).not.toBeDefined(); }); @@ -378,7 +378,7 @@ describe("Core/IntersectionTests", function () { const v1 = Cartesian3.multiplyByScalar( Cartesian3.UNIT_Z, 2.0, - new Cartesian3() + new Cartesian3(), ); const intersection = IntersectionTests.lineSegmentTriangle( @@ -386,7 +386,7 @@ describe("Core/IntersectionTests", function () { v1, p0, p1, - p2 + p2, ); expect(intersection).not.toBeDefined(); }); @@ -399,7 +399,7 @@ describe("Core/IntersectionTests", function () { const v0 = Cartesian3.multiplyByScalar( Cartesian3.UNIT_Z, 2.0, - new Cartesian3() + new Cartesian3(), ); const v1 = Cartesian3.UNIT_Z; @@ -408,7 +408,7 @@ describe("Core/IntersectionTests", function () { v1, p0, p1, - p2 + p2, ); expect(intersection).not.toBeDefined(); }); @@ -430,7 +430,7 @@ describe("Core/IntersectionTests", function () { let ray = new Ray( new Cartesian3(2.0, 0.0, 0.0), - new Cartesian3(-1.0, 0.0, 0.0) + new Cartesian3(-1.0, 0.0, 0.0), ); let intersections = IntersectionTests.raySphere(ray, unitSphere); expect(intersections.start).toEqualEpsilon(1.0, CesiumMath.EPSILON14); @@ -438,7 +438,7 @@ describe("Core/IntersectionTests", function () { ray = new Ray( new Cartesian3(0.0, 2.0, 0.0), - new Cartesian3(0.0, -1.0, 0.0) + new Cartesian3(0.0, -1.0, 0.0), ); intersections = IntersectionTests.raySphere(ray, unitSphere); expect(intersections.start).toEqualEpsilon(1.0, CesiumMath.EPSILON14); @@ -446,7 +446,7 @@ describe("Core/IntersectionTests", function () { ray = new Ray( new Cartesian3(0.0, 0.0, 2.0), - new Cartesian3(0.0, 0.0, -1.0) + new Cartesian3(0.0, 0.0, -1.0), ); intersections = IntersectionTests.raySphere(ray, unitSphere); expect(intersections.start).toEqualEpsilon(1.0, CesiumMath.EPSILON14); @@ -454,14 +454,14 @@ describe("Core/IntersectionTests", function () { ray = new Ray( new Cartesian3(1.0, 1.0, 0.0), - new Cartesian3(-1.0, 0.0, 0.0) + new Cartesian3(-1.0, 0.0, 0.0), ); intersections = IntersectionTests.raySphere(ray, unitSphere); expect(intersections.start).toEqualEpsilon(1.0, CesiumMath.EPSILON14); ray = new Ray( new Cartesian3(-2.0, 0.0, 0.0), - new Cartesian3(1.0, 0.0, 0.0) + new Cartesian3(1.0, 0.0, 0.0), ); intersections = IntersectionTests.raySphere(ray, unitSphere); expect(intersections.start).toEqualEpsilon(1.0, CesiumMath.EPSILON14); @@ -469,7 +469,7 @@ describe("Core/IntersectionTests", function () { ray = new Ray( new Cartesian3(0.0, -2.0, 0.0), - new Cartesian3(0.0, 1.0, 0.0) + new Cartesian3(0.0, 1.0, 0.0), ); intersections = IntersectionTests.raySphere(ray, unitSphere); expect(intersections.start).toEqualEpsilon(1.0, CesiumMath.EPSILON14); @@ -477,7 +477,7 @@ describe("Core/IntersectionTests", function () { ray = new Ray( new Cartesian3(0.0, 0.0, -2.0), - new Cartesian3(0.0, 0.0, 1.0) + new Cartesian3(0.0, 0.0, 1.0), ); intersections = IntersectionTests.raySphere(ray, unitSphere); expect(intersections.start).toEqualEpsilon(1.0, CesiumMath.EPSILON14); @@ -485,28 +485,28 @@ describe("Core/IntersectionTests", function () { ray = new Ray( new Cartesian3(-1.0, -1.0, 0.0), - new Cartesian3(1.0, 0.0, 0.0) + new Cartesian3(1.0, 0.0, 0.0), ); intersections = IntersectionTests.raySphere(ray, unitSphere); expect(intersections.start).toEqualEpsilon(1.0, CesiumMath.EPSILON14); ray = new Ray( new Cartesian3(-2.0, 0.0, 0.0), - new Cartesian3(-1.0, 0.0, 0.0) + new Cartesian3(-1.0, 0.0, 0.0), ); intersections = IntersectionTests.raySphere(ray, unitSphere); expect(intersections).toBeUndefined(); ray = new Ray( new Cartesian3(0.0, -2.0, 0.0), - new Cartesian3(0.0, -1.0, 0.0) + new Cartesian3(0.0, -1.0, 0.0), ); intersections = IntersectionTests.raySphere(ray, unitSphere); expect(intersections).toBeUndefined(); ray = new Ray( new Cartesian3(0.0, 0.0, -2.0), - new Cartesian3(0.0, 0.0, -1.0) + new Cartesian3(0.0, 0.0, -1.0), ); intersections = IntersectionTests.raySphere(ray, unitSphere); expect(intersections).toBeUndefined(); @@ -518,7 +518,7 @@ describe("Core/IntersectionTests", function () { const origin = new Cartesian3(200.0, 0.0, 0.0); const direction = Cartesian3.negate( Cartesian3.normalize(origin, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ); const ray = new Ray(origin, direction); @@ -564,14 +564,14 @@ describe("Core/IntersectionTests", function () { let ray = new Ray( new Cartesian3(2.0, 0.0, 0.0), - new Cartesian3(0.0, 0.0, 1.0) + new Cartesian3(0.0, 0.0, 1.0), ); let intersections = IntersectionTests.raySphere(ray, unitSphere); expect(intersections).not.toBeDefined(); ray = new Ray( new Cartesian3(2.0, 0.0, 0.0), - new Cartesian3(0.0, 0.0, -1.0) + new Cartesian3(0.0, 0.0, -1.0), ); intersections = IntersectionTests.raySphere(ray, unitSphere); expect(intersections).not.toBeDefined(); @@ -582,7 +582,7 @@ describe("Core/IntersectionTests", function () { ray = new Ray( new Cartesian3(2.0, 0.0, 0.0), - new Cartesian3(0.0, -1.0, 0.0) + new Cartesian3(0.0, -1.0, 0.0), ); intersections = IntersectionTests.raySphere(ray, unitSphere); expect(intersections).not.toBeDefined(); @@ -593,7 +593,7 @@ describe("Core/IntersectionTests", function () { let ray = new Ray( new Cartesian3(202.0, 0.0, 0.0), - new Cartesian3(-1.0, 0.0, 0.0) + new Cartesian3(-1.0, 0.0, 0.0), ); let intersections = IntersectionTests.raySphere(ray, unitSphere); expect(intersections.start).toEqualEpsilon(1.0, CesiumMath.EPSILON14); @@ -601,7 +601,7 @@ describe("Core/IntersectionTests", function () { ray = new Ray( new Cartesian3(200.0, 2.0, 0.0), - new Cartesian3(0.0, -1.0, 0.0) + new Cartesian3(0.0, -1.0, 0.0), ); intersections = IntersectionTests.raySphere(ray, unitSphere); expect(intersections.start).toEqualEpsilon(1.0, CesiumMath.EPSILON14); @@ -609,7 +609,7 @@ describe("Core/IntersectionTests", function () { ray = new Ray( new Cartesian3(200.0, 0.0, 2.0), - new Cartesian3(0.0, 0.0, -1.0) + new Cartesian3(0.0, 0.0, -1.0), ); intersections = IntersectionTests.raySphere(ray, unitSphere); expect(intersections.start).toEqualEpsilon(1.0, CesiumMath.EPSILON14); @@ -617,14 +617,14 @@ describe("Core/IntersectionTests", function () { ray = new Ray( new Cartesian3(201.0, 1.0, 0.0), - new Cartesian3(-1.0, 0.0, 0.0) + new Cartesian3(-1.0, 0.0, 0.0), ); intersections = IntersectionTests.raySphere(ray, unitSphere); expect(intersections.start).toEqualEpsilon(1.0, CesiumMath.EPSILON14); ray = new Ray( new Cartesian3(198.0, 0.0, 0.0), - new Cartesian3(1.0, 0.0, 0.0) + new Cartesian3(1.0, 0.0, 0.0), ); intersections = IntersectionTests.raySphere(ray, unitSphere); expect(intersections.start).toEqualEpsilon(1.0, CesiumMath.EPSILON14); @@ -632,7 +632,7 @@ describe("Core/IntersectionTests", function () { ray = new Ray( new Cartesian3(200.0, -2.0, 0.0), - new Cartesian3(0.0, 1.0, 0.0) + new Cartesian3(0.0, 1.0, 0.0), ); intersections = IntersectionTests.raySphere(ray, unitSphere); expect(intersections.start).toEqualEpsilon(1.0, CesiumMath.EPSILON14); @@ -640,7 +640,7 @@ describe("Core/IntersectionTests", function () { ray = new Ray( new Cartesian3(200.0, 0.0, -2.0), - new Cartesian3(0.0, 0.0, 1.0) + new Cartesian3(0.0, 0.0, 1.0), ); intersections = IntersectionTests.raySphere(ray, unitSphere); expect(intersections.start).toEqualEpsilon(1.0, CesiumMath.EPSILON14); @@ -648,28 +648,28 @@ describe("Core/IntersectionTests", function () { ray = new Ray( new Cartesian3(199.0, -1.0, 0.0), - new Cartesian3(1.0, 0.0, 0.0) + new Cartesian3(1.0, 0.0, 0.0), ); intersections = IntersectionTests.raySphere(ray, unitSphere); expect(intersections.start).toEqualEpsilon(1.0, CesiumMath.EPSILON14); ray = new Ray( new Cartesian3(198.0, 0.0, 0.0), - new Cartesian3(-1.0, 0.0, 0.0) + new Cartesian3(-1.0, 0.0, 0.0), ); intersections = IntersectionTests.raySphere(ray, unitSphere); expect(intersections).toBeUndefined(); ray = new Ray( new Cartesian3(200.0, -2.0, 0.0), - new Cartesian3(0.0, -1.0, 0.0) + new Cartesian3(0.0, -1.0, 0.0), ); intersections = IntersectionTests.raySphere(ray, unitSphere); expect(intersections).toBeUndefined(); ray = new Ray( new Cartesian3(200.0, 0.0, -2.0), - new Cartesian3(0.0, 0.0, -1.0) + new Cartesian3(0.0, 0.0, -1.0), ); intersections = IntersectionTests.raySphere(ray, unitSphere); expect(intersections).toBeUndefined(); @@ -684,7 +684,7 @@ describe("Core/IntersectionTests", function () { it("rayEllipsoid throws without ellipsoid", function () { expect(function () { IntersectionTests.rayEllipsoid( - new Ray(new Cartesian3(), new Cartesian3()) + new Ray(new Cartesian3(), new Cartesian3()), ); }).toThrowDeveloperError(); }); @@ -694,7 +694,7 @@ describe("Core/IntersectionTests", function () { let ray = new Ray( new Cartesian3(2.0, 0.0, 0.0), - new Cartesian3(-1.0, 0.0, 0.0) + new Cartesian3(-1.0, 0.0, 0.0), ); let intersections = IntersectionTests.rayEllipsoid(ray, unitSphere); expect(intersections.start).toEqualEpsilon(1.0, CesiumMath.EPSILON14); @@ -702,7 +702,7 @@ describe("Core/IntersectionTests", function () { ray = new Ray( new Cartesian3(0.0, 2.0, 0.0), - new Cartesian3(0.0, -1.0, 0.0) + new Cartesian3(0.0, -1.0, 0.0), ); intersections = IntersectionTests.rayEllipsoid(ray, unitSphere); expect(intersections.start).toEqualEpsilon(1.0, CesiumMath.EPSILON14); @@ -710,7 +710,7 @@ describe("Core/IntersectionTests", function () { ray = new Ray( new Cartesian3(0.0, 0.0, 2.0), - new Cartesian3(0.0, 0.0, -1.0) + new Cartesian3(0.0, 0.0, -1.0), ); intersections = IntersectionTests.rayEllipsoid(ray, unitSphere); expect(intersections.start).toEqualEpsilon(1.0, CesiumMath.EPSILON14); @@ -718,14 +718,14 @@ describe("Core/IntersectionTests", function () { ray = new Ray( new Cartesian3(1.0, 1.0, 0.0), - new Cartesian3(-1.0, 0.0, 0.0) + new Cartesian3(-1.0, 0.0, 0.0), ); intersections = IntersectionTests.rayEllipsoid(ray, unitSphere); expect(intersections.start).toEqualEpsilon(1.0, CesiumMath.EPSILON14); ray = new Ray( new Cartesian3(-2.0, 0.0, 0.0), - new Cartesian3(1.0, 0.0, 0.0) + new Cartesian3(1.0, 0.0, 0.0), ); intersections = IntersectionTests.rayEllipsoid(ray, unitSphere); expect(intersections.start).toEqualEpsilon(1.0, CesiumMath.EPSILON14); @@ -733,7 +733,7 @@ describe("Core/IntersectionTests", function () { ray = new Ray( new Cartesian3(0.0, -2.0, 0.0), - new Cartesian3(0.0, 1.0, 0.0) + new Cartesian3(0.0, 1.0, 0.0), ); intersections = IntersectionTests.rayEllipsoid(ray, unitSphere); expect(intersections.start).toEqualEpsilon(1.0, CesiumMath.EPSILON14); @@ -741,7 +741,7 @@ describe("Core/IntersectionTests", function () { ray = new Ray( new Cartesian3(0.0, 0.0, -2.0), - new Cartesian3(0.0, 0.0, 1.0) + new Cartesian3(0.0, 0.0, 1.0), ); intersections = IntersectionTests.rayEllipsoid(ray, unitSphere); expect(intersections.start).toEqualEpsilon(1.0, CesiumMath.EPSILON14); @@ -749,28 +749,28 @@ describe("Core/IntersectionTests", function () { ray = new Ray( new Cartesian3(-1.0, -1.0, 0.0), - new Cartesian3(1.0, 0.0, 0.0) + new Cartesian3(1.0, 0.0, 0.0), ); intersections = IntersectionTests.rayEllipsoid(ray, unitSphere); expect(intersections.start).toEqualEpsilon(1.0, CesiumMath.EPSILON14); ray = new Ray( new Cartesian3(-2.0, 0.0, 0.0), - new Cartesian3(-1.0, 0.0, 0.0) + new Cartesian3(-1.0, 0.0, 0.0), ); intersections = IntersectionTests.rayEllipsoid(ray, unitSphere); expect(intersections).toBeUndefined(); ray = new Ray( new Cartesian3(0.0, -2.0, 0.0), - new Cartesian3(0.0, -1.0, 0.0) + new Cartesian3(0.0, -1.0, 0.0), ); intersections = IntersectionTests.rayEllipsoid(ray, unitSphere); expect(intersections).toBeUndefined(); ray = new Ray( new Cartesian3(0.0, 0.0, -2.0), - new Cartesian3(0.0, 0.0, -1.0) + new Cartesian3(0.0, 0.0, -1.0), ); intersections = IntersectionTests.rayEllipsoid(ray, unitSphere); expect(intersections).toBeUndefined(); @@ -782,7 +782,7 @@ describe("Core/IntersectionTests", function () { const origin = new Cartesian3(20000.0, 0.0, 0.0); const direction = Cartesian3.negate( Cartesian3.normalize(origin, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ); const ray = new Ray(origin, direction); @@ -828,14 +828,14 @@ describe("Core/IntersectionTests", function () { let ray = new Ray( new Cartesian3(2.0, 0.0, 0.0), - new Cartesian3(0.0, 0.0, 1.0) + new Cartesian3(0.0, 0.0, 1.0), ); let intersections = IntersectionTests.rayEllipsoid(ray, unitSphere); expect(intersections).not.toBeDefined(); ray = new Ray( new Cartesian3(2.0, 0.0, 0.0), - new Cartesian3(0.0, 0.0, -1.0) + new Cartesian3(0.0, 0.0, -1.0), ); intersections = IntersectionTests.rayEllipsoid(ray, unitSphere); expect(intersections).not.toBeDefined(); @@ -846,7 +846,7 @@ describe("Core/IntersectionTests", function () { ray = new Ray( new Cartesian3(2.0, 0.0, 0.0), - new Cartesian3(0.0, -1.0, 0.0) + new Cartesian3(0.0, -1.0, 0.0), ); intersections = IntersectionTests.rayEllipsoid(ray, unitSphere); expect(intersections).not.toBeDefined(); @@ -868,7 +868,7 @@ describe("Core/IntersectionTests", function () { const ellipsoid = Ellipsoid.UNIT_SPHERE; const ray = new Ray(new Cartesian3(3.0, 0.0, 0.0), Cartesian3.UNIT_X); expect(IntersectionTests.grazingAltitudeLocation(ray, ellipsoid)).toEqual( - ray.origin + ray.origin, ); }); @@ -881,7 +881,7 @@ describe("Core/IntersectionTests", function () { ray = new Ray( new Cartesian3(0.0, 2.0, 2.0), - Cartesian3.negate(Cartesian3.UNIT_Y, new Cartesian3()) + Cartesian3.negate(Cartesian3.UNIT_Y, new Cartesian3()), ); expected = new Cartesian3(0.0, 0.0, 2.0); actual = IntersectionTests.grazingAltitudeLocation(ray, ellipsoid); @@ -893,18 +893,18 @@ describe("Core/IntersectionTests", function () { const origin = new Cartesian3( 6502435.411150063, -6350860.759819263, - -7230794.954832983 + -7230794.954832983, ); const direction = new Cartesian3( -0.6053473557455881, 0.002372596412575323, - 0.7959578818493397 + 0.7959578818493397, ); const ray = new Ray(origin, direction); const expected = new Cartesian3( 628106.8386515155, -6327836.936616249, - 493230.07552381355 + 493230.07552381355, ); const actual = IntersectionTests.grazingAltitudeLocation(ray, ellipsoid); expect(actual).toEqualEpsilon(expected, CesiumMath.EPSILON8); @@ -915,18 +915,18 @@ describe("Core/IntersectionTests", function () { const origin = new Cartesian3( -6546204.940468501, -10625195.62660887, - -6933745.82875373 + -6933745.82875373, ); const direction = new Cartesian3( 0.5130076305689283, 0.38589525779680295, - 0.766751603185799 + 0.766751603185799, ); const ray = new Ray(origin, direction); const expected = new Cartesian3( -125.9063174739769, -5701095.640722358, - 2850156.57342018 + 2850156.57342018, ); const actual = IntersectionTests.grazingAltitudeLocation(ray, ellipsoid); expect(actual).toEqualEpsilon(expected, CesiumMath.EPSILON10); @@ -943,7 +943,7 @@ describe("Core/IntersectionTests", function () { const ellipsoid = Ellipsoid.UNIT_SPHERE; const ray = new Ray(Cartesian3.ZERO, Cartesian3.UNIT_Z); expect( - IntersectionTests.grazingAltitudeLocation(ray, ellipsoid) + IntersectionTests.grazingAltitudeLocation(ray, ellipsoid), ).not.toBeDefined(); }); @@ -958,7 +958,7 @@ describe("Core/IntersectionTests", function () { const intersectionPoint = IntersectionTests.lineSegmentPlane( endPoint0, endPoint1, - plane + plane, ); expect(intersectionPoint).toEqual(new Cartesian3(1.0, 2.0, 0.0)); @@ -973,7 +973,7 @@ describe("Core/IntersectionTests", function () { const intersectionPoint = IntersectionTests.lineSegmentPlane( endPoint0, endPoint1, - plane + plane, ); expect(intersectionPoint).not.toBeDefined(); @@ -988,7 +988,7 @@ describe("Core/IntersectionTests", function () { const intersectionPoint = IntersectionTests.lineSegmentPlane( endPoint0, endPoint1, - plane + plane, ); expect(intersectionPoint).not.toBeDefined(); @@ -1003,7 +1003,7 @@ describe("Core/IntersectionTests", function () { const intersectionPoint = IntersectionTests.lineSegmentPlane( endPoint0, endPoint1, - plane + plane, ); expect(intersectionPoint).not.toBeDefined(); @@ -1037,7 +1037,7 @@ describe("Core/IntersectionTests", function () { p0, p1, p2, - plane + plane, ); expect(triangles).not.toBeDefined(); }); @@ -1045,7 +1045,7 @@ describe("Core/IntersectionTests", function () { it("triangle is behind a plane", function () { const plane = new Plane( Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()), - 0.0 + 0.0, ); const p0 = new Cartesian3(0.0, 0.0, 2.0); const p1 = new Cartesian3(0.0, 1.0, 2.0); @@ -1055,7 +1055,7 @@ describe("Core/IntersectionTests", function () { p0, p1, p2, - plane + plane, ); expect(triangles).not.toBeDefined(); }); @@ -1070,12 +1070,12 @@ describe("Core/IntersectionTests", function () { p0, p1, p2, - plane + plane, ); expect(triangles).toBeDefined(); expect(triangles.indices.length).toEqual(3 + 6); expect( - Cartesian3.equals(triangles.positions[triangles.indices[0]], p0) + Cartesian3.equals(triangles.positions[triangles.indices[0]], p0), ).toEqual(true); }); @@ -1089,12 +1089,12 @@ describe("Core/IntersectionTests", function () { p0, p1, p2, - plane + plane, ); expect(triangles).toBeDefined(); expect(triangles.indices.length).toEqual(3 + 6); expect( - Cartesian3.equals(triangles.positions[triangles.indices[0]], p1) + Cartesian3.equals(triangles.positions[triangles.indices[0]], p1), ).toEqual(true); }); @@ -1108,12 +1108,12 @@ describe("Core/IntersectionTests", function () { p0, p1, p2, - plane + plane, ); expect(triangles).toBeDefined(); expect(triangles.indices.length).toEqual(3 + 6); expect( - Cartesian3.equals(triangles.positions[triangles.indices[0]], p2) + Cartesian3.equals(triangles.positions[triangles.indices[0]], p2), ).toEqual(true); }); @@ -1127,15 +1127,15 @@ describe("Core/IntersectionTests", function () { p0, p1, p2, - plane + plane, ); expect(triangles).toBeDefined(); expect(triangles.indices.length).toEqual(6 + 3); expect( - Cartesian3.equals(triangles.positions[triangles.indices[0]], p1) + Cartesian3.equals(triangles.positions[triangles.indices[0]], p1), ).toEqual(true); // p0 is in front expect( - Cartesian3.equals(triangles.positions[triangles.indices[1]], p2) + Cartesian3.equals(triangles.positions[triangles.indices[1]], p2), ).toEqual(true); }); @@ -1149,15 +1149,15 @@ describe("Core/IntersectionTests", function () { p0, p1, p2, - plane + plane, ); expect(triangles).toBeDefined(); expect(triangles.indices.length).toEqual(6 + 3); expect( - Cartesian3.equals(triangles.positions[triangles.indices[0]], p2) + Cartesian3.equals(triangles.positions[triangles.indices[0]], p2), ).toEqual(true); // p1 is in front expect( - Cartesian3.equals(triangles.positions[triangles.indices[1]], p0) + Cartesian3.equals(triangles.positions[triangles.indices[1]], p0), ).toEqual(true); }); @@ -1171,16 +1171,16 @@ describe("Core/IntersectionTests", function () { p0, p1, p2, - plane + plane, ); expect(triangles).toBeDefined(); expect(triangles.indices.length).toEqual(6 + 3); expect( Cartesian3.equals(triangles.positions[triangles.indices[0]], p0), - true + true, ); // p2 is in front expect( - Cartesian3.equals(triangles.positions[triangles.indices[1]], p1) + Cartesian3.equals(triangles.positions[triangles.indices[1]], p1), ).toEqual(true); }); diff --git a/packages/engine/Specs/Core/Intersections2DSpec.js b/packages/engine/Specs/Core/Intersections2DSpec.js index cfa2819c2534..fd922961eac1 100644 --- a/packages/engine/Specs/Core/Intersections2DSpec.js +++ b/packages/engine/Specs/Core/Intersections2DSpec.js @@ -8,7 +8,7 @@ describe("Core/Intersections2D", function () { false, 0.2, 0.3, - 0.4 + 0.4, ); expect(result.length).toBe(0); }); @@ -19,7 +19,7 @@ describe("Core/Intersections2D", function () { true, 0.2, 0.3, - 0.4 + 0.4, ); expect(result.length).toBe(3); expect(result[0]).toBe(0); @@ -33,7 +33,7 @@ describe("Core/Intersections2D", function () { false, 0.6, 0.4, - 0.2 + 0.2, ); expect(result.length).toBe(10); @@ -57,7 +57,7 @@ describe("Core/Intersections2D", function () { true, 0.4, 0.6, - 0.8 + 0.8, ); expect(result.length).toBe(10); @@ -81,7 +81,7 @@ describe("Core/Intersections2D", function () { false, 0.2, 0.6, - 0.4 + 0.4, ); expect(result.length).toBe(10); @@ -105,7 +105,7 @@ describe("Core/Intersections2D", function () { true, 0.8, 0.4, - 0.6 + 0.6, ); expect(result.length).toBe(10); @@ -129,7 +129,7 @@ describe("Core/Intersections2D", function () { false, 0.4, 0.2, - 0.6 + 0.6, ); expect(result.length).toBe(10); @@ -153,7 +153,7 @@ describe("Core/Intersections2D", function () { true, 0.6, 0.8, - 0.4 + 0.4, ); expect(result.length).toBe(10); @@ -177,7 +177,7 @@ describe("Core/Intersections2D", function () { false, 0.4, 0.6, - 0.8 + 0.8, ); expect(result.length).toBe(9); @@ -200,7 +200,7 @@ describe("Core/Intersections2D", function () { true, 0.6, 0.4, - 0.2 + 0.2, ); expect(result.length).toBe(9); @@ -223,7 +223,7 @@ describe("Core/Intersections2D", function () { false, 0.8, 0.4, - 0.6 + 0.6, ); expect(result.length).toBe(9); @@ -246,7 +246,7 @@ describe("Core/Intersections2D", function () { true, 0.2, 0.6, - 0.4 + 0.4, ); expect(result.length).toBe(9); @@ -269,7 +269,7 @@ describe("Core/Intersections2D", function () { false, 0.6, 0.8, - 0.4 + 0.4, ); expect(result.length).toBe(9); @@ -292,7 +292,7 @@ describe("Core/Intersections2D", function () { true, 0.4, 0.2, - 0.6 + 0.6, ); expect(result.length).toBe(9); @@ -320,7 +320,7 @@ describe("Core/Intersections2D", function () { 1.0, 0.0, 0.0, - 1.0 + 1.0, ); expect(ll.x).toEqualEpsilon(1.0, 1e-15); expect(ll.y).toEqualEpsilon(0.0, 1e-15); @@ -334,7 +334,7 @@ describe("Core/Intersections2D", function () { 1.0, 0.0, 0.0, - 1.0 + 1.0, ); expect(lr.x).toEqualEpsilon(0.0, 1e-15); expect(lr.y).toEqualEpsilon(1.0, 1e-15); @@ -348,7 +348,7 @@ describe("Core/Intersections2D", function () { 1.0, 0.0, 0.0, - 1.0 + 1.0, ); expect(ul.x).toEqualEpsilon(0.0, 1e-15); expect(ul.y).toEqualEpsilon(0.0, 1e-15); @@ -364,7 +364,7 @@ describe("Core/Intersections2D", function () { -1, -0.5, 1, - -0.5 + -0.5, ); expect(result.x).toEqualEpsilon(1.0 / 3.0, 1e-15); expect(result.y).toEqualEpsilon(1.0 / 3.0, 1e-15); @@ -380,7 +380,7 @@ describe("Core/Intersections2D", function () { 2.0, 1.0, 1.0, - 2.0 + 2.0, ); expect(e12.x).toEqualEpsilon(0.5, 1e-15); expect(e12.y).toEqualEpsilon(0.5, 1e-15); @@ -394,7 +394,7 @@ describe("Core/Intersections2D", function () { 2.0, 1.0, 1.0, - 2.0 + 2.0, ); expect(e23.x).toEqualEpsilon(0.0, 1e-15); expect(e23.y).toEqualEpsilon(0.5, 1e-15); @@ -408,7 +408,7 @@ describe("Core/Intersections2D", function () { 2.0, 1.0, 1.0, - 2.0 + 2.0, ); expect(e31.x).toEqualEpsilon(0.5, 1e-15); expect(e31.y).toEqualEpsilon(0.0, 1e-15); @@ -424,7 +424,7 @@ describe("Core/Intersections2D", function () { 2.0, 1.0, 1.0, - 2.0 + 2.0, ); expect(result1.x).toBeGreaterThan(0.0); expect(result1.y).toBeLessThan(0.0); @@ -438,7 +438,7 @@ describe("Core/Intersections2D", function () { 2.0, 1.0, 1.0, - 2.0 + 2.0, ); expect(result2.x).toBeLessThan(0.0); expect(result2.y).toBeGreaterThan(0.0); @@ -452,7 +452,7 @@ describe("Core/Intersections2D", function () { 2.0, 1.0, 1.0, - 2.0 + 2.0, ); expect(result3.x).toBeLessThan(0.0); expect(result3.y).toBeLessThan(0.0); @@ -462,162 +462,174 @@ describe("Core/Intersections2D", function () { describe("computeLineSegmentLineSegmentIntersection", function () { it("returns the correct result for intersection point", function () { - const intersection0 = Intersections2D.computeLineSegmentLineSegmentIntersection( - 0.0, - 0.0, - 0.0, - 2.0, - -1.0, - 1.0, - 1.0, - 1.0 - ); + const intersection0 = + Intersections2D.computeLineSegmentLineSegmentIntersection( + 0.0, + 0.0, + 0.0, + 2.0, + -1.0, + 1.0, + 1.0, + 1.0, + ); expect(intersection0.x).toEqualEpsilon(0.0, 1e-15); expect(intersection0.y).toEqualEpsilon(1.0, 1e-15); - const intersection1 = Intersections2D.computeLineSegmentLineSegmentIntersection( - 0.0, - 0.0, - 10.0, - 5.0, - 0.0, - 5.0, - 10.0, - 0.0 - ); + const intersection1 = + Intersections2D.computeLineSegmentLineSegmentIntersection( + 0.0, + 0.0, + 10.0, + 5.0, + 0.0, + 5.0, + 10.0, + 0.0, + ); expect(intersection1.x).toEqualEpsilon(5.0, 1e-15); expect(intersection1.y).toEqualEpsilon(2.5, 1e-15); - const intersection2 = Intersections2D.computeLineSegmentLineSegmentIntersection( - 0.0, - -5.0, - 4.0, - 3.0, - -2.0, - 1.0, - 4.0, - -2.0 - ); + const intersection2 = + Intersections2D.computeLineSegmentLineSegmentIntersection( + 0.0, + -5.0, + 4.0, + 3.0, + -2.0, + 1.0, + 4.0, + -2.0, + ); expect(intersection2.x).toEqualEpsilon(2.0, 1e-15); expect(intersection2.y).toEqualEpsilon(-1.0, 1e-15); }); it("returns the correct result for intersection point on a vertex", function () { - const intersection0 = Intersections2D.computeLineSegmentLineSegmentIntersection( - 0.0, - 0.0, - 0.0, - 2.0, - -1.0, - 0.0, - 1.0, - 0.0 - ); + const intersection0 = + Intersections2D.computeLineSegmentLineSegmentIntersection( + 0.0, + 0.0, + 0.0, + 2.0, + -1.0, + 0.0, + 1.0, + 0.0, + ); expect(intersection0.x).toEqualEpsilon(0.0, 1e-15); expect(intersection0.y).toEqualEpsilon(0.0, 1e-15); - const intersection1 = Intersections2D.computeLineSegmentLineSegmentIntersection( - 0.0, - 0.0, - 1.0, - 1.0, - 1.0, - 1.0, - 2.0, - 0.0 - ); + const intersection1 = + Intersections2D.computeLineSegmentLineSegmentIntersection( + 0.0, + 0.0, + 1.0, + 1.0, + 1.0, + 1.0, + 2.0, + 0.0, + ); expect(intersection1.x).toEqualEpsilon(1.0, 1e-15); expect(intersection1.y).toEqualEpsilon(1.0, 1e-15); - const intersection2 = Intersections2D.computeLineSegmentLineSegmentIntersection( - 0.0, - 0.0, - 4.0, - 3.0, - 5.0, - 0.0, - 4.0, - 3.0 - ); + const intersection2 = + Intersections2D.computeLineSegmentLineSegmentIntersection( + 0.0, + 0.0, + 4.0, + 3.0, + 5.0, + 0.0, + 4.0, + 3.0, + ); expect(intersection2.x).toEqualEpsilon(4.0, 1e-15); expect(intersection2.y).toEqualEpsilon(3.0, 1e-15); }); it("returns undefined for non-intersecting lines", function () { - const intersection0 = Intersections2D.computeLineSegmentLineSegmentIntersection( - 0.0, - 0.0, - 0.0, - 5.0, - 0.1, - 4.8, - 5.0, - 0.0 - ); + const intersection0 = + Intersections2D.computeLineSegmentLineSegmentIntersection( + 0.0, + 0.0, + 0.0, + 5.0, + 0.1, + 4.8, + 5.0, + 0.0, + ); expect(intersection0).toBeUndefined(); - const intersection1 = Intersections2D.computeLineSegmentLineSegmentIntersection( - 10.0, - 0.0, - 0.0, - -10.0, - 0.0, - 0.0, - -8.0, - -8.0 - ); + const intersection1 = + Intersections2D.computeLineSegmentLineSegmentIntersection( + 10.0, + 0.0, + 0.0, + -10.0, + 0.0, + 0.0, + -8.0, + -8.0, + ); expect(intersection1).toBeUndefined(); }); it("returns undefined for parallel lines", function () { - const intersection0 = Intersections2D.computeLineSegmentLineSegmentIntersection( - 0.0, - 0.0, - 0.0, - 2.0, - 1.0, - 1.0, - 1.0, - 4.0 - ); + const intersection0 = + Intersections2D.computeLineSegmentLineSegmentIntersection( + 0.0, + 0.0, + 0.0, + 2.0, + 1.0, + 1.0, + 1.0, + 4.0, + ); expect(intersection0).toBeUndefined(); - const intersection1 = Intersections2D.computeLineSegmentLineSegmentIntersection( - 1.0, - 1.0, - 4.0, - 4.0, - 0.0, - 0.0, - 3.0, - 3.0 - ); + const intersection1 = + Intersections2D.computeLineSegmentLineSegmentIntersection( + 1.0, + 1.0, + 4.0, + 4.0, + 0.0, + 0.0, + 3.0, + 3.0, + ); expect(intersection1).toBeUndefined(); }); it("returns undefined for coincident lines", function () { - const intersection0 = Intersections2D.computeLineSegmentLineSegmentIntersection( - 0.0, - 0.0, - 0.0, - 2.0, - 0.0, - 1.0, - 0.0, - 4.0 - ); + const intersection0 = + Intersections2D.computeLineSegmentLineSegmentIntersection( + 0.0, + 0.0, + 0.0, + 2.0, + 0.0, + 1.0, + 0.0, + 4.0, + ); expect(intersection0).toBeUndefined(); - const intersection1 = Intersections2D.computeLineSegmentLineSegmentIntersection( - 0.0, - 0.0, - 0.0, - 2.0, - 0.0, - 0.0, - 0.0, - 2.0 - ); + const intersection1 = + Intersections2D.computeLineSegmentLineSegmentIntersection( + 0.0, + 0.0, + 0.0, + 2.0, + 0.0, + 0.0, + 0.0, + 2.0, + ); expect(intersection1).toBeUndefined(); }); }); diff --git a/packages/engine/Specs/Core/IonGeocoderServiceSpec.js b/packages/engine/Specs/Core/IonGeocoderServiceSpec.js index 43b490eab0a1..17951c615c02 100644 --- a/packages/engine/Specs/Core/IonGeocoderServiceSpec.js +++ b/packages/engine/Specs/Core/IonGeocoderServiceSpec.js @@ -47,7 +47,7 @@ describe("Core/IonGeocoderService", function () { const expectedResult = ["results"]; spyOn(service._pelias, "geocode").and.returnValue( - Promise.resolve(expectedResult) + Promise.resolve(expectedResult), ); const query = "some query"; @@ -55,7 +55,7 @@ describe("Core/IonGeocoderService", function () { expect(result).toEqual(expectedResult); expect(service._pelias.geocode).toHaveBeenCalledWith( query, - GeocodeType.SEARCH + GeocodeType.SEARCH, ); }); diff --git a/packages/engine/Specs/Core/IonResourceSpec.js b/packages/engine/Specs/Core/IonResourceSpec.js index 754bbbb030c9..fe073840afc5 100644 --- a/packages/engine/Specs/Core/IonResourceSpec.js +++ b/packages/engine/Specs/Core/IonResourceSpec.js @@ -64,35 +64,35 @@ describe("Core/IonResource", function () { const options = {}; const resourceEndpoint = IonResource._createEndpointResource( tilesAssetId, - options + options, ); spyOn(IonResource, "_createEndpointResource").and.returnValue( - resourceEndpoint + resourceEndpoint, ); spyOn(resourceEndpoint, "fetchJson").and.returnValue( - Promise.resolve(tilesEndpoint) + Promise.resolve(tilesEndpoint), ); - return IonResource.fromAssetId(tilesAssetId, options).then(function ( - resource - ) { - expect(IonResource._createEndpointResource).toHaveBeenCalledWith( - tilesAssetId, - options - ); - expect(resourceEndpoint.fetchJson).toHaveBeenCalled(); - expect(resource._ionEndpointResource).toEqual(resourceEndpoint); - expect(resource._ionEndpoint).toEqual(tilesEndpoint); - }); + return IonResource.fromAssetId(tilesAssetId, options).then( + function (resource) { + expect(IonResource._createEndpointResource).toHaveBeenCalledWith( + tilesAssetId, + options, + ); + expect(resourceEndpoint.fetchJson).toHaveBeenCalled(); + expect(resource._ionEndpointResource).toEqual(resourceEndpoint); + expect(resource._ionEndpoint).toEqual(tilesEndpoint); + }, + ); }); function testNonImageryExternalResource(externalEndpoint) { const resourceEndpoint = IonResource._createEndpointResource(123890213); spyOn(IonResource, "_createEndpointResource").and.returnValue( - resourceEndpoint + resourceEndpoint, ); spyOn(resourceEndpoint, "fetchJson").and.returnValue( - Promise.resolve(externalEndpoint) + Promise.resolve(externalEndpoint), ); return IonResource.fromAssetId(123890213).then(function (resource) { @@ -137,7 +137,7 @@ describe("Core/IonResource", function () { const assetId = 2348234; const resource = IonResource._createEndpointResource(assetId); expect(resource.url).toBe( - `${Ion.defaultServer.url}v1/assets/${assetId}/endpoint?access_token=${Ion.defaultAccessToken}` + `${Ion.defaultServer.url}v1/assets/${assetId}/endpoint?access_token=${Ion.defaultAccessToken}`, ); }); @@ -151,7 +151,7 @@ describe("Core/IonResource", function () { accessToken: accessToken, }); expect(resource.url).toBe( - `${serverUrl}v1/assets/${assetId}/endpoint?access_token=${accessToken}` + `${serverUrl}v1/assets/${assetId}/endpoint?access_token=${accessToken}`, ); }); @@ -165,7 +165,7 @@ describe("Core/IonResource", function () { const assetId = 2348234; const resource = IonResource._createEndpointResource(assetId); expect(resource.url).toBe( - `${Ion.defaultServer.url}v1/assets/${assetId}/endpoint?access_token=${Ion.defaultAccessToken}` + `${Ion.defaultServer.url}v1/assets/${assetId}/endpoint?access_token=${Ion.defaultAccessToken}`, ); Ion.defaultServer = defaultServer; @@ -353,7 +353,7 @@ describe("Core/IonResource", function () { return testCallback(derived, error).then(function () { expect(derived._ionEndpoint).toBe(resource._ionEndpoint); expect(derived.headers.Authorization).toEqual( - resource.headers.Authorization + resource.headers.Authorization, ); }); }); diff --git a/packages/engine/Specs/Core/JulianDateSpec.js b/packages/engine/Specs/Core/JulianDateSpec.js index 4a034a293659..9bc22b32d88e 100644 --- a/packages/engine/Specs/Core/JulianDateSpec.js +++ b/packages/engine/Specs/Core/JulianDateSpec.js @@ -236,7 +236,7 @@ describe("Core/JulianDate", function () { it("Construct from ISO8601 UTC calendar date and time, basic format", function () { const expectedDate = JulianDate.fromDate( - new Date(Date.UTC(2009, 7, 1, 12, 30, 25)) + new Date(Date.UTC(2009, 7, 1, 12, 30, 25)), ); const computedDate = JulianDate.fromIso8601("20090801T123025Z"); expect(computedDate).toEqual(expectedDate); @@ -244,7 +244,7 @@ describe("Core/JulianDate", function () { it("Construct from ISO8601 UTC calendar date and time, extended format", function () { const expectedDate = JulianDate.fromDate( - new Date(Date.UTC(2009, 7, 1, 12, 30, 25)) + new Date(Date.UTC(2009, 7, 1, 12, 30, 25)), ); const computedDate = JulianDate.fromIso8601("2009-08-01T12:30:25Z"); expect(computedDate).toEqual(expectedDate); @@ -257,7 +257,7 @@ describe("Core/JulianDate", function () { const expectedDate = new JulianDate( 2455045, 1825.5125423, - TimeStandard.UTC + TimeStandard.UTC, ); const computedDate = JulianDate.fromIso8601("20090801T123025.5125423Z"); expect(computedDate).toEqual(expectedDate); @@ -269,7 +269,7 @@ describe("Core/JulianDate", function () { const expectedDate = new JulianDate( 2455045, 1825.5125423, - TimeStandard.UTC + TimeStandard.UTC, ); const computedDate = JulianDate.fromIso8601("2009-08-01T12:30:25.5125423Z"); expect(computedDate).toEqual(expectedDate); @@ -282,7 +282,7 @@ describe("Core/JulianDate", function () { const expectedDate = new JulianDate( 2455045, 1825.5125423, - TimeStandard.UTC + TimeStandard.UTC, ); const computedDate = JulianDate.fromIso8601("20090801T123025,5125423Z"); expect(computedDate).toEqual(expectedDate); @@ -294,7 +294,7 @@ describe("Core/JulianDate", function () { const expectedDate = new JulianDate( 2455045, 1825.5125423, - TimeStandard.UTC + TimeStandard.UTC, ); const computedDate = JulianDate.fromIso8601("2009-08-01T12:30:25,5125423Z"); expect(computedDate).toEqual(expectedDate); @@ -302,7 +302,7 @@ describe("Core/JulianDate", function () { it("Construct from ISO8601 UTC calendar date and time no seconds, basic format", function () { const expectedDate = JulianDate.fromDate( - new Date(Date.UTC(2009, 7, 1, 12, 30, 0)) + new Date(Date.UTC(2009, 7, 1, 12, 30, 0)), ); const computedDate = JulianDate.fromIso8601("20090801T1230Z"); expect(computedDate).toEqual(expectedDate); @@ -310,7 +310,7 @@ describe("Core/JulianDate", function () { it("Construct from ISO8601 UTC calendar date and time no seconds, extended format", function () { const expectedDate = JulianDate.fromDate( - new Date(Date.UTC(2009, 7, 1, 12, 30, 0)) + new Date(Date.UTC(2009, 7, 1, 12, 30, 0)), ); const computedDate = JulianDate.fromIso8601("2009-08-01T12:30Z"); expect(computedDate).toEqual(expectedDate); @@ -318,7 +318,7 @@ describe("Core/JulianDate", function () { it("Construct from ISO8601 UTC calendar date and time fractional minutes, basic format", function () { const expectedDate = JulianDate.fromDate( - new Date(Date.UTC(2009, 7, 1, 12, 30, 30)) + new Date(Date.UTC(2009, 7, 1, 12, 30, 30)), ); const computedDate = JulianDate.fromIso8601("20090801T1230.5Z"); expect(computedDate).toEqual(expectedDate); @@ -326,7 +326,7 @@ describe("Core/JulianDate", function () { it("Construct from ISO8601 UTC calendar date and time fractional minutes, extended format", function () { const expectedDate = JulianDate.fromDate( - new Date(Date.UTC(2009, 7, 1, 12, 30, 30)) + new Date(Date.UTC(2009, 7, 1, 12, 30, 30)), ); const computedDate = JulianDate.fromIso8601("2009-08-01T12:30.5Z"); expect(computedDate).toEqual(expectedDate); @@ -334,7 +334,7 @@ describe("Core/JulianDate", function () { it('Construct from ISO8601 UTC calendar date and time fractional minutes, basic format, "," instead of "."', function () { const expectedDate = JulianDate.fromDate( - new Date(Date.UTC(2009, 7, 1, 12, 30, 30)) + new Date(Date.UTC(2009, 7, 1, 12, 30, 30)), ); const computedDate = JulianDate.fromIso8601("20090801T1230,5Z"); expect(computedDate).toEqual(expectedDate); @@ -342,7 +342,7 @@ describe("Core/JulianDate", function () { it('Construct from ISO8601 UTC calendar date and time fractional minutes, extended format, "," instead of "."', function () { const expectedDate = JulianDate.fromDate( - new Date(Date.UTC(2009, 7, 1, 12, 30, 30)) + new Date(Date.UTC(2009, 7, 1, 12, 30, 30)), ); const computedDate = JulianDate.fromIso8601("2009-08-01T12:30,5Z"); expect(computedDate).toEqual(expectedDate); @@ -350,7 +350,7 @@ describe("Core/JulianDate", function () { it("Construct from ISO8601 UTC calendar date and time no minutes/seconds, basic format", function () { const expectedDate = JulianDate.fromDate( - new Date(Date.UTC(2009, 7, 1, 12, 0, 0)) + new Date(Date.UTC(2009, 7, 1, 12, 0, 0)), ); const computedDate = JulianDate.fromIso8601("20090801T12Z"); expect(computedDate).toEqual(expectedDate); @@ -358,7 +358,7 @@ describe("Core/JulianDate", function () { it("Construct from ISO8601 UTC calendar date and time no minutes/seconds, extended format", function () { const expectedDate = JulianDate.fromDate( - new Date(Date.UTC(2009, 7, 1, 12, 0, 0)) + new Date(Date.UTC(2009, 7, 1, 12, 0, 0)), ); const computedDate = JulianDate.fromIso8601("2009-08-01T12Z"); expect(computedDate).toEqual(expectedDate); @@ -366,7 +366,7 @@ describe("Core/JulianDate", function () { it("Construct from ISO8601 UTC calendar date and time fractional hours, basic format", function () { const expectedDate = JulianDate.fromDate( - new Date(Date.UTC(2009, 7, 1, 12, 30, 0)) + new Date(Date.UTC(2009, 7, 1, 12, 30, 0)), ); const computedDate = JulianDate.fromIso8601("20090801T12.5Z"); expect(computedDate).toEqual(expectedDate); @@ -374,7 +374,7 @@ describe("Core/JulianDate", function () { it("Construct from ISO8601 UTC calendar date and time fractional hours, extended format", function () { const expectedDate = JulianDate.fromDate( - new Date(Date.UTC(2009, 7, 1, 12, 30, 0)) + new Date(Date.UTC(2009, 7, 1, 12, 30, 0)), ); const computedDate = JulianDate.fromIso8601("2009-08-01T12.5Z"); expect(computedDate).toEqual(expectedDate); @@ -382,7 +382,7 @@ describe("Core/JulianDate", function () { it('Construct from ISO8601 UTC calendar date and time fractional hours, basic format, "," instead of "."', function () { const expectedDate = JulianDate.fromDate( - new Date(Date.UTC(2009, 7, 1, 12, 30, 0)) + new Date(Date.UTC(2009, 7, 1, 12, 30, 0)), ); const computedDate = JulianDate.fromIso8601("20090801T12,5Z"); expect(computedDate).toEqual(expectedDate); @@ -390,7 +390,7 @@ describe("Core/JulianDate", function () { it('Construct from ISO8601 UTC calendar date and time fractional hours, extended format, "," instead of "."', function () { const expectedDate = JulianDate.fromDate( - new Date(Date.UTC(2009, 7, 1, 12, 30, 0)) + new Date(Date.UTC(2009, 7, 1, 12, 30, 0)), ); const computedDate = JulianDate.fromIso8601("2009-08-01T12,5Z"); expect(computedDate).toEqual(expectedDate); @@ -404,12 +404,12 @@ describe("Core/JulianDate", function () { it("Construct from an ISO8601 UTC calendar date and time within a leap second", function () { const computedDate = JulianDate.fromIso8601( - "2008-12-31T23:59:60.123456789Z" + "2008-12-31T23:59:60.123456789Z", ); const expectedDate = new JulianDate( 2454832, 43233.123456789, - TimeStandard.TAI + TimeStandard.TAI, ); expect(computedDate).toEqual(expectedDate); }); @@ -428,7 +428,7 @@ describe("Core/JulianDate", function () { it("Construct from an ISO8601 calendar date and time using 24:00:00 midnight notation", function () { const expectedDate = JulianDate.fromDate( - new Date(Date.UTC(2009, 7, 2, 0, 0, 0)) + new Date(Date.UTC(2009, 7, 2, 0, 0, 0)), ); const computedDate = JulianDate.fromIso8601("2009-08-01T24:00:00Z"); expect(computedDate).toEqual(expectedDate); @@ -436,7 +436,7 @@ describe("Core/JulianDate", function () { it("Construct from an ISO8601 local calendar date with UTC offset that crosses into previous month", function () { const expectedDate = JulianDate.fromDate( - new Date(Date.UTC(1985, 2, 31, 23, 59, 0)) + new Date(Date.UTC(1985, 2, 31, 23, 59, 0)), ); const computedDate = JulianDate.fromIso8601("1985-04-01T00:59:00+01"); expect(computedDate).toEqual(expectedDate); @@ -444,7 +444,7 @@ describe("Core/JulianDate", function () { it("Construct from an ISO8601 local calendar date with UTC offset that crosses into next month", function () { const expectedDate = JulianDate.fromDate( - new Date(Date.UTC(1985, 3, 1, 0, 59, 0)) + new Date(Date.UTC(1985, 3, 1, 0, 59, 0)), ); const computedDate = JulianDate.fromIso8601("1985-03-31T23:59:00-01"); expect(computedDate).toEqual(expectedDate); @@ -452,7 +452,7 @@ describe("Core/JulianDate", function () { it("Construct from an ISO8601 local calendar date with UTC offset that crosses into next year", function () { const expectedDate = JulianDate.fromDate( - new Date(Date.UTC(2008, 11, 31, 23, 0, 0)) + new Date(Date.UTC(2008, 11, 31, 23, 0, 0)), ); const julianDate = JulianDate.fromIso8601("2009-01-01T01:00:00+02"); expect(julianDate).toEqual(expectedDate); @@ -460,7 +460,7 @@ describe("Core/JulianDate", function () { it("Construct from an ISO8601 local calendar date with UTC offset that crosses into previous year", function () { const expectedDate = JulianDate.fromDate( - new Date(Date.UTC(2009, 0, 1, 1, 0, 0)) + new Date(Date.UTC(2009, 0, 1, 1, 0, 0)), ); const julianDate = JulianDate.fromIso8601("2008-12-31T23:00:00-02"); expect(julianDate).toEqual(expectedDate); @@ -468,7 +468,7 @@ describe("Core/JulianDate", function () { it("Construct from an ISO8601 local calendar date with UTC offset", function () { const expectedDate = JulianDate.fromDate( - new Date(Date.UTC(2008, 10, 10, 12, 0, 0)) + new Date(Date.UTC(2008, 10, 10, 12, 0, 0)), ); const julianDate = JulianDate.fromIso8601("2008-11-10T14:00:00+02"); expect(julianDate).toEqual(expectedDate); @@ -476,7 +476,7 @@ describe("Core/JulianDate", function () { it("Construct from an ISO8601 local calendar date with UTC offset in extended format", function () { const expectedDate = JulianDate.fromDate( - new Date(Date.UTC(2008, 10, 10, 11, 30, 0)) + new Date(Date.UTC(2008, 10, 10, 11, 30, 0)), ); const julianDate = JulianDate.fromIso8601("2008-11-10T14:00:00+02:30"); expect(julianDate).toEqual(expectedDate); @@ -484,7 +484,7 @@ describe("Core/JulianDate", function () { it("Construct from an ISO8601 local calendar date with zero UTC offset in extended format", function () { const expectedDate = JulianDate.fromDate( - new Date(Date.UTC(2008, 10, 10, 14, 0, 0)) + new Date(Date.UTC(2008, 10, 10, 14, 0, 0)), ); const julianDate = JulianDate.fromIso8601("2008-11-10T14:00:00+00:00"); expect(julianDate).toEqual(expectedDate); @@ -492,7 +492,7 @@ describe("Core/JulianDate", function () { it("Construct from an ISO8601 local calendar date with zero UTC offset in extended format", function () { const expectedDate = JulianDate.fromDate( - new Date(Date.UTC(2008, 10, 10, 14, 0, 0)) + new Date(Date.UTC(2008, 10, 10, 14, 0, 0)), ); const julianDate = JulianDate.fromIso8601("2008-11-10T14:00:00+00"); expect(julianDate).toEqual(expectedDate); @@ -500,7 +500,7 @@ describe("Core/JulianDate", function () { it("Construct from ISO8601 local calendar date and time with no seconds and UTC offset in basic format", function () { const expectedDate = JulianDate.fromDate( - new Date(Date.UTC(2009, 7, 1, 12, 30, 0)) + new Date(Date.UTC(2009, 7, 1, 12, 30, 0)), ); const computedDate = JulianDate.fromIso8601("20090801T0730-0500"); expect(computedDate).toEqual(expectedDate); @@ -508,7 +508,7 @@ describe("Core/JulianDate", function () { it("Construct from ISO8601 local calendar date and time with no seconds and UTC offset in extended format", function () { const expectedDate = JulianDate.fromDate( - new Date(Date.UTC(2009, 7, 1, 12, 30, 0)) + new Date(Date.UTC(2009, 7, 1, 12, 30, 0)), ); const computedDate = JulianDate.fromIso8601("2009-08-01T07:30-05:00"); expect(computedDate).toEqual(expectedDate); @@ -559,7 +559,7 @@ describe("Core/JulianDate", function () { it("Fails to construct an ISO8601 date from a valid ISO8601 interval", function () { expect(function () { return JulianDate.fromIso8601( - "2007-03-01T13:00:00Z/2008-05-11T15:30:00Z" + "2007-03-01T13:00:00Z/2008-05-11T15:30:00Z", ); }).toThrowDeveloperError(); }); @@ -771,7 +771,7 @@ describe("Core/JulianDate", function () { it("toDate works a second before a leap second", function () { const expectedDate = new Date("6/30/1997 11:59:59 PM UTC"); const date = JulianDate.toDate( - new JulianDate(2450630, 43229.0, TimeStandard.TAI) + new JulianDate(2450630, 43229.0, TimeStandard.TAI), ); expect(date).toEqual(expectedDate); }); @@ -779,7 +779,7 @@ describe("Core/JulianDate", function () { it("toDate works on a leap second", function () { const expectedDate = new Date("6/30/1997 11:59:59 PM UTC"); const date = JulianDate.toDate( - new JulianDate(2450630, 43230.0, TimeStandard.TAI) + new JulianDate(2450630, 43230.0, TimeStandard.TAI), ); expect(date).toEqual(expectedDate); }); @@ -787,7 +787,7 @@ describe("Core/JulianDate", function () { it("toDate works a second after a leap second", function () { const expectedDate = new Date("7/1/1997 12:00:00 AM UTC"); const date = JulianDate.toDate( - new JulianDate(2450630, 43231.0, TimeStandard.TAI) + new JulianDate(2450630, 43231.0, TimeStandard.TAI), ); expect(date).toEqual(expectedDate); }); @@ -795,7 +795,7 @@ describe("Core/JulianDate", function () { it("toDate works on date before any leap seconds", function () { const expectedDate = new Date("09/10/1968 12:00:00 AM UTC"); const date = JulianDate.toDate( - new JulianDate(2440109, 43210.0, TimeStandard.TAI) + new JulianDate(2440109, 43210.0, TimeStandard.TAI), ); expect(date).toEqual(expectedDate); }); @@ -803,7 +803,7 @@ describe("Core/JulianDate", function () { it("toDate works on date later than all leap seconds", function () { const expectedDate = new Date("11/17/2039 12:00:00 AM UTC"); const date = JulianDate.toDate( - new JulianDate(2466109, 43237.0, TimeStandard.TAI) + new JulianDate(2466109, 43237.0, TimeStandard.TAI), ); expect(date).toEqual(expectedDate); }); @@ -892,7 +892,7 @@ describe("Core/JulianDate", function () { const end = JulianDate.fromDate(new Date("July 5, 2011 12:01:00 UTC")); expect(JulianDate.secondsDifference(end, start)).toEqualEpsilon( TimeConstants.SECONDS_PER_DAY + TimeConstants.SECONDS_PER_MINUTE, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); }); @@ -901,7 +901,7 @@ describe("Core/JulianDate", function () { const end = JulianDate.fromDate(new Date("July 5, 2011 12:01:00 UTC")); expect(JulianDate.secondsDifference(end, start)).toEqualEpsilon( TimeConstants.SECONDS_PER_DAY + TimeConstants.SECONDS_PER_MINUTE, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); }); @@ -910,7 +910,7 @@ describe("Core/JulianDate", function () { const end = JulianDate.fromDate(new Date("July 5, 2011 12:01:00 UTC")); expect(JulianDate.secondsDifference(end, start)).toEqualEpsilon( TimeConstants.SECONDS_PER_DAY + TimeConstants.SECONDS_PER_MINUTE, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); }); @@ -933,11 +933,11 @@ describe("Core/JulianDate", function () { const end = JulianDate.addSeconds(start, 95, new JulianDate()); expect(JulianDate.toDate(end).getUTCSeconds()).toEqualEpsilon( 5, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); expect(JulianDate.toDate(end).getUTCMinutes()).toEqualEpsilon( 2, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); }); @@ -951,7 +951,7 @@ describe("Core/JulianDate", function () { const start = JulianDate.fromDate(new Date("August 11 2011 6:00:00 UTC")); const end = JulianDate.addSeconds(start, 0.5, new JulianDate()); expect(JulianDate.secondsDifference(end, start, new JulianDate())).toEqual( - 0.5 + 0.5, ); }); @@ -959,7 +959,7 @@ describe("Core/JulianDate", function () { const start = JulianDate.fromDate(new Date("August 11 2011 11:59:59 UTC")); const end = JulianDate.addSeconds(start, 1.25, new JulianDate()); expect(JulianDate.secondsDifference(end, start, new JulianDate())).toEqual( - 1.25 + 1.25, ); }); @@ -987,7 +987,7 @@ describe("Core/JulianDate", function () { return JulianDate.addSeconds( JulianDate.now(), undefined, - new JulianDate() + new JulianDate(), ); }).toThrowDeveloperError(); }); @@ -996,11 +996,11 @@ describe("Core/JulianDate", function () { const end = JulianDate.addMinutes(start, 65, new JulianDate()); expect(JulianDate.toDate(end).getUTCMinutes()).toEqualEpsilon( 5, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); expect(JulianDate.toDate(end).getUTCHours()).toEqualEpsilon( 13, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); }); @@ -1009,11 +1009,11 @@ describe("Core/JulianDate", function () { const end = JulianDate.addMinutes(start, -35, new JulianDate()); expect(JulianDate.toDate(end).getUTCMinutes()).toEqualEpsilon( 25, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); expect(JulianDate.toDate(end).getUTCHours()).toEqualEpsilon( 11, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); }); @@ -1022,7 +1022,7 @@ describe("Core/JulianDate", function () { return JulianDate.addMinutes( JulianDate.now(), undefined, - new JulianDate() + new JulianDate(), ); }).toThrowDeveloperError(); }); @@ -1032,7 +1032,7 @@ describe("Core/JulianDate", function () { const end = JulianDate.addHours(start, 6, new JulianDate()); expect(JulianDate.toDate(end).getUTCHours()).toEqualEpsilon( 18, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); }); @@ -1041,7 +1041,7 @@ describe("Core/JulianDate", function () { const end = JulianDate.addHours(start, -6, new JulianDate()); expect(JulianDate.toDate(end).getUTCHours()).toEqualEpsilon( 6, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); }); it("addHours fails with undefined input", function () { @@ -1055,11 +1055,11 @@ describe("Core/JulianDate", function () { const end = JulianDate.addDays(start, 32, new JulianDate()); expect(JulianDate.toDate(end).getUTCDate()).toEqualEpsilon( 5, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); expect(JulianDate.toDate(end).getUTCMonth()).toEqualEpsilon( 7, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); }); @@ -1068,11 +1068,11 @@ describe("Core/JulianDate", function () { const end = JulianDate.addDays(start, -4, new JulianDate()); expect(JulianDate.toDate(end).getUTCDate()).toEqualEpsilon( 30, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); expect(JulianDate.toDate(end).getUTCMonth()).toEqualEpsilon( 5, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); }); @@ -1095,8 +1095,8 @@ describe("Core/JulianDate", function () { expect( JulianDate.lessThan( start, - JulianDate.addSeconds(end, 1, new JulianDate()) - ) + JulianDate.addSeconds(end, 1, new JulianDate()), + ), ).toEqual(true); }); @@ -1113,14 +1113,14 @@ describe("Core/JulianDate", function () { expect( JulianDate.lessThanOrEquals( JulianDate.addSeconds(start, 1, new JulianDate()), - end - ) + end, + ), ).toEqual(false); expect( JulianDate.lessThanOrEquals( JulianDate.addSeconds(start, -1, new JulianDate()), - end - ) + end, + ), ).toEqual(true); }); @@ -1137,8 +1137,8 @@ describe("Core/JulianDate", function () { expect( JulianDate.greaterThan( start, - JulianDate.addSeconds(end, -1, new JulianDate()) - ) + JulianDate.addSeconds(end, -1, new JulianDate()), + ), ).toEqual(true); }); @@ -1155,20 +1155,20 @@ describe("Core/JulianDate", function () { expect( JulianDate.greaterThanOrEquals( JulianDate.addSeconds(start, -1, new JulianDate()), - end - ) + end, + ), ).toEqual(false); expect( JulianDate.greaterThanOrEquals( JulianDate.addSeconds(start, 1, new JulianDate()), - end - ) + end, + ), ).toEqual(true); }); it("can be equal to within an epsilon of another JulianDate", function () { const original = JulianDate.fromDate( - new Date("September 7, 2011 12:55:00 UTC") + new Date("September 7, 2011 12:55:00 UTC"), ); let clone = JulianDate.fromDate(new Date("September 7, 2011 12:55:00 UTC")); clone = JulianDate.addSeconds(clone, 1, new JulianDate()); diff --git a/packages/engine/Specs/Core/LagrangePolynomialApproximationSpec.js b/packages/engine/Specs/Core/LagrangePolynomialApproximationSpec.js index d7771e80cc5b..cfeda6cf173b 100644 --- a/packages/engine/Specs/Core/LagrangePolynomialApproximationSpec.js +++ b/packages/engine/Specs/Core/LagrangePolynomialApproximationSpec.js @@ -6,30 +6,10 @@ describe("Core/LagrangePolynomialApproximation", function () { const xTable = [0, 60, 120, 180, 240, 300, 360, 420]; const yTable = [ - 13378137.0, - 0.0, - 0, - 13374128.3576279, - 327475.593690065, - 0, - 13362104.8328212, - 654754.936954423, - 0, - 13342073.6310691, - 981641.896976832, - 0, - 13314046.7567223, - 1307940.57608951, - 0, - 13278041.005799, - 1633455.42917117, - 0, - 13234077.9559193, - 1957991.38083385, - 0, - 13182183.953374, - 2281353.94232816, - 0, + 13378137.0, 0.0, 0, 13374128.3576279, 327475.593690065, 0, 13362104.8328212, + 654754.936954423, 0, 13342073.6310691, 981641.896976832, 0, + 13314046.7567223, 1307940.57608951, 0, 13278041.005799, 1633455.42917117, 0, + 13234077.9559193, 1957991.38083385, 0, 13182183.953374, 2281353.94232816, 0, ]; const x = 100.0; @@ -39,7 +19,7 @@ describe("Core/LagrangePolynomialApproximation", function () { x, xTable, yTable, - 3 + 3, ); const expectedResult = [13367002.870928623, 545695.7388100647, 0]; expect(result).toEqualEpsilon(expectedResult, 1e-15); @@ -52,7 +32,7 @@ describe("Core/LagrangePolynomialApproximation", function () { xTable, yTable, 3, - result + result, ); const expectedResult = [13367002.870928623, 545695.7388100647, 0]; expect(result).toBe(returnedResult); diff --git a/packages/engine/Specs/Core/LinearApproximationSpec.js b/packages/engine/Specs/Core/LinearApproximationSpec.js index d64c9de42620..cc5be320b17e 100644 --- a/packages/engine/Specs/Core/LinearApproximationSpec.js +++ b/packages/engine/Specs/Core/LinearApproximationSpec.js @@ -9,7 +9,7 @@ describe("Core/LinearApproximation", function () { 3.0, xTable, yTable, - 2 + 2, ); expect(results.length).toEqual(2); @@ -27,7 +27,7 @@ describe("Core/LinearApproximation", function () { xTable, yTable, 2, - result + result, ); expect(result).toBe(results); @@ -44,7 +44,7 @@ describe("Core/LinearApproximation", function () { 80.0, xTable2, yTable2, - 3 + 3, ); expect(results.length).toEqual(3); @@ -61,7 +61,7 @@ describe("Core/LinearApproximation", function () { 40, xTable3, yTable3, - 1 + 1, ); expect(results.length).toEqual(1); diff --git a/packages/engine/Specs/Core/LinearSplineSpec.js b/packages/engine/Specs/Core/LinearSplineSpec.js index b6f66748ffa6..c81a4a5ed935 100644 --- a/packages/engine/Specs/Core/LinearSplineSpec.js +++ b/packages/engine/Specs/Core/LinearSplineSpec.js @@ -93,7 +93,7 @@ describe("Core/LinearSpline", function () { cartesianPoints[0], cartesianPoints[1], t, - scratchCartesian + scratchCartesian, ); expect(ls.evaluate(time)).toEqual(expected); }); @@ -112,7 +112,7 @@ describe("Core/LinearSpline", function () { cartesianPoints[0], cartesianPoints[1], t, - scratchCartesian + scratchCartesian, ); expect(point).toBe(result); expect(result).toEqual(expected); diff --git a/packages/engine/Specs/Core/MathSpec.js b/packages/engine/Specs/Core/MathSpec.js index 5d4f5ac965bd..98af0d7c8ecf 100644 --- a/packages/engine/Specs/Core/MathSpec.js +++ b/packages/engine/Specs/Core/MathSpec.js @@ -165,21 +165,21 @@ describe("Core/Math", function () { it("convertLongitudeRange (1)", function () { expect( - CesiumMath.convertLongitudeRange(CesiumMath.THREE_PI_OVER_TWO) + CesiumMath.convertLongitudeRange(CesiumMath.THREE_PI_OVER_TWO), ).toEqualEpsilon(-CesiumMath.PI_OVER_TWO, CesiumMath.EPSILON16); }); it("convertLongitudeRange (2)", function () { expect(CesiumMath.convertLongitudeRange(-Math.PI)).toEqualEpsilon( -Math.PI, - CesiumMath.EPSILON16 + CesiumMath.EPSILON16, ); }); it("convertLongitudeRange (3)", function () { expect(CesiumMath.convertLongitudeRange(Math.PI)).toEqualEpsilon( -Math.PI, - CesiumMath.EPSILON16 + CesiumMath.EPSILON16, ); }); @@ -191,13 +191,13 @@ describe("Core/Math", function () { it("clampToLatitudeRange (1)", function () { expect(CesiumMath.clampToLatitudeRange(Math.PI)).toEqual( - CesiumMath.PI_OVER_TWO + CesiumMath.PI_OVER_TWO, ); }); it("clampToLatitudeRange (2)", function () { expect(CesiumMath.clampToLatitudeRange(-Math.PI)).toEqual( - -CesiumMath.PI_OVER_TWO + -CesiumMath.PI_OVER_TWO, ); }); @@ -217,11 +217,11 @@ describe("Core/Math", function () { expect(CesiumMath.negativePiToPi(-Math.PI + 0.1)).toEqual(-Math.PI + 0.1); expect(CesiumMath.negativePiToPi(+Math.PI + 0.1)).toEqualEpsilon( -Math.PI + 0.1, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(CesiumMath.negativePiToPi(-Math.PI - 0.1)).toEqualEpsilon( +Math.PI - 0.1, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(CesiumMath.negativePiToPi(+2.0 * Math.PI)).toEqual(0.0); @@ -249,17 +249,17 @@ describe("Core/Math", function () { expect(CesiumMath.zeroToTwoPi(+Math.PI - 1.0)).toEqual(+Math.PI - 1.0); expect(CesiumMath.zeroToTwoPi(-Math.PI + 1.0)).toEqualEpsilon( +Math.PI + 1.0, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(CesiumMath.zeroToTwoPi(+Math.PI - 0.1)).toEqual(+Math.PI - 0.1); expect(CesiumMath.zeroToTwoPi(-Math.PI + 0.1)).toEqualEpsilon( +Math.PI + 0.1, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(CesiumMath.zeroToTwoPi(+Math.PI + 0.1)).toEqual(+Math.PI + 0.1); expect(CesiumMath.zeroToTwoPi(-Math.PI - 0.1)).toEqualEpsilon( +Math.PI - 0.1, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(CesiumMath.zeroToTwoPi(+2.0 * Math.PI)).toEqual(2.0 * Math.PI); @@ -299,7 +299,7 @@ describe("Core/Math", function () { expect(CesiumMath.mod(1.0, -1.0)).toEqual(-0.0); expect(CesiumMath.mod(1.1, -1.0)).toEqualEpsilon( -0.9, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(CesiumMath.mod(-0.0, -1.0)).toEqual(-0.0); @@ -308,7 +308,7 @@ describe("Core/Math", function () { expect(CesiumMath.mod(-1.0, -1.0)).toEqual(-0.0); expect(CesiumMath.mod(-1.1, -1.0)).toEqualEpsilon( -0.1, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -325,26 +325,26 @@ describe("Core/Math", function () { CesiumMath.equalsEpsilon( 1.0, 1.0 + CesiumMath.EPSILON7, - CesiumMath.EPSILON7 - ) + CesiumMath.EPSILON7, + ), ).toEqual(true); expect( CesiumMath.equalsEpsilon( 1.0, 1.0 + CesiumMath.EPSILON7, - CesiumMath.EPSILON9 - ) + CesiumMath.EPSILON9, + ), ).toEqual(false); expect(CesiumMath.equalsEpsilon(3000000.0, 3000000.0, 0.0)).toEqual(true); expect( - CesiumMath.equalsEpsilon(3000000.0, 3000000.0, CesiumMath.EPSILON7) + CesiumMath.equalsEpsilon(3000000.0, 3000000.0, CesiumMath.EPSILON7), ).toEqual(true); expect( - CesiumMath.equalsEpsilon(3000000.0, 3000000.2, CesiumMath.EPSILON7) + CesiumMath.equalsEpsilon(3000000.0, 3000000.2, CesiumMath.EPSILON7), ).toEqual(true); expect( - CesiumMath.equalsEpsilon(3000000.0, 3000000.2, CesiumMath.EPSILON9) + CesiumMath.equalsEpsilon(3000000.0, 3000000.2, CesiumMath.EPSILON9), ).toEqual(false); }); @@ -472,29 +472,10 @@ describe("Core/Math", function () { it("factorial produces the correct results", function () { const factorials = [ - 1, - 1, - 2, - 6, - 24, - 120, - 720, - 5040, - 40320, - 362880, - 3628800, - 39916800, - 479001600, - 6227020800, - 87178291200, - 1307674368000, - 20922789888000, - 355687428096000, - 6402373705728000, - 121645100408832000, - 2432902008176640000, - 51090942171709440000, - 1124000727777607680000, + 1, 1, 2, 6, 24, 120, 720, 5040, 40320, 362880, 3628800, 39916800, + 479001600, 6227020800, 87178291200, 1307674368000, 20922789888000, + 355687428096000, 6402373705728000, 121645100408832000, + 2432902008176640000, 51090942171709440000, 1124000727777607680000, // eslint-disable-next-line no-loss-of-precision 25852016738884976640000, // eslint-disable-next-line no-loss-of-precision @@ -723,20 +704,20 @@ describe("Core/Math", function () { it("chordLength finds the chord length", function () { expect( - CesiumMath.chordLength(CesiumMath.PI_OVER_THREE, 1.0) + CesiumMath.chordLength(CesiumMath.PI_OVER_THREE, 1.0), ).toEqualEpsilon(1.0, CesiumMath.EPSILON14); expect( - CesiumMath.chordLength(CesiumMath.PI_OVER_THREE, 5.0) + CesiumMath.chordLength(CesiumMath.PI_OVER_THREE, 5.0), ).toEqualEpsilon(5.0, CesiumMath.EPSILON14); expect( - CesiumMath.chordLength(2.0 * CesiumMath.PI_OVER_THREE, 1.0) + CesiumMath.chordLength(2.0 * CesiumMath.PI_OVER_THREE, 1.0), ).toEqualEpsilon(Math.sqrt(3.0), CesiumMath.EPSILON14); expect( - CesiumMath.chordLength(2.0 * CesiumMath.PI_OVER_THREE, 5.0) + CesiumMath.chordLength(2.0 * CesiumMath.PI_OVER_THREE, 5.0), ).toEqualEpsilon(5.0 * Math.sqrt(3.0), CesiumMath.EPSILON14); expect(CesiumMath.chordLength(CesiumMath.PI, 10.0)).toEqualEpsilon( 2.0 * 10.0, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); }); @@ -779,30 +760,30 @@ describe("Core/Math", function () { it("fastApproximateAtan", function () { expect(CesiumMath.fastApproximateAtan(0.0)).toEqualEpsilon( 0.0, - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); expect(CesiumMath.fastApproximateAtan(1.0)).toEqualEpsilon( CesiumMath.PI_OVER_FOUR, - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); expect(CesiumMath.fastApproximateAtan(-1.0)).toEqualEpsilon( -CesiumMath.PI_OVER_FOUR, - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); }); it("fastApproximateAtan2", function () { expect(CesiumMath.fastApproximateAtan2(1.0, 0.0)).toEqualEpsilon( 0.0, - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); expect(CesiumMath.fastApproximateAtan2(1.0, 1.0)).toEqualEpsilon( CesiumMath.PI_OVER_FOUR, - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); expect(CesiumMath.fastApproximateAtan2(-1.0, 1.0)).toEqualEpsilon( CesiumMath.PI_OVER_FOUR + CesiumMath.PI_OVER_TWO, - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); }); diff --git a/packages/engine/Specs/Core/Matrix2Spec.js b/packages/engine/Specs/Core/Matrix2Spec.js index 540b550d0c20..bfbab21851fb 100644 --- a/packages/engine/Specs/Core/Matrix2Spec.js +++ b/packages/engine/Specs/Core/Matrix2Spec.js @@ -40,7 +40,7 @@ describe("Core/Matrix2", function () { const matrix = Matrix2.fromArray( [0.0, 0.0, 0.0, 1.0, 3.0, 2.0, 4.0], 3, - result + result, ); expect(matrix).toBe(result); expect(matrix).toEqual(expected); @@ -136,7 +136,7 @@ describe("Core/Matrix2", function () { it("toArray works without a result parameter", function () { const expected = [1.0, 2.0, 3.0, 4.0]; const returnedResult = Matrix2.toArray( - Matrix2.fromColumnMajorArray(expected) + Matrix2.fromColumnMajorArray(expected), ); expect(returnedResult).not.toBe(expected); expect(returnedResult).toEqual(expected); @@ -147,7 +147,7 @@ describe("Core/Matrix2", function () { const result = []; const returnedResult = Matrix2.toArray( Matrix2.fromColumnMajorArray(expected), - result + result, ); expect(returnedResult).toBe(result); expect(returnedResult).not.toBe(expected); @@ -190,7 +190,7 @@ describe("Core/Matrix2", function () { matrix, 0, new Cartesian2(5.0, 6.0), - result + result, ); expect(result).toBe(returnedResult); expect(result).toEqual(expected); @@ -200,7 +200,7 @@ describe("Core/Matrix2", function () { matrix, 1, new Cartesian2(7.0, 8.0), - result + result, ); expect(result).toBe(returnedResult); expect(result).toEqual(expected); @@ -231,7 +231,7 @@ describe("Core/Matrix2", function () { matrix, 0, new Cartesian2(5.0, 6.0), - result + result, ); expect(result).toBe(returnedResult); expect(result).toEqual(expected); @@ -241,7 +241,7 @@ describe("Core/Matrix2", function () { matrix, 1, new Cartesian2(7.0, 8.0), - result + result, ); expect(result).toBe(returnedResult); expect(result).toEqual(expected); @@ -259,7 +259,7 @@ describe("Core/Matrix2", function () { const returnedResult = Matrix2.setScale(matrix, newScale, result); expect(Matrix2.getScale(returnedResult, new Cartesian2())).toEqual( - newScale + newScale, ); expect(result).toBe(returnedResult); }); @@ -276,7 +276,7 @@ describe("Core/Matrix2", function () { const returnedResult = Matrix2.setUniformScale(matrix, newScale, result); expect(Matrix2.getScale(returnedResult, new Cartesian2())).toEqual( - new Cartesian2(newScale, newScale) + new Cartesian2(newScale, newScale), ); expect(result).toBe(returnedResult); }); @@ -294,7 +294,7 @@ describe("Core/Matrix2", function () { const m = Matrix2.fromScale(new Cartesian2(2.0, 3.0)); expect(Matrix2.getMaximumScale(m)).toEqualEpsilon( 3.0, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); }); @@ -401,7 +401,7 @@ describe("Core/Matrix2", function () { const expected = Matrix2.multiply( m, Matrix2.fromScale(scale), - new Matrix2() + new Matrix2(), ); const result = new Matrix2(); const returnedResult = Matrix2.multiplyByScale(m, scale, result); @@ -415,7 +415,7 @@ describe("Core/Matrix2", function () { const expected = Matrix2.multiply( m, Matrix2.fromScale(scale), - new Matrix2() + new Matrix2(), ); const returnedResult = Matrix2.multiplyByScale(m, scale, m); expect(returnedResult).toBe(m); @@ -428,7 +428,7 @@ describe("Core/Matrix2", function () { const expected = Matrix2.multiply( m, Matrix2.fromUniformScale(scale), - new Matrix2() + new Matrix2(), ); const result = new Matrix2(); const returnedResult = Matrix2.multiplyByUniformScale(m, scale, result); @@ -442,7 +442,7 @@ describe("Core/Matrix2", function () { const expected = Matrix2.multiply( m, Matrix2.fromUniformScale(scale), - new Matrix2() + new Matrix2(), ); const returnedResult = Matrix2.multiplyByUniformScale(m, scale, m); expect(returnedResult).toBe(m); @@ -984,6 +984,6 @@ describe("Core/Matrix2", function () { new Matrix2(0, 1, -1, 0), ], [1, 0, 0, 1, 1, 3, 2, 4, 0, -1, 1, 0], - 4 + 4, ); }); diff --git a/packages/engine/Specs/Core/Matrix3Spec.js b/packages/engine/Specs/Core/Matrix3Spec.js index e0ada12c1755..3d2f4641aeb7 100644 --- a/packages/engine/Specs/Core/Matrix3Spec.js +++ b/packages/engine/Specs/Core/Matrix3Spec.js @@ -45,7 +45,7 @@ describe("Core/Matrix3", function () { const tmp = Cartesian3.multiplyByScalar( new Cartesian3(0.0, 0.0, 1.0), sPiOver4, - new Cartesian3() + new Cartesian3(), ); const quaternion = new Quaternion(tmp.x, tmp.y, tmp.z, cPiOver4); const expected = new Matrix3( @@ -57,7 +57,7 @@ describe("Core/Matrix3", function () { 0.0, 0.0, 0.0, - 1.0 + 1.0, ); const returnedResult = Matrix3.fromQuaternion(quaternion); @@ -73,7 +73,7 @@ describe("Core/Matrix3", function () { const tmp = Cartesian3.multiplyByScalar( new Cartesian3(0.0, 0.0, 1.0), sPiOver4, - new Cartesian3() + new Cartesian3(), ); const quaternion = new Quaternion(tmp.x, tmp.y, tmp.z, cPiOver4); const expected = new Matrix3( @@ -85,7 +85,7 @@ describe("Core/Matrix3", function () { 0.0, 0.0, 0.0, - 1.0 + 1.0, ); const result = new Matrix3(); const returnedResult = Matrix3.fromQuaternion(quaternion, result); @@ -102,7 +102,7 @@ describe("Core/Matrix3", function () { const tmp = Cartesian3.multiplyByScalar( new Cartesian3(0.0, 0.0, 1.0), sPiOver4, - new Cartesian3() + new Cartesian3(), ); const quaternion = new Quaternion(tmp.x, tmp.y, tmp.z, cPiOver4); const headingPitchRoll = HeadingPitchRoll.fromQuaternion(quaternion); @@ -115,7 +115,7 @@ describe("Core/Matrix3", function () { 0.0, 0.0, 0.0, - 1.0 + 1.0, ); const returnedResult = Matrix3.fromHeadingPitchRoll(headingPitchRoll); @@ -131,7 +131,7 @@ describe("Core/Matrix3", function () { const tmp = Cartesian3.multiplyByScalar( new Cartesian3(0.0, 0.0, 1.0), sPiOver4, - new Cartesian3() + new Cartesian3(), ); const quaternion = new Quaternion(tmp.x, tmp.y, tmp.z, cPiOver4); const headingPitchRoll = HeadingPitchRoll.fromQuaternion(quaternion); @@ -144,12 +144,12 @@ describe("Core/Matrix3", function () { 0.0, 0.0, 0.0, - 1.0 + 1.0, ); const result = new Matrix3(); const returnedResult = Matrix3.fromHeadingPitchRoll( headingPitchRoll, - result + result, ); expect(result).toBe(returnedResult); expect(returnedResult).toEqualEpsilon(expected, CesiumMath.EPSILON15); @@ -166,18 +166,18 @@ describe("Core/Matrix3", function () { -0.742685314912828, -0.642787609686539, 0.627506871597133, - 0.439385041770705 + 0.439385041770705, ); const headingPitchRoll = new HeadingPitchRoll( -CesiumMath.toRadians(10), -CesiumMath.toRadians(40), - CesiumMath.toRadians(55) + CesiumMath.toRadians(55), ); const result = new Matrix3(); const returnedResult = Matrix3.fromHeadingPitchRoll( headingPitchRoll, - result + result, ); expect(result).toBe(returnedResult); expect(returnedResult).toEqualEpsilon(expected, CesiumMath.EPSILON15); @@ -195,7 +195,7 @@ describe("Core/Matrix3", function () { const result = new Matrix3(); const returnedResult = Matrix3.fromScale( new Cartesian3(7.0, 8.0, 9.0), - result + result, ); expect(returnedResult).toBe(result); expect(returnedResult).not.toBe(expected); @@ -227,7 +227,7 @@ describe("Core/Matrix3", function () { -1.0, 2.0, 1.0, - 0.0 + 0.0, ); const left = new Cartesian3(1.0, -2.0, 3.0); const returnedResult = Matrix3.fromCrossProduct(left); @@ -246,7 +246,7 @@ describe("Core/Matrix3", function () { crossProductResult = Matrix3.multiply( returnedResult, right, - crossProductResult + crossProductResult, ); expect(crossProductResult).toEqual(crossProductExpected); }); @@ -261,7 +261,7 @@ describe("Core/Matrix3", function () { -1.0, 2.0, 1.0, - 0.0 + 0.0, ); const left = new Cartesian3(1.0, -2.0, 3.0); const result = new Matrix3(); @@ -281,7 +281,7 @@ describe("Core/Matrix3", function () { crossProductResult = Matrix3.multiply( returnedResult, right, - crossProductResult + crossProductResult, ); expect(crossProductResult).toEqual(crossProductExpected); }); @@ -289,15 +289,7 @@ describe("Core/Matrix3", function () { it("fromArray works without a result parameter", function () { const expected = new Matrix3(1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 9.0); const matrix = Matrix3.fromArray([ - 1.0, - 4.0, - 7.0, - 2.0, - 5.0, - 8.0, - 3.0, - 6.0, - 9.0, + 1.0, 4.0, 7.0, 2.0, 5.0, 8.0, 3.0, 6.0, 9.0, ]); expect(matrix).toEqual(expected); }); @@ -308,7 +300,7 @@ describe("Core/Matrix3", function () { const matrix = Matrix3.fromArray( [1.0, 4.0, 7.0, 2.0, 5.0, 8.0, 3.0, 6.0, 9.0], 0, - result + result, ); expect(matrix).toBe(result); expect(matrix).toEqual(expected); @@ -320,7 +312,7 @@ describe("Core/Matrix3", function () { const matrix = Matrix3.fromArray( [0.0, 0.0, 0.0, 1.0, 4.0, 7.0, 2.0, 5.0, 8.0, 3.0, 6.0, 9.0], 3, - result + result, ); expect(matrix).toBe(result); expect(matrix).toEqual(expected); @@ -329,15 +321,7 @@ describe("Core/Matrix3", function () { it("fromRowMajorArray works without a result parameter", function () { const expected = new Matrix3(1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 9.0); const matrix = Matrix3.fromRowMajorArray([ - 1.0, - 2.0, - 3.0, - 4.0, - 5.0, - 6.0, - 7.0, - 8.0, - 9.0, + 1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 9.0, ]); expect(matrix).toEqual(expected); }); @@ -347,7 +331,7 @@ describe("Core/Matrix3", function () { const result = new Matrix3(); const matrix = Matrix3.fromRowMajorArray( [1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 9.0], - result + result, ); expect(matrix).toBe(result); expect(matrix).toEqual(expected); @@ -356,15 +340,7 @@ describe("Core/Matrix3", function () { it("fromColumnMajorArray works without a result parameter", function () { const expected = new Matrix3(1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 9.0); const matrix = Matrix3.fromColumnMajorArray([ - 1.0, - 4.0, - 7.0, - 2.0, - 5.0, - 8.0, - 3.0, - 6.0, - 9.0, + 1.0, 4.0, 7.0, 2.0, 5.0, 8.0, 3.0, 6.0, 9.0, ]); expect(matrix).toEqual(expected); }); @@ -374,7 +350,7 @@ describe("Core/Matrix3", function () { const result = new Matrix3(); const matrix = Matrix3.fromColumnMajorArray( [1.0, 4.0, 7.0, 2.0, 5.0, 8.0, 3.0, 6.0, 9.0], - result + result, ); expect(matrix).toBe(result); expect(matrix).toEqual(expected); @@ -438,7 +414,7 @@ describe("Core/Matrix3", function () { it("toArray works without a result parameter", function () { const expected = [1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 9.0]; const returnedResult = Matrix3.toArray( - Matrix3.fromColumnMajorArray(expected) + Matrix3.fromColumnMajorArray(expected), ); expect(returnedResult).not.toBe(expected); expect(returnedResult).toEqual(expected); @@ -449,7 +425,7 @@ describe("Core/Matrix3", function () { const result = []; const returnedResult = Matrix3.toArray( Matrix3.fromColumnMajorArray(expected), - result + result, ); expect(returnedResult).toBe(result); expect(returnedResult).not.toBe(expected); @@ -497,7 +473,7 @@ describe("Core/Matrix3", function () { matrix, 0, new Cartesian3(10.0, 11.0, 12.0), - result + result, ); expect(result).toBe(returnedResult); expect(result).toEqual(expected); @@ -507,7 +483,7 @@ describe("Core/Matrix3", function () { matrix, 1, new Cartesian3(13.0, 14.0, 15.0), - result + result, ); expect(result).toBe(returnedResult); expect(result).toEqual(expected); @@ -517,7 +493,7 @@ describe("Core/Matrix3", function () { matrix, 2, new Cartesian3(16.0, 17.0, 18.0), - result + result, ); expect(result).toBe(returnedResult); expect(result).toEqual(expected); @@ -553,7 +529,7 @@ describe("Core/Matrix3", function () { matrix, 0, new Cartesian3(10.0, 11.0, 12.0), - result + result, ); expect(result).toBe(returnedResult); expect(result).toEqual(expected); @@ -563,7 +539,7 @@ describe("Core/Matrix3", function () { matrix, 1, new Cartesian3(13.0, 14.0, 15.0), - result + result, ); expect(result).toBe(returnedResult); expect(result).toEqual(expected); @@ -573,7 +549,7 @@ describe("Core/Matrix3", function () { matrix, 2, new Cartesian3(16.0, 17.0, 18.0), - result + result, ); expect(result).toBe(returnedResult); expect(result).toEqual(expected); @@ -591,7 +567,7 @@ describe("Core/Matrix3", function () { const returnedResult = Matrix3.setScale(matrix, newScale, result); expect(Matrix3.getScale(returnedResult, new Cartesian3())).toEqual( - newScale + newScale, ); expect(result).toBe(returnedResult); }); @@ -608,7 +584,7 @@ describe("Core/Matrix3", function () { const returnedResult = Matrix3.setUniformScale(matrix, newScale, result); expect(Matrix3.getScale(returnedResult, new Cartesian3())).toEqual( - new Cartesian3(newScale, newScale, newScale) + new Cartesian3(newScale, newScale, newScale), ); expect(result).toBe(returnedResult); }); @@ -626,7 +602,7 @@ describe("Core/Matrix3", function () { const m = Matrix3.fromScale(new Cartesian3(2.0, 3.0, 4.0)); expect(Matrix3.getMaximumScale(m)).toEqualEpsilon( 4.0, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); }); @@ -645,15 +621,7 @@ describe("Core/Matrix3", function () { it("getRotation returns matrix without scale", function () { const matrix = Matrix3.fromColumnMajorArray([ - 1.0, - 2.0, - 3.0, - 4.0, - 5.0, - 6.0, - 7.0, - 8.0, - 9.0, + 1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 9.0, ]); const expectedRotation = Matrix3.fromArray([ 1.0 / Math.sqrt(1.0 * 1.0 + 2.0 * 2.0 + 3.0 * 3.0), @@ -672,15 +640,7 @@ describe("Core/Matrix3", function () { it("getRotation does not modify rotation matrix", function () { const matrix = Matrix3.fromColumnMajorArray([ - 1.0, - 2.0, - 3.0, - 4.0, - 5.0, - 6.0, - 7.0, - 8.0, - 9.0, + 1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 9.0, ]); const duplicateMatrix = Matrix3.clone(matrix, new Matrix3()); const expectedRotation = Matrix3.fromArray([ @@ -763,7 +723,7 @@ describe("Core/Matrix3", function () { const expected = Matrix3.multiply( m, Matrix3.fromScale(scale), - new Matrix3() + new Matrix3(), ); const result = new Matrix3(); const returnedResult = Matrix3.multiplyByScale(m, scale, result); @@ -777,7 +737,7 @@ describe("Core/Matrix3", function () { const expected = Matrix3.multiply( m, Matrix3.fromScale(scale), - new Matrix3() + new Matrix3(), ); const returnedResult = Matrix3.multiplyByScale(m, scale, m); expect(returnedResult).toBe(m); @@ -790,7 +750,7 @@ describe("Core/Matrix3", function () { const expected = Matrix3.multiply( m, Matrix3.fromUniformScale(scale), - new Matrix3() + new Matrix3(), ); const result = new Matrix3(); const returnedResult = Matrix3.multiplyByUniformScale(m, scale, result); @@ -804,7 +764,7 @@ describe("Core/Matrix3", function () { const expected = Matrix3.multiply( m, Matrix3.fromUniformScale(scale), - new Matrix3() + new Matrix3(), ); const returnedResult = Matrix3.multiplyByUniformScale(m, scale, m); expect(returnedResult).toBe(m); @@ -842,7 +802,7 @@ describe("Core/Matrix3", function () { -6.0, -7.0, -8.0, - -9.0 + -9.0, ); const result = new Matrix3(); const returnedResult = Matrix3.negate(matrix, result); @@ -861,7 +821,7 @@ describe("Core/Matrix3", function () { -6.0, -7.0, -8.0, - -9.0 + -9.0, ); const returnedResult = Matrix3.negate(matrix, matrix); expect(matrix).toBe(returnedResult); @@ -882,7 +842,7 @@ describe("Core/Matrix3", function () { const expectedInverse = Matrix3.inverse(matrix, new Matrix3()); const expectedInverseTranspose = Matrix3.transpose( expectedInverse, - new Matrix3() + new Matrix3(), ); const result = Matrix3.inverseTranspose(matrix, new Matrix3()); expect(result).toEqual(expectedInverseTranspose); @@ -914,7 +874,7 @@ describe("Core/Matrix3", function () { 5.0, 3.0, -3.0, - 4.0 + 4.0, ); const result = new Matrix3(); const returnedResult = Matrix3.inverse(matrix, result); @@ -933,7 +893,7 @@ describe("Core/Matrix3", function () { 5.0, 3.0, -3.0, - 4.0 + 4.0, ); const returnedResult = Matrix3.inverse(matrix, matrix); expect(matrix).toBe(returnedResult); @@ -952,41 +912,44 @@ describe("Core/Matrix3", function () { 0.0, 0.0, 0.0, - 1.0 + 1.0, ); const decomposition = Matrix3.computeEigenDecomposition(a); expect(decomposition.diagonal).toEqualEpsilon( expectedDiagonal, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); let v = Matrix3.getColumn(decomposition.unitary, 0, new Cartesian3()); - let lambda = Matrix3.getColumn(decomposition.diagonal, 0, new Cartesian3()) - .x; + let lambda = Matrix3.getColumn( + decomposition.diagonal, + 0, + new Cartesian3(), + ).x; expect( - Cartesian3.multiplyByScalar(v, lambda, new Cartesian3()) + Cartesian3.multiplyByScalar(v, lambda, new Cartesian3()), ).toEqualEpsilon( Matrix3.multiplyByVector(a, v, new Cartesian3()), - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); v = Matrix3.getColumn(decomposition.unitary, 1, new Cartesian3()); lambda = Matrix3.getColumn(decomposition.diagonal, 1, new Cartesian3()).y; expect( - Cartesian3.multiplyByScalar(v, lambda, new Cartesian3()) + Cartesian3.multiplyByScalar(v, lambda, new Cartesian3()), ).toEqualEpsilon( Matrix3.multiplyByVector(a, v, new Cartesian3()), - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); v = Matrix3.getColumn(decomposition.unitary, 2, new Cartesian3()); lambda = Matrix3.getColumn(decomposition.diagonal, 2, new Cartesian3()).z; expect( - Cartesian3.multiplyByScalar(v, lambda, new Cartesian3()) + Cartesian3.multiplyByScalar(v, lambda, new Cartesian3()), ).toEqualEpsilon( Matrix3.multiplyByVector(a, v, new Cartesian3()), - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); }); @@ -1002,7 +965,7 @@ describe("Core/Matrix3", function () { 0.0, 0.0, 0.0, - 1.0 + 1.0, ); const result = { unitary: new Matrix3(), @@ -1013,35 +976,38 @@ describe("Core/Matrix3", function () { expect(decomposition).toBe(result); expect(decomposition.diagonal).toEqualEpsilon( expectedDiagonal, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); let v = Matrix3.getColumn(decomposition.unitary, 0, new Cartesian3()); - let lambda = Matrix3.getColumn(decomposition.diagonal, 0, new Cartesian3()) - .x; + let lambda = Matrix3.getColumn( + decomposition.diagonal, + 0, + new Cartesian3(), + ).x; expect( - Cartesian3.multiplyByScalar(v, lambda, new Cartesian3()) + Cartesian3.multiplyByScalar(v, lambda, new Cartesian3()), ).toEqualEpsilon( Matrix3.multiplyByVector(a, v, new Cartesian3()), - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); v = Matrix3.getColumn(decomposition.unitary, 1, new Cartesian3()); lambda = Matrix3.getColumn(decomposition.diagonal, 1, new Cartesian3()).y; expect( - Cartesian3.multiplyByScalar(v, lambda, new Cartesian3()) + Cartesian3.multiplyByScalar(v, lambda, new Cartesian3()), ).toEqualEpsilon( Matrix3.multiplyByVector(a, v, new Cartesian3()), - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); v = Matrix3.getColumn(decomposition.unitary, 2, new Cartesian3()); lambda = Matrix3.getColumn(decomposition.diagonal, 2, new Cartesian3()).z; expect( - Cartesian3.multiplyByScalar(v, lambda, new Cartesian3()) + Cartesian3.multiplyByScalar(v, lambda, new Cartesian3()), ).toEqualEpsilon( Matrix3.multiplyByVector(a, v, new Cartesian3()), - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); }); @@ -1055,7 +1021,7 @@ describe("Core/Matrix3", function () { -6.0, -7.0, -8.0, - -9.0 + -9.0, ); const expected = new Matrix3(1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 9.0); const result = new Matrix3(); @@ -1081,7 +1047,7 @@ describe("Core/Matrix3", function () { -6.0, -7.0, -8.0, - -9.0 + -9.0, ); const expected = new Matrix3(1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 9.0); const returnedResult = Matrix3.abs(matrix, matrix); @@ -1485,7 +1451,7 @@ describe("Core/Matrix3", function () { expect(function () { Matrix3.inverse( new Matrix3(0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.0), - new Matrix3() + new Matrix3(), ); }).toThrowDeveloperError(); }); diff --git a/packages/engine/Specs/Core/Matrix4Spec.js b/packages/engine/Specs/Core/Matrix4Spec.js index ae2c6fa15a81..375416d0a849 100644 --- a/packages/engine/Specs/Core/Matrix4Spec.js +++ b/packages/engine/Specs/Core/Matrix4Spec.js @@ -50,7 +50,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); expect(matrix[Matrix4.COLUMN0ROW0]).toEqual(1.0); expect(matrix[Matrix4.COLUMN1ROW0]).toEqual(2.0); @@ -87,25 +87,11 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); const matrix = Matrix4.fromArray([ - 1.0, - 5.0, - 9.0, - 13.0, - 2.0, - 6.0, - 10.0, - 14.0, - 3.0, - 7.0, - 11.0, - 15.0, - 4.0, - 8.0, - 12.0, - 16.0, + 1.0, 5.0, 9.0, 13.0, 2.0, 6.0, 10.0, 14.0, 3.0, 7.0, 11.0, 15.0, 4.0, 8.0, + 12.0, 16.0, ]); expect(matrix).toEqual(expected); }); @@ -127,30 +113,16 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); const result = new Matrix4(); const matrix = Matrix4.fromArray( [ - 1.0, - 5.0, - 9.0, - 13.0, - 2.0, - 6.0, - 10.0, - 14.0, - 3.0, - 7.0, - 11.0, - 15.0, - 4.0, - 8.0, - 12.0, - 16.0, + 1.0, 5.0, 9.0, 13.0, 2.0, 6.0, 10.0, 14.0, 3.0, 7.0, 11.0, 15.0, 4.0, + 8.0, 12.0, 16.0, ], 0, - result + result, ); expect(matrix).toBe(result); expect(matrix).toEqual(expected); @@ -173,33 +145,16 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); const result = new Matrix4(); const matrix = Matrix4.fromArray( [ - 0.0, - 0.0, - 0.0, - 1.0, - 5.0, - 9.0, - 13.0, - 2.0, - 6.0, - 10.0, - 14.0, - 3.0, - 7.0, - 11.0, - 15.0, - 4.0, - 8.0, - 12.0, - 16.0, + 0.0, 0.0, 0.0, 1.0, 5.0, 9.0, 13.0, 2.0, 6.0, 10.0, 14.0, 3.0, 7.0, + 11.0, 15.0, 4.0, 8.0, 12.0, 16.0, ], 3, - result + result, ); expect(matrix).toBe(result); expect(matrix).toEqual(expected); @@ -222,25 +177,11 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); const matrix = Matrix4.fromRowMajorArray([ - 1.0, - 2.0, - 3.0, - 4.0, - 5.0, - 6.0, - 7.0, - 8.0, - 9.0, - 10.0, - 11.0, - 12.0, - 13.0, - 14.0, - 15.0, - 16.0, + 1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 9.0, 10.0, 11.0, 12.0, 13.0, 14.0, + 15.0, 16.0, ]); expect(matrix).toEqual(expected); }); @@ -262,29 +203,15 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); const result = new Matrix4(); const matrix = Matrix4.fromRowMajorArray( [ - 1.0, - 2.0, - 3.0, - 4.0, - 5.0, - 6.0, - 7.0, - 8.0, - 9.0, - 10.0, - 11.0, - 12.0, - 13.0, - 14.0, - 15.0, - 16.0, + 1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 9.0, 10.0, 11.0, 12.0, 13.0, + 14.0, 15.0, 16.0, ], - result + result, ); expect(matrix).toBe(result); expect(matrix).toEqual(expected); @@ -307,25 +234,11 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); const matrix = Matrix4.fromColumnMajorArray([ - 1.0, - 5.0, - 9.0, - 13.0, - 2.0, - 6.0, - 10.0, - 14.0, - 3.0, - 7.0, - 11.0, - 15.0, - 4.0, - 8.0, - 12.0, - 16.0, + 1.0, 5.0, 9.0, 13.0, 2.0, 6.0, 10.0, 14.0, 3.0, 7.0, 11.0, 15.0, 4.0, 8.0, + 12.0, 16.0, ]); expect(matrix).toEqual(expected); }); @@ -347,29 +260,15 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); const result = new Matrix4(); const matrix = Matrix4.fromColumnMajorArray( [ - 1.0, - 5.0, - 9.0, - 13.0, - 2.0, - 6.0, - 10.0, - 14.0, - 3.0, - 7.0, - 11.0, - 15.0, - 4.0, - 8.0, - 12.0, - 16.0, + 1.0, 5.0, 9.0, 13.0, 2.0, 6.0, 10.0, 14.0, 3.0, 7.0, 11.0, 15.0, 4.0, + 8.0, 12.0, 16.0, ], - result + result, ); expect(matrix).toBe(result); expect(matrix).toEqual(expected); @@ -392,7 +291,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); const returnedResult = expected.clone(); expect(returnedResult).not.toBe(expected); @@ -416,7 +315,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); const result = new Matrix4(); const returnedResult = expected.clone(result); @@ -442,11 +341,11 @@ describe("Core/Matrix4", function () { 0.0, 0.0, 0.0, - 1.0 + 1.0, ); const returnedResult = Matrix4.fromRotationTranslation( new Matrix3(1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 9.0), - new Cartesian3(10.0, 11.0, 12.0) + new Cartesian3(10.0, 11.0, 12.0), ); expect(returnedResult).not.toBe(expected); expect(returnedResult).toEqual(expected); @@ -469,13 +368,13 @@ describe("Core/Matrix4", function () { 0.0, 0.0, 0.0, - 1.0 + 1.0, ); const result = new Matrix4(); const returnedResult = Matrix4.fromRotationTranslation( new Matrix3(1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 9.0), new Cartesian3(10.0, 11.0, 12.0), - result + result, ); expect(returnedResult).toBe(result); expect(returnedResult).not.toBe(expected); @@ -499,10 +398,10 @@ describe("Core/Matrix4", function () { 0.0, 0.0, 0.0, - 1.0 + 1.0, ); const returnedResult = Matrix4.fromTranslation( - new Cartesian3(10.0, 11.0, 12.0) + new Cartesian3(10.0, 11.0, 12.0), ); expect(returnedResult).not.toBe(expected); expect(returnedResult).toEqual(expected); @@ -525,12 +424,12 @@ describe("Core/Matrix4", function () { 0.0, 0.0, 0.0, - 1.0 + 1.0, ); const returnedResult = Matrix4.fromTranslationQuaternionRotationScale( new Cartesian3(1.0, 2.0, 3.0), // translation Quaternion.fromAxisAngle(Cartesian3.UNIT_X, CesiumMath.toRadians(-90.0)), // rotation - new Cartesian3(7.0, 8.0, 9.0) + new Cartesian3(7.0, 8.0, 9.0), ); // scale expect(returnedResult).not.toBe(expected); expect(returnedResult).toEqualEpsilon(expected, CesiumMath.EPSILON14); @@ -553,14 +452,14 @@ describe("Core/Matrix4", function () { 0.0, 0.0, 0.0, - 1.0 + 1.0, ); const result = new Matrix4(); const returnedResult = Matrix4.fromTranslationQuaternionRotationScale( new Cartesian3(1.0, 2.0, 3.0), // translation Quaternion.fromAxisAngle(Cartesian3.UNIT_X, CesiumMath.toRadians(-90.0)), // rotation new Cartesian3(7.0, 8.0, 9.0), // scale - result + result, ); expect(returnedResult).toBe(result); expect(returnedResult).not.toBe(expected); @@ -584,13 +483,13 @@ describe("Core/Matrix4", function () { 0.0, 0.0, 0.0, - 1.0 + 1.0, ); const trs = new TranslationRotationScale( new Cartesian3(1.0, 2.0, 3.0), Quaternion.fromAxisAngle(Cartesian3.UNIT_X, CesiumMath.toRadians(-90.0)), - new Cartesian3(7.0, 8.0, 9.0) + new Cartesian3(7.0, 8.0, 9.0), ); const returnedResult = Matrix4.fromTranslationRotationScale(trs); @@ -615,13 +514,13 @@ describe("Core/Matrix4", function () { 0.0, 0.0, 0.0, - 1.0 + 1.0, ); const trs = new TranslationRotationScale( new Cartesian3(1.0, 2.0, 3.0), Quaternion.fromAxisAngle(Cartesian3.UNIT_X, CesiumMath.toRadians(-90.0)), - new Cartesian3(7.0, 8.0, 9.0) + new Cartesian3(7.0, 8.0, 9.0), ); const result = new Matrix4(); @@ -648,12 +547,12 @@ describe("Core/Matrix4", function () { 0.0, 0.0, 0.0, - 1.0 + 1.0, ); const result = new Matrix4(); const returnedResult = Matrix4.fromTranslation( new Cartesian3(10.0, 11.0, 12.0), - result + result, ); expect(returnedResult).toBe(result); expect(returnedResult).not.toBe(expected); @@ -677,7 +576,7 @@ describe("Core/Matrix4", function () { 0.0, 0.0, 0.0, - 1.0 + 1.0, ); const returnedResult = Matrix4.fromScale(new Cartesian3(7.0, 8.0, 9.0)); expect(returnedResult).not.toBe(expected); @@ -701,12 +600,12 @@ describe("Core/Matrix4", function () { 0.0, 0.0, 0.0, - 1.0 + 1.0, ); const result = new Matrix4(); const returnedResult = Matrix4.fromScale( new Cartesian3(7.0, 8.0, 9.0), - result + result, ); expect(returnedResult).toBe(result); expect(returnedResult).not.toBe(expected); @@ -730,7 +629,7 @@ describe("Core/Matrix4", function () { 0.0, 0.0, 0.0, - 1.0 + 1.0, ); const returnedResult = Matrix4.fromUniformScale(2.0); expect(returnedResult).toEqual(expected); @@ -753,7 +652,7 @@ describe("Core/Matrix4", function () { 0.0, 0.0, 0.0, - 1.0 + 1.0, ); const result = new Matrix4(); const returnedResult = Matrix4.fromUniformScale(2.0, result); @@ -763,73 +662,29 @@ describe("Core/Matrix4", function () { it("fromRotation works without a result parameter", function () { const expected = Matrix4.fromColumnMajorArray([ - 1.0, - 2.0, - 3.0, - 0.0, - 4.0, - 5.0, - 6.0, - 0.0, - 7.0, - 8.0, - 9.0, - 0.0, - 0.0, - 0.0, - 0.0, + 1.0, 2.0, 3.0, 0.0, 4.0, 5.0, 6.0, 0.0, 7.0, 8.0, 9.0, 0.0, 0.0, 0.0, 0.0, 1.0, ]); const returnedResult = Matrix4.fromRotation( Matrix3.fromColumnMajorArray([ - 1.0, - 2.0, - 3.0, - 4.0, - 5.0, - 6.0, - 7.0, - 8.0, - 9.0, - ]) + 1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 9.0, + ]), ); expect(returnedResult).toEqual(expected); }); it("fromRotation works with a result parameter", function () { const expected = Matrix4.fromColumnMajorArray([ - 1.0, - 2.0, - 3.0, - 0.0, - 4.0, - 5.0, - 6.0, - 0.0, - 7.0, - 8.0, - 9.0, - 0.0, - 0.0, - 0.0, - 0.0, + 1.0, 2.0, 3.0, 0.0, 4.0, 5.0, 6.0, 0.0, 7.0, 8.0, 9.0, 0.0, 0.0, 0.0, 0.0, 1.0, ]); const result = new Matrix4(); const returnedResult = Matrix4.fromRotation( Matrix3.fromColumnMajorArray([ - 1.0, - 2.0, - 3.0, - 4.0, - 5.0, - 6.0, - 7.0, - 8.0, - 9.0, + 1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 9.0, ]), - result + result, ); expect(returnedResult).toBe(result); expect(returnedResult).toEqual(expected); @@ -852,7 +707,7 @@ describe("Core/Matrix4", function () { 0, 0, -1, - 0 + 0, ); const result = new Matrix4(); const returnedResult = Matrix4.computePerspectiveFieldOfView( @@ -860,7 +715,7 @@ describe("Core/Matrix4", function () { 1, 1, 10, - result + result, ); expect(returnedResult).toEqualEpsilon(expected, CesiumMath.EPSILON15); }); @@ -884,7 +739,7 @@ describe("Core/Matrix4", function () { direction: Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()), up: Cartesian3.UNIT_Y, }, - result + result, ); expect(returnedResult).toBe(result); expect(returnedResult).toEqual(expected); @@ -907,7 +762,7 @@ describe("Core/Matrix4", function () { 0, 0, 0, - 1 + 1, ); const result = new Matrix4(); const returnedResult = Matrix4.computeOrthographicOffCenter( @@ -917,7 +772,7 @@ describe("Core/Matrix4", function () { 3, 0, 1, - result + result, ); expect(returnedResult).toBe(result); expect(returnedResult).toEqual(expected); @@ -940,7 +795,7 @@ describe("Core/Matrix4", function () { 0.0, 0.0, 0.0, - 1.0 + 1.0, ); const returnedResult = Matrix4.computeViewportTransformation( { @@ -950,7 +805,7 @@ describe("Core/Matrix4", function () { height: 6.0, }, 0.0, - 2.0 + 2.0, ); expect(returnedResult).toEqual(expected); }); @@ -972,7 +827,7 @@ describe("Core/Matrix4", function () { 0.0, 0.0, 0.0, - 1.0 + 1.0, ); const result = new Matrix4(); const returnedResult = Matrix4.computeViewportTransformation( @@ -984,7 +839,7 @@ describe("Core/Matrix4", function () { }, 0.0, 2.0, - result + result, ); expect(returnedResult).toEqual(expected); expect(returnedResult).toBe(result); @@ -1007,7 +862,7 @@ describe("Core/Matrix4", function () { 0, 0, -1, - 0 + 0, ); const result = new Matrix4(); const returnedResult = Matrix4.computePerspectiveOffCenter( @@ -1017,7 +872,7 @@ describe("Core/Matrix4", function () { 3, 1, 2, - result + result, ); expect(returnedResult).toEqual(expected); expect(returnedResult).toBe(result); @@ -1040,7 +895,7 @@ describe("Core/Matrix4", function () { 0, 0, -1, - 0 + 0, ); const result = new Matrix4(); const returnedResult = Matrix4.computeInfinitePerspectiveOffCenter( @@ -1049,32 +904,18 @@ describe("Core/Matrix4", function () { 2, 3, 1, - result + result, ); expect(returnedResult).toEqual(expected); }); it("toArray works without a result parameter", function () { const expected = [ - 1.0, - 2.0, - 3.0, - 4.0, - 5.0, - 6.0, - 7.0, - 8.0, - 9.0, - 10.0, - 11.0, - 12.0, - 13.0, - 14.0, - 15.0, - 16.0, + 1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 9.0, 10.0, 11.0, 12.0, 13.0, 14.0, + 15.0, 16.0, ]; const returnedResult = Matrix4.toArray( - Matrix4.fromColumnMajorArray(expected) + Matrix4.fromColumnMajorArray(expected), ); expect(returnedResult).not.toBe(expected); expect(returnedResult).toEqual(expected); @@ -1082,27 +923,13 @@ describe("Core/Matrix4", function () { it("toArray works with a result parameter", function () { const expected = [ - 1.0, - 2.0, - 3.0, - 4.0, - 5.0, - 6.0, - 7.0, - 8.0, - 9.0, - 10.0, - 11.0, - 12.0, - 13.0, - 14.0, - 15.0, - 16.0, + 1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 9.0, 10.0, 11.0, 12.0, 13.0, 14.0, + 15.0, 16.0, ]; const result = []; const returnedResult = Matrix4.toArray( Matrix4.fromColumnMajorArray(expected), - result + result, ); expect(returnedResult).toBe(result); expect(returnedResult).not.toBe(expected); @@ -1137,7 +964,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); const expectedColumn0 = new Cartesian4(1.0, 5.0, 9.0, 13.0); const expectedColumn1 = new Cartesian4(2.0, 6.0, 10.0, 14.0); @@ -1180,7 +1007,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); const result = new Matrix4(); @@ -1201,13 +1028,13 @@ describe("Core/Matrix4", function () { 20.0, 14.0, 15.0, - 16.0 + 16.0, ); let returnedResult = Matrix4.setColumn( matrix, 0, new Cartesian4(17.0, 18.0, 19.0, 20.0), - result + result, ); expect(result).toBe(returnedResult); expect(result).toEqual(expected); @@ -1228,13 +1055,13 @@ describe("Core/Matrix4", function () { 13.0, 20.0, 15.0, - 16.0 + 16.0, ); returnedResult = Matrix4.setColumn( matrix, 1, new Cartesian4(17.0, 18.0, 19.0, 20.0), - result + result, ); expect(result).toBe(returnedResult); expect(result).toEqual(expected); @@ -1255,13 +1082,13 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 20.0, - 16.0 + 16.0, ); returnedResult = Matrix4.setColumn( matrix, 2, new Cartesian4(17.0, 18.0, 19.0, 20.0), - result + result, ); expect(result).toBe(returnedResult); expect(result).toEqual(expected); @@ -1282,13 +1109,13 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 20.0 + 20.0, ); returnedResult = Matrix4.setColumn( matrix, 3, new Cartesian4(17.0, 18.0, 19.0, 20.0), - result + result, ); expect(result).toBe(returnedResult); expect(result).toEqual(expected); @@ -1311,7 +1138,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); const translation = new Cartesian3(-1.0, -2.0, -3.0); const result = new Matrix4(); @@ -1332,7 +1159,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); const returnedResult = Matrix4.setTranslation(matrix, translation, result); expect(result).toBe(returnedResult); @@ -1356,7 +1183,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); const expectedRow0 = new Cartesian4(1.0, 2.0, 3.0, 4.0); const expectedRow1 = new Cartesian4(5.0, 6.0, 7.0, 8.0); @@ -1399,7 +1226,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); const result = new Matrix4(); @@ -1419,13 +1246,13 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); let returnedResult = Matrix4.setRow( matrix, 0, new Cartesian4(91.0, 92.0, 93.0, 94.0), - result + result, ); expect(result).toBe(returnedResult); expect(result).toEqual(expected); @@ -1446,13 +1273,13 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); returnedResult = Matrix4.setRow( matrix, 1, new Cartesian4(95.0, 96.0, 97.0, 98.0), - result + result, ); expect(result).toBe(returnedResult); expect(result).toEqual(expected); @@ -1473,13 +1300,13 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); returnedResult = Matrix4.setRow( matrix, 2, new Cartesian4(99.0, 910.0, 911.0, 912.0), - result + result, ); expect(result).toBe(returnedResult); expect(result).toEqual(expected); @@ -1500,13 +1327,13 @@ describe("Core/Matrix4", function () { 913.0, 914.0, 915.0, - 916.0 + 916.0, ); returnedResult = Matrix4.setRow( matrix, 3, new Cartesian4(913.0, 914.0, 915.0, 916.0), - result + result, ); expect(result).toBe(returnedResult); expect(result).toEqual(expected); @@ -1524,7 +1351,7 @@ describe("Core/Matrix4", function () { const returnedResult = Matrix4.setScale(matrix, newScale, result); expect(Matrix4.getScale(returnedResult, new Cartesian3())).toEqual( - newScale + newScale, ); expect(result).toBe(returnedResult); }); @@ -1541,7 +1368,7 @@ describe("Core/Matrix4", function () { const returnedResult = Matrix4.setUniformScale(matrix, newScale, result); expect(Matrix4.getScale(returnedResult, new Cartesian3())).toEqual( - new Cartesian3(newScale, newScale, newScale) + new Cartesian3(newScale, newScale, newScale), ); expect(result).toBe(returnedResult); }); @@ -1559,7 +1386,7 @@ describe("Core/Matrix4", function () { const m = Matrix4.fromScale(new Cartesian3(2.0, 3.0, 4.0)); expect(Matrix4.getMaximumScale(m)).toEqualEpsilon( 4.0, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); }); @@ -1579,16 +1406,8 @@ describe("Core/Matrix4", function () { it("getRotation returns matrix without scale", function () { const matrix = Matrix4.fromRotation( Matrix3.fromColumnMajorArray([ - 1.0, - 2.0, - 3.0, - 4.0, - 5.0, - 6.0, - 7.0, - 8.0, - 9.0, - ]) + 1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 9.0, + ]), ); const expectedRotation = Matrix3.fromColumnMajorArray([ 1.0 / Math.sqrt(1.0 * 1.0 + 2.0 * 2.0 + 3.0 * 3.0), @@ -1608,16 +1427,8 @@ describe("Core/Matrix4", function () { it("getRotation does not modify rotation matrix", function () { const matrix = Matrix4.fromRotation( Matrix3.fromColumnMajorArray([ - 1.0, - 2.0, - 3.0, - 4.0, - 5.0, - 6.0, - 7.0, - 8.0, - 9.0, - ]) + 1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 9.0, + ]), ); const duplicateMatrix = Matrix4.clone(matrix, new Matrix4()); const expectedRotation = Matrix3.fromColumnMajorArray([ @@ -1654,7 +1465,7 @@ describe("Core/Matrix4", function () { 13, 14, 15, - 16 + 16, ); const right = new Matrix4( 17, @@ -1672,7 +1483,7 @@ describe("Core/Matrix4", function () { 29, 30, 31, - 32 + 32, ); const expected = new Matrix4( 250, @@ -1690,7 +1501,7 @@ describe("Core/Matrix4", function () { 1354, 1412, 1470, - 1528 + 1528, ); const result = new Matrix4(); const returnedResult = Matrix4.multiply(left, right, result); @@ -1715,7 +1526,7 @@ describe("Core/Matrix4", function () { 13, 14, 15, - 16 + 16, ); const right = new Matrix4( 17, @@ -1733,7 +1544,7 @@ describe("Core/Matrix4", function () { 29, 30, 31, - 32 + 32, ); const expected = new Matrix4( 250, @@ -1751,7 +1562,7 @@ describe("Core/Matrix4", function () { 1354, 1412, 1470, - 1528 + 1528, ); const returnedResult = Matrix4.multiply(left, right, left); expect(returnedResult).toBe(left); @@ -1775,7 +1586,7 @@ describe("Core/Matrix4", function () { 13, 14, 15, - 16 + 16, ); const right = new Matrix4( 17, @@ -1793,7 +1604,7 @@ describe("Core/Matrix4", function () { 29, 30, 31, - 32 + 32, ); const expected = new Matrix4( 18, @@ -1811,7 +1622,7 @@ describe("Core/Matrix4", function () { 42, 44, 46, - 48 + 48, ); const result = new Matrix4(); const returnedResult = Matrix4.add(left, right, result); @@ -1836,7 +1647,7 @@ describe("Core/Matrix4", function () { 13, 14, 15, - 16 + 16, ); const right = new Matrix4( 17, @@ -1854,7 +1665,7 @@ describe("Core/Matrix4", function () { 29, 30, 31, - 32 + 32, ); const expected = new Matrix4( 18, @@ -1872,7 +1683,7 @@ describe("Core/Matrix4", function () { 42, 44, 46, - 48 + 48, ); const returnedResult = Matrix4.add(left, right, left); expect(returnedResult).toBe(left); @@ -1896,7 +1707,7 @@ describe("Core/Matrix4", function () { 42, 44, 46, - 48 + 48, ); const right = new Matrix4( 17, @@ -1914,7 +1725,7 @@ describe("Core/Matrix4", function () { 29, 30, 31, - 32 + 32, ); const expected = new Matrix4( 1, @@ -1932,7 +1743,7 @@ describe("Core/Matrix4", function () { 13, 14, 15, - 16 + 16, ); const result = new Matrix4(); const returnedResult = Matrix4.subtract(left, right, result); @@ -1957,7 +1768,7 @@ describe("Core/Matrix4", function () { 42, 44, 46, - 48 + 48, ); const right = new Matrix4( 17, @@ -1975,7 +1786,7 @@ describe("Core/Matrix4", function () { 29, 30, 31, - 32 + 32, ); const expected = new Matrix4( 1, @@ -1993,7 +1804,7 @@ describe("Core/Matrix4", function () { 13, 14, 15, - 16 + 16, ); const returnedResult = Matrix4.subtract(left, right, left); expect(returnedResult).toBe(left); @@ -2018,7 +1829,7 @@ describe("Core/Matrix4", function () { 0, 0, 0, - 1 + 1, ); const expected = new Matrix4( 134, @@ -2036,7 +1847,7 @@ describe("Core/Matrix4", function () { 0, 0, 0, - 1 + 1, ); const result = new Matrix4(); const returnedResult = Matrix4.multiplyTransformation(left, right, result); @@ -2062,7 +1873,7 @@ describe("Core/Matrix4", function () { 0, 0, 0, - 1 + 1, ); const expected = new Matrix4( 134, @@ -2080,7 +1891,7 @@ describe("Core/Matrix4", function () { 0, 0, 0, - 1 + 1, ); const returnedResult = Matrix4.multiplyTransformation(left, right, left); expect(returnedResult).toBe(left); @@ -2090,20 +1901,20 @@ describe("Core/Matrix4", function () { it("multiplyByMatrix3 works", function () { const left = Matrix4.fromRotationTranslation( Matrix3.fromRotationZ(CesiumMath.toRadians(45.0)), - new Cartesian3(1.0, 2.0, 3.0) + new Cartesian3(1.0, 2.0, 3.0), ); const rightRotation = Matrix3.fromRotationX(CesiumMath.toRadians(30.0)); const right = Matrix4.fromRotationTranslation(rightRotation); const expected = new Matrix4.multiplyTransformation( left, right, - new Matrix4() + new Matrix4(), ); const result = new Matrix4(); const returnedResult = Matrix4.multiplyByMatrix3( left, rightRotation, - result + result, ); expect(returnedResult).toBe(result); expect(result).toEqual(expected); @@ -2112,14 +1923,14 @@ describe("Core/Matrix4", function () { it("multiplyByMatrix3 works with a result parameter that is an input result parameter", function () { const left = Matrix4.fromRotationTranslation( Matrix3.fromRotationZ(CesiumMath.toRadians(45.0)), - new Cartesian3(1.0, 2.0, 3.0) + new Cartesian3(1.0, 2.0, 3.0), ); const rightRotation = Matrix3.fromRotationX(CesiumMath.toRadians(30.0)); const right = Matrix4.fromRotationTranslation(rightRotation); const expected = new Matrix4.multiplyTransformation( left, right, - new Matrix4() + new Matrix4(), ); const returnedResult = Matrix4.multiplyByMatrix3(left, rightRotation, left); expect(returnedResult).toBe(left); @@ -2132,13 +1943,13 @@ describe("Core/Matrix4", function () { const expected = Matrix4.multiply( m, Matrix4.fromTranslation(translation), - new Matrix4() + new Matrix4(), ); const result = new Matrix4(); const returnedResult = Matrix4.multiplyByTranslation( m, translation, - result + result, ); expect(returnedResult).toBe(result); expect(result).toEqual(expected); @@ -2150,7 +1961,7 @@ describe("Core/Matrix4", function () { const expected = Matrix4.multiply( m, Matrix4.fromTranslation(translation), - new Matrix4() + new Matrix4(), ); const returnedResult = Matrix4.multiplyByTranslation(m, translation, m); expect(returnedResult).toBe(m); @@ -2159,22 +1970,7 @@ describe("Core/Matrix4", function () { it("multiplyByUniformScale works", function () { const m = Matrix4.fromColumnMajorArray([ - 2, - 3, - 4, - 5, - 6, - 7, - 8, - 9, - 10, - 11, - 12, - 13, - 14, - 15, - 16, - 17, + 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, ]); const scale = 2.0; const expected = Matrix4.fromColumnMajorArray([ @@ -2203,22 +1999,7 @@ describe("Core/Matrix4", function () { it("multiplyByUniformScale works with a result parameter that is an input result parameter", function () { const m = Matrix4.fromColumnMajorArray([ - 2, - 3, - 4, - 5, - 6, - 7, - 8, - 9, - 10, - 11, - 12, - 13, - 14, - 15, - 16, - 17, + 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, ]); const scale = 2.0; const expected = Matrix4.fromColumnMajorArray([ @@ -2268,7 +2049,7 @@ describe("Core/Matrix4", function () { const expected = Matrix4.multiply( m, Matrix4.fromScale(scale), - new Matrix4() + new Matrix4(), ); const returnedResult = Matrix4.multiplyByScale(m, scale, m); expect(returnedResult).toBe(m); @@ -2277,22 +2058,7 @@ describe("Core/Matrix4", function () { it("multiplyByUniformScale works", function () { const m = Matrix4.fromColumnMajorArray([ - 2, - 3, - 4, - 5, - 6, - 7, - 8, - 9, - 10, - 11, - 12, - 13, - 14, - 15, - 16, - 17, + 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, ]); const scale = 2.0; const expected = Matrix4.fromColumnMajorArray([ @@ -2322,22 +2088,7 @@ describe("Core/Matrix4", function () { it('multiplyByUniformScale works with "this" result parameter', function () { const m = Matrix4.fromColumnMajorArray([ - 2, - 3, - 4, - 5, - 6, - 7, - 8, - 9, - 10, - 11, - 12, - 13, - 14, - 15, - 16, - 17, + 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, ]); const scale = 2.0; const expected = Matrix4.fromColumnMajorArray([ @@ -2381,7 +2132,7 @@ describe("Core/Matrix4", function () { 13, 14, 15, - 16 + 16, ); const right = new Cartesian4(17, 18, 19, 20); const expected = new Cartesian4(190, 486, 782, 1078); @@ -2408,7 +2159,7 @@ describe("Core/Matrix4", function () { 13, 14, 15, - 16 + 16, ); const right = new Cartesian3(17, 18, 19); const expected = new Cartesian3(114, 334, 554); @@ -2435,7 +2186,7 @@ describe("Core/Matrix4", function () { 13, 14, 15, - 16 + 16, ); const right = new Cartesian3(17, 18, 19); const expected = new Cartesian3(110, 326, 542); @@ -2462,7 +2213,7 @@ describe("Core/Matrix4", function () { 13, 14, 15, - 16 + 16, ); const right = 2; const expected = new Matrix4( @@ -2481,7 +2232,7 @@ describe("Core/Matrix4", function () { 26, 28, 30, - 32 + 32, ); const result = new Matrix4(); const returnedResult = Matrix4.multiplyByScalar(left, right, result); @@ -2506,7 +2257,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); const expected = new Matrix4( -1.0, @@ -2524,7 +2275,7 @@ describe("Core/Matrix4", function () { -13.0, -14.0, -15.0, - -16.0 + -16.0, ); const result = new Matrix4(); const returnedResult = Matrix4.negate(matrix, result); @@ -2549,7 +2300,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); const expected = new Matrix4( -1.0, @@ -2567,7 +2318,7 @@ describe("Core/Matrix4", function () { -13.0, -14.0, -15.0, - -16.0 + -16.0, ); const returnedResult = Matrix4.negate(matrix, matrix); expect(matrix).toBe(returnedResult); @@ -2591,7 +2342,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); const expected = new Matrix4( 1.0, @@ -2609,7 +2360,7 @@ describe("Core/Matrix4", function () { 4.0, 8.0, 12.0, - 16.0 + 16.0, ); const result = new Matrix4(); const returnedResult = Matrix4.transpose(matrix, result); @@ -2634,12 +2385,12 @@ describe("Core/Matrix4", function () { 13.0, -27.0, 15.0, - 16.0 + 16.0, ); const expectedInverse = Matrix4.inverse(matrix, new Matrix4()); const expectedInverseTranspose = Matrix4.transpose( expectedInverse, - new Matrix4() + new Matrix4(), ); const result = Matrix4.inverseTranspose(matrix, new Matrix4()); expect(result).toEqual(expectedInverseTranspose); @@ -2662,7 +2413,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); const expected = new Matrix4( 1.0, @@ -2680,7 +2431,7 @@ describe("Core/Matrix4", function () { 4.0, 8.0, 12.0, - 16.0 + 16.0, ); const returnedResult = Matrix4.transpose(matrix, matrix); expect(matrix).toBe(returnedResult); @@ -2704,7 +2455,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); let right = new Matrix4( 1.0, @@ -2722,7 +2473,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); expect(Matrix4.equals(left, right)).toEqual(true); @@ -2742,7 +2493,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); right = new Matrix4(5.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 9.0); expect(Matrix4.equals(left, right)).toEqual(false); @@ -2763,7 +2514,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); right = new Matrix4(1.0, 6.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 9.0); expect(Matrix4.equals(left, right)).toEqual(false); @@ -2784,7 +2535,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); right = new Matrix4(1.0, 2.0, 7.0, 4.0, 5.0, 6.0, 7.0, 8.0, 9.0); expect(Matrix4.equals(left, right)).toEqual(false); @@ -2805,7 +2556,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); right = new Matrix4(1.0, 2.0, 3.0, 8.0, 5.0, 6.0, 7.0, 8.0, 9.0); expect(Matrix4.equals(left, right)).toEqual(false); @@ -2826,7 +2577,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); right = new Matrix4(1.0, 2.0, 3.0, 4.0, 9.0, 6.0, 7.0, 8.0, 9.0); expect(Matrix4.equals(left, right)).toEqual(false); @@ -2847,7 +2598,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); right = new Matrix4(1.0, 2.0, 3.0, 4.0, 5.0, 10.0, 7.0, 8.0, 9.0); expect(Matrix4.equals(left, right)).toEqual(false); @@ -2868,7 +2619,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); right = new Matrix4(1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 11.0, 8.0, 9.0); expect(Matrix4.equals(left, right)).toEqual(false); @@ -2889,7 +2640,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); right = new Matrix4(1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 12.0, 9.0); expect(Matrix4.equals(left, right)).toEqual(false); @@ -2910,7 +2661,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); right = new Matrix4(1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 13.0); expect(Matrix4.equals(left, right)).toEqual(false); @@ -2939,7 +2690,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); let right = new Matrix4( 1.0, @@ -2957,7 +2708,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); expect(Matrix4.equalsEpsilon(left, right, 1.0)).toEqual(true); @@ -2977,7 +2728,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); right = new Matrix4( 5.0, @@ -2995,7 +2746,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); expect(Matrix4.equalsEpsilon(left, right, 3.9)).toEqual(false); expect(Matrix4.equalsEpsilon(left, right, 4.0)).toEqual(true); @@ -3016,7 +2767,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); right = new Matrix4( 1.0, @@ -3034,7 +2785,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); expect(Matrix4.equalsEpsilon(left, right, 3.9)).toEqual(false); expect(Matrix4.equalsEpsilon(left, right, 4.0)).toEqual(true); @@ -3055,7 +2806,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); right = new Matrix4( 1.0, @@ -3073,7 +2824,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); expect(Matrix4.equalsEpsilon(left, right, 3.9)).toEqual(false); expect(Matrix4.equalsEpsilon(left, right, 4.0)).toEqual(true); @@ -3094,7 +2845,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); right = new Matrix4( 1.0, @@ -3112,7 +2863,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); expect(Matrix4.equalsEpsilon(left, right, 3.9)).toEqual(false); expect(Matrix4.equalsEpsilon(left, right, 4.0)).toEqual(true); @@ -3133,7 +2884,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); right = new Matrix4( 1.0, @@ -3151,7 +2902,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); expect(Matrix4.equalsEpsilon(left, right, 3.9)).toEqual(false); expect(Matrix4.equalsEpsilon(left, right, 4.0)).toEqual(true); @@ -3172,7 +2923,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); right = new Matrix4( 1.0, @@ -3190,7 +2941,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); expect(Matrix4.equalsEpsilon(left, right, 3.9)).toEqual(false); expect(Matrix4.equalsEpsilon(left, right, 4.0)).toEqual(true); @@ -3211,7 +2962,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); right = new Matrix4( 1.0, @@ -3229,7 +2980,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); expect(Matrix4.equalsEpsilon(left, right, 3.9)).toEqual(false); expect(Matrix4.equalsEpsilon(left, right, 4.0)).toEqual(true); @@ -3250,7 +3001,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); right = new Matrix4( 1.0, @@ -3268,7 +3019,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); expect(Matrix4.equalsEpsilon(left, right, 3.9)).toEqual(false); expect(Matrix4.equalsEpsilon(left, right, 4.0)).toEqual(true); @@ -3289,7 +3040,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); right = new Matrix4( 1.0, @@ -3307,7 +3058,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); expect(Matrix4.equalsEpsilon(left, right, 3.9)).toEqual(false); expect(Matrix4.equalsEpsilon(left, right, 4.0)).toEqual(true); @@ -3328,7 +3079,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); right = new Matrix4( 1.0, @@ -3346,7 +3097,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); expect(Matrix4.equalsEpsilon(left, right, 3.9)).toEqual(false); expect(Matrix4.equalsEpsilon(left, right, 4.0)).toEqual(true); @@ -3367,7 +3118,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); right = new Matrix4( 1.0, @@ -3385,7 +3136,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); expect(Matrix4.equalsEpsilon(left, right, 3.9)).toEqual(false); expect(Matrix4.equalsEpsilon(left, right, 4.0)).toEqual(true); @@ -3406,7 +3157,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); right = new Matrix4( 1.0, @@ -3424,7 +3175,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); expect(Matrix4.equalsEpsilon(left, right, 3.9)).toEqual(false); expect(Matrix4.equalsEpsilon(left, right, 4.0)).toEqual(true); @@ -3445,7 +3196,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); right = new Matrix4( 1.0, @@ -3463,7 +3214,7 @@ describe("Core/Matrix4", function () { 17.0, 14.0, 15.0, - 16.0 + 16.0, ); expect(Matrix4.equalsEpsilon(left, right, 3.9)).toEqual(false); expect(Matrix4.equalsEpsilon(left, right, 4.0)).toEqual(true); @@ -3484,7 +3235,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); right = new Matrix4( 1.0, @@ -3502,7 +3253,7 @@ describe("Core/Matrix4", function () { 13.0, 18.0, 15.0, - 16.0 + 16.0, ); expect(Matrix4.equalsEpsilon(left, right, 3.9)).toEqual(false); expect(Matrix4.equalsEpsilon(left, right, 4.0)).toEqual(true); @@ -3523,7 +3274,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); right = new Matrix4( 1.0, @@ -3541,7 +3292,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 19.0, - 16.0 + 16.0, ); expect(Matrix4.equalsEpsilon(left, right, 3.9)).toEqual(false); expect(Matrix4.equalsEpsilon(left, right, 4.0)).toEqual(true); @@ -3562,7 +3313,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); right = new Matrix4( 1.0, @@ -3580,7 +3331,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 20.0 + 20.0, ); expect(Matrix4.equalsEpsilon(left, right, 3.9)).toEqual(false); expect(Matrix4.equalsEpsilon(left, right, 4.0)).toEqual(true); @@ -3609,10 +3360,10 @@ describe("Core/Matrix4", function () { 13, 14, 15, - 16 + 16, ); expect(matrix.toString()).toEqual( - "(1, 2, 3, 4)\n(5, 6, 7, 8)\n(9, 10, 11, 12)\n(13, 14, 15, 16)" + "(1, 2, 3, 4)\n(5, 6, 7, 8)\n(9, 10, 11, 12)\n(13, 14, 15, 16)", ); }); @@ -3633,7 +3384,7 @@ describe("Core/Matrix4", function () { 13, 14, 15, - 16 + 16, ); const expected = new Cartesian3(4, 8, 12); const result = new Cartesian3(); @@ -3659,7 +3410,7 @@ describe("Core/Matrix4", function () { 13, 14, 15, - 16 + 16, ); const expected = new Matrix3(1, 2, 3, 5, 6, 7, 9, 10, 11); const result = new Matrix3(); @@ -3685,7 +3436,7 @@ describe("Core/Matrix4", function () { 0.0, 0.0, 0.0, - 1.0 + 1.0, ); const expected = new Matrix4( @@ -3704,7 +3455,7 @@ describe("Core/Matrix4", function () { 0.0, 0.0, 0.0, - 1.0 + 1.0, ); const result = new Matrix4(); @@ -3712,7 +3463,7 @@ describe("Core/Matrix4", function () { expect(returnedResult).toBe(result); expect(expected).toEqualEpsilon(returnedResult, CesiumMath.EPSILON20); expect( - Matrix4.multiply(returnedResult, matrix, new Matrix4()) + Matrix4.multiply(returnedResult, matrix, new Matrix4()), ).toEqualEpsilon(Matrix4.IDENTITY, CesiumMath.EPSILON15); }); @@ -3730,7 +3481,7 @@ describe("Core/Matrix4", function () { const trs = new TranslationRotationScale( new Cartesian3(0.0, 0.0, 0.0), Quaternion.fromAxisAngle(Cartesian3.UNIT_X, 0.0), - new Cartesian3(1.0e-7, 1.0e-7, 1.1e-7) + new Cartesian3(1.0e-7, 1.0e-7, 1.1e-7), ); const matrix = Matrix4.fromTranslationRotationScale(trs); @@ -3751,7 +3502,7 @@ describe("Core/Matrix4", function () { 0, 0, 0, - 1 + 1, ); const result = Matrix4.inverse(matrix, new Matrix4()); @@ -3762,7 +3513,7 @@ describe("Core/Matrix4", function () { const trs = new TranslationRotationScale( new Cartesian3(0.0, 0.0, 0.0), Quaternion.fromAxisAngle(Cartesian3.UNIT_X, 0.0), - new Cartesian3(1.8e-8, 1.2e-8, 1.2e-8) + new Cartesian3(1.8e-8, 1.2e-8, 1.2e-8), ); const matrix = Matrix4.fromTranslationRotationScale(trs); @@ -3783,7 +3534,7 @@ describe("Core/Matrix4", function () { 0, 0, 0, - 1 + 1, ); const result = Matrix4.inverse(matrix, new Matrix4()); @@ -3807,7 +3558,7 @@ describe("Core/Matrix4", function () { 0, 0, 0, - 1 + 1, ); const expected = new Matrix4( @@ -3826,7 +3577,7 @@ describe("Core/Matrix4", function () { 0, 0, 0, - 1 + 1, ); const result = new Matrix4(); @@ -3834,7 +3585,7 @@ describe("Core/Matrix4", function () { expect(returnedResult).toBe(result); expect(expected).toEqual(returnedResult); expect(Matrix4.multiply(returnedResult, matrix, new Matrix4())).toEqual( - Matrix4.IDENTITY + Matrix4.IDENTITY, ); }); @@ -3861,7 +3612,7 @@ describe("Core/Matrix4", function () { -13.0, -14.0, -15.0, - -16.0 + -16.0, ); const expected = new Matrix4( 1.0, @@ -3879,7 +3630,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); const result = new Matrix4(); let returnedResult = Matrix4.abs(matrix, result); @@ -3901,7 +3652,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); returnedResult = Matrix4.abs(matrix, result); expect(returnedResult).toEqual(expected); @@ -3922,7 +3673,7 @@ describe("Core/Matrix4", function () { 13.0, -14.0, 15.0, - -16.0 + -16.0, ); returnedResult = Matrix4.abs(matrix, result); expect(returnedResult).toEqual(expected); @@ -3945,7 +3696,7 @@ describe("Core/Matrix4", function () { -13.0, -14.0, -15.0, - -16.0 + -16.0, ); const expected = new Matrix4( 1.0, @@ -3963,7 +3714,7 @@ describe("Core/Matrix4", function () { 13.0, 14.0, 15.0, - 16.0 + 16.0, ); const returnedResult = Matrix4.abs(matrix, matrix); expect(matrix).toBe(returnedResult); @@ -3999,7 +3750,7 @@ describe("Core/Matrix4", function () { Matrix4.fromTranslationQuaternionRotationScale( undefined, new Quaternion(), - new Cartesian3() + new Cartesian3(), ); }).toThrowDeveloperError(); }); @@ -4009,7 +3760,7 @@ describe("Core/Matrix4", function () { Matrix4.fromTranslationQuaternionRotationScale( new Matrix3(), undefined, - new Cartesian3() + new Cartesian3(), ); }).toThrowDeveloperError(); }); @@ -4019,7 +3770,7 @@ describe("Core/Matrix4", function () { Matrix4.fromTranslationQuaternionRotationScale( new Matrix3(), new Quaternion(), - undefined + undefined, ); }).toThrowDeveloperError(); }); @@ -4118,7 +3869,7 @@ describe("Core/Matrix4", function () { bottom, top, near, - far + far, ); }).toThrowDeveloperError(); }); @@ -4136,7 +3887,7 @@ describe("Core/Matrix4", function () { bottom, top, near, - far + far, ); }).toThrowDeveloperError(); }); @@ -4154,7 +3905,7 @@ describe("Core/Matrix4", function () { undefined, top, near, - far + far, ); }).toThrowDeveloperError(); }); @@ -4172,7 +3923,7 @@ describe("Core/Matrix4", function () { bottom, undefined, near, - far + far, ); }).toThrowDeveloperError(); }); @@ -4190,7 +3941,7 @@ describe("Core/Matrix4", function () { bottom, top, undefined, - far + far, ); }).toThrowDeveloperError(); }); @@ -4208,7 +3959,7 @@ describe("Core/Matrix4", function () { bottom, top, near, - undefined + undefined, ); }).toThrowDeveloperError(); }); @@ -4226,7 +3977,7 @@ describe("Core/Matrix4", function () { bottom, top, near, - far + far, ); }).toThrowDeveloperError(); }); @@ -4244,7 +3995,7 @@ describe("Core/Matrix4", function () { bottom, top, near, - far + far, ); }).toThrowDeveloperError(); }); @@ -4262,7 +4013,7 @@ describe("Core/Matrix4", function () { undefined, top, near, - far + far, ); }).toThrowDeveloperError(); }); @@ -4280,7 +4031,7 @@ describe("Core/Matrix4", function () { bottom, undefined, near, - far + far, ); }).toThrowDeveloperError(); }); @@ -4298,7 +4049,7 @@ describe("Core/Matrix4", function () { bottom, top, undefined, - far + far, ); }).toThrowDeveloperError(); }); @@ -4316,7 +4067,7 @@ describe("Core/Matrix4", function () { bottom, top, near, - undefined + undefined, ); }).toThrowDeveloperError(); }); @@ -4334,7 +4085,7 @@ describe("Core/Matrix4", function () { bottom, top, near, - far + far, ); }).toThrowDeveloperError(); }); @@ -4352,7 +4103,7 @@ describe("Core/Matrix4", function () { bottom, top, near, - far + far, ); }).toThrowDeveloperError(); }); @@ -4370,7 +4121,7 @@ describe("Core/Matrix4", function () { undefined, top, near, - far + far, ); }).toThrowDeveloperError(); }); @@ -4388,7 +4139,7 @@ describe("Core/Matrix4", function () { bottom, undefined, near, - far + far, ); }).toThrowDeveloperError(); }); @@ -4406,7 +4157,7 @@ describe("Core/Matrix4", function () { bottom, top, undefined, - far + far, ); }).toThrowDeveloperError(); }); @@ -4756,7 +4507,7 @@ describe("Core/Matrix4", function () { 13, 14, 15, - 16 + 16, ); expect(function () { Matrix4.inverse(matrix, new Matrix4()); @@ -5003,7 +4754,7 @@ describe("Core/Matrix4", function () { right, bottom, top, - near + near, ); }).toThrowDeveloperError(); }); @@ -5035,7 +4786,7 @@ describe("Core/Matrix4", function () { 4, 8, 12, - 16 + 16, ); expect(matrix.length).toEqual(16); const intArray = new Uint32Array(matrix.length); diff --git a/packages/engine/Specs/Core/NearFarScalarSpec.js b/packages/engine/Specs/Core/NearFarScalarSpec.js index 3350cddc431b..1e133af23edb 100644 --- a/packages/engine/Specs/Core/NearFarScalarSpec.js +++ b/packages/engine/Specs/Core/NearFarScalarSpec.js @@ -40,10 +40,9 @@ describe("Core/NearFarScalar", function () { expect(scalar).toBe(returnedResult); }); - createPackableSpecs(NearFarScalar, new NearFarScalar(1, 2, 3, 4), [ - 1, - 2, - 3, - 4, - ]); + createPackableSpecs( + NearFarScalar, + new NearFarScalar(1, 2, 3, 4), + [1, 2, 3, 4], + ); }); diff --git a/packages/engine/Specs/Core/OccluderSpec.js b/packages/engine/Specs/Core/OccluderSpec.js index d4e18ff9b12e..ccb915e2470c 100644 --- a/packages/engine/Specs/Core/OccluderSpec.js +++ b/packages/engine/Specs/Core/OccluderSpec.js @@ -129,7 +129,7 @@ describe("Core/Occluder", function () { Occluder.computeOccludeePoint( occluderBS, new Cartesian3(0, 0, -3), - positions + positions, ); }).toThrowDeveloperError(); }); @@ -149,7 +149,7 @@ describe("Core/Occluder", function () { Occluder.computeOccludeePoint( occluderBS, new Cartesian3(0, 0, -5), - new Cartesian3(0, 0, -3) + new Cartesian3(0, 0, -3), ); }).toThrowDeveloperError(); }); @@ -165,11 +165,11 @@ describe("Core/Occluder", function () { const result = Occluder.computeOccludeePoint( occluderBS, occludeePosition, - positions + positions, ); expect(result).toEqualEpsilon( new Cartesian3(0, 0, -5), - CesiumMath.EPSILON1 + CesiumMath.EPSILON1, ); }); @@ -184,16 +184,16 @@ describe("Core/Occluder", function () { const occludeePosition = occludee.position; const occluderPlaneNormal = Cartesian3.normalize( Cartesian3.subtract(occludeePosition, occluderPosition, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ); const occluderPlaneD = -Cartesian3.dot( occluderPlaneNormal, - occluderPosition + occluderPosition, ); const tempVec0 = Cartesian3.abs( Cartesian3.clone(occluderPlaneNormal), - new Cartesian3() + new Cartesian3(), ); let majorAxis = tempVec0.x > tempVec0.y ? 0 : 1; if ( @@ -206,7 +206,7 @@ describe("Core/Occluder", function () { const aRotationVector = Occluder._anyRotationVector( occluderPosition, occluderPlaneNormal, - occluderPlaneD + occluderPlaneD, ); expect(aRotationVector).toBeTruthy(); }); @@ -222,16 +222,16 @@ describe("Core/Occluder", function () { const occludeePosition = occludee.position; const occluderPlaneNormal = Cartesian3.normalize( Cartesian3.subtract(occludeePosition, occluderPosition, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ); const occluderPlaneD = -Cartesian3.dot( occluderPlaneNormal, - occluderPosition + occluderPosition, ); const tempVec0 = Cartesian3.abs( Cartesian3.clone(occluderPlaneNormal), - new Cartesian3() + new Cartesian3(), ); let majorAxis = tempVec0.x > tempVec0.y ? 0 : 1; if ( @@ -244,7 +244,7 @@ describe("Core/Occluder", function () { const aRotationVector = Occluder._anyRotationVector( occluderPosition, occluderPlaneNormal, - occluderPlaneD + occluderPlaneD, ); expect(aRotationVector).toBeTruthy(); }); @@ -260,16 +260,16 @@ describe("Core/Occluder", function () { const occludeePosition = occludee.position; const occluderPlaneNormal = Cartesian3.normalize( Cartesian3.subtract(occludeePosition, occluderPosition, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ); const occluderPlaneD = -Cartesian3.dot( occluderPlaneNormal, - occluderPosition + occluderPosition, ); const tempVec0 = Cartesian3.abs( Cartesian3.clone(occluderPlaneNormal), - new Cartesian3() + new Cartesian3(), ); let majorAxis = tempVec0.x > tempVec0.y ? 0 : 1; if ( @@ -282,7 +282,7 @@ describe("Core/Occluder", function () { const aRotationVector = Occluder._anyRotationVector( occluderPosition, occluderPlaneNormal, - occluderPlaneD + occluderPlaneD, ); expect(aRotationVector).toBeTruthy(); }); @@ -300,7 +300,7 @@ describe("Core/Occluder", function () { const result = Occluder.computeOccludeePoint( occluderBS, occludeePosition, - positions + positions, ); const bs = new BoundingSphere(result, 0.0); @@ -322,10 +322,10 @@ describe("Core/Occluder", function () { const result = Occluder.computeOccludeePoint( occluderBS, occludeePosition, - positions + positions, ); expect( - occluder.isBoundingSphereVisible(new BoundingSphere(result, 0.0)) + occluder.isBoundingSphereVisible(new BoundingSphere(result, 0.0)), ).toEqual(true); }); @@ -338,7 +338,7 @@ describe("Core/Occluder", function () { it("compute invalid occludee point from rectangle", function () { const rectangle = Rectangle.MAX_VALUE; expect(Occluder.computeOccludeePointFromRectangle(rectangle)).toEqual( - undefined + undefined, ); }); @@ -351,7 +351,7 @@ describe("Core/Occluder", function () { const point = Occluder.computeOccludeePoint( new BoundingSphere(Cartesian3.ZERO, ellipsoid.minimumRadius), bs.center, - positions + positions, ); const actual = Occluder.computeOccludeePointFromRectangle(rectangle); expect(actual).toEqual(point); @@ -387,7 +387,7 @@ describe("Core/Occluder", function () { const occluder1 = Occluder.fromBoundingSphere( occluderBS, cameraPosition, - result + result, ); expect(occluder1).toBe(result); diff --git a/packages/engine/Specs/Core/OpenCageGeocoderServiceSpec.js b/packages/engine/Specs/Core/OpenCageGeocoderServiceSpec.js index 50ab76102342..a3a06264cccf 100644 --- a/packages/engine/Specs/Core/OpenCageGeocoderServiceSpec.js +++ b/packages/engine/Specs/Core/OpenCageGeocoderServiceSpec.js @@ -51,7 +51,7 @@ describe("Core/OpenCageGeocoderService", function () { ], }; spyOn(Resource.prototype, "fetchJson").and.returnValue( - Promise.resolve(data) + Promise.resolve(data), ); const results = await service.geocode(query); @@ -66,7 +66,7 @@ describe("Core/OpenCageGeocoderService", function () { const query = ""; const data = { results: [] }; spyOn(Resource.prototype, "fetchJson").and.returnValue( - Promise.resolve(data) + Promise.resolve(data), ); const results = await service.geocode(query); @@ -78,7 +78,7 @@ describe("Core/OpenCageGeocoderService", function () { expect(service.credit).toBeInstanceOf(Credit); expect(service.credit.html).toEqual( - `Geodata copyright <a href="https://www.openstreetmap.org/">OpenStreetMap</a> contributors` + `Geodata copyright <a href="https://www.openstreetmap.org/">OpenStreetMap</a> contributors`, ); expect(service.credit.showOnScreen).toBe(false); }); diff --git a/packages/engine/Specs/Core/OrientedBoundingBoxSpec.js b/packages/engine/Specs/Core/OrientedBoundingBoxSpec.js index a424c6561c35..3bd41233c5fd 100644 --- a/packages/engine/Specs/Core/OrientedBoundingBoxSpec.js +++ b/packages/engine/Specs/Core/OrientedBoundingBoxSpec.js @@ -34,7 +34,7 @@ describe("Core/OrientedBoundingBox", function () { for (let i = 0; i < positions.length; ++i) { points.push( - Matrix3.multiplyByVector(rotation, positions[i], new Cartesian3()) + Matrix3.multiplyByVector(rotation, positions[i], new Cartesian3()), ); } @@ -74,7 +74,7 @@ describe("Core/OrientedBoundingBox", function () { it("fromPoints correct scale", function () { const box = OrientedBoundingBox.fromPoints(positions); expect(box.halfAxes).toEqual( - Matrix3.fromScale(new Cartesian3(2.0, 3.0, 4.0)) + Matrix3.fromScale(new Cartesian3(2.0, 3.0, 4.0)), ); expect(box.center).toEqual(Cartesian3.ZERO); }); @@ -84,7 +84,7 @@ describe("Core/OrientedBoundingBox", function () { const points = translatePositions(positions, translation); const box = OrientedBoundingBox.fromPoints(points); expect(box.halfAxes).toEqual( - Matrix3.fromScale(new Cartesian3(2.0, 3.0, 4.0)) + Matrix3.fromScale(new Cartesian3(2.0, 3.0, 4.0)), ); expect(box.center).toEqual(translation); }); @@ -93,7 +93,7 @@ describe("Core/OrientedBoundingBox", function () { const result = rotatePositions( positions, Cartesian3.UNIT_Z, - CesiumMath.PI_OVER_FOUR + CesiumMath.PI_OVER_FOUR, ); const points = result.points; const rotation = result.rotation; @@ -105,9 +105,9 @@ describe("Core/OrientedBoundingBox", function () { Matrix3.multiplyByScale( rotation, new Cartesian3(3.0, 2.0, 4.0), - new Matrix3() + new Matrix3(), ), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.center).toEqualEpsilon(Cartesian3.ZERO, CesiumMath.EPSILON15); }); @@ -116,7 +116,7 @@ describe("Core/OrientedBoundingBox", function () { const result = rotatePositions( positions, Cartesian3.UNIT_Y, - CesiumMath.PI_OVER_FOUR + CesiumMath.PI_OVER_FOUR, ); const points = result.points; const rotation = result.rotation; @@ -128,9 +128,9 @@ describe("Core/OrientedBoundingBox", function () { Matrix3.multiplyByScale( rotation, new Cartesian3(4.0, 3.0, 2.0), - new Matrix3() + new Matrix3(), ), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.center).toEqualEpsilon(Cartesian3.ZERO, CesiumMath.EPSILON15); }); @@ -139,7 +139,7 @@ describe("Core/OrientedBoundingBox", function () { const result = rotatePositions( positions, Cartesian3.UNIT_X, - CesiumMath.PI_OVER_FOUR + CesiumMath.PI_OVER_FOUR, ); const points = result.points; const rotation = result.rotation; @@ -151,9 +151,9 @@ describe("Core/OrientedBoundingBox", function () { Matrix3.multiplyByScale( rotation, new Cartesian3(2.0, 4.0, 3.0), - new Matrix3() + new Matrix3(), ), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.center).toEqualEpsilon(Cartesian3.ZERO, CesiumMath.EPSILON15); }); @@ -162,7 +162,7 @@ describe("Core/OrientedBoundingBox", function () { const result = rotatePositions( positions, Cartesian3.UNIT_Z, - CesiumMath.PI_OVER_FOUR + CesiumMath.PI_OVER_FOUR, ); let points = result.points; const rotation = result.rotation; @@ -177,9 +177,9 @@ describe("Core/OrientedBoundingBox", function () { Matrix3.multiplyByScale( rotation, new Cartesian3(3.0, 2.0, 4.0), - new Matrix3() + new Matrix3(), ), - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(box.center).toEqualEpsilon(translation, CesiumMath.EPSILON15); }); @@ -191,7 +191,7 @@ describe("Core/OrientedBoundingBox", function () { rectangle, 0.0, 0.0, - Ellipsoid.WGS84 + Ellipsoid.WGS84, ); expect(box1.center).toEqualEpsilon(box2.center, CesiumMath.EPSILON15); @@ -205,12 +205,12 @@ describe("Core/OrientedBoundingBox", function () { rectangle, undefined, undefined, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(box.center).toEqualEpsilon( new Cartesian3(1.0, 0.0, 0.0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); const rotScale = Matrix3.ZERO; @@ -231,7 +231,7 @@ describe("Core/OrientedBoundingBox", function () { new Rectangle(-1.0, 1.0, 1.0, -1.0), 0.0, 0.0, - ellipsoid + ellipsoid, ); }).toThrowDeveloperError(); expect(function () { @@ -239,7 +239,7 @@ describe("Core/OrientedBoundingBox", function () { new Rectangle(-2.0, 2.0, -1.0, 1.0), 0.0, 0.0, - ellipsoid + ellipsoid, ); }).toThrowDeveloperError(); expect(function () { @@ -247,7 +247,7 @@ describe("Core/OrientedBoundingBox", function () { new Rectangle(-4.0, -2.0, 4.0, 1.0), 0.0, 0.0, - ellipsoid + ellipsoid, ); }).toThrowDeveloperError(); expect(function () { @@ -255,7 +255,7 @@ describe("Core/OrientedBoundingBox", function () { new Rectangle(-2.0, -2.0, 1.0, 2.0), 0.0, 0.0, - ellipsoid + ellipsoid, ); }).toThrowDeveloperError(); expect(function () { @@ -263,7 +263,7 @@ describe("Core/OrientedBoundingBox", function () { new Rectangle(-1.0, -2.0, 2.0, 2.0), 0.0, 0.0, - ellipsoid + ellipsoid, ); }).toThrowDeveloperError(); expect(function () { @@ -271,7 +271,7 @@ describe("Core/OrientedBoundingBox", function () { new Rectangle(-4.0, -1.0, 4.0, 2.0), 0.0, 0.0, - ellipsoid + ellipsoid, ); }).toThrowDeveloperError(); }); @@ -283,7 +283,7 @@ describe("Core/OrientedBoundingBox", function () { rectangle, 0.0, 0.0, - new Ellipsoid(1.01, 1.0, 1.01) + new Ellipsoid(1.01, 1.0, 1.01), ); }).toThrowDeveloperError(); expect(function () { @@ -291,7 +291,7 @@ describe("Core/OrientedBoundingBox", function () { rectangle, 0.0, 0.0, - new Ellipsoid(1.0, 1.01, 1.01) + new Ellipsoid(1.0, 1.01, 1.01), ); }).toThrowDeveloperError(); }); @@ -303,12 +303,12 @@ describe("Core/OrientedBoundingBox", function () { rectangle, 0.0, 0.0, - ellipsoid + ellipsoid, ); expect(box.center).toEqualEpsilon( new Cartesian3(1.0, 0.0, 0.0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); const rotScale = Matrix3.ZERO; @@ -324,13 +324,13 @@ describe("Core/OrientedBoundingBox", function () { 0.0, 0.0, ellipsoid, - result + result, ); expect(box).toBe(result); expect(box.center).toEqualEpsilon( new Cartesian3(1.0, 0.0, 0.0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); const rotScale = Matrix3.ZERO; @@ -346,11 +346,11 @@ describe("Core/OrientedBoundingBox", function () { new Rectangle(0.0, 0.0, 0.0, 0.0), 1.0, 1.0, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(box.center).toEqualEpsilon( new Cartesian3(2.0, 0.0, 0.0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.halfAxes).toEqualEpsilon(Matrix3.ZERO, CesiumMath.EPSILON15); @@ -358,11 +358,11 @@ describe("Core/OrientedBoundingBox", function () { new Rectangle(0.0, 0.0, 0.0, 0.0), -1.0, -1.0, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(box.center).toEqualEpsilon( new Cartesian3(0.0, 0.0, 0.0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.halfAxes).toEqualEpsilon(Matrix3.ZERO, CesiumMath.EPSILON15); @@ -370,41 +370,41 @@ describe("Core/OrientedBoundingBox", function () { new Rectangle(0.0, 0.0, 0.0, 0.0), -1.0, 1.0, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(box.center).toEqualEpsilon( new Cartesian3(1.0, 0.0, 0.0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.halfAxes).toEqualEpsilon( new Matrix3(0, 0, 1, 0, 0, 0, 0, 0, 0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); box = OrientedBoundingBox.fromRectangle( new Rectangle(-d90, -d90, d90, d90), 0.0, 1.0, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(box.center).toEqualEpsilon( new Cartesian3(1.0, 0.0, 0.0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.halfAxes).toEqualEpsilon( new Matrix3(0, 0, 1, 2, 0, 0, 0, 2, 0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); box = OrientedBoundingBox.fromRectangle( new Rectangle(-d90, -d90, d90, d90), -1.0, -1.0, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(box.center).toEqualEpsilon( new Cartesian3(0.0, 0.0, 0.0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.halfAxes).toEqualEpsilon(Matrix3.ZERO, CesiumMath.EPSILON15); @@ -412,15 +412,15 @@ describe("Core/OrientedBoundingBox", function () { new Rectangle(-d90, -d90, d90, d90), -1.0, 0.0, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(box.center).toEqualEpsilon( new Cartesian3(0.5, 0.0, 0.0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.halfAxes).toEqualEpsilon( new Matrix3(0, 0, 0.5, 1, 0, 0, 0, 1, 0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -440,15 +440,15 @@ describe("Core/OrientedBoundingBox", function () { new Rectangle(-d180, -d90, d180, d90), 0, 0, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(box.center).toEqualEpsilon( new Cartesian3(0, 0, 0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.halfAxes).toEqualEpsilon( new Matrix3(0, 0, 1, 1, 0, 0, 0, 1, 0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); // 3/4s of longitude, full latitude @@ -456,15 +456,15 @@ describe("Core/OrientedBoundingBox", function () { new Rectangle(-d135, -d90, d135, d90), 0, 0, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(box.center).toEqualEpsilon( new Cartesian3(oneMinusOnePlusSqrtHalfDivTwo, 0, 0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.halfAxes).toEqualEpsilon( new Matrix3(0, 0, onePlusSqrtHalfDivTwo, 1, 0, 0, 0, 1, 0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); // 3/4s of longitude, 1/2 of latitude centered at equator @@ -472,15 +472,15 @@ describe("Core/OrientedBoundingBox", function () { new Rectangle(-d135, -d45, d135, d45), 0, 0, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(box.center).toEqualEpsilon( new Cartesian3(oneMinusOnePlusSqrtHalfDivTwo, 0, 0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.halfAxes).toEqualEpsilon( new Matrix3(0, 0, onePlusSqrtHalfDivTwo, 1, 0, 0, 0, Math.SQRT1_2, 0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); // 3/4s of longitude centered at IDL, 1/2 of latitude centered at equator @@ -488,11 +488,11 @@ describe("Core/OrientedBoundingBox", function () { new Rectangle(d180, -d45, d90, d45), 0, 0, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(box.center).toEqualEpsilon( new Cartesian3(sqrtTwoMinusOneDivFour, -sqrtTwoMinusOneDivFour, 0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.halfAxes).toEqualEpsilon( new Matrix3( @@ -504,9 +504,9 @@ describe("Core/OrientedBoundingBox", function () { -sqrtTwoPlusOneDivFour, 0, Math.SQRT1_2, - 0.0 + 0.0, ), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); // Full longitude, 1/2 of latitude centered at equator @@ -514,15 +514,15 @@ describe("Core/OrientedBoundingBox", function () { new Rectangle(-d180, -d45, d180, d45), 0, 0, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(box.center).toEqualEpsilon( new Cartesian3(0, 0, 0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.halfAxes).toEqualEpsilon( new Matrix3(0, 0, 1, 1, 0, 0, 0, Math.SQRT1_2, 0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); // Full longitude, 1/4 of latitude starting from north pole @@ -530,11 +530,11 @@ describe("Core/OrientedBoundingBox", function () { new Rectangle(-d180, d45, d180, d90), 0, 0, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(box.center).toEqualEpsilon( new Cartesian3(0, 0, onePlusSqrtHalfDivTwo), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.halfAxes).toEqualEpsilon( new Matrix3( @@ -546,9 +546,9 @@ describe("Core/OrientedBoundingBox", function () { 0, 0, oneMinusOnePlusSqrtHalfDivTwo, - 0 + 0, ), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); // Full longitude, 1/4 of latitude starting from south pole @@ -556,11 +556,11 @@ describe("Core/OrientedBoundingBox", function () { new Rectangle(-d180, -d90, d180, -d45), 0, 0, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(box.center).toEqualEpsilon( new Cartesian3(0, 0, -onePlusSqrtHalfDivTwo), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.halfAxes).toEqualEpsilon( new Matrix3( @@ -572,9 +572,9 @@ describe("Core/OrientedBoundingBox", function () { 0, 0, oneMinusOnePlusSqrtHalfDivTwo, - 0 + 0, ), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); // Cmpletely on north pole @@ -582,15 +582,15 @@ describe("Core/OrientedBoundingBox", function () { new Rectangle(-d180, d90, d180, d90), 0, 0, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(box.center).toEqualEpsilon( new Cartesian3(0, 0, 1), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.halfAxes).toEqualEpsilon( new Matrix3(0, 0, 0, 0, 0, 0, 0, 0, 0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); // Completely on north pole 2 @@ -598,15 +598,15 @@ describe("Core/OrientedBoundingBox", function () { new Rectangle(-d135, d90, d135, d90), 0, 0, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(box.center).toEqualEpsilon( new Cartesian3(0, 0, 1), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.halfAxes).toEqualEpsilon( new Matrix3(0, 0, 0, 0, 0, 0, 0, 0, 0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); // Completely on south pole @@ -614,15 +614,15 @@ describe("Core/OrientedBoundingBox", function () { new Rectangle(-d180, -d90, d180, -d90), 0, 0, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(box.center).toEqualEpsilon( new Cartesian3(0, 0, -1), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.halfAxes).toEqualEpsilon( new Matrix3(0, 0, 0, 0, 0, 0, 0, 0, 0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); // Completely on south pole 2 @@ -630,15 +630,15 @@ describe("Core/OrientedBoundingBox", function () { new Rectangle(-d135, -d90, d135, -d90), 0, 0, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(box.center).toEqualEpsilon( new Cartesian3(0, 0, -1), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.halfAxes).toEqualEpsilon( new Matrix3(0, 0, 0, 0, 0, 0, 0, 0, 0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -656,11 +656,11 @@ describe("Core/OrientedBoundingBox", function () { new Rectangle(0.0, 0.0, 0.0, 0.0), 0.0, 0.0, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(box.center).toEqualEpsilon( new Cartesian3(1.0, 0.0, 0.0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.halfAxes).toEqualEpsilon(Matrix3.ZERO, CesiumMath.EPSILON15); @@ -668,11 +668,11 @@ describe("Core/OrientedBoundingBox", function () { new Rectangle(d180, 0.0, -d180, 0.0), 0.0, 0.0, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(box.center).toEqualEpsilon( new Cartesian3(-1.0, 0.0, 0.0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.halfAxes).toEqualEpsilon(Matrix3.ZERO, CesiumMath.EPSILON15); @@ -680,11 +680,11 @@ describe("Core/OrientedBoundingBox", function () { new Rectangle(d180, 0.0, d180, 0.0), 0.0, 0.0, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(box.center).toEqualEpsilon( new Cartesian3(-1.0, 0.0, 0.0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.halfAxes).toEqualEpsilon(Matrix3.ZERO, CesiumMath.EPSILON15); @@ -692,11 +692,11 @@ describe("Core/OrientedBoundingBox", function () { new Rectangle(0.0, d90, 0.0, d90), 0.0, 0.0, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(box.center).toEqualEpsilon( new Cartesian3(0.0, 0.0, 1.0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.halfAxes).toEqualEpsilon(Matrix3.ZERO, CesiumMath.EPSILON15); @@ -704,101 +704,101 @@ describe("Core/OrientedBoundingBox", function () { new Rectangle(0.0, 0.0, d180, 0.0), 0.0, 0.0, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(box.center).toEqualEpsilon( new Cartesian3(0.0, 0.5, 0.0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.halfAxes).toEqualEpsilon( new Matrix3(-1.0, 0.0, 0.0, 0.0, 0.0, 0.5, 0.0, 0.0, 0.0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); box = OrientedBoundingBox.fromRectangle( new Rectangle(-d90, -d90, d90, d90), 0.0, 0.0, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(box.center).toEqualEpsilon( new Cartesian3(0.5, 0.0, 0.0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.halfAxes).toEqualEpsilon( new Matrix3(0.0, 0.0, 0.5, 1.0, 0.0, 0.0, 0.0, 1.0, 0.0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); box = OrientedBoundingBox.fromRectangle( new Rectangle(-d90, -d30, d90, d90), 0.0, 0.0, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(box.center).toEqualEpsilon( new Cartesian3(0.1875 * sqrt3, 0.0, 0.1875), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.halfAxes).toEqualEpsilon( new Matrix3(0, -sqrt3 / 4, (5 * sqrt3) / 16, 1, 0, 0, 0, 3 / 4, 5 / 16), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); box = OrientedBoundingBox.fromRectangle( new Rectangle(-d90, -d90, d90, d30), 0.0, 0.0, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(box.center).toEqualEpsilon( new Cartesian3(0.1875 * sqrt3, 0.0, -0.1875), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.halfAxes).toEqualEpsilon( new Matrix3(0, sqrt3 / 4, (5 * sqrt3) / 16, 1, 0, 0, 0, 3 / 4, -5 / 16), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); box = OrientedBoundingBox.fromRectangle( new Rectangle(0.0, -d30, d180, d90), 0.0, 0.0, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(box.center).toEqualEpsilon( new Cartesian3(0.0, 0.1875 * sqrt3, 0.1875), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.halfAxes).toEqualEpsilon( new Matrix3(-1, 0, 0, 0, -sqrt3 / 4, (5 * sqrt3) / 16, 0, 3 / 4, 5 / 16), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); box = OrientedBoundingBox.fromRectangle( new Rectangle(0.0, -d90, d180, d30), 0.0, 0.0, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(box.center).toEqualEpsilon( new Cartesian3(0.0, 0.1875 * sqrt3, -0.1875), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.halfAxes).toEqualEpsilon( new Matrix3(-1, 0, 0, 0, sqrt3 / 4, (5 * sqrt3) / 16, 0, 3 / 4, -5 / 16), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); box = OrientedBoundingBox.fromRectangle( new Rectangle(-d45, 0.0, d45, 0.0), 0.0, 0.0, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(box.center).toEqualEpsilon( new Cartesian3((1.0 + Math.SQRT1_2) / 2.0, 0.0, 0.0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.halfAxes).toEqualEpsilon( new Matrix3( @@ -810,20 +810,20 @@ describe("Core/OrientedBoundingBox", function () { 0.0, 0.0, 0.0, - 0.0 + 0.0, ), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); box = OrientedBoundingBox.fromRectangle( new Rectangle(d135, 0.0, -d135, 0.0), 0.0, 0.0, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(box.center).toEqualEpsilon( new Cartesian3(-(1.0 + Math.SQRT1_2) / 2.0, 0.0, 0.0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.halfAxes).toEqualEpsilon( new Matrix3( @@ -835,20 +835,20 @@ describe("Core/OrientedBoundingBox", function () { 0.0, 0.0, 0.0, - 0.0 + 0.0, ), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); box = OrientedBoundingBox.fromRectangle( new Rectangle(0.0, -d45, 0.0, d45), 0.0, 0.0, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(box.center).toEqualEpsilon( new Cartesian3((1.0 + Math.SQRT1_2) / 2.0, 0.0, 0.0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.halfAxes).toEqualEpsilon( new Matrix3( @@ -860,39 +860,39 @@ describe("Core/OrientedBoundingBox", function () { 0.0, 0.0, Math.SQRT1_2, - 0.0 + 0.0, ), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); box = OrientedBoundingBox.fromRectangle( new Rectangle(-d90, 0.0, d90, 0.0), 0.0, 0.0, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(box.center).toEqualEpsilon( new Cartesian3(0.5, 0.0, 0.0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.halfAxes).toEqualEpsilon( new Matrix3(0.0, 0.0, 0.5, 1.0, 0.0, 0.0, 0.0, 0.0, 0.0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); box = OrientedBoundingBox.fromRectangle( new Rectangle(0.0, -d90, 0.0, d90), 0.0, 0.0, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(box.center).toEqualEpsilon( new Cartesian3(0.5, 0.0, 0.0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(box.halfAxes).toEqualEpsilon( new Matrix3(0.0, 0.0, 0.5, 0.0, 0.0, 0.0, 0.0, 1.0, 0.0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -903,7 +903,7 @@ describe("Core/OrientedBoundingBox", function () { const transformation = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, - scale + scale, ); const box = new OrientedBoundingBox(); @@ -914,9 +914,9 @@ describe("Core/OrientedBoundingBox", function () { Matrix3.multiplyByUniformScale( Matrix4.getMatrix3(transformation, new Matrix3()), 0.5, - new Matrix3() + new Matrix3(), ), - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); }); @@ -927,7 +927,7 @@ describe("Core/OrientedBoundingBox", function () { const transformation = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, - scale + scale, ); const box = OrientedBoundingBox.fromTransformation(transformation); @@ -937,9 +937,9 @@ describe("Core/OrientedBoundingBox", function () { Matrix3.multiplyByUniformScale( Matrix4.getMatrix3(transformation, new Matrix3()), 0.5, - new Matrix3() + new Matrix3(), ), - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); }); @@ -964,7 +964,7 @@ describe("Core/OrientedBoundingBox", function () { const box = new OrientedBoundingBox( center, - Matrix3.multiplyByScalar(axes, 0.5, new Matrix3()) + Matrix3.multiplyByScalar(axes, 0.5, new Matrix3()), ); const planeNormXform = function (nx, ny, nz, dist) { @@ -1438,11 +1438,11 @@ describe("Core/OrientedBoundingBox", function () { it("intersectPlane works with off-center box", function () { intersectPlaneTestCornersEdgesFaces( new Cartesian3(1.0, 0.0, 0.0), - Matrix3.IDENTITY + Matrix3.IDENTITY, ); intersectPlaneTestCornersEdgesFaces( new Cartesian3(0.7, -1.8, 12.0), - Matrix3.IDENTITY + Matrix3.IDENTITY, ); }); @@ -1451,8 +1451,8 @@ describe("Core/OrientedBoundingBox", function () { Cartesian3.ZERO, Matrix3.fromQuaternion( Quaternion.fromAxisAngle(new Cartesian3(0.5, 1.5, -1.2), 1.2), - new Matrix3() - ) + new Matrix3(), + ), ); }); @@ -1460,23 +1460,23 @@ describe("Core/OrientedBoundingBox", function () { const m = new Matrix3(); intersectPlaneTestCornersEdgesFaces( Cartesian3.ZERO, - Matrix3.fromScale(new Cartesian3(1.5, 0.4, 20.6), m) + Matrix3.fromScale(new Cartesian3(1.5, 0.4, 20.6), m), ); intersectPlaneTestCornersEdgesFaces( Cartesian3.ZERO, - Matrix3.fromScale(new Cartesian3(0.0, 0.4, 20.6), m) + Matrix3.fromScale(new Cartesian3(0.0, 0.4, 20.6), m), ); intersectPlaneTestCornersEdgesFaces( Cartesian3.ZERO, - Matrix3.fromScale(new Cartesian3(1.5, 0.0, 20.6), m) + Matrix3.fromScale(new Cartesian3(1.5, 0.0, 20.6), m), ); intersectPlaneTestCornersEdgesFaces( Cartesian3.ZERO, - Matrix3.fromScale(new Cartesian3(1.5, 0.4, 0.0), m) + Matrix3.fromScale(new Cartesian3(1.5, 0.4, 0.0), m), ); intersectPlaneTestCornersEdgesFaces( Cartesian3.ZERO, - Matrix3.fromScale(new Cartesian3(0.0, 0.0, 0.0), m) + Matrix3.fromScale(new Cartesian3(0.0, 0.0, 0.0), m), ); }); @@ -1484,7 +1484,7 @@ describe("Core/OrientedBoundingBox", function () { const m = Matrix3.fromScale(new Cartesian3(1.5, 80.4, 2.6), new Matrix3()); const n = Matrix3.fromQuaternion( Quaternion.fromAxisAngle(new Cartesian3(0.5, 1.5, -1.2), 1.2), - new Matrix3() + new Matrix3(), ); Matrix3.multiply(m, n, n); intersectPlaneTestCornersEdgesFaces(new Cartesian3(-5.1, 0.0, 0.1), n); @@ -1513,7 +1513,7 @@ describe("Core/OrientedBoundingBox", function () { const rotationScale = Matrix3.multiplyByScale( rotation, scale, - new Matrix3() + new Matrix3(), ); const center = new Cartesian3(4.0, 3.0, 2.0); @@ -1533,7 +1533,7 @@ describe("Core/OrientedBoundingBox", function () { let expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from negative x direction @@ -1544,7 +1544,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from positive y direction @@ -1555,7 +1555,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from negative y direction @@ -1566,7 +1566,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from positive z direction @@ -1577,7 +1577,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from negative z direction @@ -1588,7 +1588,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from corner point @@ -1602,7 +1602,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // inside box @@ -1611,7 +1611,7 @@ describe("Core/OrientedBoundingBox", function () { Cartesian3.add(center, offset, cartesian); expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( 0.0, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -1622,7 +1622,7 @@ describe("Core/OrientedBoundingBox", function () { const rotationScale = Matrix3.multiplyByScale( rotation, scale, - new Matrix3() + new Matrix3(), ); const center = new Cartesian3(4.0, 3.0, 2.0); @@ -1647,7 +1647,7 @@ describe("Core/OrientedBoundingBox", function () { let expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from negative x direction @@ -1658,7 +1658,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from positive y direction @@ -1669,7 +1669,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from negative y direction @@ -1680,7 +1680,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from positive z direction @@ -1691,7 +1691,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from negative z direction @@ -1702,7 +1702,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from corner point @@ -1715,7 +1715,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // inside box @@ -1724,7 +1724,7 @@ describe("Core/OrientedBoundingBox", function () { Cartesian3.add(center, offset, cartesian); expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( 0.0, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -1735,7 +1735,7 @@ describe("Core/OrientedBoundingBox", function () { const rotationScale = Matrix3.multiplyByScale( rotation, scale, - new Matrix3() + new Matrix3(), ); const center = new Cartesian3(4.0, 3.0, 2.0); @@ -1760,7 +1760,7 @@ describe("Core/OrientedBoundingBox", function () { let expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from negative x direction @@ -1771,7 +1771,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from positive y direction @@ -1782,7 +1782,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from negative y direction @@ -1793,7 +1793,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from positive z direction @@ -1804,7 +1804,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from negative z direction @@ -1815,7 +1815,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from corner point @@ -1828,7 +1828,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // inside box @@ -1837,7 +1837,7 @@ describe("Core/OrientedBoundingBox", function () { Cartesian3.add(center, offset, cartesian); expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( 0.0, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -1869,7 +1869,7 @@ describe("Core/OrientedBoundingBox", function () { let expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from negative x direction @@ -1880,7 +1880,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from positive y direction @@ -1891,7 +1891,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from negative y direction @@ -1902,7 +1902,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from positive z direction @@ -1913,7 +1913,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from negative z direction @@ -1924,7 +1924,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from corner point @@ -1937,7 +1937,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // inside box @@ -1945,7 +1945,7 @@ describe("Core/OrientedBoundingBox", function () { Cartesian3.add(center, offset, cartesian); expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( 0.0, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -1958,7 +1958,7 @@ describe("Core/OrientedBoundingBox", function () { const rotationScale = Matrix3.multiplyByScale( rotation, scale, - new Matrix3() + new Matrix3(), ); const center = new Cartesian3(4.0, 3.0, 2.0); @@ -1988,7 +1988,7 @@ describe("Core/OrientedBoundingBox", function () { let expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from negative x direction @@ -1999,7 +1999,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from positive y direction @@ -2010,7 +2010,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from negative y direction @@ -2021,7 +2021,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from positive z direction @@ -2032,7 +2032,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from negative z direction @@ -2043,7 +2043,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from endpoint in posiive direction @@ -2055,7 +2055,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from endpoint in negative direction @@ -2068,7 +2068,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // inside box @@ -2077,7 +2077,7 @@ describe("Core/OrientedBoundingBox", function () { Cartesian3.add(center, offset, cartesian); expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( 0.0, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -2090,7 +2090,7 @@ describe("Core/OrientedBoundingBox", function () { const rotationScale = Matrix3.multiplyByScale( rotation, scale, - new Matrix3() + new Matrix3(), ); const center = new Cartesian3(4.0, 3.0, 2.0); @@ -2120,7 +2120,7 @@ describe("Core/OrientedBoundingBox", function () { let expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from negative x direction @@ -2131,7 +2131,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from positive y direction @@ -2142,7 +2142,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from negative y direction @@ -2153,7 +2153,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from positive z direction @@ -2164,7 +2164,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from negative z direction @@ -2175,7 +2175,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from endpoint in positive direction @@ -2187,7 +2187,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from endpoint in negative direction @@ -2200,7 +2200,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // inside box @@ -2209,7 +2209,7 @@ describe("Core/OrientedBoundingBox", function () { Cartesian3.add(center, offset, cartesian); expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( 0.0, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -2222,7 +2222,7 @@ describe("Core/OrientedBoundingBox", function () { const rotationScale = Matrix3.multiplyByScale( rotation, scale, - new Matrix3() + new Matrix3(), ); const center = new Cartesian3(4.0, 3.0, 2.0); @@ -2252,7 +2252,7 @@ describe("Core/OrientedBoundingBox", function () { let expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from negative x direction @@ -2263,7 +2263,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from positive y direction @@ -2274,7 +2274,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from negative y direction @@ -2285,7 +2285,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from positive z direction @@ -2296,7 +2296,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from negative z direction @@ -2307,7 +2307,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from endpoint in positive direction @@ -2319,7 +2319,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from endpoint in negative direction // from endpoint in negative direction @@ -2332,7 +2332,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // inside box @@ -2341,7 +2341,7 @@ describe("Core/OrientedBoundingBox", function () { Cartesian3.add(center, offset, cartesian); expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( 0.0, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -2372,7 +2372,7 @@ describe("Core/OrientedBoundingBox", function () { let expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from negative x direction @@ -2383,7 +2383,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from positive y direction @@ -2394,7 +2394,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from negative y direction @@ -2405,7 +2405,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from positive z direction @@ -2416,7 +2416,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from negative z direction @@ -2427,7 +2427,7 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // from arbitrary point @@ -2438,14 +2438,14 @@ describe("Core/OrientedBoundingBox", function () { expected = d * d; expect(obb.distanceSquaredTo(cartesian)).toEqualEpsilon( expected, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // inside box cartesian = center; expect(obb.distanceSquaredTo(center)).toEqualEpsilon( 0.0, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -2459,7 +2459,7 @@ describe("Core/OrientedBoundingBox", function () { expect(function () { OrientedBoundingBox.distanceSquaredTo( new OrientedBoundingBox(), - undefined + undefined, ); }).toThrowDeveloperError(); }); @@ -2550,7 +2550,7 @@ describe("Core/OrientedBoundingBox", function () { OrientedBoundingBox.computePlaneDistances( undefined, new Cartesian3(), - new Cartesian3() + new Cartesian3(), ); }).toThrowDeveloperError(); }); @@ -2560,7 +2560,7 @@ describe("Core/OrientedBoundingBox", function () { OrientedBoundingBox.computePlaneDistances( new OrientedBoundingBox(), undefined, - new Cartesian3() + new Cartesian3(), ); }).toThrowDeveloperError(); }); @@ -2570,7 +2570,7 @@ describe("Core/OrientedBoundingBox", function () { OrientedBoundingBox.computePlaneDistances( new OrientedBoundingBox(), new Cartesian3(), - undefined + undefined, ); }).toThrowDeveloperError(); }); @@ -2589,7 +2589,7 @@ describe("Core/OrientedBoundingBox", function () { new Cartesian3(), new Cartesian3(), new Cartesian3(), - new Cartesian3() + new Cartesian3(), ); const result = box.computeCorners(corners); @@ -2658,7 +2658,7 @@ describe("Core/OrientedBoundingBox", function () { const extractedTranslation = Matrix4.getTranslation( result, - new Cartesian3() + new Cartesian3(), ); const extractedScale = Matrix4.getScale(result, new Cartesian3()); @@ -2678,7 +2678,7 @@ describe("Core/OrientedBoundingBox", function () { const extractedTranslation = Matrix4.getTranslation( transformation, - new Cartesian3() + new Cartesian3(), ); const extractedScale = Matrix4.getScale(transformation, new Cartesian3()); @@ -2711,7 +2711,7 @@ describe("Core/OrientedBoundingBox", function () { let halfAxes = Matrix3.multiplyByScale( Matrix3.IDENTITY, new Cartesian3(radius, radius, radius), - new Matrix3() + new Matrix3(), ); let obb = new OrientedBoundingBox(new Cartesian3(0, 0, -2.75), halfAxes); expect(obb.isOccluded(occluder)).toEqual(true); @@ -2723,7 +2723,7 @@ describe("Core/OrientedBoundingBox", function () { halfAxes = Matrix3.multiplyByScale( Matrix3.IDENTITY, new Cartesian3(radius, radius, radius), - new Matrix3() + new Matrix3(), ); obb = new OrientedBoundingBox(new Cartesian3(0, 0, -1.5), halfAxes); expect(obb.isOccluded(occluder)).toEqual(false); @@ -2733,7 +2733,7 @@ describe("Core/OrientedBoundingBox", function () { expect(function () { OrientedBoundingBox.isOccluded( undefined, - new Occluder(new BoundingSphere(), new Cartesian3()) + new Occluder(new BoundingSphere(), new Cartesian3()), ); }).toThrowDeveloperError(); }); @@ -2794,6 +2794,6 @@ describe("Core/OrientedBoundingBox", function () { createPackableSpecs( OrientedBoundingBox, new OrientedBoundingBox(new Cartesian3(1.0, 2.0, 3.0), Matrix3.IDENTITY), - [1.0, 2.0, 3.0, 1.0, 0.0, 0.0, 0.0, 1.0, 0.0, 0.0, 0.0, 1.0] + [1.0, 2.0, 3.0, 1.0, 0.0, 0.0, 0.0, 1.0, 0.0, 0.0, 0.0, 1.0], ); }); diff --git a/packages/engine/Specs/Core/OrthographicFrustumSpec.js b/packages/engine/Specs/Core/OrthographicFrustumSpec.js index fa90747bbd10..cb9deacbb96e 100644 --- a/packages/engine/Specs/Core/OrthographicFrustumSpec.js +++ b/packages/engine/Specs/Core/OrthographicFrustumSpec.js @@ -21,7 +21,7 @@ describe("Core/OrthographicFrustum", function () { planes = frustum.computeCullingVolume( new Cartesian3(), Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()), - Cartesian3.UNIT_Y + Cartesian3.UNIT_Y, ).planes; }); @@ -145,7 +145,7 @@ describe("Core/OrthographicFrustum", function () { frustum.top, frustum.near, frustum.far, - new Matrix4() + new Matrix4(), ); const projectionMatrix = frustum.projectionMatrix; expect(projectionMatrix).toEqualEpsilon(expected, CesiumMath.EPSILON6); @@ -158,7 +158,7 @@ describe("Core/OrthographicFrustum", function () { undefined, 0.0, 1.0, - new Cartesian2() + new Cartesian2(), ); }).toThrowDeveloperError(); }); @@ -170,7 +170,7 @@ describe("Core/OrthographicFrustum", function () { 1.0, 0.0, 1.0, - new Cartesian2() + new Cartesian2(), ); }).toThrowDeveloperError(); }); @@ -194,7 +194,7 @@ describe("Core/OrthographicFrustum", function () { 1.0, 0.0, undefined, - new Cartesian2() + new Cartesian2(), ); }).toThrowDeveloperError(); }); @@ -214,14 +214,14 @@ describe("Core/OrthographicFrustum", function () { dimensions.y, distance, pixelRatio, - new Cartesian2() + new Cartesian2(), ); const expected = frustum.offCenterFrustum.getPixelDimensions( dimensions.x, dimensions.y, distance, pixelRatio, - new Cartesian2() + new Cartesian2(), ); expect(pixelSize.x).toEqual(expected.x); expect(pixelSize.y).toEqual(expected.y); @@ -236,14 +236,14 @@ describe("Core/OrthographicFrustum", function () { dimensions.y, distance, pixelRatio, - new Cartesian2() + new Cartesian2(), ); const expected = frustum.offCenterFrustum.getPixelDimensions( dimensions.x, dimensions.y, distance, pixelRatio, - new Cartesian2() + new Cartesian2(), ); expect(pixelSize.x).toEqual(expected.x); expect(pixelSize.y).toEqual(expected.y); @@ -312,6 +312,6 @@ describe("Core/OrthographicFrustum", function () { near: 3.0, far: 4.0, }), - [1.0, 2.0, 3.0, 4.0] + [1.0, 2.0, 3.0, 4.0], ); }); diff --git a/packages/engine/Specs/Core/OrthographicOffCenterFrustumSpec.js b/packages/engine/Specs/Core/OrthographicOffCenterFrustumSpec.js index 4040ffc5df38..90c8153a5ab4 100644 --- a/packages/engine/Specs/Core/OrthographicOffCenterFrustumSpec.js +++ b/packages/engine/Specs/Core/OrthographicOffCenterFrustumSpec.js @@ -21,7 +21,7 @@ describe("Core/OrthographicOffCenterFrustum", function () { planes = frustum.computeCullingVolume( new Cartesian3(), Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()), - Cartesian3.UNIT_Y + Cartesian3.UNIT_Y, ).planes; }); @@ -147,7 +147,7 @@ describe("Core/OrthographicOffCenterFrustum", function () { frustum.top, frustum.near, frustum.far, - new Matrix4() + new Matrix4(), ); expect(projectionMatrix).toEqualEpsilon(expected, CesiumMath.EPSILON6); }); @@ -159,7 +159,7 @@ describe("Core/OrthographicOffCenterFrustum", function () { undefined, 0.0, 1.0, - new Cartesian2() + new Cartesian2(), ); }).toThrowDeveloperError(); }); @@ -171,7 +171,7 @@ describe("Core/OrthographicOffCenterFrustum", function () { 1.0, 0.0, 1.0, - new Cartesian2() + new Cartesian2(), ); }).toThrowDeveloperError(); }); @@ -195,7 +195,7 @@ describe("Core/OrthographicOffCenterFrustum", function () { 1.0, 0.0, undefined, - new Cartesian2() + new Cartesian2(), ); }).toThrowDeveloperError(); }); @@ -212,7 +212,7 @@ describe("Core/OrthographicOffCenterFrustum", function () { 1.0, 0.0, 1.0, - new Cartesian2() + new Cartesian2(), ); expect(pixelSize.x).toEqual(2.0); expect(pixelSize.y).toEqual(2.0); @@ -224,7 +224,7 @@ describe("Core/OrthographicOffCenterFrustum", function () { 1.0, 0.0, 2.0, - new Cartesian2() + new Cartesian2(), ); expect(pixelSize.x).toEqual(4.0); expect(pixelSize.y).toEqual(4.0); diff --git a/packages/engine/Specs/Core/PeliasGeocoderServiceSpec.js b/packages/engine/Specs/Core/PeliasGeocoderServiceSpec.js index a12b2cc1899e..1211b0b1353a 100644 --- a/packages/engine/Specs/Core/PeliasGeocoderServiceSpec.js +++ b/packages/engine/Specs/Core/PeliasGeocoderServiceSpec.js @@ -36,7 +36,7 @@ describe("Core/PeliasGeocoderService", function () { ], }; spyOn(Resource.prototype, "fetchJson").and.returnValue( - Promise.resolve(data) + Promise.resolve(data), ); const results = await service.geocode(query); @@ -70,7 +70,7 @@ describe("Core/PeliasGeocoderService", function () { ], }; spyOn(Resource.prototype, "fetchJson").and.returnValue( - Promise.resolve(data) + Promise.resolve(data), ); const results = await service.geocode(query); @@ -87,7 +87,7 @@ describe("Core/PeliasGeocoderService", function () { const query = "some query"; const data = { features: [] }; spyOn(Resource.prototype, "fetchJson").and.returnValue( - Promise.resolve(data) + Promise.resolve(data), ); const results = await service.geocode(query); @@ -100,11 +100,11 @@ describe("Core/PeliasGeocoderService", function () { const query = "some query"; const data = { features: [] }; spyOn(Resource.prototype, "fetchJson").and.returnValue( - Promise.resolve(data) + Promise.resolve(data), ); const getDerivedResource = spyOn( service._url, - "getDerivedResource" + "getDerivedResource", ).and.callThrough(); await service.geocode(query, GeocodeType.SEARCH); @@ -122,11 +122,11 @@ describe("Core/PeliasGeocoderService", function () { const query = "some query"; const data = { features: [] }; spyOn(Resource.prototype, "fetchJson").and.returnValue( - Promise.resolve(data) + Promise.resolve(data), ); const getDerivedResource = spyOn( service._url, - "getDerivedResource" + "getDerivedResource", ).and.callThrough(); await service.geocode(query, GeocodeType.AUTOCOMPLETE); diff --git a/packages/engine/Specs/Core/PerspectiveFrustumSpec.js b/packages/engine/Specs/Core/PerspectiveFrustumSpec.js index 3e3c16fdcf1a..7fd5d508e69f 100644 --- a/packages/engine/Specs/Core/PerspectiveFrustumSpec.js +++ b/packages/engine/Specs/Core/PerspectiveFrustumSpec.js @@ -21,7 +21,7 @@ describe("Core/PerspectiveFrustum", function () { planes = frustum.computeCullingVolume( new Cartesian3(), Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()), - Cartesian3.UNIT_Y + Cartesian3.UNIT_Y, ).planes; }); @@ -116,7 +116,7 @@ describe("Core/PerspectiveFrustum", function () { -Math.sqrt(3.0) / 2.0, 0.0, -0.5, - 0.0 + 0.0, ); expect(rightPlane).toEqualEpsilon(expectedResult, CesiumMath.EPSILON14); }); @@ -133,7 +133,7 @@ describe("Core/PerspectiveFrustum", function () { 0.0, -Math.sqrt(3.0) / 2.0, -0.5, - 0.0 + 0.0, ); expect(topPlane).toEqualEpsilon(expectedResult, CesiumMath.EPSILON14); }); @@ -161,7 +161,7 @@ describe("Core/PerspectiveFrustum", function () { frustum.aspectRatio, frustum.near, frustum.far, - new Matrix4() + new Matrix4(), ); expect(projectionMatrix).toEqualEpsilon(expected, CesiumMath.EPSILON6); }); @@ -179,7 +179,7 @@ describe("Core/PerspectiveFrustum", function () { bottom, top, near, - new Matrix4() + new Matrix4(), ); expect(frustum.infiniteProjectionMatrix).toEqual(expected); }); @@ -191,7 +191,7 @@ describe("Core/PerspectiveFrustum", function () { undefined, 1.0, 1.0, - new Cartesian2() + new Cartesian2(), ); }).toThrowDeveloperError(); }); @@ -203,7 +203,7 @@ describe("Core/PerspectiveFrustum", function () { 1.0, 1.0, 1.0, - new Cartesian2() + new Cartesian2(), ); }).toThrowDeveloperError(); }); @@ -227,7 +227,7 @@ describe("Core/PerspectiveFrustum", function () { 1.0, 1.0, undefined, - new Cartesian2() + new Cartesian2(), ); }).toThrowDeveloperError(); }); @@ -247,14 +247,14 @@ describe("Core/PerspectiveFrustum", function () { dimensions.y, distance, pixelRatio, - new Cartesian2() + new Cartesian2(), ); const expected = frustum.offCenterFrustum.getPixelDimensions( dimensions.x, dimensions.y, distance, pixelRatio, - new Cartesian2() + new Cartesian2(), ); expect(pixelSize.x).toEqual(expected.x); expect(pixelSize.y).toEqual(expected.y); @@ -269,14 +269,14 @@ describe("Core/PerspectiveFrustum", function () { dimensions.y, distance, pixelRatio, - new Cartesian2() + new Cartesian2(), ); const expected = frustum.offCenterFrustum.getPixelDimensions( dimensions.x, dimensions.y, distance, pixelRatio, - new Cartesian2() + new Cartesian2(), ); expect(pixelSize.x).toEqual(expected.x); expect(pixelSize.y).toEqual(expected.y); @@ -347,6 +347,6 @@ describe("Core/PerspectiveFrustum", function () { xOffset: 5.0, yOffset: 6.0, }), - [1.0, 2.0, 3.0, 4.0, 5.0, 6.0] + [1.0, 2.0, 3.0, 4.0, 5.0, 6.0], ); }); diff --git a/packages/engine/Specs/Core/PerspectiveOffCenterFrustumSpec.js b/packages/engine/Specs/Core/PerspectiveOffCenterFrustumSpec.js index 3d4201bff5ca..4e443c690d0e 100644 --- a/packages/engine/Specs/Core/PerspectiveOffCenterFrustumSpec.js +++ b/packages/engine/Specs/Core/PerspectiveOffCenterFrustumSpec.js @@ -21,7 +21,7 @@ describe("Core/PerspectiveOffCenterFrustum", function () { planes = frustum.computeCullingVolume( new Cartesian3(), Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()), - Cartesian3.UNIT_Y + Cartesian3.UNIT_Y, ).planes; }); @@ -139,7 +139,7 @@ describe("Core/PerspectiveOffCenterFrustum", function () { top, near, far, - new Matrix4() + new Matrix4(), ); expect(projectionMatrix).toEqualEpsilon(expected, CesiumMath.EPSILON6); @@ -158,7 +158,7 @@ describe("Core/PerspectiveOffCenterFrustum", function () { bottom, top, near, - new Matrix4() + new Matrix4(), ); expect(expected).toEqual(frustum.infiniteProjectionMatrix); }); @@ -170,7 +170,7 @@ describe("Core/PerspectiveOffCenterFrustum", function () { undefined, 1.0, 1.0, - new Cartesian2() + new Cartesian2(), ); }).toThrowDeveloperError(); }); @@ -182,7 +182,7 @@ describe("Core/PerspectiveOffCenterFrustum", function () { 1.0, 1.0, 1.0, - new Cartesian2() + new Cartesian2(), ); }).toThrowDeveloperError(); }); @@ -206,7 +206,7 @@ describe("Core/PerspectiveOffCenterFrustum", function () { 1.0, 1.0, undefined, - new Cartesian2() + new Cartesian2(), ); }).toThrowDeveloperError(); }); @@ -223,7 +223,7 @@ describe("Core/PerspectiveOffCenterFrustum", function () { 1.0, 1.0, 1.0, - new Cartesian2() + new Cartesian2(), ); expect(pixelSize.x).toEqual(2.0); expect(pixelSize.y).toEqual(2.0); @@ -235,7 +235,7 @@ describe("Core/PerspectiveOffCenterFrustum", function () { 1.0, 1.0, 2.0, - new Cartesian2() + new Cartesian2(), ); expect(pixelSize.x).toEqual(4.0); expect(pixelSize.y).toEqual(4.0); diff --git a/packages/engine/Specs/Core/PinBuilderSpec.js b/packages/engine/Specs/Core/PinBuilderSpec.js index 5e863733d0d8..8b8cd0342878 100644 --- a/packages/engine/Specs/Core/PinBuilderSpec.js +++ b/packages/engine/Specs/Core/PinBuilderSpec.js @@ -35,8 +35,8 @@ describe("Core/PinBuilder", function () { builder.fromUrl( buildModuleUrl("Assets/Textures/maki/square.png"), Color.RED, - 128 - ) + 128, + ), ).then(function (canvas) { expect(getPinColor(canvas)).toEqual(Color.RED); expect(getIconColor(canvas)).toEqual(Color.WHITE); @@ -48,7 +48,7 @@ describe("Core/PinBuilder", function () { //Solid square icon return Promise.resolve( - builder.fromMakiIconId("square", Color.YELLOW, 128) + builder.fromMakiIconId("square", Color.YELLOW, 128), ).then(function (canvas) { expect(getPinColor(canvas)).toEqual(Color.YELLOW); expect(getIconColor(canvas)).toEqual(Color.WHITE); diff --git a/packages/engine/Specs/Core/PixelDatatypeSpec.js b/packages/engine/Specs/Core/PixelDatatypeSpec.js index f943dbcbb04a..76f67c0878a2 100644 --- a/packages/engine/Specs/Core/PixelDatatypeSpec.js +++ b/packages/engine/Specs/Core/PixelDatatypeSpec.js @@ -3,16 +3,16 @@ import { PixelDatatype } from "../../index.js"; describe("Core/PixelDatatype", function () { it("getTypedArrayConstructor returns the expected constructor", function () { expect( - PixelDatatype.getTypedArrayConstructor(PixelDatatype.UNSIGNED_BYTE) + PixelDatatype.getTypedArrayConstructor(PixelDatatype.UNSIGNED_BYTE), ).toBe(Uint8Array); expect( - PixelDatatype.getTypedArrayConstructor(PixelDatatype.UNSIGNED_SHORT) + PixelDatatype.getTypedArrayConstructor(PixelDatatype.UNSIGNED_SHORT), ).toBe(Uint16Array); expect( - PixelDatatype.getTypedArrayConstructor(PixelDatatype.UNSIGNED_INT) + PixelDatatype.getTypedArrayConstructor(PixelDatatype.UNSIGNED_INT), ).toBe(Uint32Array); expect(PixelDatatype.getTypedArrayConstructor(PixelDatatype.FLOAT)).toBe( - Float32Array + Float32Array, ); }); }); diff --git a/packages/engine/Specs/Core/PixelFormatSpec.js b/packages/engine/Specs/Core/PixelFormatSpec.js index 386a4b31dd61..a8a3141035d9 100644 --- a/packages/engine/Specs/Core/PixelFormatSpec.js +++ b/packages/engine/Specs/Core/PixelFormatSpec.js @@ -14,7 +14,7 @@ describe("Core/PixelFormat", function () { PixelFormat.RGB, PixelDatatype.UNSIGNED_BYTE, width, - height + height, ); expect(flipped).toEqual(expectedDataBuffer); }); @@ -30,7 +30,7 @@ describe("Core/PixelFormat", function () { PixelFormat.RGB, PixelDatatype.UNSIGNED_BYTE, width, - height + height, ); expect(flipped).toBe(dataBuffer); }); diff --git a/packages/engine/Specs/Core/PlaneGeometrySpec.js b/packages/engine/Specs/Core/PlaneGeometrySpec.js index ba566d5f7f75..bc780ff32050 100644 --- a/packages/engine/Specs/Core/PlaneGeometrySpec.js +++ b/packages/engine/Specs/Core/PlaneGeometrySpec.js @@ -7,7 +7,7 @@ describe("Core/PlaneGeometry", function () { const m = PlaneGeometry.createGeometry( new PlaneGeometry({ vertexFormat: VertexFormat.POSITION_ONLY, - }) + }), ); expect(m.attributes.position.values.length).toEqual(4 * 3); // 4 corners @@ -18,7 +18,7 @@ describe("Core/PlaneGeometry", function () { const m = PlaneGeometry.createGeometry( new PlaneGeometry({ vertexFormat: VertexFormat.ALL, - }) + }), ); const numVertices = 4; @@ -40,6 +40,6 @@ describe("Core/PlaneGeometry", function () { new PlaneGeometry({ vertexFormat: VertexFormat.POSITION_AND_NORMAL, }), - [1.0, 1.0, 0.0, 0.0, 0.0, 0.0] + [1.0, 1.0, 0.0, 0.0, 0.0, 0.0], ); }); diff --git a/packages/engine/Specs/Core/PlaneSpec.js b/packages/engine/Specs/Core/PlaneSpec.js index cd41d22d07b0..d91f7c2f9d93 100644 --- a/packages/engine/Specs/Core/PlaneSpec.js +++ b/packages/engine/Specs/Core/PlaneSpec.js @@ -107,7 +107,7 @@ describe("Core/Plane", function () { const point = new Cartesian3(4.0, 5.0, 6.0); expect(Plane.getPointDistance(plane, point)).toEqual( - Cartesian3.dot(plane.normal, point) + plane.distance + Cartesian3.dot(plane.normal, point) + plane.distance, ); }); @@ -149,7 +149,7 @@ describe("Core/Plane", function () { expect(function () { return Plane.projectPointOntoPlane( new Plane(Cartesian3.UNIT_X, 0), - undefined + undefined, ); }).toThrowDeveloperError(); @@ -230,14 +230,14 @@ describe("Core/Plane", function () { transform = Matrix4.multiplyByMatrix3( transform, Matrix3.fromRotationY(Math.PI), - transform + transform, ); const transformedPlane = Plane.transform(plane, transform); expect(transformedPlane.distance).toEqual(plane.distance * 2.0); expect(transformedPlane.normal.x).toEqualEpsilon( -plane.normal.x, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(transformedPlane.normal.y).toEqual(plane.normal.y); expect(transformedPlane.normal.z).toEqual(-plane.normal.z); @@ -252,25 +252,25 @@ describe("Core/Plane", function () { const planeDiff = Cartesian3.subtract( planePosition, planeOrigin, - new Cartesian3() + new Cartesian3(), ); expect(Cartesian3.dot(planeDiff, plane.normal)).toEqualEpsilon( 0.0, - CesiumMath.EPSILON16 + CesiumMath.EPSILON16, ); const transform = Matrix4.fromScale( new Cartesian3(4.0, 1.0, 10.0), - new Matrix4() + new Matrix4(), ); const transformPlane = Plane.transform(plane, transform); const transformPlaneDiff = Matrix4.multiplyByPointAsVector( transform, planeDiff, - new Cartesian3() + new Cartesian3(), ); expect( - Cartesian3.dot(transformPlaneDiff, transformPlane.normal) + Cartesian3.dot(transformPlaneDiff, transformPlane.normal), ).toEqualEpsilon(0.0, CesiumMath.EPSILON16); }); diff --git a/packages/engine/Specs/Core/PolygonGeometryLibrarySpec.js b/packages/engine/Specs/Core/PolygonGeometryLibrarySpec.js index f82851fc9bcf..9abbd6d5d6f8 100644 --- a/packages/engine/Specs/Core/PolygonGeometryLibrarySpec.js +++ b/packages/engine/Specs/Core/PolygonGeometryLibrarySpec.js @@ -10,78 +10,61 @@ describe("Core/PolygonGeometryLibrary", function () { describe("splitPolygonByPlane", function () { it("splits a simple polygon at the equator", function () { const positions = Cartesian3.unpackArray([ - 3813220.0, - -5085291.0, - 527179.0, - 3701301.0, - -5097773.0, - -993503.0, - 5037375.0, - -3776794.0, - -1017021.0, - 5049166.0, - -3865306.0, - 494270.0, + 3813220.0, -5085291.0, 527179.0, 3701301.0, -5097773.0, -993503.0, + 5037375.0, -3776794.0, -1017021.0, 5049166.0, -3865306.0, 494270.0, ]); const polygons = PolygonGeometryLibrary.splitPolygonsOnEquator( [positions], Ellipsoid.WGS84, - ArcType.GEODESIC + ArcType.GEODESIC, ); const expectedIntersection1 = new Cartesian3( 3799258.6687873346, -5123110.886796548, - 0.0 + 0.0, ); const expectedIntersection2 = new Cartesian3( 5077099.353935631, -3860530.240917096, - 0.0 + 0.0, ); expect(polygons.length).toBe(2); expect(polygons[0].length).toBe(4); expect(polygons[0][0]).toEqual(positions[0]); expect(polygons[0][1]).toEqualEpsilon( expectedIntersection1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polygons[0][2]).toEqualEpsilon( expectedIntersection2, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polygons[0][3]).toEqual(positions[3]); expect(polygons[1].length).toBe(4); expect(polygons[1][0]).toEqualEpsilon( expectedIntersection1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polygons[1][1]).toEqual(positions[1]); expect(polygons[1][2]).toEqual(positions[2]); expect(polygons[1][3]).toEqualEpsilon( expectedIntersection2, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); it("does not split a simple polygon with one position touching the equator", function () { const positions = Cartesian3.unpackArray([ - 3813220.0, - -5085291.0, - 527179.0, - 3701301.0, - -5097773.0, - 0.0, - 5049166.0, - -3865306.0, - 494270.0, + 3813220.0, -5085291.0, 527179.0, 3701301.0, -5097773.0, 0.0, 5049166.0, + -3865306.0, 494270.0, ]); const polygons = PolygonGeometryLibrary.splitPolygonsOnEquator( [positions], Ellipsoid.WGS84, - ArcType.GEODESIC + ArcType.GEODESIC, ); expect(polygons.length).toBe(1); @@ -93,24 +76,14 @@ describe("Core/PolygonGeometryLibrary", function () { it("does not split a simple polygon with one edge on the equator, starting above the equator", function () { const positions = Cartesian3.unpackArray([ - -3219367.0, - -5491259.0, - 401098.0, - -3217795.0, - -5506913.0, - 0.0, - -2713036.0, - -5772334.0, - 0.0, - -2713766.0, - -5757498.0, - 406910.0, + -3219367.0, -5491259.0, 401098.0, -3217795.0, -5506913.0, 0.0, + -2713036.0, -5772334.0, 0.0, -2713766.0, -5757498.0, 406910.0, ]); const polygons = PolygonGeometryLibrary.splitPolygonsOnEquator( [positions], Ellipsoid.WGS84, - ArcType.GEODESIC + ArcType.GEODESIC, ); expect(polygons.length).toBe(1); expect(polygons[0].length).toBe(4); @@ -122,24 +95,14 @@ describe("Core/PolygonGeometryLibrary", function () { it("does not split a simple polygon with one edge on the equator, starting below the equator", function () { const positions = Cartesian3.unpackArray([ - -3180138.0, - -5441382.0, - -974441.0, - -3186540.0, - -5525048.0, - 0.0, - -2198716.0, - -5986569.0, - 0.0, - -2135113.0, - -5925878.0, - -996868.0, + -3180138.0, -5441382.0, -974441.0, -3186540.0, -5525048.0, 0.0, + -2198716.0, -5986569.0, 0.0, -2135113.0, -5925878.0, -996868.0, ]); const polygons = PolygonGeometryLibrary.splitPolygonsOnEquator( [positions], Ellipsoid.WGS84, - ArcType.GEODESIC + ArcType.GEODESIC, ); expect(polygons.length).toBe(1); expect(polygons[0].length).toBe(4); @@ -151,240 +114,208 @@ describe("Core/PolygonGeometryLibrary", function () { it("splits a positively concave polygon at the equator", function () { const positions = Cartesian3.unpackArray([ - -3723536.687096985, - -5140643.423654287, - 622159.6094790212, - -3706443.9124709764, - -5089398.802336418, - -1016836.564118223, - -1818346.3577937474, - -5988204.417556031, - -1226992.0906221648, - -1949728.2308330906, - -6022778.780648997, - 775419.1678640501, - -2891108.934831509, - -5659936.656854747, - -534148.7427656263, + -3723536.687096985, -5140643.423654287, 622159.6094790212, + -3706443.9124709764, -5089398.802336418, -1016836.564118223, + -1818346.3577937474, -5988204.417556031, -1226992.0906221648, + -1949728.2308330906, -6022778.780648997, 775419.1678640501, + -2891108.934831509, -5659936.656854747, -534148.7427656263, ]); const polygons = PolygonGeometryLibrary.splitPolygonsOnEquator( [positions], Ellipsoid.WGS84, - ArcType.GEODESIC + ArcType.GEODESIC, ); const expectedIntersection1 = new Cartesian3( -3746523.7934060274, -5161801.144582336, - 0 + 0, ); const expectedIntersection2 = new Cartesian3( -3298992.8935172106, -5458688.2562839165, - 0 + 0, ); const expectedIntersection3 = new Cartesian3( -2527814.313071595, -5855833.534980258, - 0 + 0, ); const expectedIntersection4 = new Cartesian3( -1921714.863778476, -6081746.753450187, - 0 + 0, ); expect(polygons.length).toBe(3); expect(polygons[0].length).toBe(3); expect(polygons[0][0]).toEqual(positions[0]); expect(polygons[0][1]).toEqualEpsilon( expectedIntersection1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polygons[0][2]).toEqualEpsilon( expectedIntersection2, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polygons[1].length).toBe(7); expect(polygons[1][0]).toEqualEpsilon( expectedIntersection1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polygons[1][1]).toEqual(positions[1]); expect(polygons[1][2]).toEqual(positions[2]); expect(polygons[1][3]).toEqualEpsilon( expectedIntersection4, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polygons[1][4]).toEqualEpsilon( expectedIntersection3, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polygons[1][5]).toEqual(positions[4]); expect(polygons[1][6]).toEqualEpsilon( expectedIntersection2, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polygons[2].length).toBe(3); expect(polygons[2][0]).toEqualEpsilon( expectedIntersection4, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polygons[2][1]).toEqual(positions[3]); expect(polygons[2][2]).toEqualEpsilon( expectedIntersection3, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); it("splits a negatively concave polygon at the equator", function () { const positions = Cartesian3.unpackArray([ - -4164072.7435535816, - -4791571.5503237555, - 605958.8290040599, - -4167507.7232260685, - -4800497.02674794, - -508272.2109012767, - -3712172.6000501625, - -5184159.589216706, - 116723.13202563708, - -3259646.0020361557, - -5455158.378873343, - -532227.4715966922, - -3283717.3855494126, - -5434359.545068984, - 592819.1229613343, + -4164072.7435535816, -4791571.5503237555, 605958.8290040599, + -4167507.7232260685, -4800497.02674794, -508272.2109012767, + -3712172.6000501625, -5184159.589216706, 116723.13202563708, + -3259646.0020361557, -5455158.378873343, -532227.4715966922, + -3283717.3855494126, -5434359.545068984, 592819.1229613343, ]); const polygons = PolygonGeometryLibrary.splitPolygonsOnEquator( [positions], Ellipsoid.WGS84, - ArcType.GEODESIC + ArcType.GEODESIC, ); const expectedIntersection1 = new Cartesian3( -4182416.3757553473, -4815394.568525253, - 0 + 0, ); const expectedIntersection2 = new Cartesian3( -3803015.1382151386, -5120322.982906009, - 0 + 0, ); const expectedIntersection3 = new Cartesian3( -3635913.2183307745, -5240302.153458, - 0 + 0, ); const expectedIntersection4 = new Cartesian3( -3284360.5276909056, -5467504.688147503, - 0 + 0, ); expect(polygons.length).toBe(3); expect(polygons[0].length).toBe(7); expect(polygons[0][0]).toEqual(positions[0]); expect(polygons[0][1]).toEqualEpsilon( expectedIntersection1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polygons[0][2]).toEqualEpsilon( expectedIntersection2, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polygons[0][3]).toEqual(positions[2]); expect(polygons[0][4]).toEqualEpsilon( expectedIntersection3, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polygons[0][5]).toEqualEpsilon( expectedIntersection4, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polygons[0][6]).toEqual(positions[4]); expect(polygons[1].length).toBe(3); expect(polygons[1][0]).toEqualEpsilon( expectedIntersection1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polygons[1][1]).toEqual(positions[1]); expect(polygons[1][2]).toEqualEpsilon( expectedIntersection2, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polygons[2].length).toBe(3); expect(polygons[2][0]).toEqualEpsilon( expectedIntersection3, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polygons[2][1]).toEqual(positions[3]); expect(polygons[2][2]).toEqualEpsilon( expectedIntersection4, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); it("splits a positively concave polygon with a point on the equator", function () { const positions = Cartesian3.unpackArray([ - -3592289.0, - -5251493.0, - 433532.0, - -3568746.0, - -5245699.0, - -646544.0, - -2273628.0, - -5915229.0, - -715098.0, - -2410175.0, - -5885323.0, - 475855.0, - -3012338.0, - -5621469.0, - 0.0, + -3592289.0, -5251493.0, 433532.0, -3568746.0, -5245699.0, -646544.0, + -2273628.0, -5915229.0, -715098.0, -2410175.0, -5885323.0, 475855.0, + -3012338.0, -5621469.0, 0.0, ]); const polygons = PolygonGeometryLibrary.splitPolygonsOnEquator( [positions], Ellipsoid.WGS84, - ArcType.GEODESIC + ArcType.GEODESIC, ); const expectedIntersection1 = new Cartesian3( -3595684.3882232937, -5267986.8423389485, - 0 + 0, ); const expectedIntersection2 = new Cartesian3( -2365929.6862513637, -5923091.111107741, - 0 + 0, ); expect(polygons.length).toBe(3); expect(polygons[0].length).toBe(3); expect(polygons[0][0]).toEqual(positions[0]); expect(polygons[0][1]).toEqualEpsilon( expectedIntersection1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polygons[0][2]).toEqual(positions[4]); expect(polygons[1].length).toBe(5); expect(polygons[1][0]).toEqualEpsilon( expectedIntersection1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polygons[1][1]).toEqual(positions[1]); expect(polygons[1][2]).toEqual(positions[2]); expect(polygons[1][3]).toEqualEpsilon( expectedIntersection2, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polygons[1][4]).toEqual(positions[4]); expect(polygons[2].length).toBe(3); expect(polygons[2][0]).toEqualEpsilon( expectedIntersection2, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polygons[2][1]).toEqual(positions[3]); expect(polygons[2][2]).toEqual(positions[4]); @@ -392,56 +323,44 @@ describe("Core/PolygonGeometryLibrary", function () { it("splits a negatively concave polygon with a point on the equator", function () { const positions = Cartesian3.unpackArray([ - -3774632.0, - -5136123.0, - 222459.0, - -3714187.0, - -5173580.0, - -341046.0, - -3516544.0, - -5320967.0, - 0.0, - -3304860.0, - -5444086.0, - -342567.0, - -3277484.0, - -5466977.0, - 218213.0, + -3774632.0, -5136123.0, 222459.0, -3714187.0, -5173580.0, -341046.0, + -3516544.0, -5320967.0, 0.0, -3304860.0, -5444086.0, -342567.0, + -3277484.0, -5466977.0, 218213.0, ]); const polygons = PolygonGeometryLibrary.splitPolygonsOnEquator( [positions], Ellipsoid.WGS84, - ArcType.GEODESIC + ArcType.GEODESIC, ); const expectedIntersection1 = new Cartesian3( -3754485.468265927, -5156013.039098039, - 0 + 0, ); const expectedIntersection2 = new Cartesian3( -3291304.258941832, -5463327.545172482, - 0 + 0, ); expect(polygons.length).toBe(3); expect(polygons[0].length).toBe(5); expect(polygons[0][0]).toEqual(positions[0]); expect(polygons[0][1]).toEqualEpsilon( expectedIntersection1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polygons[0][2]).toEqual(positions[2]); expect(polygons[0][3]).toEqualEpsilon( expectedIntersection2, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polygons[0][4]).toEqual(positions[4]); expect(polygons[1].length).toBe(3); expect(polygons[1][0]).toEqualEpsilon( expectedIntersection1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polygons[1][1]).toEqual(positions[1]); expect(polygons[1][2]).toEqual(positions[2]); @@ -450,56 +369,41 @@ describe("Core/PolygonGeometryLibrary", function () { expect(polygons[2][1]).toEqual(positions[3]); expect(polygons[2][2]).toEqualEpsilon( expectedIntersection2, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); it("splits a polygon with an edge equator", function () { const positions = Cartesian3.unpackArray([ - -3227931.0, - -5469496.0, - 584508.0, - -3150093.0, - -5488360.0, - -792747.0, - -1700622.0, - -6089685.0, - -835364.0, - -1786389.0, - -6122714.0, - 0.0, - -2593600.0, - -5826977.0, - 0.0, - -2609132.0, - -5790155.0, - 584508.0, + -3227931.0, -5469496.0, 584508.0, -3150093.0, -5488360.0, -792747.0, + -1700622.0, -6089685.0, -835364.0, -1786389.0, -6122714.0, 0.0, + -2593600.0, -5826977.0, 0.0, -2609132.0, -5790155.0, 584508.0, ]); const polygons = PolygonGeometryLibrary.splitPolygonsOnEquator( [positions], Ellipsoid.WGS84, - ArcType.GEODESIC + ArcType.GEODESIC, ); const expectedIntersection = new Cartesian3( -3213523.577073882, -5509437.159126084, - 0 + 0, ); expect(polygons.length).toBe(2); expect(polygons[0].length).toBe(4); expect(polygons[0][0]).toEqual(positions[0]); expect(polygons[0][1]).toEqualEpsilon( expectedIntersection, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polygons[0][2]).toEqual(positions[4]); expect(polygons[0][3]).toEqual(positions[5]); expect(polygons[1].length).toBe(5); expect(polygons[1][0]).toEqualEpsilon( expectedIntersection, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polygons[1][1]).toEqual(positions[1]); expect(polygons[1][2]).toEqual(positions[2]); @@ -509,36 +413,21 @@ describe("Core/PolygonGeometryLibrary", function () { it("splits a polygon with a backtracking edge on the equator", function () { const positions = Cartesian3.unpackArray([ - -3491307.0, - -5296123.0, - 650596.0, - -3495031.0, - -5334507.0, - 0.0, - -4333607.0, - -4677312.0, - 0.0, - -4275491.0, - -4629182.0, - -968553.0, - -2403691.0, - -5827997.0, - -943662.0, - -2484409.0, - -5837281.0, - 631344.0, + -3491307.0, -5296123.0, 650596.0, -3495031.0, -5334507.0, 0.0, + -4333607.0, -4677312.0, 0.0, -4275491.0, -4629182.0, -968553.0, + -2403691.0, -5827997.0, -943662.0, -2484409.0, -5837281.0, 631344.0, ]); const polygons = PolygonGeometryLibrary.splitPolygonsOnEquator( [positions], Ellipsoid.WGS84, - ArcType.GEODESIC + ArcType.GEODESIC, ); const expectedIntersection = new Cartesian3( -2471499.3842933537, -5879823.32933623, - 0 + 0, ); expect(polygons.length).toBe(2); expect(polygons[0].length).toBe(4); @@ -546,7 +435,7 @@ describe("Core/PolygonGeometryLibrary", function () { expect(polygons[0][1]).toEqual(positions[1]); expect(polygons[0][2]).toEqualEpsilon( expectedIntersection, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polygons[0][3]).toEqual(positions[5]); expect(polygons[1].length).toBe(5); @@ -556,140 +445,113 @@ describe("Core/PolygonGeometryLibrary", function () { expect(polygons[1][3]).toEqual(positions[4]); expect(polygons[1][4]).toEqualEpsilon( expectedIntersection, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); it("splits a simple rhumb polygon at the equator", function () { const positions = Cartesian3.unpackArray([ - 3813220.0, - -5085291.0, - 527179.0, - 3701301.0, - -5097773.0, - -993503.0, - 5037375.0, - -3776794.0, - -1017021.0, - 5049166.0, - -3865306.0, - 494270.0, + 3813220.0, -5085291.0, 527179.0, 3701301.0, -5097773.0, -993503.0, + 5037375.0, -3776794.0, -1017021.0, 5049166.0, -3865306.0, 494270.0, ]); const polygons = PolygonGeometryLibrary.splitPolygonsOnEquator( [positions], Ellipsoid.WGS84, - ArcType.RHUMB + ArcType.RHUMB, ); const expectedIntersection1 = new Cartesian3( 3799205.595277112, -5123150.245267465, - 0.0 + 0.0, ); const expectedIntersection2 = new Cartesian3( 5077127.456540122, -3860493.2820580625, - 0.0 + 0.0, ); expect(polygons.length).toBe(2); expect(polygons[0].length).toBe(4); expect(polygons[0][0]).toEqual(positions[0]); expect(polygons[0][1]).toEqualEpsilon( expectedIntersection1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polygons[0][2]).toEqualEpsilon( expectedIntersection2, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polygons[0][3]).toEqual(positions[3]); expect(polygons[1].length).toBe(4); expect(polygons[1][0]).toEqualEpsilon( expectedIntersection1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polygons[1][1]).toEqual(positions[1]); expect(polygons[1][2]).toEqual(positions[2]); expect(polygons[1][3]).toEqualEpsilon( expectedIntersection2, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); it("splits a simple rhumb polygon at the equator across the IDL", function () { const positions = Cartesian3.fromDegreesArray([ - 30, - -30, - 20, - 30, - -20, - 30, - -30, - -30, + 30, -30, 20, 30, -20, 30, -30, -30, ]); const polygons = PolygonGeometryLibrary.splitPolygonsOnEquator( [positions], Ellipsoid.WGS84, - ArcType.RHUMB + ArcType.RHUMB, ); const expectedIntersection1 = new Cartesian3( 5780555.229886577, 2695517.1720840395, - 0.0 + 0.0, ); const expectedIntersection2 = new Cartesian3( 5780555.229886577, -2695517.1720840395, - 0.0 + 0.0, ); expect(polygons.length).toBe(2); expect(polygons[0].length).toBe(4); expect(polygons[0][0]).toEqual(positions[0]); expect(polygons[0][1]).toEqualEpsilon( expectedIntersection1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polygons[0][2]).toEqualEpsilon( expectedIntersection2, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polygons[0][3]).toEqual(positions[3]); expect(polygons[1].length).toBe(4); expect(polygons[1][0]).toEqualEpsilon( expectedIntersection1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polygons[1][1]).toEqual(positions[1]); expect(polygons[1][2]).toEqual(positions[2]); expect(polygons[1][3]).toEqualEpsilon( expectedIntersection2, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); it("splits an array of polygons", function () { const positions = Cartesian3.unpackArray([ - 3813220.0, - -5085291.0, - 527179.0, - 3701301.0, - -5097773.0, - -993503.0, - 5037375.0, - -3776794.0, - -1017021.0, - 5049166.0, - -3865306.0, - 494270.0, + 3813220.0, -5085291.0, 527179.0, 3701301.0, -5097773.0, -993503.0, + 5037375.0, -3776794.0, -1017021.0, 5049166.0, -3865306.0, 494270.0, ]); const polygons = PolygonGeometryLibrary.splitPolygonsOnEquator( [positions, positions], Ellipsoid.WGS84, - ArcType.GEODESIC + ArcType.GEODESIC, ); expect(polygons.length).toBe(4); diff --git a/packages/engine/Specs/Core/PolygonGeometrySpec.js b/packages/engine/Specs/Core/PolygonGeometrySpec.js index 14d51ec71915..e47cbd9605a2 100644 --- a/packages/engine/Specs/Core/PolygonGeometrySpec.js +++ b/packages/engine/Specs/Core/PolygonGeometrySpec.js @@ -42,8 +42,8 @@ describe("Core/PolygonGeometry", function () { PolygonGeometry.createGeometry( PolygonGeometry.fromPositions({ positions: [new Cartesian3()], - }) - ) + }), + ), ).toBeUndefined(); }); @@ -54,8 +54,8 @@ describe("Core/PolygonGeometry", function () { polygonHierarchy: { positions: [Cartesian3.fromDegrees(0, 0)], }, - }) - ) + }), + ), ).toBeUndefined(); }); @@ -76,7 +76,7 @@ describe("Core/PolygonGeometry", function () { const geometry = PolygonGeometry.createGeometry( PolygonGeometry.fromPositions({ positions: Cartesian3.fromDegreesArray([0.0, 0.0, 0.0, 0.0, 0.0, 0.0]), - }) + }), ); expect(geometry).toBeUndefined(); }); @@ -86,7 +86,7 @@ describe("Core/PolygonGeometry", function () { PolygonGeometry.fromPositions({ positions: Cartesian3.fromDegreesArray([0.0, 0.0, 0.0, 0.0, 0.0, 0.0]), extrudedHeight: 2, - }) + }), ); expect(geometry).toBeUndefined(); }); @@ -97,19 +97,14 @@ describe("Core/PolygonGeometry", function () { holes: [ { positions: Cartesian3.fromDegreesArray([ - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, + 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, ]), }, ], }; const geometry = PolygonGeometry.createGeometry( - new PolygonGeometry({ polygonHierarchy: hierarchy }) + new PolygonGeometry({ polygonHierarchy: hierarchy }), ); expect(geometry).toBeUndefined(); }); @@ -117,35 +112,19 @@ describe("Core/PolygonGeometry", function () { it("createGeometry returns undefined due to duplicate hierarchy positions with different heights", function () { const hierarchy = { positions: Cartesian3.fromDegreesArrayHeights([ - 1.0, - 1.0, - 10.0, - 1.0, - 1.0, - 20.0, - 1.0, - 1.0, - 30.0, + 1.0, 1.0, 10.0, 1.0, 1.0, 20.0, 1.0, 1.0, 30.0, ]), holes: [ { positions: Cartesian3.fromDegreesArrayHeights([ - 0.0, - 0.0, - 10.0, - 0.0, - 0.0, - 20.0, - 0.0, - 0.0, - 30.0, + 0.0, 0.0, 10.0, 0.0, 0.0, 20.0, 0.0, 0.0, 30.0, ]), }, ], }; const geometry = PolygonGeometry.createGeometry( - new PolygonGeometry({ polygonHierarchy: hierarchy }) + new PolygonGeometry({ polygonHierarchy: hierarchy }), ); expect(geometry).toBeUndefined(); }); @@ -153,28 +132,12 @@ describe("Core/PolygonGeometry", function () { it("createGeometry returns geometry if duplicate hierarchy positions with different heights and perPositionHeight is true", function () { const hierarchy = { positions: Cartesian3.fromDegreesArrayHeights([ - 1.0, - 1.0, - 10.0, - 1.0, - 1.0, - 20.0, - 1.0, - 1.0, - 30.0, + 1.0, 1.0, 10.0, 1.0, 1.0, 20.0, 1.0, 1.0, 30.0, ]), holes: [ { positions: Cartesian3.fromDegreesArrayHeights([ - 0.0, - 0.0, - 10.0, - 0.0, - 0.0, - 20.0, - 0.0, - 0.0, - 30.0, + 0.0, 0.0, 10.0, 0.0, 0.0, 20.0, 0.0, 0.0, 30.0, ]), }, ], @@ -184,7 +147,7 @@ describe("Core/PolygonGeometry", function () { new PolygonGeometry({ polygonHierarchy: hierarchy, perPositionHeight: true, - }) + }), ); expect(geometry).toBeDefined(); }); @@ -194,17 +157,10 @@ describe("Core/PolygonGeometry", function () { PolygonGeometry.fromPositions({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArray([ - -1.0, - -1.0, - 1.0, - -1.0, - 1.0, - 1.0, - -1.0, - 1.0, + -1.0, -1.0, 1.0, -1.0, 1.0, 1.0, -1.0, 1.0, ]), granularity: CesiumMath.RADIANS_PER_DEGREE, - }) + }), ); expect(p.attributes.position.values.length).toEqual(13 * 3); // 8 around edge + 5 in the middle @@ -232,18 +188,18 @@ describe("Core/PolygonGeometry", function () { PolygonGeometry.fromPositions({ positions: positions, perPositionHeight: true, - }) + }), ); expect( ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(p.attributes.position.values, 0) - ).height + Cartesian3.fromArray(p.attributes.position.values, 0), + ).height, ).toEqualEpsilon(height, CesiumMath.EPSILON6); expect( ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(p.attributes.position.values, 3) - ).height + Cartesian3.fromArray(p.attributes.position.values, 3), + ).height, ).toEqualEpsilon(0, CesiumMath.EPSILON6); }); @@ -252,18 +208,11 @@ describe("Core/PolygonGeometry", function () { PolygonGeometry.fromPositions({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArray([ - -1.0, - -1.0, - 1.0, - -1.0, - 1.0, - 1.0, - -1.0, - 1.0, + -1.0, -1.0, 1.0, -1.0, 1.0, 1.0, -1.0, 1.0, ]), granularity: CesiumMath.RADIANS_PER_DEGREE, arcType: ArcType.RHUMB, - }) + }), ); expect(p.attributes.position.values.length).toEqual(15 * 3); // 8 around edge + 7 in the middle @@ -276,32 +225,18 @@ describe("Core/PolygonGeometry", function () { PolygonGeometry.fromPositions({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArray([ - -1.0, - -1.0, - 1.0, - -1.0, - 1.0, - 1.0, - -1.0, - 1.0, + -1.0, -1.0, 1.0, -1.0, 1.0, 1.0, -1.0, 1.0, ]), granularity: CesiumMath.RADIANS_PER_DEGREE, arcType: ArcType.NONE, - }) + }), ); }).toThrowDeveloperError(); }); it("create geometry creates with lines with different number of subdivisions for geodesic and rhumb", function () { const positions = Cartesian3.fromDegreesArray([ - -30.0, - -30.0, - 30.0, - -30.0, - 30.0, - 30.0, - -30.0, - 30.0, + -30.0, -30.0, 30.0, -30.0, 30.0, 30.0, -30.0, 30.0, ]); const geodesic = PolygonGeometry.createGeometry( PolygonGeometry.fromPositions({ @@ -309,7 +244,7 @@ describe("Core/PolygonGeometry", function () { positions: positions, granularity: CesiumMath.RADIANS_PER_DEGREE, arcType: ArcType.GEODESIC, - }) + }), ); const rhumb = PolygonGeometry.createGeometry( PolygonGeometry.fromPositions({ @@ -317,11 +252,11 @@ describe("Core/PolygonGeometry", function () { positions: positions, granularity: CesiumMath.RADIANS_PER_DEGREE, arcType: ArcType.RHUMB, - }) + }), ); expect(geodesic.attributes.position.values.length).not.toEqual( - rhumb.attributes.position.values.length + rhumb.attributes.position.values.length, ); expect(geodesic.indices.length).not.toEqual(rhumb.indices.length); }); @@ -348,18 +283,18 @@ describe("Core/PolygonGeometry", function () { positions: positions, perPositionHeight: true, arcType: ArcType.RHUMB, - }) + }), ); expect( ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(p.attributes.position.values, 0) - ).height + Cartesian3.fromArray(p.attributes.position.values, 0), + ).height, ).toEqualEpsilon(height, CesiumMath.EPSILON6); expect( ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(p.attributes.position.values, 3) - ).height + Cartesian3.fromArray(p.attributes.position.values, 3), + ).height, ).toEqualEpsilon(0, CesiumMath.EPSILON6); }); @@ -368,16 +303,9 @@ describe("Core/PolygonGeometry", function () { PolygonGeometry.fromPositions({ vertexFormat: VertexFormat.ALL, positions: Cartesian3.fromDegreesArray([ - -1.0, - -1.0, - 1.0, - -1.0, - 1.0, - 1.0, - -1.0, - 1.0, + -1.0, -1.0, 1.0, -1.0, 1.0, 1.0, -1.0, 1.0, ]), - }) + }), ); const numVertices = 13; @@ -393,38 +321,17 @@ describe("Core/PolygonGeometry", function () { it("creates a polygon from hierarchy", function () { const hierarchy = { positions: Cartesian3.fromDegreesArray([ - -124.0, - 35.0, - -110.0, - 35.0, - -110.0, - 40.0, - -124.0, - 40.0, + -124.0, 35.0, -110.0, 35.0, -110.0, 40.0, -124.0, 40.0, ]), holes: [ { positions: Cartesian3.fromDegreesArray([ - -122.0, - 36.0, - -122.0, - 39.0, - -112.0, - 39.0, - -112.0, - 36.0, + -122.0, 36.0, -122.0, 39.0, -112.0, 39.0, -112.0, 36.0, ]), holes: [ { positions: Cartesian3.fromDegreesArray([ - -120.0, - 36.5, - -114.0, - 36.5, - -114.0, - 38.5, - -120.0, - 38.5, + -120.0, 36.5, -114.0, 36.5, -114.0, 38.5, -120.0, 38.5, ]), }, ], @@ -437,7 +344,7 @@ describe("Core/PolygonGeometry", function () { vertexFormat: VertexFormat.POSITION_ONLY, polygonHierarchy: hierarchy, granularity: CesiumMath.PI_OVER_THREE, - }) + }), ); expect(p.attributes.position.values.length).toEqual(12 * 3); // 4 points * 3 rectangles @@ -447,38 +354,17 @@ describe("Core/PolygonGeometry", function () { it("creates a polygon from hierarchy with rhumb lines", function () { const hierarchy = { positions: Cartesian3.fromDegreesArray([ - -124.0, - 35.0, - -110.0, - 35.0, - -110.0, - 40.0, - -124.0, - 40.0, + -124.0, 35.0, -110.0, 35.0, -110.0, 40.0, -124.0, 40.0, ]), holes: [ { positions: Cartesian3.fromDegreesArray([ - -122.0, - 36.0, - -122.0, - 39.0, - -112.0, - 39.0, - -112.0, - 36.0, + -122.0, 36.0, -122.0, 39.0, -112.0, 39.0, -112.0, 36.0, ]), holes: [ { positions: Cartesian3.fromDegreesArray([ - -120.0, - 36.5, - -114.0, - 36.5, - -114.0, - 38.5, - -120.0, - 38.5, + -120.0, 36.5, -114.0, 36.5, -114.0, 38.5, -120.0, 38.5, ]), }, ], @@ -492,7 +378,7 @@ describe("Core/PolygonGeometry", function () { polygonHierarchy: hierarchy, granularity: CesiumMath.PI_OVER_THREE, arcType: ArcType.RHUMB, - }) + }), ); expect(p.attributes.position.values.length).toEqual(12 * 3); // 4 points * 3 rectangles @@ -502,43 +388,18 @@ describe("Core/PolygonGeometry", function () { it("removes duplicates in polygon hierarchy", function () { const hierarchy = { positions: Cartesian3.fromDegreesArray([ - -124.0, - 35.0, - -110.0, - 35.0, - -110.0, - 35.0, - -110.0, - 40.0, - -124.0, - 40.0, + -124.0, 35.0, -110.0, 35.0, -110.0, 35.0, -110.0, 40.0, -124.0, 40.0, ]), holes: [ { positions: Cartesian3.fromDegreesArray([ - -122.0, - 36.0, - -122.0, - 39.0, - -122.0, - 39.0, - -112.0, - 39.0, - -112.0, + -122.0, 36.0, -122.0, 39.0, -122.0, 39.0, -112.0, 39.0, -112.0, 36.0, ]), holes: [ { positions: Cartesian3.fromDegreesArray([ - -120.0, - 36.5, - -114.0, - 36.5, - -114.0, - 36.5, - -114.0, - 38.5, - -120.0, + -120.0, 36.5, -114.0, 36.5, -114.0, 36.5, -114.0, 38.5, -120.0, 38.5, ]), }, @@ -552,7 +413,7 @@ describe("Core/PolygonGeometry", function () { vertexFormat: VertexFormat.POSITION_ONLY, polygonHierarchy: hierarchy, granularity: CesiumMath.PI_OVER_THREE, - }) + }), ); expect(p.attributes.position.values.length).toEqual(12 * 3); @@ -562,38 +423,17 @@ describe("Core/PolygonGeometry", function () { it("creates a polygon from clockwise hierarchy", function () { const hierarchy = { positions: Cartesian3.fromDegreesArray([ - -124.0, - 35.0, - -124.0, - 40.0, - -110.0, - 40.0, - -110.0, - 35.0, + -124.0, 35.0, -124.0, 40.0, -110.0, 40.0, -110.0, 35.0, ]), holes: [ { positions: Cartesian3.fromDegreesArray([ - -122.0, - 36.0, - -112.0, - 36.0, - -112.0, - 39.0, - -122.0, - 39.0, + -122.0, 36.0, -112.0, 36.0, -112.0, 39.0, -122.0, 39.0, ]), holes: [ { positions: Cartesian3.fromDegreesArray([ - -120.0, - 36.5, - -120.0, - 38.5, - -114.0, - 38.5, - -114.0, - 36.5, + -120.0, 36.5, -120.0, 38.5, -114.0, 38.5, -114.0, 36.5, ]), }, ], @@ -606,7 +446,7 @@ describe("Core/PolygonGeometry", function () { vertexFormat: VertexFormat.POSITION_ONLY, polygonHierarchy: hierarchy, granularity: CesiumMath.PI_OVER_THREE, - }) + }), ); expect(p.attributes.position.values.length).toEqual(12 * 3); @@ -615,34 +455,13 @@ describe("Core/PolygonGeometry", function () { it("doesn't reverse clockwise input array", function () { const p = Cartesian3.fromDegreesArray([ - -124.0, - 35.0, - -124.0, - 40.0, - -110.0, - 40.0, - -110.0, - 35.0, + -124.0, 35.0, -124.0, 40.0, -110.0, 40.0, -110.0, 35.0, ]); const h1 = Cartesian3.fromDegreesArray([ - -122.0, - 36.0, - -112.0, - 36.0, - -112.0, - 39.0, - -122.0, - 39.0, + -122.0, 36.0, -112.0, 36.0, -112.0, 39.0, -122.0, 39.0, ]); const h2 = Cartesian3.fromDegreesArray([ - -120.0, - 36.5, - -120.0, - 38.5, - -114.0, - 38.5, - -114.0, - 36.5, + -120.0, 36.5, -120.0, 38.5, -114.0, 38.5, -114.0, 36.5, ]); const hierarchy = { positions: p, @@ -663,47 +482,26 @@ describe("Core/PolygonGeometry", function () { vertexFormat: VertexFormat.POSITION_ONLY, polygonHierarchy: hierarchy, granularity: CesiumMath.PI_OVER_THREE, - }) + }), ); let i; const pExpected = Cartesian3.fromDegreesArray([ - -124.0, - 35.0, - -124.0, - 40.0, - -110.0, - 40.0, - -110.0, - 35.0, + -124.0, 35.0, -124.0, 40.0, -110.0, 40.0, -110.0, 35.0, ]); for (i = 0; i < p.length; i++) { expect(p[i]).toEqualEpsilon(pExpected[i], CesiumMath.EPSILON7); } const h1Expected = Cartesian3.fromDegreesArray([ - -122.0, - 36.0, - -112.0, - 36.0, - -112.0, - 39.0, - -122.0, - 39.0, + -122.0, 36.0, -112.0, 36.0, -112.0, 39.0, -122.0, 39.0, ]); for (i = 0; i < h1.length; i++) { expect(h1[i]).toEqualEpsilon(h1Expected[i], CesiumMath.EPSILON7); } const h2Expected = Cartesian3.fromDegreesArray([ - -120.0, - 36.5, - -120.0, - 38.5, - -114.0, - 38.5, - -114.0, - 36.5, + -120.0, 36.5, -120.0, 38.5, -114.0, 38.5, -114.0, 36.5, ]); for (i = 0; i < h2.length; i++) { expect(h2[i]).toEqualEpsilon(h2Expected[i], CesiumMath.EPSILON7); @@ -715,41 +513,27 @@ describe("Core/PolygonGeometry", function () { PolygonGeometry.fromPositions({ vertexFormat: VertexFormat.ALL, positions: Cartesian3.fromDegreesArray([ - -108.0, - 1.0, - -108.0, - -1.0, - -106.0, - -1.0, - -106.0, - 1.0, + -108.0, 1.0, -108.0, -1.0, -106.0, -1.0, -106.0, 1.0, ]), granularity: CesiumMath.PI_OVER_THREE, - }) + }), ); const bs = BoundingSphere.fromVertices(p.attributes.position.values); expect(p.boundingSphere.center).toEqualEpsilon( bs.center, - CesiumMath.EPSILON9 + CesiumMath.EPSILON9, ); expect(p.boundingSphere.radius).toEqualEpsilon( bs.radius, - CesiumMath.EPSILON9 + CesiumMath.EPSILON9, ); }); it("computes correct bounding sphere at height >>> 0", function () { const height = 40000000.0; const positions = Cartesian3.fromDegreesArray([ - -108.0, - 1.0, - -108.0, - -1.0, - -106.0, - -1.0, - -106.0, - 1.0, + -108.0, 1.0, -108.0, -1.0, -106.0, -1.0, -106.0, 1.0, ]); const p = PolygonGeometry.createGeometry( @@ -757,7 +541,7 @@ describe("Core/PolygonGeometry", function () { vertexFormat: VertexFormat.POSITIONS_ONLY, positions: positions, height: height, - }) + }), ); const bs = BoundingSphere.fromPoints( @@ -774,7 +558,7 @@ describe("Core/PolygonGeometry", function () { -106.0, 1.0, height, - ]) + ]), ); expect(Math.abs(p.boundingSphere.radius - bs.radius)).toBeLessThan(100.0); }); @@ -784,17 +568,10 @@ describe("Core/PolygonGeometry", function () { PolygonGeometry.fromPositions({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArray([ - -1.0, - -1.0, - 1.0, - -1.0, - 1.0, - 1.0, - -1.0, - 1.0, + -1.0, -1.0, 1.0, -1.0, 1.0, 1.0, -1.0, 1.0, ]), extrudedHeight: 30000, - }) + }), ); const numVertices = 50; // 13 top + 13 bottom + 8 top edge + 8 bottom edge + 4 top corner + 4 bottom corner @@ -808,18 +585,11 @@ describe("Core/PolygonGeometry", function () { PolygonGeometry.fromPositions({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArray([ - -1.0, - -1.0, - 1.0, - -1.0, - 1.0, - 1.0, - -1.0, - 1.0, + -1.0, -1.0, 1.0, -1.0, 1.0, 1.0, -1.0, 1.0, ]), extrudedHeight: 30000, closeTop: false, - }) + }), ); const numVertices = 37; // 13 bottom + 8 top edge + 8 bottom edge + 4 top corner + 4 bottom corner @@ -833,18 +603,11 @@ describe("Core/PolygonGeometry", function () { PolygonGeometry.fromPositions({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArray([ - -1.0, - -1.0, - 1.0, - -1.0, - 1.0, - 1.0, - -1.0, - 1.0, + -1.0, -1.0, 1.0, -1.0, 1.0, 1.0, -1.0, 1.0, ]), extrudedHeight: 30000, closeBottom: false, - }) + }), ); const numVertices = 37; // 13 top + 8 top edge + 8 bottom edge + 4 top corner + 4 bottom corner @@ -858,19 +621,12 @@ describe("Core/PolygonGeometry", function () { PolygonGeometry.fromPositions({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArray([ - -1.0, - -1.0, - 1.0, - -1.0, - 1.0, - 1.0, - -1.0, - 1.0, + -1.0, -1.0, 1.0, -1.0, 1.0, 1.0, -1.0, 1.0, ]), extrudedHeight: 30000, closeTop: false, closeBottom: false, - }) + }), ); const numVertices = 24; // 8 top edge + 8 bottom edge + 4 top corner + 4 bottom corner @@ -884,18 +640,11 @@ describe("Core/PolygonGeometry", function () { PolygonGeometry.fromPositions({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArray([ - -1.0, - -1.0, - 1.0, - -1.0, - 1.0, - 1.0, - -1.0, - 1.0, + -1.0, -1.0, 1.0, -1.0, 1.0, 1.0, -1.0, 1.0, ]), granularity: CesiumMath.RADIANS_PER_DEGREE, offsetAttribute: GeometryOffsetAttribute.TOP, - }) + }), ); const numVertices = 13; @@ -912,18 +661,11 @@ describe("Core/PolygonGeometry", function () { PolygonGeometry.fromPositions({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArray([ - -1.0, - -1.0, - 1.0, - -1.0, - 1.0, - 1.0, - -1.0, - 1.0, + -1.0, -1.0, 1.0, -1.0, 1.0, 1.0, -1.0, 1.0, ]), extrudedHeight: 30000, offsetAttribute: GeometryOffsetAttribute.TOP, - }) + }), ); const numVertices = 50; @@ -943,19 +685,12 @@ describe("Core/PolygonGeometry", function () { PolygonGeometry.fromPositions({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArray([ - -1.0, - -1.0, - 1.0, - -1.0, - 1.0, - 1.0, - -1.0, - 1.0, + -1.0, -1.0, 1.0, -1.0, 1.0, 1.0, -1.0, 1.0, ]), extrudedHeight: 30000, closeTop: false, offsetAttribute: GeometryOffsetAttribute.TOP, - }) + }), ); const numVertices = 37; // 13 bottom + 8 top edge + 8 bottom edge + 4 top corner + 4 bottom corner @@ -972,19 +707,12 @@ describe("Core/PolygonGeometry", function () { PolygonGeometry.fromPositions({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArray([ - -1.0, - -1.0, - 1.0, - -1.0, - 1.0, - 1.0, - -1.0, - 1.0, + -1.0, -1.0, 1.0, -1.0, 1.0, 1.0, -1.0, 1.0, ]), extrudedHeight: 30000, closeBottom: false, offsetAttribute: GeometryOffsetAttribute.TOP, - }) + }), ); const numVertices = 37; @@ -1001,20 +729,13 @@ describe("Core/PolygonGeometry", function () { PolygonGeometry.fromPositions({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArray([ - -1.0, - -1.0, - 1.0, - -1.0, - 1.0, - 1.0, - -1.0, - 1.0, + -1.0, -1.0, 1.0, -1.0, 1.0, 1.0, -1.0, 1.0, ]), extrudedHeight: 30000, closeTop: false, closeBottom: false, offsetAttribute: GeometryOffsetAttribute.TOP, - }) + }), ); const numVertices = 24; @@ -1031,18 +752,11 @@ describe("Core/PolygonGeometry", function () { PolygonGeometry.fromPositions({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArray([ - -1.0, - -1.0, - 1.0, - -1.0, - 1.0, - 1.0, - -1.0, - 1.0, + -1.0, -1.0, 1.0, -1.0, 1.0, 1.0, -1.0, 1.0, ]), extrudedHeight: 30000, offsetAttribute: GeometryOffsetAttribute.ALL, - }) + }), ); const numVertices = 50; @@ -1059,19 +773,12 @@ describe("Core/PolygonGeometry", function () { PolygonGeometry.fromPositions({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArray([ - -1.0, - -1.0, - 1.0, - -1.0, - 1.0, - 1.0, - -1.0, - 1.0, + -1.0, -1.0, 1.0, -1.0, 1.0, 1.0, -1.0, 1.0, ]), extrudedHeight: 30000, closeTop: false, offsetAttribute: GeometryOffsetAttribute.ALL, - }) + }), ); const numVertices = 37; // 13 bottom + 8 top edge + 8 bottom edge + 4 top corner + 4 bottom corner @@ -1088,19 +795,12 @@ describe("Core/PolygonGeometry", function () { PolygonGeometry.fromPositions({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArray([ - -1.0, - -1.0, - 1.0, - -1.0, - 1.0, - 1.0, - -1.0, - 1.0, + -1.0, -1.0, 1.0, -1.0, 1.0, 1.0, -1.0, 1.0, ]), extrudedHeight: 30000, closeBottom: false, offsetAttribute: GeometryOffsetAttribute.ALL, - }) + }), ); const numVertices = 37; @@ -1117,20 +817,13 @@ describe("Core/PolygonGeometry", function () { PolygonGeometry.fromPositions({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArray([ - -1.0, - -1.0, - 1.0, - -1.0, - 1.0, - 1.0, - -1.0, - 1.0, + -1.0, -1.0, 1.0, -1.0, 1.0, 1.0, -1.0, 1.0, ]), extrudedHeight: 30000, closeTop: false, closeBottom: false, offsetAttribute: GeometryOffsetAttribute.ALL, - }) + }), ); const numVertices = 24; @@ -1147,19 +840,10 @@ describe("Core/PolygonGeometry", function () { PolygonGeometry.fromPositions({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArray([ - -1.0, - -1.0, - 1.0, - -1.0, - 1.0, - 1.0, - -1.0, - 1.0, - -1.0, - -1.0, + -1.0, -1.0, 1.0, -1.0, 1.0, 1.0, -1.0, 1.0, -1.0, -1.0, ]), extrudedHeight: 30000, - }) + }), ); expect(p.attributes.position.values.length).toEqual(50 * 3); @@ -1171,18 +855,11 @@ describe("Core/PolygonGeometry", function () { PolygonGeometry.fromPositions({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArray([ - -1.0, - -1.0, - 1.0, - -1.0, - 1.0, - 1.0, - -1.0, - 1.0, + -1.0, -1.0, 1.0, -1.0, 1.0, 1.0, -1.0, 1.0, ]), height: 0, extrudedHeight: CesiumMath.EPSILON7, - }) + }), ); expect(p.attributes.position.values.length).toEqual(13 * 3); @@ -1195,18 +872,11 @@ describe("Core/PolygonGeometry", function () { vertexFormat: VertexFormat.ALL, polygonHierarchy: { positions: Cartesian3.fromDegreesArray([ - -1.0, - -1.0, - 1.0, - -1.0, - 1.0, - 1.0, - -1.0, - 1.0, + -1.0, -1.0, 1.0, -1.0, 1.0, 1.0, -1.0, 1.0, ]), }, extrudedHeight: 30000, - }) + }), ); const numVertices = 50; @@ -1225,19 +895,12 @@ describe("Core/PolygonGeometry", function () { vertexFormat: VertexFormat.POSITION_AND_ST, polygonHierarchy: { positions: Cartesian3.fromDegreesArray([ - -100.5, - 30.0, - -100.0, - 30.0, - -100.0, - 30.5, - -100.5, - 30.5, + -100.5, 30.0, -100.0, 30.0, -100.0, 30.5, -100.5, 30.5, ]), }, height: 150000, granularity: CesiumMath.PI, - }) + }), ); const st = p.attributes.st.values; @@ -1253,22 +916,12 @@ describe("Core/PolygonGeometry", function () { vertexFormat: VertexFormat.POSITION_AND_ST, polygonHierarchy: { positions: Cartesian3.fromDegreesArrayHeights([ - -100.5, - 30.0, - 92, - -100.0, - 30.0, - 92, - -100.0, - 30.5, - 92, - -100.5, - 30.5, + -100.5, 30.0, 92, -100.0, 30.0, 92, -100.0, 30.5, 92, -100.5, 30.5, 92, ]), }, granularity: CesiumMath.PI, - }) + }), ); const st = p.attributes.st.values; @@ -1292,20 +945,13 @@ describe("Core/PolygonGeometry", function () { vertexFormat: VertexFormat.POSITION_AND_ST, polygonHierarchy: { positions: Cartesian3.fromDegreesArray([ - -100.5, - 30.0, - -100.0, - 30.0, - -100.0, - 30.5, - -100.5, - 30.5, + -100.5, 30.0, -100.0, 30.0, -100.0, 30.5, -100.5, 30.5, ]), }, textureCoordinates: textureCoordinates, height: 150000, granularity: CesiumMath.PI, - }) + }), ); const st = p.attributes.st.values; @@ -1318,38 +964,17 @@ describe("Core/PolygonGeometry", function () { it("creates a polygon from hierarchy extruded", function () { const hierarchy = { positions: Cartesian3.fromDegreesArray([ - -124.0, - 35.0, - -110.0, - 35.0, - -110.0, - 40.0, - -124.0, - 40.0, + -124.0, 35.0, -110.0, 35.0, -110.0, 40.0, -124.0, 40.0, ]), holes: [ { positions: Cartesian3.fromDegreesArray([ - -122.0, - 36.0, - -122.0, - 39.0, - -112.0, - 39.0, - -112.0, - 36.0, + -122.0, 36.0, -122.0, 39.0, -112.0, 39.0, -112.0, 36.0, ]), holes: [ { positions: Cartesian3.fromDegreesArray([ - -120.0, - 36.5, - -114.0, - 36.5, - -114.0, - 38.5, - -120.0, - 38.5, + -120.0, 36.5, -114.0, 36.5, -114.0, 38.5, -120.0, 38.5, ]), }, ], @@ -1363,7 +988,7 @@ describe("Core/PolygonGeometry", function () { polygonHierarchy: hierarchy, granularity: CesiumMath.PI_OVER_THREE, extrudedHeight: 30000, - }) + }), ); // (4 points * 3 rectangles * 3 to duplicate for normals) * 2 for top and bottom @@ -1389,24 +1014,24 @@ describe("Core/PolygonGeometry", function () { new Cartesian3( 1333485.211963876, -4654510.505548239, - 4138557.5850382405 + 4138557.5850382405, ), new Cartesian3( 1333441.3994441305, -4654261.147368878, - 4138322.784348336 + 4138322.784348336, ), new Cartesian3( 1333521.9333286814, -4654490.298890729, - 4138567.564118971 + 4138567.564118971, ), ], extrudedHeight: 56, vertexFormat: VertexFormat.POSITION_AND_NORMAL, perPositionHeight: true, closeBottom: false, - }) + }), ); const normals = geometry.attributes.normal.values; @@ -1420,7 +1045,7 @@ describe("Core/PolygonGeometry", function () { !CesiumMath.equalsEpsilon( normals[i], expectedNormals[i], - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ) ) { notEqualCount++; @@ -1434,18 +1059,7 @@ describe("Core/PolygonGeometry", function () { it("computes geometry with position only vertex format with perPositionHeight and extrudedHeight", function () { const positions = Cartesian3.fromDegreesArrayHeights([ - -1.0, - -1.0, - 100.0, - 1.0, - -1.0, - 0.0, - 1.0, - 1.0, - 100.0, - -1.0, - 1.0, - 0.0, + -1.0, -1.0, 100.0, 1.0, -1.0, 0.0, 1.0, 1.0, 100.0, -1.0, 1.0, 0.0, ]); const geometry = PolygonGeometry.createGeometry( PolygonGeometry.fromPositions({ @@ -1453,7 +1067,7 @@ describe("Core/PolygonGeometry", function () { extrudedHeight: 0, vertexFormat: VertexFormat.POSITION_ONLY, perPositionHeight: true, - }) + }), ); expect(geometry).toBeDefined(); expect(geometry.attributes.position).toBeDefined(); @@ -1462,15 +1076,9 @@ describe("Core/PolygonGeometry", function () { it("does not include indices for extruded walls that are too small", function () { const positions = Cartesian3.fromDegreesArray([ - 7.757161063097392, - 48.568676799636634, - 7.753968290229146, - 48.571796467099077, - 7.755340073906587, - 48.571948854067948, - 7.756263393414589, - 48.571947951609708, - 7.756894446412183, + 7.757161063097392, 48.568676799636634, 7.753968290229146, + 48.571796467099077, 7.755340073906587, 48.571948854067948, + 7.756263393414589, 48.571947951609708, 7.756894446412183, 48.569396703043992, ]); @@ -1482,7 +1090,7 @@ describe("Core/PolygonGeometry", function () { closeTop: false, closeBottom: false, arcType: ArcType.RHUMB, - }) + }), ); let numVertices = 20; @@ -1498,13 +1106,13 @@ describe("Core/PolygonGeometry", function () { closeTop: false, closeBottom: false, arcType: ArcType.GEODESIC, - }) + }), ); numVertices = 20; numTriangles = 10; expect(pGeodesic.attributes.position.values.length).toEqual( - numVertices * 3 + numVertices * 3, ); expect(pGeodesic.indices.length).toEqual(numTriangles * 3); }); @@ -1514,17 +1122,7 @@ describe("Core/PolygonGeometry", function () { vertexFormat: VertexFormat.POSITION_AND_ST, polygonHierarchy: { positions: Cartesian3.fromDegreesArrayHeights([ - -100.5, - 30.0, - 92, - -100.0, - 30.0, - 92, - -100.0, - 30.5, - 92, - -100.5, - 30.5, + -100.5, 30.0, 92, -100.0, 30.0, 92, -100.0, 30.5, 92, -100.5, 30.5, 92, ]), }, @@ -1536,15 +1134,15 @@ describe("Core/PolygonGeometry", function () { expect(CesiumMath.toDegrees(r.north)).toBeLessThan(30.5999); expect(CesiumMath.toDegrees(r.south)).toEqualEpsilon( 30.0, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); expect(CesiumMath.toDegrees(r.east)).toEqualEpsilon( -100.0, - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); expect(CesiumMath.toDegrees(r.west)).toEqualEpsilon( -100.5, - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); }); @@ -1553,18 +1151,7 @@ describe("Core/PolygonGeometry", function () { vertexFormat: VertexFormat.POSITION_AND_ST, polygonHierarchy: { positions: Cartesian3.fromDegreesArrayHeights([ - -90.0, - 30.0, - 0, - -80.0, - 30.0, - 0, - -80.0, - 40.0, - 0, - -90.0, - 40.0, - 0, + -90.0, 30.0, 0, -80.0, 30.0, 0, -80.0, 40.0, 0, -90.0, 40.0, 0, ]), }, granularity: CesiumMath.RADIANS_PER_DEGREE, @@ -1575,33 +1162,22 @@ describe("Core/PolygonGeometry", function () { expect(CesiumMath.toDegrees(boundingGeodesic.north)).toBeGreaterThan(40.0); expect(CesiumMath.toDegrees(boundingGeodesic.south)).toEqualEpsilon( 30.0, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(CesiumMath.toDegrees(boundingGeodesic.east)).toEqualEpsilon( -80.0, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(CesiumMath.toDegrees(boundingGeodesic.west)).toEqualEpsilon( -90.0, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); const pRhumb = new PolygonGeometry({ vertexFormat: VertexFormat.POSITION_AND_ST, polygonHierarchy: { positions: Cartesian3.fromDegreesArrayHeights([ - -90.0, - 30.0, - 0, - -80.0, - 30.0, - 0, - -80.0, - 40.0, - 0, - -90.0, - 40.0, - 0, + -90.0, 30.0, 0, -80.0, 30.0, 0, -80.0, 40.0, 0, -90.0, 40.0, 0, ]), }, granularity: CesiumMath.RADIANS_PER_DEGREE, @@ -1611,19 +1187,19 @@ describe("Core/PolygonGeometry", function () { const boundingRhumb = pRhumb.rectangle; expect(CesiumMath.toDegrees(boundingRhumb.north)).toEqualEpsilon( 40.0, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(CesiumMath.toDegrees(boundingRhumb.south)).toEqualEpsilon( 30.0, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(CesiumMath.toDegrees(boundingRhumb.east)).toEqualEpsilon( -80.0, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(CesiumMath.toDegrees(boundingRhumb.west)).toEqualEpsilon( -90.0, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -1632,14 +1208,7 @@ describe("Core/PolygonGeometry", function () { vertexFormat: VertexFormat.POSITION_AND_ST, polygonHierarchy: { positions: Cartesian3.fromDegreesArray([ - 175, - 30, - -170, - 30, - -170, - 40, - 175, - 40, + 175, 30, -170, 30, -170, 40, 175, 40, ]), }, granularity: CesiumMath.RADIANS_PER_DEGREE, @@ -1649,19 +1218,19 @@ describe("Core/PolygonGeometry", function () { const boundingRhumb = pRhumb.rectangle; expect(CesiumMath.toDegrees(boundingRhumb.north)).toEqualEpsilon( 40.0, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(CesiumMath.toDegrees(boundingRhumb.south)).toEqualEpsilon( 30.0, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(CesiumMath.toDegrees(boundingRhumb.east)).toEqualEpsilon( -170.0, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(CesiumMath.toDegrees(boundingRhumb.west)).toEqualEpsilon( 175.0, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -1689,19 +1258,19 @@ describe("Core/PolygonGeometry", function () { const boundingGeodesic = pGeodesic.rectangle; expect(boundingGeodesic.east).toEqualEpsilon( minLon.longitude, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(boundingGeodesic.south).toEqualEpsilon( minLat.latitude, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(boundingGeodesic.west).toEqualEpsilon( maxLon.longitude, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(boundingGeodesic.north).toEqualEpsilon( maxLat.latitude, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -1714,7 +1283,7 @@ describe("Core/PolygonGeometry", function () { positions, Ellipsoid.WGS84, ArcType.GEODESIC, - result + result, ); expect(returned).toBe(result); @@ -1725,21 +1294,21 @@ describe("Core/PolygonGeometry", function () { const result = PolygonGeometry.computeRectangleFromPositions( positions, - Ellipsoid.WGS84 + Ellipsoid.WGS84, ); expect(result).toBeInstanceOf(Rectangle); expect(result.west).toEqualEpsilon( CesiumMath.toRadians(30), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.south).toEqualEpsilon( CesiumMath.toRadians(30), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.east).toEqualEpsilon( CesiumMath.toRadians(60), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.north).toBeGreaterThan(CesiumMath.toRadians(60)); expect(result.north).toBeLessThan(CesiumMath.toRadians(70)); @@ -1751,411 +1320,340 @@ describe("Core/PolygonGeometry", function () { const result = PolygonGeometry.computeRectangleFromPositions( positions, Ellipsoid.WGS84, - ArcType.RHUMB + ArcType.RHUMB, ); expect(result).toBeInstanceOf(Rectangle); expect(result.west).toEqualEpsilon( CesiumMath.toRadians(30), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.south).toEqualEpsilon( CesiumMath.toRadians(30), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.east).toEqualEpsilon( CesiumMath.toRadians(60), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.north).toEqualEpsilon( CesiumMath.toRadians(60), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); it("computes a rectangle enclosing a simple geodesic polygon in the southern hemisphere", function () { const positions = Cartesian3.fromDegreesArray([ - -30, - -30, - -60, - -60, - -30, - -60, + -30, -30, -60, -60, -30, -60, ]); const result = PolygonGeometry.computeRectangleFromPositions( positions, - Ellipsoid.WGS84 + Ellipsoid.WGS84, ); expect(result).toBeInstanceOf(Rectangle); expect(result.west).toEqualEpsilon( CesiumMath.toRadians(-60), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.south).toBeLessThan(CesiumMath.toRadians(-60)); expect(result.south).toBeGreaterThan(CesiumMath.toRadians(-70)); expect(result.east).toEqualEpsilon( CesiumMath.toRadians(-30), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.north).toEqualEpsilon( CesiumMath.toRadians(-30), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); it("computes a rectangle enclosing a simple rhumb polygon in the southern hemisphere", function () { const positions = Cartesian3.fromDegreesArray([ - -30, - -30, - -60, - -60, - -30, - -60, + -30, -30, -60, -60, -30, -60, ]); const result = PolygonGeometry.computeRectangleFromPositions( positions, Ellipsoid.WGS84, - ArcType.RHUMB + ArcType.RHUMB, ); expect(result).toBeInstanceOf(Rectangle); expect(result.west).toEqualEpsilon( CesiumMath.toRadians(-60), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.south).toEqualEpsilon( CesiumMath.toRadians(-60), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.east).toEqualEpsilon( CesiumMath.toRadians(-30), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.north).toEqualEpsilon( CesiumMath.toRadians(-30), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); it("computes a rectangle enclosing a simple polygon across the IDL", function () { const positions = Cartesian3.fromDegreesArray([ - 170, - 60, - 170, - 30, - -170, - 30, + 170, 60, 170, 30, -170, 30, ]); const result = PolygonGeometry.computeRectangleFromPositions( positions, - Ellipsoid.WGS84 + Ellipsoid.WGS84, ); expect(result).toBeInstanceOf(Rectangle); expect(result.west).toEqualEpsilon( CesiumMath.toRadians(170), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.south).toEqualEpsilon( CesiumMath.toRadians(30), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.east).toEqualEpsilon( CesiumMath.toRadians(-170), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.north).toEqualEpsilon( CesiumMath.toRadians(60), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); it("computes a rectangle enclosing a simple polygon across the equator", function () { const positions = Cartesian3.fromDegreesArray([ - 30, - 30, - -30, - 30, - -30, - -30, - 30, - -30, + 30, 30, -30, 30, -30, -30, 30, -30, ]); const result = PolygonGeometry.computeRectangleFromPositions( positions, Ellipsoid.WGS84, - ArcType.RHUMB + ArcType.RHUMB, ); expect(result).toBeInstanceOf(Rectangle); expect(result.west).toEqualEpsilon( CesiumMath.toRadians(-30), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.south).toEqualEpsilon( CesiumMath.toRadians(-30), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.east).toEqualEpsilon( CesiumMath.toRadians(30), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.north).toEqualEpsilon( CesiumMath.toRadians(30), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); it("computes a rectangle enclosing a simple convex polygon around the north pole", function () { const positions = Cartesian3.fromDegreesArray([ - 45, - 60, - -45, - 60, - -135, - 60, - 140, - 60, + 45, 60, -45, 60, -135, 60, 140, 60, ]); const result = PolygonGeometry.computeRectangleFromPositions( positions, - Ellipsoid.WGS84 + Ellipsoid.WGS84, ); expect(result).toBeInstanceOf(Rectangle); expect(result.west).toEqualEpsilon( CesiumMath.toRadians(-180), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.south).toEqualEpsilon( CesiumMath.toRadians(60), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.east).toEqualEpsilon( CesiumMath.toRadians(180), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.north).toEqualEpsilon( CesiumMath.toRadians(90), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); it("computes a rectangle enclosing a simple concave polygon around the north pole", function () { const positions = Cartesian3.fromDegreesArray([ - 45, - 60, - -45, - 60, - -135, - 60, - -45, - 80, + 45, 60, -45, 60, -135, 60, -45, 80, ]); const result = PolygonGeometry.computeRectangleFromPositions( positions, - Ellipsoid.WGS84 + Ellipsoid.WGS84, ); expect(result).toBeInstanceOf(Rectangle); expect(result.west).toEqualEpsilon( CesiumMath.toRadians(-135), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.south).toEqualEpsilon( CesiumMath.toRadians(60), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.east).toEqualEpsilon( CesiumMath.toRadians(45), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.north).toEqualEpsilon(1.40485733, CesiumMath.EPSILON7); }); it("computes a rectangle enclosing a simple clockwise convex polygon around the north pole", function () { const positions = Cartesian3.fromDegreesArray([ - 140, - 60, - -135, - 60, - -45, - 60, - 45, - 60, + 140, 60, -135, 60, -45, 60, 45, 60, ]); const result = PolygonGeometry.computeRectangleFromPositions( positions, - Ellipsoid.WGS84 + Ellipsoid.WGS84, ); expect(result).toBeInstanceOf(Rectangle); expect(result.west).toEqualEpsilon( CesiumMath.toRadians(-180), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.south).toEqualEpsilon( CesiumMath.toRadians(60), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.east).toEqualEpsilon( CesiumMath.toRadians(180), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.north).toEqualEpsilon( CesiumMath.toRadians(90), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); it("computes a rectangle enclosing a simple convex polygon around the south pole", function () { const positions = Cartesian3.fromDegreesArray([ - 140, - -60, - -135, - -60, - -45, - -60, - 45, - -60, + 140, -60, -135, -60, -45, -60, 45, -60, ]); const result = PolygonGeometry.computeRectangleFromPositions( positions, - Ellipsoid.WGS84 + Ellipsoid.WGS84, ); expect(result).toBeInstanceOf(Rectangle); expect(result.west).toEqualEpsilon( CesiumMath.toRadians(-180), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.south).toEqualEpsilon( CesiumMath.toRadians(-90), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.east).toEqualEpsilon( CesiumMath.toRadians(180), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.north).toEqualEpsilon( CesiumMath.toRadians(-60), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); it("computes a rectangle enclosing a simple concave rhumb polygon around the south pole", function () { const positions = Cartesian3.fromDegreesArray([ - 45, - -60, - -45, - -60, - -135, - -60, - -45, - -80, + 45, -60, -45, -60, -135, -60, -45, -80, ]); const result = PolygonGeometry.computeRectangleFromPositions( positions, Ellipsoid.WGS84, - ArcType.RHUMB + ArcType.RHUMB, ); expect(result).toBeInstanceOf(Rectangle); expect(result.west).toEqualEpsilon( CesiumMath.toRadians(-135), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.south).toEqualEpsilon( CesiumMath.toRadians(-80), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.east).toEqualEpsilon( CesiumMath.toRadians(45), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.north).toEqualEpsilon( CesiumMath.toRadians(-60), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); it("computes a rectangle enclosing a simple concave geodesic polygon around the south pole", function () { const positions = Cartesian3.fromDegreesArray([ - 45, - -60, - -45, - -60, - -135, - -60, - -45, - -80, + 45, -60, -45, -60, -135, -60, -45, -80, ]); const result = PolygonGeometry.computeRectangleFromPositions( positions, - Ellipsoid.WGS84 + Ellipsoid.WGS84, ); expect(result).toBeInstanceOf(Rectangle); expect(result.west).toEqualEpsilon( CesiumMath.toRadians(-135), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.south).toBeLessThan(CesiumMath.toRadians(-80)); expect(result.south).toBeGreaterThan(CesiumMath.toRadians(-90)); expect(result.east).toEqualEpsilon( CesiumMath.toRadians(45), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.north).toEqualEpsilon( CesiumMath.toRadians(-60), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); it("computes a rectangle enclosing a simple clockwise convex polygon around the south pole", function () { const positions = Cartesian3.fromDegreesArray([ - 45, - -60, - -45, - -60, - -135, - -60, - 140, - -60, + 45, -60, -45, -60, -135, -60, 140, -60, ]); const result = PolygonGeometry.computeRectangleFromPositions( positions, - Ellipsoid.WGS84 + Ellipsoid.WGS84, ); expect(result).toBeInstanceOf(Rectangle); expect(result.west).toEqualEpsilon( CesiumMath.toRadians(-180), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.south).toEqualEpsilon( CesiumMath.toRadians(-90), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.east).toEqualEpsilon( CesiumMath.toRadians(180), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(result.north).toEqualEpsilon( CesiumMath.toRadians(-60), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); }); @@ -2165,18 +1663,7 @@ describe("Core/PolygonGeometry", function () { vertexFormat: VertexFormat.POSITION_AND_ST, polygonHierarchy: { positions: Cartesian3.fromDegreesArrayHeights([ - -10.0, - -10.0, - 0, - -10.0, - 10.0, - 0, - 10.0, - -10.0, - 0, - 10.0, - 10.0, - 0, + -10.0, -10.0, 0, -10.0, 10.0, 0, 10.0, -10.0, 0, 10.0, 10.0, 0, ]), }, granularity: CesiumMath.PI, @@ -2189,45 +1676,34 @@ describe("Core/PolygonGeometry", function () { expect(textureCoordinateRotationPoints.length).toEqual(6); expect(textureCoordinateRotationPoints[0]).toEqualEpsilon( 0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[1]).toEqualEpsilon( 1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[2]).toEqualEpsilon( 1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[3]).toEqualEpsilon( 1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[4]).toEqualEpsilon( 0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[5]).toEqualEpsilon( 0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); p = new PolygonGeometry({ vertexFormat: VertexFormat.POSITION_AND_ST, polygonHierarchy: { positions: Cartesian3.fromDegreesArrayHeights([ - -10.0, - -10.0, - 0, - -10.0, - 10.0, - 0, - 10.0, - -10.0, - 0, - 10.0, - 10.0, - 0, + -10.0, -10.0, 0, -10.0, 10.0, 0, 10.0, -10.0, 0, 10.0, 10.0, 0, ]), }, granularity: CesiumMath.PI, @@ -2238,55 +1714,40 @@ describe("Core/PolygonGeometry", function () { expect(textureCoordinateRotationPoints.length).toEqual(6); expect(textureCoordinateRotationPoints[0]).toEqualEpsilon( 0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[1]).toEqualEpsilon( 0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[2]).toEqualEpsilon( 0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[3]).toEqualEpsilon( 1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[4]).toEqualEpsilon( 1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[5]).toEqualEpsilon( 0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); // pack without explicit texture coordinates const positions = Cartesian3.fromDegreesArray([ - -12.4, - 3.5, - -12.0, - 3.5, - -12.0, - 4.0, + -12.4, 3.5, -12.0, 3.5, -12.0, 4.0, ]); const holePositions0 = Cartesian3.fromDegreesArray([ - -12.2, - 3.5, - -12.2, - 3.6, - -12.3, - 3.6, + -12.2, 3.5, -12.2, 3.6, -12.3, 3.6, ]); const holePositions1 = Cartesian3.fromDegreesArray([ - -12.2, - 3.5, - -12.25, - 3.5, - -12.25, - 3.55, + -12.2, 3.5, -12.25, 3.5, -12.25, 3.55, ]); const hierarchy = { positions: positions, @@ -2333,7 +1794,7 @@ describe("Core/PolygonGeometry", function () { packedInstance.push( Ellipsoid.WGS84.radii.x, Ellipsoid.WGS84.radii.y, - Ellipsoid.WGS84.radii.z + Ellipsoid.WGS84.radii.z, ); packedInstance.push(1.0, 0.0, 0.0, 0.0, 0.0, 0.0); packedInstance.push( @@ -2349,7 +1810,7 @@ describe("Core/PolygonGeometry", function () { -1, ArcType.GEODESIC, -1, - 55 + 55, ); createPackableSpecs(PolygonGeometry, polygon, packedInstance); @@ -2389,7 +1850,7 @@ describe("Core/PolygonGeometry", function () { packedInstanceTextured.push( Ellipsoid.WGS84.radii.x, Ellipsoid.WGS84.radii.y, - Ellipsoid.WGS84.radii.z + Ellipsoid.WGS84.radii.z, ); packedInstanceTextured.push(1.0, 0.0, 0.0, 0.0, 0.0, 0.0); packedInstanceTextured.push( @@ -2403,7 +1864,7 @@ describe("Core/PolygonGeometry", function () { 1, 0, -1, - ArcType.GEODESIC + ArcType.GEODESIC, ); packedInstanceTextured.push(9.0, 0.0); addPositions2D(packedInstanceTextured, textureCoordinates.positions); diff --git a/packages/engine/Specs/Core/PolygonOutlineGeometrySpec.js b/packages/engine/Specs/Core/PolygonOutlineGeometrySpec.js index 92838d833a14..a8e6ef401049 100644 --- a/packages/engine/Specs/Core/PolygonOutlineGeometrySpec.js +++ b/packages/engine/Specs/Core/PolygonOutlineGeometrySpec.js @@ -37,8 +37,8 @@ describe("Core/PolygonOutlineGeometry", function () { PolygonOutlineGeometry.createGeometry( PolygonOutlineGeometry.fromPositions({ positions: [new Cartesian3()], - }) - ) + }), + ), ).toBeUndefined(); }); @@ -49,8 +49,8 @@ describe("Core/PolygonOutlineGeometry", function () { polygonHierarchy: { positions: [Cartesian3.fromDegrees(0, 0)], }, - }) - ) + }), + ), ).toBeUndefined(); }); @@ -71,7 +71,7 @@ describe("Core/PolygonOutlineGeometry", function () { const geometry = PolygonOutlineGeometry.createGeometry( PolygonOutlineGeometry.fromPositions({ positions: Cartesian3.fromDegreesArray([0.0, 0.0, 0.0, 0.0, 0.0, 0.0]), - }) + }), ); expect(geometry).toBeUndefined(); }); @@ -81,7 +81,7 @@ describe("Core/PolygonOutlineGeometry", function () { PolygonOutlineGeometry.fromPositions({ positions: Cartesian3.fromDegreesArray([0.0, 0.0, 0.0, 0.0, 0.0, 0.0]), extrudedHeight: 2, - }) + }), ); expect(geometry).toBeUndefined(); }); @@ -92,19 +92,14 @@ describe("Core/PolygonOutlineGeometry", function () { holes: [ { positions: Cartesian3.fromDegreesArray([ - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, + 0.0, 0.0, 0.0, 0.0, 0.0, 0.0, ]), }, ], }; const geometry = PolygonOutlineGeometry.createGeometry( - new PolygonOutlineGeometry({ polygonHierarchy: hierarchy }) + new PolygonOutlineGeometry({ polygonHierarchy: hierarchy }), ); expect(geometry).toBeUndefined(); }); @@ -112,35 +107,19 @@ describe("Core/PolygonOutlineGeometry", function () { it("createGeometry returns undefined due to duplicate hierarchy positions with different heights", function () { const hierarchy = { positions: Cartesian3.fromDegreesArrayHeights([ - 1.0, - 1.0, - 10.0, - 1.0, - 1.0, - 20.0, - 1.0, - 1.0, - 30.0, + 1.0, 1.0, 10.0, 1.0, 1.0, 20.0, 1.0, 1.0, 30.0, ]), holes: [ { positions: Cartesian3.fromDegreesArrayHeights([ - 0.0, - 0.0, - 10.0, - 0.0, - 0.0, - 20.0, - 0.0, - 0.0, - 30.0, + 0.0, 0.0, 10.0, 0.0, 0.0, 20.0, 0.0, 0.0, 30.0, ]), }, ], }; const geometry = PolygonOutlineGeometry.createGeometry( - new PolygonOutlineGeometry({ polygonHierarchy: hierarchy }) + new PolygonOutlineGeometry({ polygonHierarchy: hierarchy }), ); expect(geometry).toBeUndefined(); }); @@ -148,28 +127,12 @@ describe("Core/PolygonOutlineGeometry", function () { it("createGeometry returns geometry if duplicate hierarchy positions with different heights and perPositionHeight is true", function () { const hierarchy = { positions: Cartesian3.fromDegreesArrayHeights([ - 1.0, - 1.0, - 10.0, - 1.0, - 1.0, - 20.0, - 1.0, - 1.0, - 30.0, + 1.0, 1.0, 10.0, 1.0, 1.0, 20.0, 1.0, 1.0, 30.0, ]), holes: [ { positions: Cartesian3.fromDegreesArrayHeights([ - 0.0, - 0.0, - 10.0, - 0.0, - 0.0, - 20.0, - 0.0, - 0.0, - 30.0, + 0.0, 0.0, 10.0, 0.0, 0.0, 20.0, 0.0, 0.0, 30.0, ]), }, ], @@ -179,7 +142,7 @@ describe("Core/PolygonOutlineGeometry", function () { new PolygonOutlineGeometry({ polygonHierarchy: hierarchy, perPositionHeight: true, - }) + }), ); expect(geometry).toBeDefined(); }); @@ -188,16 +151,9 @@ describe("Core/PolygonOutlineGeometry", function () { const p = PolygonOutlineGeometry.createGeometry( PolygonOutlineGeometry.fromPositions({ positions: Cartesian3.fromDegreesArray([ - -1.0, - -1.0, - 1.0, - -1.0, - 1.0, - 1.0, - -1.0, - 1.0, + -1.0, -1.0, 1.0, -1.0, 1.0, 1.0, -1.0, 1.0, ]), - }) + }), ); expect(p.attributes.position.values.length).toEqual(8 * 3); @@ -225,18 +181,18 @@ describe("Core/PolygonOutlineGeometry", function () { PolygonOutlineGeometry.fromPositions({ positions: positions, perPositionHeight: true, - }) + }), ); expect( ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(p.attributes.position.values, 0) - ).height + Cartesian3.fromArray(p.attributes.position.values, 0), + ).height, ).toEqualEpsilon(height, CesiumMath.EPSILON6); expect( ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(p.attributes.position.values, 3) - ).height + Cartesian3.fromArray(p.attributes.position.values, 3), + ).height, ).toEqualEpsilon(0, CesiumMath.EPSILON6); }); @@ -244,18 +200,11 @@ describe("Core/PolygonOutlineGeometry", function () { const p = PolygonOutlineGeometry.createGeometry( PolygonOutlineGeometry.fromPositions({ positions: Cartesian3.fromDegreesArray([ - -1.0, - -1.0, - 1.0, - -1.0, - 1.0, - 1.0, - -1.0, - 1.0, + -1.0, -1.0, 1.0, -1.0, 1.0, 1.0, -1.0, 1.0, ]), granularity: CesiumMath.RADIANS_PER_DEGREE, arcType: ArcType.RHUMB, - }) + }), ); expect(p.attributes.position.values.length).toEqual(8 * 3); // 8 around edge @@ -267,50 +216,36 @@ describe("Core/PolygonOutlineGeometry", function () { PolygonOutlineGeometry.createGeometry( PolygonOutlineGeometry.fromPositions({ positions: Cartesian3.fromDegreesArray([ - -1.0, - -1.0, - 1.0, - -1.0, - 1.0, - 1.0, - -1.0, - 1.0, + -1.0, -1.0, 1.0, -1.0, 1.0, 1.0, -1.0, 1.0, ]), granularity: CesiumMath.RADIANS_PER_DEGREE, arcType: ArcType.NONE, - }) + }), ); }).toThrowDeveloperError(); }); it("create geometry creates with lines with different number of subdivisions for geodesic and rhumb", function () { const positions = Cartesian3.fromDegreesArray([ - -80.0, - 75.0, - 80.0, - 75.0, - 80.0, - 45.0, - -80.0, - 45.0, + -80.0, 75.0, 80.0, 75.0, 80.0, 45.0, -80.0, 45.0, ]); const geodesic = PolygonOutlineGeometry.createGeometry( PolygonOutlineGeometry.fromPositions({ positions: positions, granularity: CesiumMath.RADIANS_PER_DEGREE, arcType: ArcType.GEODESIC, - }) + }), ); const rhumb = PolygonOutlineGeometry.createGeometry( PolygonOutlineGeometry.fromPositions({ positions: positions, granularity: CesiumMath.RADIANS_PER_DEGREE, arcType: ArcType.RHUMB, - }) + }), ); expect(geodesic.attributes.position.values.length).not.toEqual( - rhumb.attributes.position.values.length + rhumb.attributes.position.values.length, ); expect(geodesic.indices.length).not.toEqual(rhumb.indices.length); }); @@ -337,18 +272,18 @@ describe("Core/PolygonOutlineGeometry", function () { positions: positions, perPositionHeight: true, arcType: ArcType.RHUMB, - }) + }), ); expect( ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(p.attributes.position.values, 0) - ).height + Cartesian3.fromArray(p.attributes.position.values, 0), + ).height, ).toEqualEpsilon(height, CesiumMath.EPSILON6); expect( ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(p.attributes.position.values, 3) - ).height + Cartesian3.fromArray(p.attributes.position.values, 3), + ).height, ).toEqualEpsilon(0, CesiumMath.EPSILON6); }); @@ -376,61 +311,40 @@ describe("Core/PolygonOutlineGeometry", function () { positions: positions, perPositionHeight: true, extrudedHeight: extrudedHeight, - }) + }), ); expect( ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(p.attributes.position.values, 0) - ).height + Cartesian3.fromArray(p.attributes.position.values, 0), + ).height, ).toEqualEpsilon(maxHeight, CesiumMath.EPSILON6); expect( ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(p.attributes.position.values, 3) - ).height + Cartesian3.fromArray(p.attributes.position.values, 3), + ).height, ).toEqualEpsilon(minHeight, CesiumMath.EPSILON6); expect( ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(p.attributes.position.values, 24) - ).height + Cartesian3.fromArray(p.attributes.position.values, 24), + ).height, ).toEqualEpsilon(extrudedHeight, CesiumMath.EPSILON6); }); it("creates a polygon from hierarchy", function () { const hierarchy = { positions: Cartesian3.fromDegreesArray([ - -124.0, - 35.0, - -110.0, - 35.0, - -110.0, - 40.0, - -124.0, - 40.0, + -124.0, 35.0, -110.0, 35.0, -110.0, 40.0, -124.0, 40.0, ]), holes: [ { positions: Cartesian3.fromDegreesArray([ - -122.0, - 36.0, - -122.0, - 39.0, - -112.0, - 39.0, - -112.0, - 36.0, + -122.0, 36.0, -122.0, 39.0, -112.0, 39.0, -112.0, 36.0, ]), holes: [ { positions: Cartesian3.fromDegreesArray([ - -120.0, - 36.5, - -114.0, - 36.5, - -114.0, - 38.5, - -120.0, - 38.5, + -120.0, 36.5, -114.0, 36.5, -114.0, 38.5, -120.0, 38.5, ]), }, ], @@ -442,7 +356,7 @@ describe("Core/PolygonOutlineGeometry", function () { new PolygonOutlineGeometry({ polygonHierarchy: hierarchy, granularity: CesiumMath.PI_OVER_THREE, - }) + }), ); expect(p.attributes.position.values.length).toEqual(12 * 3); // 4 corners * 3 rectangles @@ -452,38 +366,17 @@ describe("Core/PolygonOutlineGeometry", function () { it("creates a polygon from clockwise hierarchy", function () { const hierarchy = { positions: Cartesian3.fromDegreesArray([ - -124.0, - 35.0, - -124.0, - 40.0, - -110.0, - 40.0, - -110.0, - 35.0, + -124.0, 35.0, -124.0, 40.0, -110.0, 40.0, -110.0, 35.0, ]), holes: [ { positions: Cartesian3.fromDegreesArray([ - -122.0, - 36.0, - -112.0, - 36.0, - -112.0, - 39.0, - -122.0, - 39.0, + -122.0, 36.0, -112.0, 36.0, -112.0, 39.0, -122.0, 39.0, ]), holes: [ { positions: Cartesian3.fromDegreesArray([ - -120.0, - 36.5, - -120.0, - 38.5, - -114.0, - 38.5, - -114.0, - 36.5, + -120.0, 36.5, -120.0, 38.5, -114.0, 38.5, -114.0, 36.5, ]), }, ], @@ -495,7 +388,7 @@ describe("Core/PolygonOutlineGeometry", function () { new PolygonOutlineGeometry({ polygonHierarchy: hierarchy, granularity: CesiumMath.PI_OVER_THREE, - }) + }), ); expect(p.attributes.position.values.length).toEqual(12 * 3); @@ -504,34 +397,13 @@ describe("Core/PolygonOutlineGeometry", function () { it("doesn't reverse clockwise input array", function () { const p = Cartesian3.fromDegreesArray([ - -124.0, - 35.0, - -124.0, - 40.0, - -110.0, - 40.0, - -110.0, - 35.0, + -124.0, 35.0, -124.0, 40.0, -110.0, 40.0, -110.0, 35.0, ]); const h1 = Cartesian3.fromDegreesArray([ - -122.0, - 36.0, - -112.0, - 36.0, - -112.0, - 39.0, - -122.0, - 39.0, + -122.0, 36.0, -112.0, 36.0, -112.0, 39.0, -122.0, 39.0, ]); const h2 = Cartesian3.fromDegreesArray([ - -120.0, - 36.5, - -120.0, - 38.5, - -114.0, - 38.5, - -114.0, - 36.5, + -120.0, 36.5, -120.0, 38.5, -114.0, 38.5, -114.0, 36.5, ]); const hierarchy = { positions: p, @@ -551,47 +423,26 @@ describe("Core/PolygonOutlineGeometry", function () { new PolygonOutlineGeometry({ polygonHierarchy: hierarchy, granularity: CesiumMath.PI_OVER_THREE, - }) + }), ); expect(p).toEqualEpsilon( Cartesian3.fromDegreesArray([ - -124.0, - 35.0, - -124.0, - 40.0, - -110.0, - 40.0, - -110.0, - 35.0, + -124.0, 35.0, -124.0, 40.0, -110.0, 40.0, -110.0, 35.0, ]), - CesiumMath.EPSILON9 + CesiumMath.EPSILON9, ); expect(h1).toEqualEpsilon( Cartesian3.fromDegreesArray([ - -122.0, - 36.0, - -112.0, - 36.0, - -112.0, - 39.0, - -122.0, - 39.0, + -122.0, 36.0, -112.0, 36.0, -112.0, 39.0, -122.0, 39.0, ]), - CesiumMath.EPSILON9 + CesiumMath.EPSILON9, ); expect(h2).toEqualEpsilon( Cartesian3.fromDegreesArray([ - -120.0, - 36.5, - -120.0, - 38.5, - -114.0, - 38.5, - -114.0, - 36.5, + -120.0, 36.5, -120.0, 38.5, -114.0, 38.5, -114.0, 36.5, ]), - CesiumMath.EPSILON9 + CesiumMath.EPSILON9, ); }); @@ -599,48 +450,34 @@ describe("Core/PolygonOutlineGeometry", function () { const p = PolygonOutlineGeometry.createGeometry( PolygonOutlineGeometry.fromPositions({ positions: Cartesian3.fromDegreesArray([ - -108.0, - 1.0, - -108.0, - -1.0, - -106.0, - -1.0, - -106.0, - 1.0, + -108.0, 1.0, -108.0, -1.0, -106.0, -1.0, -106.0, 1.0, ]), granularity: CesiumMath.PI_OVER_THREE, - }) + }), ); const bs = BoundingSphere.fromVertices(p.attributes.position.values); expect(p.boundingSphere.center).toEqualEpsilon( bs.center, - CesiumMath.EPSILON9 + CesiumMath.EPSILON9, ); expect(p.boundingSphere.radius).toEqualEpsilon( bs.radius, - CesiumMath.EPSILON9 + CesiumMath.EPSILON9, ); }); it("computes correct bounding sphere at height >>> 0", function () { const height = 40000000.0; const positions = Cartesian3.fromDegreesArray([ - -108.0, - 1.0, - -108.0, - -1.0, - -106.0, - -1.0, - -106.0, - 1.0, + -108.0, 1.0, -108.0, -1.0, -106.0, -1.0, -106.0, 1.0, ]); const p = PolygonOutlineGeometry.createGeometry( PolygonOutlineGeometry.fromPositions({ positions: positions, height: height, - }) + }), ); const bs = BoundingSphere.fromPoints( @@ -657,7 +494,7 @@ describe("Core/PolygonOutlineGeometry", function () { -106.0, 1.0, height, - ]) + ]), ); expect(Math.abs(p.boundingSphere.radius - bs.radius)).toBeLessThan(100.0); }); @@ -666,17 +503,10 @@ describe("Core/PolygonOutlineGeometry", function () { const p = PolygonOutlineGeometry.createGeometry( PolygonOutlineGeometry.fromPositions({ positions: Cartesian3.fromDegreesArray([ - -1.0, - -1.0, - 1.0, - -1.0, - 1.0, - 1.0, - -1.0, - 1.0, + -1.0, -1.0, 1.0, -1.0, 1.0, 1.0, -1.0, 1.0, ]), extrudedHeight: 30000, - }) + }), ); expect(p.attributes.position.values.length).toEqual(16 * 3); // 8 top + 8 bottom @@ -686,38 +516,17 @@ describe("Core/PolygonOutlineGeometry", function () { it("creates a polygon from hierarchy extruded", function () { const hierarchy = { positions: Cartesian3.fromDegreesArray([ - -124.0, - 35.0, - -110.0, - 35.0, - -110.0, - 40.0, - -124.0, - 40.0, + -124.0, 35.0, -110.0, 35.0, -110.0, 40.0, -124.0, 40.0, ]), holes: [ { positions: Cartesian3.fromDegreesArray([ - -122.0, - 36.0, - -122.0, - 39.0, - -112.0, - 39.0, - -112.0, - 36.0, + -122.0, 36.0, -122.0, 39.0, -112.0, 39.0, -112.0, 36.0, ]), holes: [ { positions: Cartesian3.fromDegreesArray([ - -120.0, - 36.5, - -114.0, - 36.5, - -114.0, - 38.5, - -120.0, - 38.5, + -120.0, 36.5, -114.0, 36.5, -114.0, 38.5, -120.0, 38.5, ]), }, ], @@ -730,7 +539,7 @@ describe("Core/PolygonOutlineGeometry", function () { polygonHierarchy: hierarchy, granularity: CesiumMath.PI_OVER_THREE, extrudedHeight: 30000, - }) + }), ); expect(p.attributes.position.values.length).toEqual(24 * 3); // 12 top + 12 bottom @@ -741,17 +550,10 @@ describe("Core/PolygonOutlineGeometry", function () { const p = PolygonOutlineGeometry.createGeometry( PolygonOutlineGeometry.fromPositions({ positions: Cartesian3.fromDegreesArray([ - -1.0, - -1.0, - 1.0, - -1.0, - 1.0, - 1.0, - -1.0, - 1.0, + -1.0, -1.0, 1.0, -1.0, 1.0, 1.0, -1.0, 1.0, ]), offsetAttribute: GeometryOffsetAttribute.TOP, - }) + }), ); const numVertices = 8; @@ -766,18 +568,11 @@ describe("Core/PolygonOutlineGeometry", function () { const p = PolygonOutlineGeometry.createGeometry( PolygonOutlineGeometry.fromPositions({ positions: Cartesian3.fromDegreesArray([ - -1.0, - -1.0, - 1.0, - -1.0, - 1.0, - 1.0, - -1.0, - 1.0, + -1.0, -1.0, 1.0, -1.0, 1.0, 1.0, -1.0, 1.0, ]), extrudedHeight: 30000, offsetAttribute: GeometryOffsetAttribute.TOP, - }) + }), ); const numVertices = 16; @@ -793,18 +588,11 @@ describe("Core/PolygonOutlineGeometry", function () { const p = PolygonOutlineGeometry.createGeometry( PolygonOutlineGeometry.fromPositions({ positions: Cartesian3.fromDegreesArray([ - -1.0, - -1.0, - 1.0, - -1.0, - 1.0, - 1.0, - -1.0, - 1.0, + -1.0, -1.0, 1.0, -1.0, 1.0, 1.0, -1.0, 1.0, ]), extrudedHeight: 30000, offsetAttribute: GeometryOffsetAttribute.ALL, - }) + }), ); const numVertices = 16; @@ -827,28 +615,13 @@ describe("Core/PolygonOutlineGeometry", function () { }); const positions = Cartesian3.fromDegreesArray([ - -124.0, - 35.0, - -110.0, - 35.0, - -110.0, - 40.0, + -124.0, 35.0, -110.0, 35.0, -110.0, 40.0, ]); const holePositions0 = Cartesian3.fromDegreesArray([ - -122.0, - 36.0, - -122.0, - 39.0, - -112.0, - 39.0, + -122.0, 36.0, -122.0, 39.0, -112.0, 39.0, ]); const holePositions1 = Cartesian3.fromDegreesArray([ - -120.0, - 36.5, - -114.0, - 36.5, - -114.0, - 38.5, + -120.0, 36.5, -114.0, 36.5, -114.0, 38.5, ]); const hierarchy = { positions: positions, @@ -883,7 +656,7 @@ describe("Core/PolygonOutlineGeometry", function () { packedInstance.push( Ellipsoid.WGS84.radii.x, Ellipsoid.WGS84.radii.y, - Ellipsoid.WGS84.radii.z + Ellipsoid.WGS84.radii.z, ); packedInstance.push( 0.0, @@ -893,7 +666,7 @@ describe("Core/PolygonOutlineGeometry", function () { 1.0, ArcType.GEODESIC, -1, - 44 + 44, ); createPackableSpecs(PolygonOutlineGeometry, polygon, packedInstance); }); diff --git a/packages/engine/Specs/Core/PolygonPipelineSpec.js b/packages/engine/Specs/Core/PolygonPipelineSpec.js index b39594523eca..9819f86e64c8 100644 --- a/packages/engine/Specs/Core/PolygonPipelineSpec.js +++ b/packages/engine/Specs/Core/PolygonPipelineSpec.js @@ -131,30 +131,7 @@ describe("Core/PolygonPipeline", function () { const indices = PolygonPipeline.triangulate(combinedPositions, [4]); expect(indices).toEqual([ - 0, - 4, - 7, - 5, - 4, - 0, - 3, - 0, - 7, - 5, - 0, - 1, - 2, - 3, - 7, - 6, - 5, - 1, - 2, - 7, - 6, - 6, - 1, - 2, + 0, 4, 7, 5, 4, 0, 3, 0, 7, 5, 0, 1, 2, 3, 7, 6, 5, 1, 2, 7, 6, 6, 1, 2, ]); }); @@ -182,48 +159,8 @@ describe("Core/PolygonPipeline", function () { const indices = PolygonPipeline.triangulate(combinedPositions, [4, 8]); expect(indices).toEqual([ - 0, - 8, - 11, - 0, - 4, - 7, - 5, - 4, - 0, - 3, - 0, - 11, - 8, - 0, - 7, - 5, - 0, - 1, - 2, - 3, - 11, - 9, - 8, - 7, - 6, - 5, - 1, - 2, - 11, - 10, - 9, - 7, - 6, - 6, - 1, - 2, - 2, - 10, - 9, - 9, - 6, - 2, + 0, 8, 11, 0, 4, 7, 5, 4, 0, 3, 0, 11, 8, 0, 7, 5, 0, 1, 2, 3, 11, 9, 8, + 7, 6, 5, 1, 2, 11, 10, 9, 7, 6, 6, 1, 2, 2, 10, 9, 9, 6, 2, ]); }); }); @@ -267,7 +204,7 @@ describe("Core/PolygonPipeline", function () { [], [1, 2, 3], undefined, - -1.0 + -1.0, ); }).toThrowDeveloperError(); }); @@ -284,7 +221,7 @@ describe("Core/PolygonPipeline", function () { positions, indices, undefined, - 60.0 + 60.0, ); expect(subdivision.attributes.position.values[0]).toEqual(0.0); @@ -308,7 +245,7 @@ describe("Core/PolygonPipeline", function () { new Cartesian3( 6377802.759444977, -58441.30561735455, - -29025.647900582237 + -29025.647900582237, ), new Cartesian3(6378137, 0, 0), new Cartesian3(6377802.759444977, 58441.30561735455, -29025.647900582237), @@ -318,54 +255,54 @@ describe("Core/PolygonPipeline", function () { const subdivision = PolygonPipeline.computeSubdivision( Ellipsoid.WGS84, positions, - indices + indices, ); expect(subdivision.attributes.position.values[0]).toEqual( - 6377802.759444977 + 6377802.759444977, ); expect(subdivision.attributes.position.values[1]).toEqual( - -58441.30561735455 + -58441.30561735455, ); expect(subdivision.attributes.position.values[2]).toEqual( - 29025.647900582237 + 29025.647900582237, ); expect(subdivision.attributes.position.values[3]).toEqual( - 6377802.759444977 + 6377802.759444977, ); expect(subdivision.attributes.position.values[4]).toEqual( - -58441.30561735455 + -58441.30561735455, ); expect(subdivision.attributes.position.values[5]).toEqual( - -29025.647900582237 + -29025.647900582237, ); expect(subdivision.attributes.position.values[6]).toEqual(6378137); expect(subdivision.attributes.position.values[7]).toEqual(0); expect(subdivision.attributes.position.values[8]).toEqual(0); expect(subdivision.attributes.position.values[9]).toEqual( - 6377802.759444977 + 6377802.759444977, ); expect(subdivision.attributes.position.values[10]).toEqual( - 58441.30561735455 + 58441.30561735455, ); expect(subdivision.attributes.position.values[11]).toEqual( - -29025.647900582237 + -29025.647900582237, ); expect(subdivision.attributes.position.values[12]).toEqual( - 6377802.759444977 + 6377802.759444977, ); expect(subdivision.attributes.position.values[13]).toEqual( - 58441.30561735455 + 58441.30561735455, ); expect(subdivision.attributes.position.values[14]).toEqual( - 29025.647900582237 + 29025.647900582237, ); expect(subdivision.attributes.position.values[15]).toEqual( - 6377802.759444977 + 6377802.759444977, ); expect(subdivision.attributes.position.values[16]).toEqual(0); expect(subdivision.attributes.position.values[17]).toEqual( - 29025.647900582237 + 29025.647900582237, ); expect(subdivision.indices[0]).toEqual(5); @@ -388,7 +325,7 @@ describe("Core/PolygonPipeline", function () { new Cartesian3( 6377802.759444977, -58441.30561735455, - -29025.647900582237 + -29025.647900582237, ), new Cartesian3(6378137, 0, 0), new Cartesian3(6377802.759444977, 58441.30561735455, -29025.647900582237), @@ -406,54 +343,54 @@ describe("Core/PolygonPipeline", function () { Ellipsoid.WGS84, positions, indices, - texcoords + texcoords, ); expect(subdivision.attributes.position.values[0]).toEqual( - 6377802.759444977 + 6377802.759444977, ); expect(subdivision.attributes.position.values[1]).toEqual( - -58441.30561735455 + -58441.30561735455, ); expect(subdivision.attributes.position.values[2]).toEqual( - 29025.647900582237 + 29025.647900582237, ); expect(subdivision.attributes.position.values[3]).toEqual( - 6377802.759444977 + 6377802.759444977, ); expect(subdivision.attributes.position.values[4]).toEqual( - -58441.30561735455 + -58441.30561735455, ); expect(subdivision.attributes.position.values[5]).toEqual( - -29025.647900582237 + -29025.647900582237, ); expect(subdivision.attributes.position.values[6]).toEqual(6378137); expect(subdivision.attributes.position.values[7]).toEqual(0); expect(subdivision.attributes.position.values[8]).toEqual(0); expect(subdivision.attributes.position.values[9]).toEqual( - 6377802.759444977 + 6377802.759444977, ); expect(subdivision.attributes.position.values[10]).toEqual( - 58441.30561735455 + 58441.30561735455, ); expect(subdivision.attributes.position.values[11]).toEqual( - -29025.647900582237 + -29025.647900582237, ); expect(subdivision.attributes.position.values[12]).toEqual( - 6377802.759444977 + 6377802.759444977, ); expect(subdivision.attributes.position.values[13]).toEqual( - 58441.30561735455 + 58441.30561735455, ); expect(subdivision.attributes.position.values[14]).toEqual( - 29025.647900582237 + 29025.647900582237, ); expect(subdivision.attributes.position.values[15]).toEqual( - 6377802.759444977 + 6377802.759444977, ); expect(subdivision.attributes.position.values[16]).toEqual(0); expect(subdivision.attributes.position.values[17]).toEqual( - 29025.647900582237 + 29025.647900582237, ); expect(subdivision.indices[0]).toEqual(5); @@ -513,7 +450,7 @@ describe("Core/PolygonPipeline", function () { PolygonPipeline.computeRhumbLineSubdivision( Ellipsoid.WGS84, [], - [1, 2, 3, 4] + [1, 2, 3, 4], ); }).toThrowDeveloperError(); }); @@ -525,7 +462,7 @@ describe("Core/PolygonPipeline", function () { [], [1, 2, 3], undefined, - -1.0 + -1.0, ); }).toThrowDeveloperError(); }); @@ -538,7 +475,7 @@ describe("Core/PolygonPipeline", function () { positions, indices, undefined, - 2 * CesiumMath.RADIANS_PER_DEGREE + 2 * CesiumMath.RADIANS_PER_DEGREE, ); expect(subdivision.attributes.position.values[0]).toEqual(positions[0].x); @@ -564,7 +501,7 @@ describe("Core/PolygonPipeline", function () { positions, indices, undefined, - 0.5 * CesiumMath.RADIANS_PER_DEGREE + 0.5 * CesiumMath.RADIANS_PER_DEGREE, ); expect(subdivision.attributes.position.values.length).toEqual(36); // 12 vertices @@ -579,7 +516,7 @@ describe("Core/PolygonPipeline", function () { positions, indices, undefined, - 0.5 * CesiumMath.RADIANS_PER_DEGREE + 0.5 * CesiumMath.RADIANS_PER_DEGREE, ); expect(subdivision.attributes.position.values.length).toEqual(180); // 60 vertices @@ -592,7 +529,7 @@ describe("Core/PolygonPipeline", function () { new Cartesian3( 6377802.759444977, -58441.30561735455, - -29025.647900582237 + -29025.647900582237, ), new Cartesian3(6378137, 0, 0), new Cartesian3(6377802.759444977, 58441.30561735455, -29025.647900582237), @@ -610,56 +547,56 @@ describe("Core/PolygonPipeline", function () { Ellipsoid.WGS84, positions, indices, - texcoords + texcoords, ); expect(subdivision.attributes.position.values[0]).toEqual( - 6377802.759444977 + 6377802.759444977, ); expect(subdivision.attributes.position.values[1]).toEqual( - -58441.30561735455 + -58441.30561735455, ); expect(subdivision.attributes.position.values[2]).toEqual( - 29025.647900582237 + 29025.647900582237, ); expect(subdivision.attributes.position.values[3]).toEqual( - 6377802.759444977 + 6377802.759444977, ); expect(subdivision.attributes.position.values[4]).toEqual( - -58441.30561735455 + -58441.30561735455, ); expect(subdivision.attributes.position.values[5]).toEqual( - -29025.647900582237 + -29025.647900582237, ); expect(subdivision.attributes.position.values[6]).toEqual(6378137); expect(subdivision.attributes.position.values[7]).toEqual(0); expect(subdivision.attributes.position.values[8]).toEqual(0); expect(subdivision.attributes.position.values[9]).toEqual( - 6377802.759444977 + 6377802.759444977, ); expect(subdivision.attributes.position.values[10]).toEqual( - 58441.30561735455 + 58441.30561735455, ); expect(subdivision.attributes.position.values[11]).toEqual( - -29025.647900582237 + -29025.647900582237, ); expect(subdivision.attributes.position.values[12]).toEqual( - 6377802.759444977 + 6377802.759444977, ); expect(subdivision.attributes.position.values[13]).toEqual( - 58441.30561735455 + 58441.30561735455, ); expect(subdivision.attributes.position.values[14]).toEqual( - 29025.647900582237 + 29025.647900582237, ); expect(subdivision.attributes.position.values[15]).toEqual( - 6378070.509533917 + 6378070.509533917, ); expect(subdivision.attributes.position.values[16]).toEqual( - 1.1064188644323841e-11 + 1.1064188644323841e-11, ); expect(subdivision.attributes.position.values[17]).toEqual( - 29025.64790058224 + 29025.64790058224, ); expect(subdivision.indices[0]).toEqual(5); diff --git a/packages/engine/Specs/Core/PolylineGeometrySpec.js b/packages/engine/Specs/Core/PolylineGeometrySpec.js index 46f0bf04b4d1..4cbbaac0309f 100644 --- a/packages/engine/Specs/Core/PolylineGeometrySpec.js +++ b/packages/engine/Specs/Core/PolylineGeometrySpec.js @@ -48,7 +48,7 @@ describe("Core/PolylineGeometry", function () { vertexFormat: VertexFormat.ALL, granularity: Math.PI, ellipsoid: Ellipsoid.UNIT_SPHERE, - }) + }), ); expect(line).toBeUndefined(); @@ -67,7 +67,7 @@ describe("Core/PolylineGeometry", function () { vertexFormat: VertexFormat.ALL, granularity: Math.PI, ellipsoid: Ellipsoid.UNIT_SPHERE, - }) + }), ); expect(line.attributes.position).toBeDefined(); @@ -81,7 +81,7 @@ describe("Core/PolylineGeometry", function () { expect(line.attributes.prevPosition.values.length).toEqual(numVertices * 3); expect(line.attributes.nextPosition.values.length).toEqual(numVertices * 3); expect(line.attributes.expandAndWidth.values.length).toEqual( - numVertices * 2 + numVertices * 2, ); expect(line.attributes.st.values.length).toEqual(numVertices * 2); expect(line.indices.length).toEqual(positions.length * 6 - 6); @@ -97,7 +97,7 @@ describe("Core/PolylineGeometry", function () { granularity: Math.PI, ellipsoid: Ellipsoid.UNIT_SPHERE, arcType: ArcType.RHUMB, - }) + }), ); expect(line.attributes.position).toBeDefined(); @@ -111,7 +111,7 @@ describe("Core/PolylineGeometry", function () { expect(line.attributes.prevPosition.values.length).toEqual(numVertices * 3); expect(line.attributes.nextPosition.values.length).toEqual(numVertices * 3); expect(line.attributes.expandAndWidth.values.length).toEqual( - numVertices * 2 + numVertices * 2, ); expect(line.attributes.st.values.length).toEqual(numVertices * 2); expect(line.indices.length).toEqual(positions.length * 6 - 6); @@ -136,7 +136,7 @@ describe("Core/PolylineGeometry", function () { vertexFormat: VertexFormat.ALL, granularity: Math.PI, ellipsoid: Ellipsoid.UNIT_SPHERE, - }) + }), ); expect(line.attributes.color).toBeDefined(); @@ -165,7 +165,7 @@ describe("Core/PolylineGeometry", function () { vertexFormat: VertexFormat.ALL, granularity: Math.PI, ellipsoid: Ellipsoid.UNIT_SPHERE, - }) + }), ); expect(line.attributes.color).toBeDefined(); @@ -184,19 +184,14 @@ describe("Core/PolylineGeometry", function () { width: 10.0, vertexFormat: VertexFormat.POSITION_ONLY, arcType: ArcType.NONE, - }) + }), ); expect(geometry).not.toBeDefined(); }); it("createGeometry returns positions if their endpoints'longtitude and latitude are the same for rhumb line", function () { const positions = Cartesian3.fromDegreesArrayHeights([ - 30.0, - 30.0, - 10.0, - 30.0, - 30.0, - 5.0, + 30.0, 30.0, 10.0, 30.0, 30.0, 5.0, ]); const geometry = PolylineGeometry.createGeometry( new PolylineGeometry({ @@ -204,7 +199,7 @@ describe("Core/PolylineGeometry", function () { width: 10.0, vertexFormat: VertexFormat.POSITION_ONLY, arcType: ArcType.RHUMB, - }) + }), ); const attributePositions = geometry.attributes.position.values; @@ -215,8 +210,8 @@ describe("Core/PolylineGeometry", function () { Cartesian3.equalsEpsilon( geometryPosition, positions[0], - CesiumMath.EPSILON7 - ) + CesiumMath.EPSILON7, + ), ).toBe(true); Cartesian3.fromArray(attributePositions, 3, geometryPosition); @@ -224,8 +219,8 @@ describe("Core/PolylineGeometry", function () { Cartesian3.equalsEpsilon( geometryPosition, positions[0], - CesiumMath.EPSILON7 - ) + CesiumMath.EPSILON7, + ), ).toBe(true); Cartesian3.fromArray(attributePositions, 6, geometryPosition); @@ -233,8 +228,8 @@ describe("Core/PolylineGeometry", function () { Cartesian3.equalsEpsilon( geometryPosition, positions[1], - CesiumMath.EPSILON7 - ) + CesiumMath.EPSILON7, + ), ).toBe(true); Cartesian3.fromArray(attributePositions, 9, geometryPosition); @@ -242,8 +237,8 @@ describe("Core/PolylineGeometry", function () { Cartesian3.equalsEpsilon( geometryPosition, positions[1], - CesiumMath.EPSILON7 - ) + CesiumMath.EPSILON7, + ), ).toBe(true); }); @@ -273,7 +268,7 @@ describe("Core/PolylineGeometry", function () { width: 10.0, vertexFormat: VertexFormat.POSITION_ONLY, arcType: ArcType.NONE, - }) + }), ); const numVertices = expectedPositions.length * 4 - 4; @@ -285,7 +280,7 @@ describe("Core/PolylineGeometry", function () { function attributeArrayEqualsColorArray( attributeArray, colorArray, - colorsPerVertex + colorsPerVertex, ) { colorsPerVertex = defaultValue(colorsPerVertex, false); let i; @@ -366,7 +361,7 @@ describe("Core/PolylineGeometry", function () { width: 10.0, vertexFormat: VertexFormat.POSITION_ONLY, arcType: ArcType.NONE, - }) + }), ); const numVertices = expectedPositions.length * 4 - 4; @@ -374,8 +369,8 @@ describe("Core/PolylineGeometry", function () { expect( attributeArrayEqualsColorArray( line.attributes.color.values, - expectedColors - ) + expectedColors, + ), ).toBe(true); }); @@ -430,7 +425,7 @@ describe("Core/PolylineGeometry", function () { width: 10.0, vertexFormat: VertexFormat.DEFAULT, arcType: ArcType.NONE, - }) + }), ); const numVertices = expectedPositions.length * 4 - 4; @@ -439,8 +434,8 @@ describe("Core/PolylineGeometry", function () { attributeArrayEqualsColorArray( line.attributes.color.values, expectedColors, - true - ) + true, + ), ).toBe(true); }); @@ -479,7 +474,7 @@ describe("Core/PolylineGeometry", function () { width: 10.0, vertexFormat: VertexFormat.DEFAULT, arcType: ArcType.NONE, - }) + }), ); const numVertices = expectedPositions.length * 4 - 4; @@ -488,8 +483,8 @@ describe("Core/PolylineGeometry", function () { attributeArrayEqualsColorArray( line.attributes.color.values, expectedColors, - true - ) + true, + ), ).toBe(true); }); @@ -509,48 +504,14 @@ describe("Core/PolylineGeometry", function () { ellipsoid: new Ellipsoid(12, 13, 14), }); let packedInstance = [ - 3, - 1, - 2, - 3, - 4, - 5, - 6, - 7, - 8, - 9, - 3, - 1, - 0, - 0, - 1, - 0, - 1, - 0, - 1, - 0, - 0, - 1, - 1, - 12, - 13, - 14, - 1, - 0, - 0, - 0, - 0, - 0, - 10, - 1, - 0, - 11, + 3, 1, 2, 3, 4, 5, 6, 7, 8, 9, 3, 1, 0, 0, 1, 0, 1, 0, 1, 0, 0, 1, 1, 12, 13, + 14, 1, 0, 0, 0, 0, 0, 10, 1, 0, 11, ]; createPackableSpecs( PolylineGeometry, line, packedInstance, - "per vertex colors" + "per vertex colors", ); line = new PolylineGeometry({ @@ -563,30 +524,7 @@ describe("Core/PolylineGeometry", function () { ellipsoid: new Ellipsoid(12, 13, 14), }); packedInstance = [ - 3, - 1, - 2, - 3, - 4, - 5, - 6, - 7, - 8, - 9, - 0, - 12, - 13, - 14, - 1, - 0, - 0, - 0, - 0, - 0, - 10, - 0, - 0, - 11, + 3, 1, 2, 3, 4, 5, 6, 7, 8, 9, 0, 12, 13, 14, 1, 0, 0, 0, 0, 0, 10, 0, 0, 11, ]; createPackableSpecs(PolylineGeometry, line, packedInstance, "straight line"); @@ -600,30 +538,7 @@ describe("Core/PolylineGeometry", function () { ellipsoid: new Ellipsoid(12, 13, 14), }); packedInstance = [ - 3, - 1, - 2, - 3, - 4, - 5, - 6, - 7, - 8, - 9, - 0, - 12, - 13, - 14, - 1, - 0, - 0, - 0, - 0, - 0, - 10, - 0, - 1, - 11, + 3, 1, 2, 3, 4, 5, 6, 7, 8, 9, 0, 12, 13, 14, 1, 0, 0, 0, 0, 0, 10, 0, 1, 11, ]; createPackableSpecs(PolylineGeometry, line, packedInstance, "geodesic line"); @@ -637,30 +552,7 @@ describe("Core/PolylineGeometry", function () { ellipsoid: new Ellipsoid(12, 13, 14), }); packedInstance = [ - 3, - 1, - 2, - 3, - 4, - 5, - 6, - 7, - 8, - 9, - 0, - 12, - 13, - 14, - 1, - 0, - 0, - 0, - 0, - 0, - 10, - 0, - 2, - 11, + 3, 1, 2, 3, 4, 5, 6, 7, 8, 9, 0, 12, 13, 14, 1, 0, 0, 0, 0, 0, 10, 0, 2, 11, ]; createPackableSpecs(PolylineGeometry, line, packedInstance, "rhumb line"); }); diff --git a/packages/engine/Specs/Core/PolylinePipelineSpec.js b/packages/engine/Specs/Core/PolylinePipelineSpec.js index 2c8d62b014e1..25f3790aeaef 100644 --- a/packages/engine/Specs/Core/PolylinePipelineSpec.js +++ b/packages/engine/Specs/Core/PolylinePipelineSpec.js @@ -9,10 +9,7 @@ import { describe("Core/PolylinePipeline", function () { it("wrapLongitude", function () { const positions = Cartesian3.fromDegreesArray([ - -75.163789, - 39.952335, - -80.2264393, - 25.7889689, + -75.163789, 39.952335, -80.2264393, 25.7889689, ]); const segments = PolylinePipeline.wrapLongitude(positions); expect(segments.lengths.length).toEqual(1); @@ -64,7 +61,7 @@ describe("Core/PolylinePipeline", function () { expect(newPositions.length).toEqual(3); expect(Cartesian3.fromArray(newPositions, 0)).toEqualEpsilon( Cartesian3.fromDegrees(0, 0, 30), - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); }); @@ -85,13 +82,13 @@ describe("Core/PolylinePipeline", function () { const p3n = Cartesian3.fromArray(newPositions, 3); const p2n = Cartesian3.fromArray(newPositions, 6); expect(Cartesian3.equalsEpsilon(p1, p1n, CesiumMath.EPSILON4)).toEqual( - true + true, ); expect(Cartesian3.equalsEpsilon(p2, p2n, CesiumMath.EPSILON4)).toEqual( - true + true, ); expect(Cartesian3.equalsEpsilon(p3, p3n, CesiumMath.EPSILON4)).toEqual( - true + true, ); }); @@ -131,7 +128,7 @@ describe("Core/PolylinePipeline", function () { expect(newPositions.length).toEqual(3); expect(Cartesian3.fromArray(newPositions, 0)).toEqualEpsilon( Cartesian3.fromDegrees(0, 0, 30), - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); }); @@ -152,13 +149,13 @@ describe("Core/PolylinePipeline", function () { const p3n = Cartesian3.fromArray(newPositions, 3); const p2n = Cartesian3.fromArray(newPositions, 6); expect(Cartesian3.equalsEpsilon(p1, p1n, CesiumMath.EPSILON4)).toEqual( - true + true, ); expect(Cartesian3.equalsEpsilon(p2, p2n, CesiumMath.EPSILON4)).toEqual( - true + true, ); expect(Cartesian3.equalsEpsilon(p3, p3n, CesiumMath.EPSILON4)).toEqual( - true + true, ); }); diff --git a/packages/engine/Specs/Core/PolylineVolumeGeometrySpec.js b/packages/engine/Specs/Core/PolylineVolumeGeometrySpec.js index a78a3b3f02d3..4f3fdb5fd4e7 100644 --- a/packages/engine/Specs/Core/PolylineVolumeGeometrySpec.js +++ b/packages/engine/Specs/Core/PolylineVolumeGeometrySpec.js @@ -40,7 +40,7 @@ describe("Core/PolylineVolumeGeometry", function () { new PolylineVolumeGeometry({ polylinePositions: [new Cartesian3()], shapePositions: shape, - }) + }), ); expect(geometry).toBeUndefined(); }); @@ -54,7 +54,7 @@ describe("Core/PolylineVolumeGeometry", function () { Cartesian2.UNIT_X, Cartesian2.UNIT_X, ], - }) + }), ); expect(geometry).toBeUndefined(); }); @@ -64,14 +64,11 @@ describe("Core/PolylineVolumeGeometry", function () { new PolylineVolumeGeometry({ vertexFormat: VertexFormat.POSITION_ONLY, polylinePositions: Cartesian3.fromDegreesArray([ - 90.0, - -30.0, - 90.0, - -35.0, + 90.0, -30.0, 90.0, -35.0, ]), cornerType: CornerType.MITERED, shapePositions: shape, - }) + }), ); // 6 positions * 4 box positions * 2 to duplicate for normals + 4 positions * 2 ends @@ -85,14 +82,11 @@ describe("Core/PolylineVolumeGeometry", function () { new PolylineVolumeGeometry({ vertexFormat: VertexFormat.POSITION_ONLY, polylinePositions: Cartesian3.fromDegreesArray([ - 90.0, - -30.0, - 90.0, - -35.0, + 90.0, -30.0, 90.0, -35.0, ]), cornerType: CornerType.MITERED, shapePositions: shape.reverse(), - }) + }), ); expect(m.attributes.position.values.length).toEqual(56 * 3); @@ -104,14 +98,11 @@ describe("Core/PolylineVolumeGeometry", function () { new PolylineVolumeGeometry({ vertexFormat: VertexFormat.POSITION_NORMAL_AND_ST, polylinePositions: Cartesian3.fromDegreesArray([ - 90.0, - -30.0, - 90.0, - -35.0, + 90.0, -30.0, 90.0, -35.0, ]), cornerType: CornerType.MITERED, shapePositions: shape, - }) + }), ); const numVertices = 56; @@ -128,14 +119,11 @@ describe("Core/PolylineVolumeGeometry", function () { new PolylineVolumeGeometry({ vertexFormat: VertexFormat.ALL, polylinePositions: Cartesian3.fromDegreesArray([ - 90.0, - -30.0, - 90.0, - -35.0, + 90.0, -30.0, 90.0, -35.0, ]), cornerType: CornerType.MITERED, shapePositions: shape, - }) + }), ); const numVertices = 56; @@ -153,16 +141,11 @@ describe("Core/PolylineVolumeGeometry", function () { new PolylineVolumeGeometry({ vertexFormat: VertexFormat.POSITION_ONLY, polylinePositions: Cartesian3.fromDegreesArray([ - 90.0, - -30.0, - 90.0, - -31.0, - 91.0, - -31.0, + 90.0, -30.0, 90.0, -31.0, 91.0, -31.0, ]), cornerType: CornerType.MITERED, shapePositions: shape, - }) + }), ); // (3 duplicates * 2 ends + 2 duplicates * 2 middle points + 4 duplicates * 1 corner) * 4 box positions @@ -176,16 +159,11 @@ describe("Core/PolylineVolumeGeometry", function () { new PolylineVolumeGeometry({ vertexFormat: VertexFormat.POSITION_ONLY, polylinePositions: Cartesian3.fromDegreesArray([ - 90.0, - -30.0, - 90.0, - -31.0, - 89.0, - -31.0, + 90.0, -30.0, 90.0, -31.0, 89.0, -31.0, ]), cornerType: CornerType.MITERED, shapePositions: shape, - }) + }), ); expect(m.attributes.position.values.length).toEqual(56 * 3); @@ -197,18 +175,11 @@ describe("Core/PolylineVolumeGeometry", function () { new PolylineVolumeGeometry({ vertexFormat: VertexFormat.POSITION_ONLY, polylinePositions: Cartesian3.fromDegreesArray([ - 90.0, - -30.0, - 90.0, - -31.0, - 89.0, - -31.0, - 89.0, - -32.0, + 90.0, -30.0, 90.0, -31.0, 89.0, -31.0, 89.0, -32.0, ]), cornerType: CornerType.ROUNDED, shapePositions: shape, - }) + }), ); const corners = 36 * 4 * 4; // positions * 4 for shape * 4 for normal duplication @@ -223,18 +194,11 @@ describe("Core/PolylineVolumeGeometry", function () { new PolylineVolumeGeometry({ vertexFormat: VertexFormat.POSITION_ONLY, polylinePositions: Cartesian3.fromDegreesArray([ - 90.0, - -30.0, - 90.0, - -31.0, - 89.0, - -31.0, - 89.0, - -32.0, + 90.0, -30.0, 90.0, -31.0, 89.0, -31.0, 89.0, -32.0, ]), cornerType: CornerType.BEVELED, shapePositions: shape, - }) + }), ); const corners = 4 * 4; // 4 for shape * 4 for normal duplication @@ -249,25 +213,14 @@ describe("Core/PolylineVolumeGeometry", function () { new PolylineVolumeGeometry({ vertexFormat: VertexFormat.POSITION_ONLY, polylinePositions: Cartesian3.fromDegreesArrayHeights([ - 2.00571672577652, - 52.7781459942399, - 500, - 1.99188457974115, - 52.7764958852886, - 500, - 2.01325961458495, - 52.7674170680511, - 500, - 1.98708058340534, - 52.7733979856253, - 500, - 2.00634853946644, - 52.7650460748473, - 500, + 2.00571672577652, 52.7781459942399, 500, 1.99188457974115, + 52.7764958852886, 500, 2.01325961458495, 52.7674170680511, 500, + 1.98708058340534, 52.7733979856253, 500, 2.00634853946644, + 52.7650460748473, 500, ]), cornerType: CornerType.BEVELED, shapePositions: shape, - }) + }), ); // (8 positions * 3 duplications + 1 duplication * 6 corners) * 4 for shape @@ -281,17 +234,12 @@ describe("Core/PolylineVolumeGeometry", function () { new PolylineVolumeGeometry({ vertexFormat: VertexFormat.POSITION_ONLY, polylinePositions: Cartesian3.fromDegreesArray([ - -67.655, - 0.0, - -67.655, - 15.0, - -67.655, - 20.0, + -67.655, 0.0, -67.655, 15.0, -67.655, 20.0, ]), cornerType: CornerType.BEVELED, shapePositions: shape, granularity: Math.PI / 6.0, - }) + }), ); expect(m.attributes.position.values.length).toEqual(32 * 3); // 4 positions * 2 for duplication * 4 for shape @@ -317,34 +265,8 @@ describe("Core/PolylineVolumeGeometry", function () { granularity: 0.1, }); const packedInstance = [ - 3.0, - 1.0, - 0.0, - 0.0, - 0.0, - 1.0, - 0.0, - 0.0, - 0.0, - 1.0, - 3.0, - 0.0, - 0.0, - 1.0, - 0.0, - 0.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 2.0, - 0.1, + 3.0, 1.0, 0.0, 0.0, 0.0, 1.0, 0.0, 0.0, 0.0, 1.0, 3.0, 0.0, 0.0, 1.0, 0.0, + 0.0, 1.0, 1.0, 1.0, 1.0, 1.0, 0.0, 0.0, 0.0, 0.0, 0.0, 2.0, 0.1, ]; createPackableSpecs(PolylineVolumeGeometry, volume, packedInstance); }); diff --git a/packages/engine/Specs/Core/PolylineVolumeOutlineGeometrySpec.js b/packages/engine/Specs/Core/PolylineVolumeOutlineGeometrySpec.js index f887fc86a97f..e5b4fd46b27f 100644 --- a/packages/engine/Specs/Core/PolylineVolumeOutlineGeometrySpec.js +++ b/packages/engine/Specs/Core/PolylineVolumeOutlineGeometrySpec.js @@ -39,7 +39,7 @@ describe("Core/PolylineVolumeOutlineGeometry", function () { new PolylineVolumeOutlineGeometry({ polylinePositions: [new Cartesian3()], shapePositions: shape, - }) + }), ); expect(geometry).toBeUndefined(); }); @@ -53,7 +53,7 @@ describe("Core/PolylineVolumeOutlineGeometry", function () { Cartesian2.UNIT_X, Cartesian2.UNIT_X, ], - }) + }), ); expect(geometry).toBeUndefined(); }); @@ -62,14 +62,11 @@ describe("Core/PolylineVolumeOutlineGeometry", function () { const m = PolylineVolumeOutlineGeometry.createGeometry( new PolylineVolumeOutlineGeometry({ polylinePositions: Cartesian3.fromDegreesArray([ - 90.0, - -30.0, - 90.0, - -35.0, + 90.0, -30.0, 90.0, -35.0, ]), shapePositions: shape, cornerType: CornerType.MITERED, - }) + }), ); expect(m.attributes.position.values.length).toEqual(24 * 3); // 6 polyline positions * 4 box positions @@ -80,14 +77,11 @@ describe("Core/PolylineVolumeOutlineGeometry", function () { const m = PolylineVolumeOutlineGeometry.createGeometry( new PolylineVolumeOutlineGeometry({ polylinePositions: Cartesian3.fromDegreesArray([ - 90.0, - -30.0, - 90.0, - -35.0, + 90.0, -30.0, 90.0, -35.0, ]), shapePositions: shape.reverse(), cornerType: CornerType.MITERED, - }) + }), ); expect(m.attributes.position.values.length).toEqual(24 * 3); @@ -98,16 +92,11 @@ describe("Core/PolylineVolumeOutlineGeometry", function () { const m = PolylineVolumeOutlineGeometry.createGeometry( new PolylineVolumeOutlineGeometry({ polylinePositions: Cartesian3.fromDegreesArray([ - 90.0, - -30.0, - 90.0, - -31.0, - 91.0, - -31.0, + 90.0, -30.0, 90.0, -31.0, 91.0, -31.0, ]), cornerType: CornerType.MITERED, shapePositions: shape, - }) + }), ); expect(m.attributes.position.values.length).toEqual(20 * 3); // (2 ends + 3 corner positions) * 4 box positions @@ -118,16 +107,11 @@ describe("Core/PolylineVolumeOutlineGeometry", function () { const m = PolylineVolumeOutlineGeometry.createGeometry( new PolylineVolumeOutlineGeometry({ polylinePositions: Cartesian3.fromDegreesArray([ - 90.0, - -30.0, - 90.0, - -31.0, - 89.0, - -31.0, + 90.0, -30.0, 90.0, -31.0, 89.0, -31.0, ]), cornerType: CornerType.MITERED, shapePositions: shape, - }) + }), ); expect(m.attributes.position.values.length).toEqual(20 * 3); @@ -138,18 +122,11 @@ describe("Core/PolylineVolumeOutlineGeometry", function () { const m = PolylineVolumeOutlineGeometry.createGeometry( new PolylineVolumeOutlineGeometry({ polylinePositions: Cartesian3.fromDegreesArray([ - 90.0, - -30.0, - 90.0, - -31.0, - 89.0, - -31.0, - 89.0, - -32.0, + 90.0, -30.0, 90.0, -31.0, 89.0, -31.0, 89.0, -32.0, ]), cornerType: CornerType.ROUNDED, shapePositions: shape, - }) + }), ); const corners = 36 * 4; @@ -163,18 +140,11 @@ describe("Core/PolylineVolumeOutlineGeometry", function () { const m = PolylineVolumeOutlineGeometry.createGeometry( new PolylineVolumeOutlineGeometry({ polylinePositions: Cartesian3.fromDegreesArray([ - 90.0, - -30.0, - 90.0, - -31.0, - 89.0, - -31.0, - 89.0, - -32.0, + 90.0, -30.0, 90.0, -31.0, 89.0, -31.0, 89.0, -32.0, ]), cornerType: CornerType.BEVELED, shapePositions: shape, - }) + }), ); expect(m.attributes.position.values.length).toEqual(40 * 3); // 10 positions * 4 for shape @@ -199,28 +169,8 @@ describe("Core/PolylineVolumeOutlineGeometry", function () { granularity: 0.1, }); const packedInstance = [ - 3.0, - 1.0, - 0.0, - 0.0, - 0.0, - 1.0, - 0.0, - 0.0, - 0.0, - 1.0, - 3.0, - 0.0, - 0.0, - 1.0, - 0.0, - 0.0, - 1.0, - 1.0, - 1.0, - 1.0, - 2.0, - 0.1, + 3.0, 1.0, 0.0, 0.0, 0.0, 1.0, 0.0, 0.0, 0.0, 1.0, 3.0, 0.0, 0.0, 1.0, 0.0, + 0.0, 1.0, 1.0, 1.0, 1.0, 2.0, 0.1, ]; createPackableSpecs(PolylineVolumeOutlineGeometry, volume, packedInstance); }); diff --git a/packages/engine/Specs/Core/QuadraticRealPolynomialSpec.js b/packages/engine/Specs/Core/QuadraticRealPolynomialSpec.js index 283e769fab98..bcddbf851244 100644 --- a/packages/engine/Specs/Core/QuadraticRealPolynomialSpec.js +++ b/packages/engine/Specs/Core/QuadraticRealPolynomialSpec.js @@ -23,7 +23,7 @@ describe("Core/QuadraticRealPolynomial", function () { const discriminant = QuadraticRealPolynomial.computeDiscriminant( 1.0, 2.0, - 3.0 + 3.0, ); expect(discriminant).toEqual(-8.0); }); @@ -64,7 +64,7 @@ describe("Core/QuadraticRealPolynomial", function () { const roots = QuadraticRealPolynomial.computeRealRoots( 2.0, -3.999999999999999, - 2 + 2, ); expect(roots.length).toEqual(2); expect(roots[0]).toEqualEpsilon(1.0, CesiumMath.EPSILON15); diff --git a/packages/engine/Specs/Core/QuantizedMeshTerrainDataSpec.js b/packages/engine/Specs/Core/QuantizedMeshTerrainDataSpec.js index 11097df0680f..0706bc4688dd 100644 --- a/packages/engine/Specs/Core/QuantizedMeshTerrainDataSpec.js +++ b/packages/engine/Specs/Core/QuantizedMeshTerrainDataSpec.js @@ -46,13 +46,13 @@ describe("Core/QuantizedMeshTerrainData", function () { interceptCoordinate1, interceptCoordinate2, otherCoordinate1, - otherCoordinate2 + otherCoordinate2, ) { return CesiumMath.lerp( otherCoordinate1, otherCoordinate2, (0.5 - interceptCoordinate1) / - (interceptCoordinate2 - interceptCoordinate1) + (interceptCoordinate2 - interceptCoordinate1), ); } @@ -103,7 +103,7 @@ describe("Core/QuantizedMeshTerrainData", function () { const tilingScheme = new GeographicTilingScheme(); return Promise.resolve( - data.createMesh({ tilingScheme: tilingScheme, x: 0, y: 0, level: 0 }) + data.createMesh({ tilingScheme: tilingScheme, x: 0, y: 0, level: 0 }), ) .then(function () { const swPromise = data.upsample(tilingScheme, 0, 0, 0, 0, 0, 1); @@ -199,7 +199,7 @@ describe("Core/QuantizedMeshTerrainData", function () { const tilingScheme = new GeographicTilingScheme(); return Promise.resolve( - data.createMesh({ tilingScheme: tilingScheme, x: 0, y: 0, level: 0 }) + data.createMesh({ tilingScheme: tilingScheme, x: 0, y: 0, level: 0 }), ) .then(function () { const swPromise = data.upsample(tilingScheme, 0, 0, 0, 0, 0, 1); @@ -268,7 +268,7 @@ describe("Core/QuantizedMeshTerrainData", function () { const tilingScheme = new GeographicTilingScheme(); return Promise.resolve( - data.createMesh({ tilingScheme: tilingScheme, x: 0, y: 0, level: 0 }) + data.createMesh({ tilingScheme: tilingScheme, x: 0, y: 0, level: 0 }), ) .then(function () { return data.upsample(tilingScheme, 0, 0, 0, 0, 0, 1); @@ -297,7 +297,7 @@ describe("Core/QuantizedMeshTerrainData", function () { uBuffer, vBuffer, horizontalIntercept(0.0, 0.0, 0.125, 0.75) * 2.0, - 0.0 + 0.0, ); expect(v40).not.toBe(-1); const v42 = findVertexWithCoordinates( @@ -307,23 +307,23 @@ describe("Core/QuantizedMeshTerrainData", function () { 0.5, verticalIntercept(1.0, 0.0, 0.125, 0.75), 0.125, - 0.75 + 0.75, ) * 2.0, - 0.0 + 0.0, ); expect(v42).not.toBe(-1); const v402 = findVertexWithCoordinates( uBuffer, vBuffer, horizontalIntercept(0.5, 0.0, 0.125, 0.75) * 2.0, - 0.0 + 0.0, ); expect(v402).not.toBe(-1); const v43 = findVertexWithCoordinates( uBuffer, vBuffer, 1.0, - verticalIntercept(1.0, 1.0, 0.125, 0.75) * 2.0 - 1.0 + verticalIntercept(1.0, 1.0, 0.125, 0.75) * 2.0 - 1.0, ); expect(v43).not.toBe(-1); @@ -379,7 +379,7 @@ describe("Core/QuantizedMeshTerrainData", function () { const tilingScheme = new GeographicTilingScheme(); return Promise.resolve( - data.createMesh({ tilingScheme: tilingScheme, x: 0, y: 0, level: 0 }) + data.createMesh({ tilingScheme: tilingScheme, x: 0, y: 0, level: 0 }), ) .then(function () { const nwPromise = data.upsample(tilingScheme, 0, 0, 0, 0, 0, 1); @@ -421,7 +421,7 @@ describe("Core/QuantizedMeshTerrainData", function () { uBuffer, vBuffer, horizontalIntercept(0.0, 0.0, 0.5, 0.75) * 2.0, - 0.0 + 0.0, ); expect(v40).not.toBe(-1); expect(upsampleResults[0]._westIndices.length).toBe(2); @@ -438,7 +438,7 @@ describe("Core/QuantizedMeshTerrainData", function () { uBuffer, vBuffer, horizontalIntercept(1.0, 0.0, 0.5, 0.75) * 0.5, - 0.0 + 0.0, ); expect(v42).not.toBe(-1); expect(upsampleResults[1]._westIndices.length).toBe(3); @@ -566,7 +566,7 @@ describe("Core/QuantizedMeshTerrainData", function () { expect(mesh.minimumHeight).toBe(data._minimumHeight); expect(mesh.maximumHeight).toBe(data._maximumHeight); expect(mesh.boundingSphere3D.radius).toBe( - data._boundingSphere.radius + data._boundingSphere.radius, ); expect(mesh.encoding.exaggeration).toBe(2.0); }); @@ -700,7 +700,7 @@ describe("Core/QuantizedMeshTerrainData", function () { }); expect(mesh.interpolateHeight(rectangle, 0.0, 0.0)).toBe( - mesh.interpolateHeight(rectangle, rectangle.east, rectangle.south) + mesh.interpolateHeight(rectangle, rectangle.east, rectangle.south), ); }); @@ -713,20 +713,11 @@ describe("Core/QuantizedMeshTerrainData", function () { quantizedVertices: new Uint16Array([ // order is sw nw se ne // u - 0, - 0, - 32767, - 32767, + 0, 0, 32767, 32767, // v - 0, - 32767, - 0, - 32767, + 0, 32767, 0, 32767, // heights - 16384, - 0, - 32767, - 16384, + 16384, 0, 32767, 16384, ]), indices: new Uint16Array([0, 3, 1, 0, 2, 3]), boundingSphere: new BoundingSphere(), @@ -776,20 +767,11 @@ describe("Core/QuantizedMeshTerrainData", function () { quantizedVertices: new Uint16Array([ // order is sw nw se ne // u - 0, - 0, - 32767, - 32767, + 0, 0, 32767, 32767, // v - 0, - 32767, - 0, - 32767, + 0, 32767, 0, 32767, // heights - 16384, - 0, - 32767, - 16384, + 16384, 0, 32767, 16384, ]), indices: new Uint16Array([0, 3, 1, 0, 2, 3]), boundingSphere: new BoundingSphere(), @@ -837,20 +819,11 @@ describe("Core/QuantizedMeshTerrainData", function () { quantizedVertices: new Uint16Array([ // order is sw nw se ne // u - 0, - 0, - 32767, - 32767, + 0, 0, 32767, 32767, // v - 0, - 32767, - 0, - 32767, + 0, 32767, 0, 32767, // heights - 16384, - 0, - 32767, - 16384, + 16384, 0, 32767, 16384, ]), indices: new Uint16Array([0, 3, 1, 0, 2, 3]), boundingSphere: new BoundingSphere(), @@ -878,20 +851,11 @@ describe("Core/QuantizedMeshTerrainData", function () { quantizedVertices: new Uint16Array([ // order is sw nw se ne // u - 0, - 0, - 32767, - 32767, + 0, 0, 32767, 32767, // v - 0, - 32767, - 0, - 32767, + 0, 32767, 0, 32767, // heights - 16384, - 0, - 32767, - 16384, + 16384, 0, 32767, 16384, ]), indices: new Uint16Array([0, 3, 1, 0, 2, 3]), boundingSphere: new BoundingSphere(), @@ -920,20 +884,11 @@ describe("Core/QuantizedMeshTerrainData", function () { quantizedVertices: new Uint16Array([ // order is sw nw se ne // u - 0, - 0, - 32767, - 32767, + 0, 0, 32767, 32767, // v - 0, - 32767, - 0, - 32767, + 0, 32767, 0, 32767, // heights - 16384, - 0, - 32767, - 16384, + 16384, 0, 32767, 16384, ]), indices: new Uint16Array([0, 3, 1, 0, 2, 3]), boundingSphere: new BoundingSphere(), @@ -962,20 +917,11 @@ describe("Core/QuantizedMeshTerrainData", function () { quantizedVertices: new Uint16Array([ // order is sw nw se ne // u - 0, - 0, - 32767, - 32767, + 0, 0, 32767, 32767, // v - 0, - 32767, - 0, - 32767, + 0, 32767, 0, 32767, // heights - 16384, - 0, - 32767, - 16384, + 16384, 0, 32767, 16384, ]), indices: new Uint16Array([0, 3, 1, 0, 2, 3]), boundingSphere: new BoundingSphere(), @@ -1004,20 +950,11 @@ describe("Core/QuantizedMeshTerrainData", function () { quantizedVertices: new Uint16Array([ // order is sw nw se ne // u - 0, - 0, - 32767, - 32767, + 0, 0, 32767, 32767, // v - 0, - 32767, - 0, - 32767, + 0, 32767, 0, 32767, // heights - 16384, - 0, - 32767, - 16384, + 16384, 0, 32767, 16384, ]), indices: new Uint16Array([0, 3, 1, 0, 2, 3]), boundingSphere: new BoundingSphere(), diff --git a/packages/engine/Specs/Core/QuarticRealPolynomialSpec.js b/packages/engine/Specs/Core/QuarticRealPolynomialSpec.js index ff3259814cfd..30c1594c6c2f 100644 --- a/packages/engine/Specs/Core/QuarticRealPolynomialSpec.js +++ b/packages/engine/Specs/Core/QuarticRealPolynomialSpec.js @@ -108,7 +108,7 @@ describe("Core/QuarticRealPolynomial", function () { -16.0, 48.0, -64.0, - 32.0 + 32.0, ); expect(roots.length).toEqual(4); expect(roots[0]).toEqualEpsilon(2.0, CesiumMath.EPSILON15); @@ -123,7 +123,7 @@ describe("Core/QuarticRealPolynomial", function () { 0.0, -4.0, 0.0, - 2.0 + 2.0, ); expect(roots.length).toEqual(4); expect(roots[0]).toEqualEpsilon(-1.0, CesiumMath.EPSILON15); @@ -138,7 +138,7 @@ describe("Core/QuarticRealPolynomial", function () { -8.0, 16.0, -16.0, - 6.0 + 6.0, ); expect(roots.length).toEqual(2); expect(roots[0]).toEqualEpsilon(1.0, CesiumMath.EPSILON14); @@ -151,7 +151,7 @@ describe("Core/QuarticRealPolynomial", function () { 8.0, -6.0, -20.0, - 16.0 + 16.0, ); expect(roots.length).toEqual(4); expect(roots[0]).toEqualEpsilon(-4.0, CesiumMath.EPSILON15); @@ -166,7 +166,7 @@ describe("Core/QuarticRealPolynomial", function () { 4.0, -26.0, -28.0, - 48.0 + 48.0, ); expect(roots.length).toEqual(4); expect(roots[0]).toEqualEpsilon(-4.0, CesiumMath.EPSILON15); @@ -181,7 +181,7 @@ describe("Core/QuarticRealPolynomial", function () { -8.0, 14.0, -8.0, - 3.0 + 3.0, ); expect(roots.length).toEqual(0); }); @@ -192,7 +192,7 @@ describe("Core/QuarticRealPolynomial", function () { 2.0, 6.0, -26.0, - -30.0 + -30.0, ); expect(roots.length).toEqual(3); expect(roots[0]).toEqualEpsilon(-5.0, CesiumMath.EPSILON15); diff --git a/packages/engine/Specs/Core/QuaternionSpec.js b/packages/engine/Specs/Core/QuaternionSpec.js index b5186d4e1eeb..a9b103647518 100644 --- a/packages/engine/Specs/Core/QuaternionSpec.js +++ b/packages/engine/Specs/Core/QuaternionSpec.js @@ -52,36 +52,36 @@ describe("Core/Quaternion", function () { it("fromRotationMatrix works when m22 is max", function () { const q = Quaternion.fromAxisAngle( Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()), - Math.PI + Math.PI, ); const rotation = new Matrix3(-1.0, 0.0, 0.0, 0.0, -1.0, 0.0, 0.0, 0.0, 1.0); expect(Quaternion.fromRotationMatrix(rotation)).toEqualEpsilon( q, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); it("fromRotationMatrix works when m11 is max", function () { const q = Quaternion.fromAxisAngle( Cartesian3.negate(Cartesian3.UNIT_Y, new Cartesian3()), - Math.PI + Math.PI, ); const rotation = new Matrix3(-1.0, 0.0, 0.0, 0.0, 1.0, 0.0, 0.0, 0.0, -1.0); expect(Quaternion.fromRotationMatrix(rotation)).toEqualEpsilon( q, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); it("fromRotationMatrix works when m00 is max", function () { const q = Quaternion.fromAxisAngle( Cartesian3.negate(Cartesian3.UNIT_X, new Cartesian3()), - Math.PI + Math.PI, ); const rotation = new Matrix3(1.0, 0.0, 0.0, 0.0, -1.0, 0.0, 0.0, 0.0, -1.0); expect(Quaternion.fromRotationMatrix(rotation)).toEqualEpsilon( q, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -90,7 +90,7 @@ describe("Core/Quaternion", function () { const q = new Quaternion(0.0, 0.0, 0.0, 1.0); expect(Quaternion.fromRotationMatrix(rotation)).toEqualEpsilon( q, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -107,17 +107,17 @@ describe("Core/Quaternion", function () { const direction = new Cartesian3( -0.2349326833984488, 0.8513513009480378, - 0.46904967396353314 + 0.46904967396353314, ); const up = new Cartesian3( 0.12477198625717335, -0.4521499177166376, - 0.8831717858696695 + 0.8831717858696695, ); const right = new Cartesian3( 0.9639702203483635, 0.26601017702986895, - 6.456422901079747e-10 + 6.456422901079747e-10, ); const matrix = new Matrix3( right.x, @@ -128,12 +128,12 @@ describe("Core/Quaternion", function () { up.z, -direction.x, -direction.y, - -direction.z + -direction.z, ); const quaternion = Quaternion.fromRotationMatrix(matrix); expect(Matrix3.fromQuaternion(quaternion)).toEqualEpsilon( matrix, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); @@ -143,7 +143,7 @@ describe("Core/Quaternion", function () { const quaternion = Quaternion.fromHeadingPitchRoll(hpr); expect(Matrix3.fromQuaternion(quaternion)).toEqualEpsilon( Matrix3.fromRotationZ(-angle), - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); }); @@ -153,7 +153,7 @@ describe("Core/Quaternion", function () { const quaternion = Quaternion.fromHeadingPitchRoll(hpr); expect(Matrix3.fromQuaternion(quaternion)).toEqualEpsilon( Matrix3.fromRotationY(-angle), - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); }); @@ -163,7 +163,7 @@ describe("Core/Quaternion", function () { const quaternion = Quaternion.fromHeadingPitchRoll(hpr); expect(Matrix3.fromQuaternion(quaternion)).toEqualEpsilon( Matrix3.fromRotationX(angle), - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); }); @@ -176,7 +176,7 @@ describe("Core/Quaternion", function () { Matrix3.multiply(Matrix3.fromRotationZ(-angle), expected, expected); expect(Matrix3.fromQuaternion(quaternion)).toEqualEpsilon( expected, - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); }); @@ -191,7 +191,7 @@ describe("Core/Quaternion", function () { Matrix3.multiply(Matrix3.fromRotationZ(-heading), expected, expected); expect(Matrix3.fromQuaternion(quaternion)).toEqualEpsilon( expected, - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); }); @@ -201,7 +201,7 @@ describe("Core/Quaternion", function () { const result = new Quaternion(); const quaternion = Quaternion.fromHeadingPitchRoll(hpr, result); const expected = Quaternion.fromRotationMatrix( - Matrix3.fromRotationX(angle) + Matrix3.fromRotationX(angle), ); expect(quaternion).toBe(result); expect(quaternion).toEqualEpsilon(expected, CesiumMath.EPSILON11); @@ -284,7 +284,7 @@ describe("Core/Quaternion", function () { -2.0 / magnitudeSquared, -3.0 / magnitudeSquared, -4.0 / magnitudeSquared, - 5.0 / magnitudeSquared + 5.0 / magnitudeSquared, ); const result = new Quaternion(); const returnedResult = Quaternion.inverse(quaternion, result); @@ -299,7 +299,7 @@ describe("Core/Quaternion", function () { -2.0 / magnitudeSquared, -3.0 / magnitudeSquared, -4.0 / magnitudeSquared, - 5.0 / magnitudeSquared + 5.0 / magnitudeSquared, ); const returnedResult = Quaternion.inverse(quaternion, quaternion); expect(returnedResult).toEqual(expected); @@ -381,7 +381,7 @@ describe("Core/Quaternion", function () { const returnedResult = Quaternion.multiplyByScalar( quaternion, scalar, - result + result, ); expect(result).toBe(returnedResult); expect(result).toEqual(expectedResult); @@ -394,7 +394,7 @@ describe("Core/Quaternion", function () { const returnedResult = Quaternion.multiplyByScalar( quaternion, scalar, - quaternion + quaternion, ); expect(quaternion).toBe(returnedResult); expect(quaternion).toEqual(expectedResult); @@ -408,7 +408,7 @@ describe("Core/Quaternion", function () { const returnedResult = Quaternion.divideByScalar( quaternion, scalar, - result + result, ); expect(result).toBe(returnedResult); expect(result).toEqual(expectedResult); @@ -421,7 +421,7 @@ describe("Core/Quaternion", function () { const returnedResult = Quaternion.divideByScalar( quaternion, scalar, - quaternion + quaternion, ); expect(quaternion).toBe(returnedResult); expect(quaternion).toEqual(expectedResult); @@ -434,13 +434,13 @@ describe("Core/Quaternion", function () { const sin = Math.sin(angle / 2.0); const expected = Cartesian3.normalize( new Cartesian3(2.0, 3.0, 6.0), - new Cartesian3() + new Cartesian3(), ); const quaternion = new Quaternion( sin * expected.x, sin * expected.y, sin * expected.z, - cos + cos, ); const result = new Cartesian3(); const returnedResult = Quaternion.computeAxis(quaternion, result); @@ -473,13 +473,13 @@ describe("Core/Quaternion", function () { const sin = Math.sin(angle / 2.0); const axis = Cartesian3.normalize( new Cartesian3(2.0, 3.0, 6.0), - new Cartesian3() + new Cartesian3(), ); const quaternion = new Quaternion( sin * axis.x, sin * axis.y, sin * axis.z, - cos + cos, ); const result = Quaternion.computeAngle(quaternion); expect(result).toEqualEpsilon(angle, CesiumMath.EPSILON15); @@ -544,19 +544,19 @@ describe("Core/Quaternion", function () { it("slerp works", function () { const start = Quaternion.normalize( new Quaternion(0.0, 0.0, 0.0, 1.0), - new Quaternion() + new Quaternion(), ); const end = new Quaternion( 0.0, 0.0, Math.sin(CesiumMath.PI_OVER_FOUR), - Math.cos(CesiumMath.PI_OVER_FOUR) + Math.cos(CesiumMath.PI_OVER_FOUR), ); const expected = new Quaternion( 0.0, 0.0, Math.sin(Math.PI / 8.0), - Math.cos(Math.PI / 8.0) + Math.cos(Math.PI / 8.0), ); const result = new Quaternion(); @@ -568,19 +568,19 @@ describe("Core/Quaternion", function () { it("slerp works with a result parameter that is an input parameter", function () { const start = Quaternion.normalize( new Quaternion(0.0, 0.0, 0.0, 1.0), - new Quaternion() + new Quaternion(), ); const end = new Quaternion( 0.0, 0.0, Math.sin(CesiumMath.PI_OVER_FOUR), - Math.cos(CesiumMath.PI_OVER_FOUR) + Math.cos(CesiumMath.PI_OVER_FOUR), ); const expected = new Quaternion( 0.0, 0.0, Math.sin(Math.PI / 8.0), - Math.cos(Math.PI / 8.0) + Math.cos(Math.PI / 8.0), ); const returnedResult = Quaternion.slerp(start, end, 0.5, start); @@ -591,23 +591,23 @@ describe("Core/Quaternion", function () { it("slerp works with obtuse angles", function () { const start = Quaternion.normalize( new Quaternion(0.0, 0.0, 0.0, -1.0), - new Quaternion() + new Quaternion(), ); const end = new Quaternion( 0.0, 0.0, Math.sin(CesiumMath.PI_OVER_FOUR), - Math.cos(CesiumMath.PI_OVER_FOUR) + Math.cos(CesiumMath.PI_OVER_FOUR), ); const expected = new Quaternion( 0.0, 0.0, -Math.sin(Math.PI / 8.0), - -Math.cos(Math.PI / 8.0) + -Math.cos(Math.PI / 8.0), ); expect(Quaternion.slerp(start, end, 0.5, new Quaternion())).toEqualEpsilon( expected, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -634,7 +634,7 @@ describe("Core/Quaternion", function () { it("log works", function () { const axis = Cartesian3.normalize( new Cartesian3(1.0, -1.0, 1.0), - new Cartesian3() + new Cartesian3(), ); const angle = CesiumMath.PI_OVER_FOUR; const quat = Quaternion.fromAxisAngle(axis, angle); @@ -644,7 +644,7 @@ describe("Core/Quaternion", function () { const expected = Cartesian3.multiplyByScalar( axis, angle * 0.5, - new Cartesian3() + new Cartesian3(), ); expect(log).toBe(result); expect(log).toEqualEpsilon(expected, CesiumMath.EPSILON15); @@ -653,13 +653,13 @@ describe("Core/Quaternion", function () { it("exp works", function () { const axis = Cartesian3.normalize( new Cartesian3(1.0, -1.0, 1.0), - new Cartesian3() + new Cartesian3(), ); const angle = CesiumMath.PI_OVER_FOUR; const cartesian = Cartesian3.multiplyByScalar( axis, angle * 0.5, - new Cartesian3() + new Cartesian3(), ); const result = new Quaternion(); @@ -673,15 +673,15 @@ describe("Core/Quaternion", function () { const q0 = Quaternion.fromAxisAngle(Cartesian3.UNIT_X, 0.0); const q1 = Quaternion.fromAxisAngle( Cartesian3.UNIT_X, - CesiumMath.PI_OVER_FOUR + CesiumMath.PI_OVER_FOUR, ); const q2 = Quaternion.fromAxisAngle( Cartesian3.UNIT_Z, - CesiumMath.PI_OVER_FOUR + CesiumMath.PI_OVER_FOUR, ); const q3 = Quaternion.fromAxisAngle( Cartesian3.UNIT_X, - -CesiumMath.PI_OVER_FOUR + -CesiumMath.PI_OVER_FOUR, ); const s1Result = new Quaternion(); @@ -699,19 +699,19 @@ describe("Core/Quaternion", function () { it("fastSlerp works", function () { const start = Quaternion.normalize( new Quaternion(0.0, 0.0, 0.0, 1.0), - new Quaternion() + new Quaternion(), ); const end = new Quaternion( 0.0, 0.0, Math.sin(CesiumMath.PI_OVER_FOUR), - Math.cos(CesiumMath.PI_OVER_FOUR) + Math.cos(CesiumMath.PI_OVER_FOUR), ); const expected = new Quaternion( 0.0, 0.0, Math.sin(Math.PI / 8.0), - Math.cos(Math.PI / 8.0) + Math.cos(Math.PI / 8.0), ); const result = new Quaternion(); @@ -723,19 +723,19 @@ describe("Core/Quaternion", function () { it("fastSlerp works with a result parameter that is an input parameter", function () { const start = Quaternion.normalize( new Quaternion(0.0, 0.0, 0.0, 1.0), - new Quaternion() + new Quaternion(), ); const end = new Quaternion( 0.0, 0.0, Math.sin(CesiumMath.PI_OVER_FOUR), - Math.cos(CesiumMath.PI_OVER_FOUR) + Math.cos(CesiumMath.PI_OVER_FOUR), ); const expected = new Quaternion( 0.0, 0.0, Math.sin(Math.PI / 8.0), - Math.cos(Math.PI / 8.0) + Math.cos(Math.PI / 8.0), ); const returnedResult = Quaternion.fastSlerp(start, end, 0.5, start); @@ -746,35 +746,35 @@ describe("Core/Quaternion", function () { it("fastSlerp works with obtuse angles", function () { const start = Quaternion.normalize( new Quaternion(0.0, 0.0, 0.0, -1.0), - new Quaternion() + new Quaternion(), ); const end = new Quaternion( 0.0, 0.0, Math.sin(CesiumMath.PI_OVER_FOUR), - Math.cos(CesiumMath.PI_OVER_FOUR) + Math.cos(CesiumMath.PI_OVER_FOUR), ); const expected = new Quaternion( 0.0, 0.0, -Math.sin(Math.PI / 8.0), - -Math.cos(Math.PI / 8.0) + -Math.cos(Math.PI / 8.0), ); expect( - Quaternion.fastSlerp(start, end, 0.5, new Quaternion()) + Quaternion.fastSlerp(start, end, 0.5, new Quaternion()), ).toEqualEpsilon(expected, CesiumMath.EPSILON6); }); it("fastSlerp vs slerp", function () { const start = Quaternion.normalize( new Quaternion(0.0, 0.0, 0.0, 1.0), - new Quaternion() + new Quaternion(), ); const end = new Quaternion( 0.0, 0.0, Math.sin(CesiumMath.PI_OVER_FOUR), - Math.cos(CesiumMath.PI_OVER_FOUR) + Math.cos(CesiumMath.PI_OVER_FOUR), ); let expected = Quaternion.slerp(start, end, 0.25, new Quaternion()); @@ -794,15 +794,15 @@ describe("Core/Quaternion", function () { const q0 = Quaternion.fromAxisAngle(Cartesian3.UNIT_X, 0.0); const q1 = Quaternion.fromAxisAngle( Cartesian3.UNIT_X, - CesiumMath.PI_OVER_FOUR + CesiumMath.PI_OVER_FOUR, ); const q2 = Quaternion.fromAxisAngle( Cartesian3.UNIT_Z, - CesiumMath.PI_OVER_FOUR + CesiumMath.PI_OVER_FOUR, ); const q3 = Quaternion.fromAxisAngle( Cartesian3.UNIT_X, - -CesiumMath.PI_OVER_FOUR + -CesiumMath.PI_OVER_FOUR, ); const s1 = Quaternion.computeInnerQuadrangle(q0, q1, q2, new Quaternion()); @@ -818,15 +818,15 @@ describe("Core/Quaternion", function () { const q0 = Quaternion.fromAxisAngle(Cartesian3.UNIT_X, 0.0); const q1 = Quaternion.fromAxisAngle( Cartesian3.UNIT_X, - CesiumMath.PI_OVER_FOUR + CesiumMath.PI_OVER_FOUR, ); const q2 = Quaternion.fromAxisAngle( Cartesian3.UNIT_Z, - CesiumMath.PI_OVER_FOUR + CesiumMath.PI_OVER_FOUR, ); const q3 = Quaternion.fromAxisAngle( Cartesian3.UNIT_X, - -CesiumMath.PI_OVER_FOUR + -CesiumMath.PI_OVER_FOUR, ); const s1 = Quaternion.computeInnerQuadrangle(q0, q1, q2, new Quaternion()); @@ -848,19 +848,19 @@ describe("Core/Quaternion", function () { it("equals", function () { const quaternion = new Quaternion(1.0, 2.0, 3.0, 4.0); expect( - Quaternion.equals(quaternion, new Quaternion(1.0, 2.0, 3.0, 4.0)) + Quaternion.equals(quaternion, new Quaternion(1.0, 2.0, 3.0, 4.0)), ).toEqual(true); expect( - Quaternion.equals(quaternion, new Quaternion(2.0, 2.0, 3.0, 4.0)) + Quaternion.equals(quaternion, new Quaternion(2.0, 2.0, 3.0, 4.0)), ).toEqual(false); expect( - Quaternion.equals(quaternion, new Quaternion(2.0, 1.0, 3.0, 4.0)) + Quaternion.equals(quaternion, new Quaternion(2.0, 1.0, 3.0, 4.0)), ).toEqual(false); expect( - Quaternion.equals(quaternion, new Quaternion(1.0, 2.0, 4.0, 4.0)) + Quaternion.equals(quaternion, new Quaternion(1.0, 2.0, 4.0, 4.0)), ).toEqual(false); expect( - Quaternion.equals(quaternion, new Quaternion(1.0, 2.0, 3.0, 5.0)) + Quaternion.equals(quaternion, new Quaternion(1.0, 2.0, 3.0, 5.0)), ).toEqual(false); expect(Quaternion.equals(quaternion, undefined)).toEqual(false); }); @@ -871,71 +871,71 @@ describe("Core/Quaternion", function () { Quaternion.equalsEpsilon( quaternion, new Quaternion(1.0, 2.0, 3.0, 4.0), - 0.0 - ) + 0.0, + ), ).toEqual(true); expect( Quaternion.equalsEpsilon( quaternion, new Quaternion(1.0, 2.0, 3.0, 4.0), - 1.0 - ) + 1.0, + ), ).toEqual(true); expect( Quaternion.equalsEpsilon( quaternion, new Quaternion(2.0, 2.0, 3.0, 4.0), - 1.0 - ) + 1.0, + ), ).toEqual(true); expect( Quaternion.equalsEpsilon( quaternion, new Quaternion(1.0, 3.0, 3.0, 4.0), - 1.0 - ) + 1.0, + ), ).toEqual(true); expect( Quaternion.equalsEpsilon( quaternion, new Quaternion(1.0, 2.0, 4.0, 4.0), - 1.0 - ) + 1.0, + ), ).toEqual(true); expect( Quaternion.equalsEpsilon( quaternion, new Quaternion(1.0, 2.0, 3.0, 5.0), - 1.0 - ) + 1.0, + ), ).toEqual(true); expect( Quaternion.equalsEpsilon( quaternion, new Quaternion(2.0, 2.0, 3.0, 4.0), - 0.99999 - ) + 0.99999, + ), ).toEqual(false); expect( Quaternion.equalsEpsilon( quaternion, new Quaternion(1.0, 3.0, 3.0, 4.0), - 0.99999 - ) + 0.99999, + ), ).toEqual(false); expect( Quaternion.equalsEpsilon( quaternion, new Quaternion(1.0, 2.0, 4.0, 4.0), - 0.99999 - ) + 0.99999, + ), ).toEqual(false); expect( Quaternion.equalsEpsilon( quaternion, new Quaternion(1.0, 2.0, 3.0, 5.0), - 0.99999 - ) + 0.99999, + ), ).toEqual(false); expect(Quaternion.equalsEpsilon(quaternion, undefined, 1)).toEqual(false); }); @@ -1165,7 +1165,7 @@ describe("Core/Quaternion", function () { new Quaternion(), new Quaternion(), new Quaternion(), - new Quaternion() + new Quaternion(), ); }).toThrowDeveloperError(); }); @@ -1247,7 +1247,7 @@ describe("Core/Quaternion", function () { Quaternion.computeInnerQuadrangle( new Quaternion(), new Quaternion(), - new Quaternion() + new Quaternion(), ); }).toThrowDeveloperError(); }); @@ -1259,7 +1259,7 @@ describe("Core/Quaternion", function () { new Quaternion(), new Quaternion(), new Quaternion(), - 3 + 3, ); }).toThrowDeveloperError(); }); @@ -1311,7 +1311,7 @@ describe("Core/Quaternion", function () { Quaternion.fastSquad( new Quaternion(), new Quaternion(), - new Quaternion() + new Quaternion(), ); }).toThrowDeveloperError(); }); @@ -1322,7 +1322,7 @@ describe("Core/Quaternion", function () { new Quaternion(), new Quaternion(), new Quaternion(), - new Quaternion() + new Quaternion(), ); }).toThrowDeveloperError(); }); @@ -1334,7 +1334,7 @@ describe("Core/Quaternion", function () { new Quaternion(), new Quaternion(), new Quaternion(), - 3 + 3, ); }).toThrowDeveloperError(); }); diff --git a/packages/engine/Specs/Core/QuaternionSplineSpec.js b/packages/engine/Specs/Core/QuaternionSplineSpec.js index e8c953433e6e..48bd44be8546 100644 --- a/packages/engine/Specs/Core/QuaternionSplineSpec.js +++ b/packages/engine/Specs/Core/QuaternionSplineSpec.js @@ -80,7 +80,7 @@ describe("Core/QuaternionSpline", function () { points[1], points[2], t, - new Quaternion() + new Quaternion(), ); expect(actual).toEqualEpsilon(expected, CesiumMath.EPSILON6); }); @@ -112,7 +112,7 @@ describe("Core/QuaternionSpline", function () { points[0], points[1], t, - new Quaternion() + new Quaternion(), ); expect(actual).toEqualEpsilon(expected, CesiumMath.EPSILON6); }); @@ -133,7 +133,7 @@ describe("Core/QuaternionSpline", function () { points[0], points[1], t, - new Quaternion() + new Quaternion(), ); expect(actual).toBe(result); expect(actual).toEqualEpsilon(expected, CesiumMath.EPSILON6); diff --git a/packages/engine/Specs/Core/RaySpec.js b/packages/engine/Specs/Core/RaySpec.js index 1650c63c4ed3..b27e910e5df6 100644 --- a/packages/engine/Specs/Core/RaySpec.js +++ b/packages/engine/Specs/Core/RaySpec.js @@ -20,7 +20,7 @@ describe("Core/Ray", function () { const direction = Cartesian3.multiplyByScalar( Cartesian3.UNIT_X, 18, - new Cartesian3() + new Cartesian3(), ); const ray = new Ray(origin, direction); expect(ray.origin).toEqual(origin); @@ -30,7 +30,7 @@ describe("Core/Ray", function () { it("clone without a result parameter", function () { const direction = Cartesian3.normalize( new Cartesian3(1, 2, 3), - new Cartesian3() + new Cartesian3(), ); const ray = new Ray(Cartesian3.UNIT_X, direction); const returnedResult = Ray.clone(ray); @@ -43,7 +43,7 @@ describe("Core/Ray", function () { it("clone with a result parameter", function () { const direction = Cartesian3.normalize( new Cartesian3(1, 2, 3), - new Cartesian3() + new Cartesian3(), ); const ray = new Ray(Cartesian3.UNIT_X, direction); const result = new Ray(); @@ -58,7 +58,7 @@ describe("Core/Ray", function () { it("clone works with a result parameter that is an input parameter", function () { const direction = Cartesian3.normalize( new Cartesian3(1, 2, 3), - new Cartesian3() + new Cartesian3(), ); const ray = new Ray(Cartesian3.UNIT_X, direction); const returnedResult = Ray.clone(ray, ray); @@ -72,14 +72,14 @@ describe("Core/Ray", function () { it("getPoint along ray works without a result parameter", function () { const direction = Cartesian3.normalize( new Cartesian3(1, 2, 3), - new Cartesian3() + new Cartesian3(), ); const ray = new Ray(Cartesian3.UNIT_X, direction); for (let i = -10; i < 11; i++) { const expectedResult = Cartesian3.add( Cartesian3.multiplyByScalar(direction, i, new Cartesian3()), Cartesian3.UNIT_X, - new Cartesian3() + new Cartesian3(), ); const returnedResult = Ray.getPoint(ray, i); expect(returnedResult).toEqual(expectedResult); @@ -89,7 +89,7 @@ describe("Core/Ray", function () { it("getPoint works with a result parameter", function () { const direction = Cartesian3.normalize( new Cartesian3(1, 2, 3), - new Cartesian3() + new Cartesian3(), ); const ray = new Ray(Cartesian3.UNIT_X, direction); const result = new Cartesian3(); @@ -97,7 +97,7 @@ describe("Core/Ray", function () { const expectedResult = Cartesian3.add( Cartesian3.multiplyByScalar(direction, i, new Cartesian3()), Cartesian3.UNIT_X, - new Cartesian3() + new Cartesian3(), ); const returnedResult = Ray.getPoint(ray, i, result); expect(result).toBe(returnedResult); @@ -108,7 +108,7 @@ describe("Core/Ray", function () { it("getPoint throws without a point", function () { const direction = Cartesian3.normalize( new Cartesian3(1, 2, 3), - new Cartesian3() + new Cartesian3(), ); const ray = new Ray(Cartesian3.UNIT_X, direction); expect(function () { diff --git a/packages/engine/Specs/Core/RectangleGeometrySpec.js b/packages/engine/Specs/Core/RectangleGeometrySpec.js index 2c2451a18350..7c7ce0e29061 100644 --- a/packages/engine/Specs/Core/RectangleGeometrySpec.js +++ b/packages/engine/Specs/Core/RectangleGeometrySpec.js @@ -21,7 +21,7 @@ describe("Core/RectangleGeometry", function () { vertexFormat: VertexFormat.POSITION_ONLY, rectangle: rectangle, granularity: 1.0, - }) + }), ); const positions = m.attributes.position.values; const length = positions.length; @@ -30,20 +30,20 @@ describe("Core/RectangleGeometry", function () { expect(m.indices.length).toEqual(8 * 3); const expectedNWCorner = Ellipsoid.WGS84.cartographicToCartesian( - Rectangle.northwest(rectangle) + Rectangle.northwest(rectangle), ); const expectedSECorner = Ellipsoid.WGS84.cartographicToCartesian( - Rectangle.southeast(rectangle) + Rectangle.southeast(rectangle), ); expect( - new Cartesian3(positions[0], positions[1], positions[2]) + new Cartesian3(positions[0], positions[1], positions[2]), ).toEqualEpsilon(expectedNWCorner, CesiumMath.EPSILON9); expect( new Cartesian3( positions[length - 3], positions[length - 2], - positions[length - 1] - ) + positions[length - 1], + ), ).toEqualEpsilon(expectedSECorner, CesiumMath.EPSILON9); }); @@ -53,7 +53,7 @@ describe("Core/RectangleGeometry", function () { new RectangleGeometry({ vertexFormat: VertexFormat.POSITION_ONLY, rectangle: rectangle, - }) + }), ); const positions = m.attributes.position.values; const length = positions.length; @@ -62,20 +62,20 @@ describe("Core/RectangleGeometry", function () { expect(m.indices.length).toEqual(8 * 3); const expectedNWCorner = Ellipsoid.WGS84.cartographicToCartesian( - Rectangle.northwest(rectangle) + Rectangle.northwest(rectangle), ); const expectedSECorner = Ellipsoid.WGS84.cartographicToCartesian( - Rectangle.southeast(rectangle) + Rectangle.southeast(rectangle), ); expect( - new Cartesian3(positions[0], positions[1], positions[2]) + new Cartesian3(positions[0], positions[1], positions[2]), ).toEqualEpsilon(expectedNWCorner, CesiumMath.EPSILON8); expect( new Cartesian3( positions[length - 3], positions[length - 2], - positions[length - 1] - ) + positions[length - 1], + ), ).toEqualEpsilon(expectedSECorner, CesiumMath.EPSILON8); }); @@ -85,7 +85,7 @@ describe("Core/RectangleGeometry", function () { new RectangleGeometry({ vertexFormat: VertexFormat.POSITION_ONLY, rectangle: rectangle, - }) + }), ); const positions = m.attributes.position.values; expect(positions.length).toEqual(5 * 3); @@ -98,7 +98,7 @@ describe("Core/RectangleGeometry", function () { new RectangleGeometry({ vertexFormat: VertexFormat.POSITION_ONLY, rectangle: rectangle, - }) + }), ); const positions = m.attributes.position.values; expect(positions.length).toEqual(5 * 3); @@ -111,7 +111,7 @@ describe("Core/RectangleGeometry", function () { vertexFormat: VertexFormat.ALL, rectangle: new Rectangle(-2.0, -1.0, 0.0, 1.0), granularity: 1.0, - }) + }), ); const numVertices = 9; // 8 around edge + 1 in middle const numTriangles = 8; // 4 squares * 2 triangles per square @@ -132,7 +132,7 @@ describe("Core/RectangleGeometry", function () { rectangle: rectangle, rotation: angle, granularity: 1.0, - }) + }), ); const positions = m.attributes.position.values; const length = positions.length; @@ -145,15 +145,15 @@ describe("Core/RectangleGeometry", function () { const projectedSECorner = projection.project(unrotatedSECorner); const rotation = Matrix2.fromRotation(angle); const rotatedSECornerCartographic = projection.unproject( - Matrix2.multiplyByVector(rotation, projectedSECorner, new Cartesian2()) + Matrix2.multiplyByVector(rotation, projectedSECorner, new Cartesian2()), ); const rotatedSECorner = Ellipsoid.WGS84.cartographicToCartesian( - rotatedSECornerCartographic + rotatedSECornerCartographic, ); const actual = new Cartesian3( positions[length - 3], positions[length - 2], - positions[length - 1] + positions[length - 1], ); expect(actual).toEqualEpsilon(rotatedSECorner, CesiumMath.EPSILON6); }); @@ -165,7 +165,7 @@ describe("Core/RectangleGeometry", function () { rectangle: rectangle, rotation: CesiumMath.PI, granularity: 1.0, - }) + }), ); const positions = m.attributes.position.values; const length = positions.length; @@ -174,10 +174,10 @@ describe("Core/RectangleGeometry", function () { expect(m.indices.length).toEqual(8 * 3); const unrotatedNWCorner = Ellipsoid.WGS84.cartographicToCartesian( - Rectangle.northwest(rectangle) + Rectangle.northwest(rectangle), ); const unrotatedSECorner = Ellipsoid.WGS84.cartographicToCartesian( - Rectangle.southeast(rectangle) + Rectangle.southeast(rectangle), ); let actual = new Cartesian3(positions[0], positions[1], positions[2]); @@ -186,7 +186,7 @@ describe("Core/RectangleGeometry", function () { actual = new Cartesian3( positions[length - 3], positions[length - 2], - positions[length - 1] + positions[length - 1], ); expect(actual).toEqualEpsilon(unrotatedNWCorner, CesiumMath.EPSILON8); }); @@ -200,7 +200,7 @@ describe("Core/RectangleGeometry", function () { rectangle: rectangle, stRotation: angle, granularity: 1.0, - }) + }), ); const positions = m.attributes.position.values; const st = m.attributes.st.values; @@ -224,7 +224,7 @@ describe("Core/RectangleGeometry", function () { rotation: angle, stRotation: angle, granularity: 1.0, - }) + }), ); const st = m.attributes.st.values; @@ -252,10 +252,10 @@ describe("Core/RectangleGeometry", function () { -CesiumMath.PI_OVER_TWO, 1, CesiumMath.PI_OVER_TWO, - CesiumMath.PI_OVER_TWO + CesiumMath.PI_OVER_TWO, ), rotation: CesiumMath.PI_OVER_TWO, - }) + }), ); }).toThrowDeveloperError(); }); @@ -267,7 +267,7 @@ describe("Core/RectangleGeometry", function () { -CesiumMath.PI_OVER_TWO, CesiumMath.PI_OVER_TWO, CesiumMath.PI_OVER_TWO, - -CesiumMath.PI_OVER_TWO + -CesiumMath.PI_OVER_TWO, ), }); }).toThrowDeveloperError(); @@ -281,7 +281,7 @@ describe("Core/RectangleGeometry", function () { rectangle: rectangle, granularity: 1.0, extrudedHeight: 2, - }) + }), ); const positions = m.attributes.position.values; @@ -296,7 +296,7 @@ describe("Core/RectangleGeometry", function () { vertexFormat: VertexFormat.POSITION_ONLY, rectangle: rectangle, extrudedHeight: 2, - }) + }), ); const positions = m.attributes.position.values; @@ -311,7 +311,7 @@ describe("Core/RectangleGeometry", function () { vertexFormat: VertexFormat.POSITION_ONLY, rectangle: rectangle, extrudedHeight: 2, - }) + }), ); const positions = m.attributes.position.values; @@ -326,7 +326,7 @@ describe("Core/RectangleGeometry", function () { rectangle: new Rectangle(-2.0, -1.0, 0.0, 1.0), granularity: 1.0, extrudedHeight: 2, - }) + }), ); const numVertices = 42; const numTriangles = 32; @@ -348,7 +348,7 @@ describe("Core/RectangleGeometry", function () { rotation: angle, granularity: 1.0, extrudedHeight: 2, - }) + }), ); const positions = m.attributes.position.values; const length = positions.length; @@ -361,10 +361,10 @@ describe("Core/RectangleGeometry", function () { const projectedSECorner = projection.project(unrotatedSECorner); const rotation = Matrix2.fromRotation(angle); const rotatedSECornerCartographic = projection.unproject( - Matrix2.multiplyByVector(rotation, projectedSECorner, new Cartesian2()) + Matrix2.multiplyByVector(rotation, projectedSECorner, new Cartesian2()), ); const rotatedSECorner = Ellipsoid.WGS84.cartographicToCartesian( - rotatedSECornerCartographic + rotatedSECornerCartographic, ); const actual = new Cartesian3(positions[51], positions[52], positions[53]); expect(actual).toEqualEpsilon(rotatedSECorner, CesiumMath.EPSILON6); @@ -378,7 +378,7 @@ describe("Core/RectangleGeometry", function () { rectangle: rectangle, granularity: 1.0, extrudedHeight: CesiumMath.EPSILON14, - }) + }), ); const positions = m.attributes.position.values; @@ -396,7 +396,7 @@ describe("Core/RectangleGeometry", function () { rectangle: rectangle, granularity: 1.0, offsetAttribute: GeometryOffsetAttribute.TOP, - }) + }), ); const positions = m.attributes.position.values; @@ -418,7 +418,7 @@ describe("Core/RectangleGeometry", function () { granularity: 1.0, extrudedHeight: 2, offsetAttribute: GeometryOffsetAttribute.TOP, - }) + }), ); const positions = m.attributes.position.values; @@ -443,7 +443,7 @@ describe("Core/RectangleGeometry", function () { granularity: 1.0, extrudedHeight: 2, offsetAttribute: GeometryOffsetAttribute.ALL, - }) + }), ); const positions = m.attributes.position.values; @@ -506,19 +506,19 @@ describe("Core/RectangleGeometry", function () { const r = geometry.rectangle; expect(CesiumMath.toDegrees(r.north)).toEqualEpsilon( 1.414213562373095, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(CesiumMath.toDegrees(r.south)).toEqualEpsilon( -1.414213562373095, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(CesiumMath.toDegrees(r.east)).toEqualEpsilon( 1.414213562373095, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(CesiumMath.toDegrees(r.west)).toEqualEpsilon( -1.4142135623730951, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -537,27 +537,27 @@ describe("Core/RectangleGeometry", function () { expect(textureCoordinateRotationPoints.length).toEqual(6); expect(textureCoordinateRotationPoints[0]).toEqualEpsilon( 0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[1]).toEqualEpsilon( 0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[2]).toEqualEpsilon( 0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[3]).toEqualEpsilon( 1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[4]).toEqualEpsilon( 1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[5]).toEqualEpsilon( 0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); geometry = new RectangleGeometry({ @@ -571,27 +571,27 @@ describe("Core/RectangleGeometry", function () { expect(textureCoordinateRotationPoints.length).toEqual(6); expect(textureCoordinateRotationPoints[0]).toEqualEpsilon( 0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[1]).toEqualEpsilon( 0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[2]).toEqualEpsilon( 0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[3]).toEqualEpsilon( 1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[4]).toEqualEpsilon( 1, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(textureCoordinateRotationPoints[5]).toEqualEpsilon( 0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); @@ -634,7 +634,7 @@ describe("Core/RectangleGeometry", function () { rectangle: Rectangle.MAX_VALUE, granularity: 1.0, rotation: 0, - }) + }), ); }).not.toThrowDeveloperError(); }); @@ -644,7 +644,7 @@ describe("Core/RectangleGeometry", function () { Math.PI - 0.005, CesiumMath.PI_OVER_SIX + 0.02, 0.01 - Math.PI, - CesiumMath.PI_OVER_SIX + 0.04 + CesiumMath.PI_OVER_SIX + 0.04, ); const geometry = new RectangleGeometry({ @@ -664,26 +664,8 @@ describe("Core/RectangleGeometry", function () { ellipsoid: Ellipsoid.UNIT_SPHERE, }); const packedInstance = [ - -2.0, - -1.0, - 0.0, - 1.0, - 1.0, - 1.0, - 1.0, - 1.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 1.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - -1, + -2.0, -1.0, 0.0, 1.0, 1.0, 1.0, 1.0, 1.0, 0.0, 0.0, 0.0, 0.0, 0.0, 1.0, 0.0, + 0.0, 0.0, 0.0, 0.0, -1, ]; createPackableSpecs(RectangleGeometry, rectangle, packedInstance); }); diff --git a/packages/engine/Specs/Core/RectangleOutlineGeometrySpec.js b/packages/engine/Specs/Core/RectangleOutlineGeometrySpec.js index 47def1381cc5..9b3cfc4cd14a 100644 --- a/packages/engine/Specs/Core/RectangleOutlineGeometrySpec.js +++ b/packages/engine/Specs/Core/RectangleOutlineGeometrySpec.js @@ -19,7 +19,7 @@ describe("Core/RectangleOutlineGeometry", function () { new RectangleOutlineGeometry({ rectangle: rectangle, granularity: 1.0, - }) + }), ); const positions = m.attributes.position.values; @@ -27,10 +27,10 @@ describe("Core/RectangleOutlineGeometry", function () { expect(m.indices.length).toEqual(8 * 2); const expectedNWCorner = Ellipsoid.WGS84.cartographicToCartesian( - Rectangle.northwest(rectangle) + Rectangle.northwest(rectangle), ); expect( - new Cartesian3(positions[0], positions[1], positions[2]) + new Cartesian3(positions[0], positions[1], positions[2]), ).toEqualEpsilon(expectedNWCorner, CesiumMath.EPSILON9); }); @@ -39,7 +39,7 @@ describe("Core/RectangleOutlineGeometry", function () { const m = RectangleOutlineGeometry.createGeometry( new RectangleOutlineGeometry({ rectangle: rectangle, - }) + }), ); const positions = m.attributes.position.values; @@ -47,10 +47,10 @@ describe("Core/RectangleOutlineGeometry", function () { expect(m.indices.length).toEqual(8 * 2); const expectedNWCorner = Ellipsoid.WGS84.cartographicToCartesian( - Rectangle.northwest(rectangle) + Rectangle.northwest(rectangle), ); expect( - new Cartesian3(positions[0], positions[1], positions[2]) + new Cartesian3(positions[0], positions[1], positions[2]), ).toEqualEpsilon(expectedNWCorner, CesiumMath.EPSILON9); }); @@ -59,7 +59,7 @@ describe("Core/RectangleOutlineGeometry", function () { const m = RectangleOutlineGeometry.createGeometry( new RectangleOutlineGeometry({ rectangle: rectangle, - }) + }), ); const positions = m.attributes.position.values; @@ -72,7 +72,7 @@ describe("Core/RectangleOutlineGeometry", function () { const m = RectangleOutlineGeometry.createGeometry( new RectangleOutlineGeometry({ rectangle: rectangle, - }) + }), ); const positions = m.attributes.position.values; @@ -88,7 +88,7 @@ describe("Core/RectangleOutlineGeometry", function () { rectangle: rectangle, rotation: angle, granularity: 1.0, - }) + }), ); const positions = m.attributes.position.values; @@ -100,10 +100,10 @@ describe("Core/RectangleOutlineGeometry", function () { const projectedNWCorner = projection.project(unrotatedNWCorner); const rotation = Matrix2.fromRotation(angle); const rotatedNWCornerCartographic = projection.unproject( - Matrix2.multiplyByVector(rotation, projectedNWCorner, new Cartesian2()) + Matrix2.multiplyByVector(rotation, projectedNWCorner, new Cartesian2()), ); const rotatedNWCorner = Ellipsoid.WGS84.cartographicToCartesian( - rotatedNWCornerCartographic + rotatedNWCornerCartographic, ); const actual = new Cartesian3(positions[0], positions[1], positions[2]); expect(actual).toEqualEpsilon(rotatedNWCorner, CesiumMath.EPSILON6); @@ -123,10 +123,10 @@ describe("Core/RectangleOutlineGeometry", function () { -CesiumMath.PI_OVER_TWO, 1, CesiumMath.PI_OVER_TWO, - CesiumMath.PI_OVER_TWO + CesiumMath.PI_OVER_TWO, ), rotation: CesiumMath.PI_OVER_TWO, - }) + }), ); }).toThrowDeveloperError(); }); @@ -138,7 +138,7 @@ describe("Core/RectangleOutlineGeometry", function () { -CesiumMath.PI_OVER_TWO, CesiumMath.PI_OVER_TWO, CesiumMath.PI_OVER_TWO, - -CesiumMath.PI_OVER_TWO + -CesiumMath.PI_OVER_TWO, ), }); }).toThrowDeveloperError(); @@ -151,7 +151,7 @@ describe("Core/RectangleOutlineGeometry", function () { rectangle: rectangle, granularity: 1.0, extrudedHeight: 2, - }) + }), ); const positions = m.attributes.position.values; @@ -165,7 +165,7 @@ describe("Core/RectangleOutlineGeometry", function () { new RectangleOutlineGeometry({ rectangle: rectangle, extrudedHeight: 2, - }) + }), ); const positions = m.attributes.position.values; @@ -179,7 +179,7 @@ describe("Core/RectangleOutlineGeometry", function () { new RectangleOutlineGeometry({ rectangle: rectangle, extrudedHeight: 2, - }) + }), ); const positions = m.attributes.position.values; @@ -196,7 +196,7 @@ describe("Core/RectangleOutlineGeometry", function () { rotation: angle, granularity: 1.0, extrudedHeight: 2, - }) + }), ); const positions = m.attributes.position.values; @@ -208,11 +208,11 @@ describe("Core/RectangleOutlineGeometry", function () { const projectedNWCorner = projection.project(unrotatedNWCorner); const rotation = Matrix2.fromRotation(angle); const rotatedNWCornerCartographic = projection.unproject( - Matrix2.multiplyByVector(rotation, projectedNWCorner, new Cartesian2()) + Matrix2.multiplyByVector(rotation, projectedNWCorner, new Cartesian2()), ); rotatedNWCornerCartographic.height = 2; const rotatedNWCorner = Ellipsoid.WGS84.cartographicToCartesian( - rotatedNWCornerCartographic + rotatedNWCornerCartographic, ); const actual = new Cartesian3(positions[0], positions[1], positions[2]); expect(actual).toEqualEpsilon(rotatedNWCorner, CesiumMath.EPSILON6); @@ -225,7 +225,7 @@ describe("Core/RectangleOutlineGeometry", function () { rectangle: rectangle, granularity: 1.0, extrudedHeight: CesiumMath.EPSILON14, - }) + }), ); const positions = m.attributes.position.values; @@ -244,15 +244,12 @@ describe("Core/RectangleOutlineGeometry", function () { rectangle: Rectangle.fromDegrees(-80.0, 39.0, -80.0, 39.0), }); - const geometry0 = RectangleOutlineGeometry.createGeometry( - rectangleOutline0 - ); - const geometry1 = RectangleOutlineGeometry.createGeometry( - rectangleOutline1 - ); - const geometry2 = RectangleOutlineGeometry.createGeometry( - rectangleOutline2 - ); + const geometry0 = + RectangleOutlineGeometry.createGeometry(rectangleOutline0); + const geometry1 = + RectangleOutlineGeometry.createGeometry(rectangleOutline1); + const geometry2 = + RectangleOutlineGeometry.createGeometry(rectangleOutline2); expect(geometry0).toBeUndefined(); expect(geometry1).toBeUndefined(); @@ -266,7 +263,7 @@ describe("Core/RectangleOutlineGeometry", function () { rectangle: rectangle, granularity: 1.0, offsetAttribute: GeometryOffsetAttribute.TOP, - }) + }), ); const positions = m.attributes.position.values; @@ -287,7 +284,7 @@ describe("Core/RectangleOutlineGeometry", function () { granularity: 1.0, extrudedHeight: 2, offsetAttribute: GeometryOffsetAttribute.TOP, - }) + }), ); const positions = m.attributes.position.values; @@ -308,7 +305,7 @@ describe("Core/RectangleOutlineGeometry", function () { granularity: 1.0, extrudedHeight: 2, offsetAttribute: GeometryOffsetAttribute.ALL, - }) + }), ); const positions = m.attributes.position.values; @@ -334,7 +331,7 @@ describe("Core/RectangleOutlineGeometry", function () { RectangleOutlineGeometry, rectangle, packedInstance, - "extruded" + "extruded", ); rectangle = new RectangleOutlineGeometry({ @@ -349,6 +346,6 @@ describe("Core/RectangleOutlineGeometry", function () { RectangleOutlineGeometry, rectangle, packedInstance, - "at height" + "at height", ); }); diff --git a/packages/engine/Specs/Core/RectangleSpec.js b/packages/engine/Specs/Core/RectangleSpec.js index 1e13298a2986..daf087ae2362 100644 --- a/packages/engine/Specs/Core/RectangleSpec.js +++ b/packages/engine/Specs/Core/RectangleSpec.js @@ -150,7 +150,7 @@ describe("Core/Rectangle", function () { const result = new Rectangle(); const rectangle = Rectangle.fromCartographicArray( [minLat, minLon, maxLat, maxLon], - result + result, ); expect(result).toBe(rectangle); expect(rectangle.west).toEqual(minLon.longitude); @@ -169,23 +169,23 @@ describe("Core/Rectangle", function () { const rectangle = Rectangle.fromCartesianArray( wgs84.cartographicArrayToCartesianArray([minLat, minLon, maxLat, maxLon]), - wgs84 + wgs84, ); expect(rectangle.west).toEqualEpsilon( minLon.longitude, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(rectangle.south).toEqualEpsilon( minLat.latitude, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(rectangle.east).toEqualEpsilon( maxLon.longitude, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(rectangle.north).toEqualEpsilon( maxLat.latitude, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -199,7 +199,7 @@ describe("Core/Rectangle", function () { const rectangle = Rectangle.fromCartesianArray( wgs84.cartographicArrayToCartesianArray([minLat, minLon, maxLat, maxLon]), - wgs84 + wgs84, ); expect(rectangle.east).toEqual(minLon.longitude); expect(rectangle.south).toEqual(minLat.latitude); @@ -219,24 +219,24 @@ describe("Core/Rectangle", function () { const rectangle = Rectangle.fromCartesianArray( wgs84.cartographicArrayToCartesianArray([minLat, minLon, maxLat, maxLon]), wgs84, - result + result, ); expect(result).toBe(rectangle); expect(rectangle.west).toEqualEpsilon( minLon.longitude, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(rectangle.south).toEqualEpsilon( minLat.latitude, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(rectangle.east).toEqualEpsilon( maxLon.longitude, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(rectangle.north).toEqualEpsilon( maxLat.latitude, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -280,19 +280,19 @@ describe("Core/Rectangle", function () { it("Static equals works in all cases", function () { const rectangle = new Rectangle(0.1, 0.2, 0.3, 0.4); expect( - Rectangle.equals(rectangle, new Rectangle(0.1, 0.2, 0.3, 0.4)) + Rectangle.equals(rectangle, new Rectangle(0.1, 0.2, 0.3, 0.4)), ).toEqual(true); expect( - Rectangle.equals(rectangle, new Rectangle(0.5, 0.2, 0.3, 0.4)) + Rectangle.equals(rectangle, new Rectangle(0.5, 0.2, 0.3, 0.4)), ).toEqual(false); expect( - Rectangle.equals(rectangle, new Rectangle(0.1, 0.5, 0.3, 0.4)) + Rectangle.equals(rectangle, new Rectangle(0.1, 0.5, 0.3, 0.4)), ).toEqual(false); expect( - Rectangle.equals(rectangle, new Rectangle(0.1, 0.2, 0.5, 0.4)) + Rectangle.equals(rectangle, new Rectangle(0.1, 0.2, 0.5, 0.4)), ).toEqual(false); expect( - Rectangle.equals(rectangle, new Rectangle(0.1, 0.2, 0.3, 0.5)) + Rectangle.equals(rectangle, new Rectangle(0.1, 0.2, 0.3, 0.5)), ).toEqual(false); expect(Rectangle.equals(rectangle, undefined)).toEqual(false); }); @@ -303,64 +303,64 @@ describe("Core/Rectangle", function () { Rectangle.equalsEpsilon( rectangle1, new Rectangle(0.1, 0.2, 0.3, 0.4), - 0.0 - ) + 0.0, + ), ).toEqual(true); expect( Rectangle.equalsEpsilon( rectangle1, new Rectangle(0.5, 0.2, 0.3, 0.4), - 0.0 - ) + 0.0, + ), ).toEqual(false); expect( Rectangle.equalsEpsilon( rectangle1, new Rectangle(0.1, 0.5, 0.3, 0.4), - 0.0 - ) + 0.0, + ), ).toEqual(false); expect( Rectangle.equalsEpsilon( rectangle1, new Rectangle(0.1, 0.2, 0.5, 0.4), - 0.0 - ) + 0.0, + ), ).toEqual(false); expect( Rectangle.equalsEpsilon( rectangle1, new Rectangle(0.1, 0.2, 0.3, 0.5), - 0.0 - ) + 0.0, + ), ).toEqual(false); expect( Rectangle.equalsEpsilon( rectangle1, new Rectangle(0.5, 0.2, 0.3, 0.4), - 0.4 - ) + 0.4, + ), ).toEqual(true); expect( Rectangle.equalsEpsilon( rectangle1, new Rectangle(0.1, 0.5, 0.3, 0.4), - 0.3 - ) + 0.3, + ), ).toEqual(true); expect( Rectangle.equalsEpsilon( rectangle1, new Rectangle(0.1, 0.2, 0.5, 0.4), - 0.2 - ) + 0.2, + ), ).toEqual(true); expect( Rectangle.equalsEpsilon( rectangle1, new Rectangle(0.1, 0.2, 0.3, 0.5), - 0.1 - ) + 0.1, + ), ).toEqual(true); expect(Rectangle.equalsEpsilon(rectangle1, undefined, 0.0)).toEqual(false); expect(Rectangle.equalsEpsilon(undefined, rectangle1, 0.0)).toEqual(false); @@ -370,31 +370,31 @@ describe("Core/Rectangle", function () { it("Equals epsilon works in all cases", function () { const rectangle = new Rectangle(0.1, 0.2, 0.3, 0.4); expect( - rectangle.equalsEpsilon(new Rectangle(0.1, 0.2, 0.3, 0.4), 0.0) + rectangle.equalsEpsilon(new Rectangle(0.1, 0.2, 0.3, 0.4), 0.0), ).toEqual(true); expect( - rectangle.equalsEpsilon(new Rectangle(0.5, 0.2, 0.3, 0.4), 0.0) + rectangle.equalsEpsilon(new Rectangle(0.5, 0.2, 0.3, 0.4), 0.0), ).toEqual(false); expect( - rectangle.equalsEpsilon(new Rectangle(0.1, 0.5, 0.3, 0.4), 0.0) + rectangle.equalsEpsilon(new Rectangle(0.1, 0.5, 0.3, 0.4), 0.0), ).toEqual(false); expect( - rectangle.equalsEpsilon(new Rectangle(0.1, 0.2, 0.5, 0.4), 0.0) + rectangle.equalsEpsilon(new Rectangle(0.1, 0.2, 0.5, 0.4), 0.0), ).toEqual(false); expect( - rectangle.equalsEpsilon(new Rectangle(0.1, 0.2, 0.3, 0.5), 0.0) + rectangle.equalsEpsilon(new Rectangle(0.1, 0.2, 0.3, 0.5), 0.0), ).toEqual(false); expect( - rectangle.equalsEpsilon(new Rectangle(0.5, 0.2, 0.3, 0.4), 0.4) + rectangle.equalsEpsilon(new Rectangle(0.5, 0.2, 0.3, 0.4), 0.4), ).toEqual(true); expect( - rectangle.equalsEpsilon(new Rectangle(0.1, 0.5, 0.3, 0.4), 0.3) + rectangle.equalsEpsilon(new Rectangle(0.1, 0.5, 0.3, 0.4), 0.3), ).toEqual(true); expect( - rectangle.equalsEpsilon(new Rectangle(0.1, 0.2, 0.5, 0.4), 0.2) + rectangle.equalsEpsilon(new Rectangle(0.1, 0.2, 0.5, 0.4), 0.2), ).toEqual(true); expect( - rectangle.equalsEpsilon(new Rectangle(0.1, 0.2, 0.3, 0.5), 0.1) + rectangle.equalsEpsilon(new Rectangle(0.1, 0.2, 0.3, 0.5), 0.1), ).toEqual(true); expect(rectangle.equalsEpsilon(undefined, 0.0)).toEqual(false); }); @@ -588,28 +588,28 @@ describe("Core/Rectangle", function () { let returnedResult = Rectangle.center(rectangle); expect(returnedResult).toEqualEpsilon( Cartographic.fromDegrees(180, 0), - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); rectangle = Rectangle.fromDegrees(160, 0, -170, 0); returnedResult = Rectangle.center(rectangle); expect(returnedResult).toEqualEpsilon( Cartographic.fromDegrees(175, 0), - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); rectangle = Rectangle.fromDegrees(170, 0, -160, 0); returnedResult = Rectangle.center(rectangle); expect(returnedResult).toEqualEpsilon( Cartographic.fromDegrees(-175, 0), - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); rectangle = Rectangle.fromDegrees(160, 0, 140, 0); returnedResult = Rectangle.center(rectangle); expect(returnedResult).toEqualEpsilon( Cartographic.fromDegrees(-30, 0), - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); }); @@ -635,7 +635,7 @@ describe("Core/Rectangle", function () { const returnedResult = Rectangle.intersection( rectangle, rectangle2, - result + result, ); expect(returnedResult).toEqual(expected); expect(result).toBe(returnedResult); @@ -785,19 +785,19 @@ describe("Core/Rectangle", function () { -CesiumMath.PI, -CesiumMath.PI_OVER_TWO, +CesiumMath.PI, - 0.0 + 0.0, ); const rectangle2 = new Rectangle( -CesiumMath.PI, 0.0, +CesiumMath.PI, - +CesiumMath.PI_OVER_TWO + +CesiumMath.PI_OVER_TWO, ); const expected = new Rectangle( -CesiumMath.PI, -CesiumMath.PI_OVER_TWO, +CesiumMath.PI, - +CesiumMath.PI_OVER_TWO + +CesiumMath.PI_OVER_TWO, ); const returnedResult = Rectangle.union(rectangle1, rectangle2); expect(returnedResult).toEqualEpsilon(expected, CesiumMath.EPSILON15); @@ -856,31 +856,31 @@ describe("Core/Rectangle", function () { it("contains works", function () { const rectangle = new Rectangle(west, south, east, north); expect( - Rectangle.contains(rectangle, new Cartographic(west, south)) + Rectangle.contains(rectangle, new Cartographic(west, south)), ).toEqual(true); expect( - Rectangle.contains(rectangle, new Cartographic(west, north)) + Rectangle.contains(rectangle, new Cartographic(west, north)), ).toEqual(true); expect( - Rectangle.contains(rectangle, new Cartographic(east, south)) + Rectangle.contains(rectangle, new Cartographic(east, south)), ).toEqual(true); expect( - Rectangle.contains(rectangle, new Cartographic(east, north)) + Rectangle.contains(rectangle, new Cartographic(east, north)), ).toEqual(true); expect(Rectangle.contains(rectangle, Rectangle.center(rectangle))).toEqual( - true + true, ); expect( - Rectangle.contains(rectangle, new Cartographic(west - 0.1, south)) + Rectangle.contains(rectangle, new Cartographic(west - 0.1, south)), ).toEqual(false); expect( - Rectangle.contains(rectangle, new Cartographic(west, north + 0.1)) + Rectangle.contains(rectangle, new Cartographic(west, north + 0.1)), ).toEqual(false); expect( - Rectangle.contains(rectangle, new Cartographic(east, south - 0.1)) + Rectangle.contains(rectangle, new Cartographic(east, south - 0.1)), ).toEqual(false); expect( - Rectangle.contains(rectangle, new Cartographic(east + 0.1, north)) + Rectangle.contains(rectangle, new Cartographic(east + 0.1, north)), ).toEqual(false); }); @@ -892,31 +892,31 @@ describe("Core/Rectangle", function () { const rectangle = new Rectangle(west, south, east, north); expect( - Rectangle.contains(rectangle, new Cartographic(west, south)) + Rectangle.contains(rectangle, new Cartographic(west, south)), ).toEqual(true); expect( - Rectangle.contains(rectangle, new Cartographic(west, north)) + Rectangle.contains(rectangle, new Cartographic(west, north)), ).toEqual(true); expect( - Rectangle.contains(rectangle, new Cartographic(east, south)) + Rectangle.contains(rectangle, new Cartographic(east, south)), ).toEqual(true); expect( - Rectangle.contains(rectangle, new Cartographic(east, north)) + Rectangle.contains(rectangle, new Cartographic(east, north)), ).toEqual(true); expect(Rectangle.contains(rectangle, Rectangle.center(rectangle))).toEqual( - true + true, ); expect( - Rectangle.contains(rectangle, new Cartographic(west - 0.1, south)) + Rectangle.contains(rectangle, new Cartographic(west - 0.1, south)), ).toEqual(false); expect( - Rectangle.contains(rectangle, new Cartographic(west, north + 0.1)) + Rectangle.contains(rectangle, new Cartographic(west, north + 0.1)), ).toEqual(false); expect( - Rectangle.contains(rectangle, new Cartographic(east, south - 0.1)) + Rectangle.contains(rectangle, new Cartographic(east, south - 0.1)), ).toEqual(false); expect( - Rectangle.contains(rectangle, new Cartographic(east + 0.1, north)) + Rectangle.contains(rectangle, new Cartographic(east + 0.1, north)), ).toEqual(false); }); @@ -947,7 +947,7 @@ describe("Core/Rectangle", function () { rectangle, Ellipsoid.WGS84, 0.0, - results + results, ); expect(results).toBe(returnedResult); expect(results[0]).toBe(cartesian0); @@ -983,26 +983,26 @@ describe("Core/Rectangle", function () { const returnedResult = Rectangle.subsample(rectangle); expect(returnedResult.length).toEqual(6); expect(returnedResult[0]).toEqual( - Ellipsoid.WGS84.cartographicToCartesian(Rectangle.northwest(rectangle)) + Ellipsoid.WGS84.cartographicToCartesian(Rectangle.northwest(rectangle)), ); expect(returnedResult[1]).toEqual( - Ellipsoid.WGS84.cartographicToCartesian(Rectangle.northeast(rectangle)) + Ellipsoid.WGS84.cartographicToCartesian(Rectangle.northeast(rectangle)), ); expect(returnedResult[2]).toEqual( - Ellipsoid.WGS84.cartographicToCartesian(Rectangle.southeast(rectangle)) + Ellipsoid.WGS84.cartographicToCartesian(Rectangle.southeast(rectangle)), ); expect(returnedResult[3]).toEqual( - Ellipsoid.WGS84.cartographicToCartesian(Rectangle.southwest(rectangle)) + Ellipsoid.WGS84.cartographicToCartesian(Rectangle.southwest(rectangle)), ); const cartographic4 = Ellipsoid.WGS84.cartesianToCartographic( - returnedResult[4] + returnedResult[4], ); expect(cartographic4.latitude).toEqual(0.0); expect(cartographic4.longitude).toEqualEpsilon(west, CesiumMath.EPSILON16); const cartographic5 = Ellipsoid.WGS84.cartesianToCartographic( - returnedResult[5] + returnedResult[5], ); expect(cartographic5.latitude).toEqual(0.0); expect(cartographic5.longitude).toEqualEpsilon(east, CesiumMath.EPSILON16); @@ -1018,7 +1018,7 @@ describe("Core/Rectangle", function () { const returnedResult = Rectangle.subsample( rectangle, Ellipsoid.WGS84, - height + height, ); const nw = Rectangle.northwest(rectangle); @@ -1064,7 +1064,7 @@ describe("Core/Rectangle", function () { expectedWest, expectedSouth, expectedEast, - expectedNorth + expectedNorth, ); const subsection = new Rectangle(); @@ -1074,7 +1074,7 @@ describe("Core/Rectangle", function () { southLerp, eastLerp, northLerp, - subsection + subsection, ); expect(result).toEqual(expectedRectangle); @@ -1101,7 +1101,7 @@ describe("Core/Rectangle", function () { expectedWest, expectedSouth, expectedEast, - expectedNorth + expectedNorth, ); const subsection = Rectangle.subsection( @@ -1109,7 +1109,7 @@ describe("Core/Rectangle", function () { westLerp, southLerp, eastLerp, - northLerp + northLerp, ); expect(subsection).toEqual(expectedRectangle); @@ -1135,7 +1135,7 @@ describe("Core/Rectangle", function () { expectedWest, expectedSouth, expectedEast, - expectedNorth + expectedNorth, ); const subsection = Rectangle.subsection( @@ -1143,7 +1143,7 @@ describe("Core/Rectangle", function () { westLerp, southLerp, eastLerp, - northLerp + northLerp, ); expect(subsection).toEqual(expectedRectangle); @@ -1169,7 +1169,7 @@ describe("Core/Rectangle", function () { expectedWest, expectedSouth, expectedEast, - expectedNorth + expectedNorth, ); const subsection = Rectangle.subsection( @@ -1177,7 +1177,7 @@ describe("Core/Rectangle", function () { westLerp, southLerp, eastLerp, - northLerp + northLerp, ); expect(subsection).toEqual(expectedRectangle); @@ -1205,7 +1205,7 @@ describe("Core/Rectangle", function () { expectedWest, expectedSouth, expectedEast, - expectedNorth + expectedNorth, ); const subsection = Rectangle.subsection( @@ -1213,7 +1213,7 @@ describe("Core/Rectangle", function () { westLerp, southLerp, eastLerp, - northLerp + northLerp, ); expect(subsection).toEqual(expectedRectangle); @@ -1239,7 +1239,7 @@ describe("Core/Rectangle", function () { expectedWest, expectedSouth, expectedEast, - expectedNorth + expectedNorth, ); const subsection = Rectangle.subsection( @@ -1247,7 +1247,7 @@ describe("Core/Rectangle", function () { westLerp, southLerp, eastLerp, - northLerp + northLerp, ); expect(subsection).toEqualEpsilon(expectedRectangle, CesiumMath.EPSILON14); @@ -1273,7 +1273,7 @@ describe("Core/Rectangle", function () { expectedWest, expectedSouth, expectedEast, - expectedNorth + expectedNorth, ); const subsection = Rectangle.subsection( @@ -1281,7 +1281,7 @@ describe("Core/Rectangle", function () { westLerp, southLerp, eastLerp, - northLerp + northLerp, ); expect(subsection).toEqualEpsilon(expectedRectangle, CesiumMath.EPSILON14); @@ -1307,7 +1307,7 @@ describe("Core/Rectangle", function () { expectedWest, expectedSouth, expectedEast, - expectedNorth + expectedNorth, ); const subsection = Rectangle.subsection( @@ -1315,7 +1315,7 @@ describe("Core/Rectangle", function () { westLerp, southLerp, eastLerp, - northLerp + northLerp, ); expect(subsection).toEqualEpsilon(expectedRectangle, CesiumMath.EPSILON14); @@ -1455,7 +1455,7 @@ describe("Core/Rectangle", function () { it("fromBoundingSphere works with non-zero values", function () { const boundingSphere = new BoundingSphere( new Cartesian3(10000000.0, 0.0, 0.0), - 1000.0 + 1000.0, ); const result = Rectangle.fromBoundingSphere(boundingSphere); const expectedRectangle = new Rectangle(); @@ -1469,7 +1469,7 @@ describe("Core/Rectangle", function () { it("fromBoundingSphere works with bounding sphere centered at the poles", function () { const boundingSphere = new BoundingSphere( new Cartesian3(0.0, 0.0, Ellipsoid.WGS84.radii.z), - 1000.0 + 1000.0, ); const result = Rectangle.fromBoundingSphere(boundingSphere); const expectedRectangle = new Rectangle(); @@ -1483,13 +1483,13 @@ describe("Core/Rectangle", function () { it("fromBoundingSphere uses result parameter", function () { const boundingSphere = new BoundingSphere( new Cartesian3(10000000.0, 0.0, 0.0), - 1000.0 + 1000.0, ); const result = new Rectangle(); const returned = Rectangle.fromBoundingSphere( boundingSphere, Ellipsoid.WGS84, - result + result, ); const expectedRectangle = new Rectangle(); diff --git a/packages/engine/Specs/Core/RequestErrorEventSpec.js b/packages/engine/Specs/Core/RequestErrorEventSpec.js index 61ca4a8890cd..23bf29e65580 100644 --- a/packages/engine/Specs/Core/RequestErrorEventSpec.js +++ b/packages/engine/Specs/Core/RequestErrorEventSpec.js @@ -5,7 +5,7 @@ describe("Core/RequestErrorEvent", function () { const event = new RequestErrorEvent( 404, "foo", - "This-is-a-test: first\r\nAnother: second value!" + "This-is-a-test: first\r\nAnother: second value!", ); expect(event.responseHeaders).toEqual({ "This-is-a-test": "first", diff --git a/packages/engine/Specs/Core/RequestSchedulerSpec.js b/packages/engine/Specs/Core/RequestSchedulerSpec.js index d1f8f9c0ea76..71ad16c07887 100644 --- a/packages/engine/Specs/Core/RequestSchedulerSpec.js +++ b/packages/engine/Specs/Core/RequestSchedulerSpec.js @@ -21,7 +21,8 @@ describe("Core/RequestScheduler", function () { afterEach(function () { RequestScheduler.maximumRequests = originalMaximumRequests; - RequestScheduler.maximumRequestsPerServer = originalMaximumRequestsPerServer; + RequestScheduler.maximumRequestsPerServer = + originalMaximumRequestsPerServer; RequestScheduler.priorityHeapLength = originalPriorityHeapLength; RequestScheduler.requestsByServer = originalRequestsByServer; }); @@ -39,7 +40,7 @@ describe("Core/RequestScheduler", function () { requestFunction: function (url) { return undefined; }, - }) + }), ); }).toThrowDeveloperError(); }); @@ -49,7 +50,7 @@ describe("Core/RequestScheduler", function () { RequestScheduler.request( new Request({ url: "file/path", - }) + }), ); }).toThrowDeveloperError(); }); @@ -234,12 +235,12 @@ describe("Core/RequestScheduler", function () { RequestScheduler.priorityHeapLength = 1; const firstRequest = createRequest(0.0); - const promise = RequestScheduler.request(firstRequest).catch(function ( - error - ) { - // Request will be cancelled - expect(error).toBeUndefined(); - }); + const promise = RequestScheduler.request(firstRequest).catch( + function (error) { + // Request will be cancelled + expect(error).toBeUndefined(); + }, + ); expect(promise).toBeDefined(); const promise2 = RequestScheduler.request(createRequest(1.0)); expect(promise2).toBeUndefined(); @@ -282,7 +283,7 @@ describe("Core/RequestScheduler", function () { } else { expect(statistics.numberOfActiveRequests).toBe(1); expect( - RequestScheduler.numberOfActiveRequestsByServer(request.serverKey) + RequestScheduler.numberOfActiveRequestsByServer(request.serverKey), ).toBe(1); } @@ -293,7 +294,7 @@ describe("Core/RequestScheduler", function () { expect(statistics.numberOfActiveRequests).toBe(0); if (!dataOrBlobUri) { expect( - RequestScheduler.numberOfActiveRequestsByServer(request.serverKey) + RequestScheduler.numberOfActiveRequestsByServer(request.serverKey), ).toBe(0); } }); @@ -406,7 +407,7 @@ describe("Core/RequestScheduler", function () { expect(statistics.numberOfCancelledRequests).toBe(1); expect(statistics.numberOfCancelledActiveRequests).toBe(1); expect( - RequestScheduler.numberOfActiveRequestsByServer(request.serverKey) + RequestScheduler.numberOfActiveRequestsByServer(request.serverKey), ).toBe(0); expect(cancelFunction).toHaveBeenCalled(); @@ -767,18 +768,18 @@ describe("Core/RequestScheduler", function () { return Promise.all( requests.map(function (request) { return request.deferred; - }) + }), ).finally(function () { RequestScheduler.update(); expect(console.log).toHaveBeenCalledWith( - "Number of attempted requests: 3" + "Number of attempted requests: 3", ); expect(console.log).toHaveBeenCalledWith( - "Number of cancelled requests: 3" + "Number of cancelled requests: 3", ); expect(console.log).toHaveBeenCalledWith( - "Number of cancelled active requests: 2" + "Number of cancelled active requests: 2", ); expect(console.log).toHaveBeenCalledWith("Number of failed requests: 1"); @@ -811,11 +812,10 @@ describe("Core/RequestScheduler", function () { expect(promise).toBeDefined(); let eventRaised = false; - const removeListenerCallback = RequestScheduler.requestCompletedEvent.addEventListener( - function () { + const removeListenerCallback = + RequestScheduler.requestCompletedEvent.addEventListener(function () { eventRaised = true; - } - ); + }); deferred.resolve(); @@ -842,11 +842,10 @@ describe("Core/RequestScheduler", function () { }); let eventRaised = false; - const removeListenerCallback = RequestScheduler.requestCompletedEvent.addEventListener( - function () { + const removeListenerCallback = + RequestScheduler.requestCompletedEvent.addEventListener(function () { eventRaised = true; - } - ); + }); const promise = RequestScheduler.request(request); expect(promise).toBeDefined(); @@ -884,11 +883,10 @@ describe("Core/RequestScheduler", function () { }); let eventRaised = false; - const removeListenerCallback = RequestScheduler.requestCompletedEvent.addEventListener( - function () { + const removeListenerCallback = + RequestScheduler.requestCompletedEvent.addEventListener(function () { eventRaised = true; - } - ); + }); const promise = RequestScheduler.request(request); expect(promise).toBeDefined(); @@ -918,12 +916,11 @@ describe("Core/RequestScheduler", function () { }); let eventRaised = false; - const removeListenerCallback = RequestScheduler.requestCompletedEvent.addEventListener( - function (error) { + const removeListenerCallback = + RequestScheduler.requestCompletedEvent.addEventListener(function (error) { eventRaised = true; expect(error).toBeDefined(); - } - ); + }); const promise = RequestScheduler.request(request); expect(promise).toBeDefined(); @@ -959,11 +956,10 @@ describe("Core/RequestScheduler", function () { const promise = RequestScheduler.request(requestToCancel); - const removeListenerCallback = RequestScheduler.requestCompletedEvent.addEventListener( - function () { + const removeListenerCallback = + RequestScheduler.requestCompletedEvent.addEventListener(function () { fail("should not be called"); - } - ); + }); requestToCancel.cancel(); RequestScheduler.update(); @@ -994,7 +990,7 @@ describe("Core/RequestScheduler", function () { requestFunction: function () { return deferred.promise; }, - }) + }), ); RequestScheduler.update(); expect(promise).toBeDefined(); @@ -1009,7 +1005,7 @@ describe("Core/RequestScheduler", function () { requestFunction: function () { return defer(); }, - }) + }), ); expect(promise).toBeUndefined(); @@ -1074,10 +1070,10 @@ describe("Core/RequestScheduler", function () { promises.push(RequestScheduler.request(createRequest())); promises.push(RequestScheduler.request(createRequest())); expect(RequestScheduler.serverHasOpenSlots("test.invalid:80", 3)).toBe( - true + true, ); expect(RequestScheduler.serverHasOpenSlots("test.invalid:80", 4)).toBe( - false + false, ); const length = deferreds.length; diff --git a/packages/engine/Specs/Core/ResourceSpec.js b/packages/engine/Specs/Core/ResourceSpec.js index 8ce027c2b09e..c4e50c3d2744 100644 --- a/packages/engine/Specs/Core/ResourceSpec.js +++ b/packages/engine/Specs/Core/ResourceSpec.js @@ -50,22 +50,22 @@ describe("Core/Resource", function () { }); expect(resource.getUrlComponent(false, false)).toEqual( - "http://test.com/tileset" + "http://test.com/tileset", ); expect(resource.getUrlComponent(true, false)).toEqual( - "http://test.com/tileset?key1=value1&key2=value2" + "http://test.com/tileset?key1=value1&key2=value2", ); expect(resource.getUrlComponent(false, true)).toEqual( - proxy.getURL("http://test.com/tileset") + proxy.getURL("http://test.com/tileset"), ); expect(resource.getUrlComponent(true, true)).toEqual( - proxy.getURL("http://test.com/tileset?key1=value1&key2=value2") + proxy.getURL("http://test.com/tileset?key1=value1&key2=value2"), ); expect(resource.url).toEqual( - proxy.getURL("http://test.com/tileset?key1=value1&key2=value2") + proxy.getURL("http://test.com/tileset?key1=value1&key2=value2"), ); expect(String(resource)).toEqual( - proxy.getURL("http://test.com/tileset?key1=value1&key2=value2") + proxy.getURL("http://test.com/tileset?key1=value1&key2=value2"), ); expect(resource.queryParameters).toEqual({ key1: "value1", @@ -131,7 +131,7 @@ describe("Core/Resource", function () { }); expect(resource.getUrlComponent()).toEqual("http://test.com/tileset"); expect(resource.getUrlComponent(true)).toEqual( - "http://test.com/tileset?foo=bar&baz=foo" + "http://test.com/tileset?foo=bar&baz=foo", ); expect(resource.queryParameters).toEqual({ foo: "bar", @@ -145,10 +145,10 @@ describe("Core/Resource", function () { parseUrl: false, }); expect(resource.getUrlComponent()).toEqual( - "http://test.com/tileset?foo=bar&baz=foo" + "http://test.com/tileset?foo=bar&baz=foo", ); expect(resource.getUrlComponent(true)).toEqual( - "http://test.com/tileset?foo=bar&baz=foo" + "http://test.com/tileset?foo=bar&baz=foo", ); expect(resource.queryParameters).toEqual({}); }); @@ -171,25 +171,25 @@ describe("Core/Resource", function () { it("multiple values for query parameters are allowed", function () { const resource = new Resource( - "http://test.com/tileset/endpoint?a=1&a=2&b=3&a=4" + "http://test.com/tileset/endpoint?a=1&a=2&b=3&a=4", ); expect(resource.queryParameters.a).toEqual(["1", "2", "4"]); expect(resource.queryParameters.b).toEqual("3"); expect(resource.url).toEqual( - "http://test.com/tileset/endpoint?a=1&a=2&a=4&b=3" + "http://test.com/tileset/endpoint?a=1&a=2&a=4&b=3", ); }); it("multiple values for query parameters works with getDerivedResource without preserverQueryParameters", function () { const resource = new Resource( - "http://test.com/tileset/endpoint?a=1&a=2&b=3&a=4" + "http://test.com/tileset/endpoint?a=1&a=2&b=3&a=4", ); expect(resource.queryParameters.a).toEqual(["1", "2", "4"]); expect(resource.queryParameters.b).toEqual("3"); expect(resource.url).toEqual( - "http://test.com/tileset/endpoint?a=1&a=2&a=4&b=3" + "http://test.com/tileset/endpoint?a=1&a=2&a=4&b=3", ); const derived = resource.getDerivedResource({ @@ -200,19 +200,19 @@ describe("Core/Resource", function () { expect(derived.queryParameters.b).toEqual("6"); expect(derived.url).toEqual( - "http://test.com/tileset/other_endpoint?a=5&a=7&b=6" + "http://test.com/tileset/other_endpoint?a=5&a=7&b=6", ); }); it("multiple values for query parameters works with getDerivedResource with preserveQueryParameters", function () { const resource = new Resource( - "http://test.com/tileset/endpoint?a=1&a=2&b=3&a=4" + "http://test.com/tileset/endpoint?a=1&a=2&b=3&a=4", ); expect(resource.queryParameters.a).toEqual(["1", "2", "4"]); expect(resource.queryParameters.b).toEqual("3"); expect(resource.url).toEqual( - "http://test.com/tileset/endpoint?a=1&a=2&a=4&b=3" + "http://test.com/tileset/endpoint?a=1&a=2&a=4&b=3", ); const derived = resource.getDerivedResource({ @@ -224,7 +224,7 @@ describe("Core/Resource", function () { expect(derived.queryParameters.b).toEqual(["6", "3"]); expect(derived.url).toEqual( - "http://test.com/tileset/other_endpoint?a=5&a=7&a=1&a=2&a=4&b=6&b=3" + "http://test.com/tileset/other_endpoint?a=5&a=7&a=1&a=2&a=4&b=6&b=3", ); }); @@ -309,23 +309,23 @@ describe("Core/Resource", function () { }); expect(resource.getUrlComponent(false, false)).toEqual( - "http://test.com/tileset/tileset.json" + "http://test.com/tileset/tileset.json", ); expect(resource.getUrlComponent(true, false)).toEqual( - "http://test.com/tileset/tileset.json?key1=value1&key2=value2&key=value&foo=bar" + "http://test.com/tileset/tileset.json?key1=value1&key2=value2&key=value&foo=bar", ); expect(resource.getUrlComponent(false, true)).toEqual( - proxy.getURL("http://test.com/tileset/tileset.json") + proxy.getURL("http://test.com/tileset/tileset.json"), ); expect(resource.getUrlComponent(true, true)).toEqual( proxy.getURL( - "http://test.com/tileset/tileset.json?key1=value1&key2=value2&key=value&foo=bar" - ) + "http://test.com/tileset/tileset.json?key1=value1&key2=value2&key=value&foo=bar", + ), ); expect(resource.url).toEqual( proxy.getURL( - "http://test.com/tileset/tileset.json?key1=value1&key2=value2&key=value&foo=bar" - ) + "http://test.com/tileset/tileset.json?key1=value1&key2=value2&key=value&foo=bar", + ), ); expect(resource.queryParameters).toEqual({ foo: "bar", @@ -433,7 +433,7 @@ describe("Core/Resource", function () { y: 4, z: 0, }, - true + true, ); expect(resource.queryParameters).toEqual({ @@ -463,7 +463,7 @@ describe("Core/Resource", function () { y: 4, z: 0, }, - false + false, ); expect(resource.queryParameters).toEqual({ @@ -552,7 +552,7 @@ describe("Core/Resource", function () { z: 0, style: "my style", }, - true + true, ); expect(resource.templateValues).toEqual({ @@ -587,7 +587,7 @@ describe("Core/Resource", function () { z: 0, style: "my style", }, - false + false, ); expect(resource.templateValues).toEqual({ @@ -698,24 +698,26 @@ describe("Core/Resource", function () { headers: expectedHeaders, }); - spyOn(Resource._Implementations, "loadWithXhr").and.callFake(function ( - url, - responseType, - method, - data, - headers, - deferred, - overrideMimeType - ) { - expect(url).toEqual(expectedUrl); - expect(responseType).toEqual(expectedResponseType); - expect(method).toEqual("POST"); - expect(data).toEqual(expectedData); - expect(headers["X-My-Header"]).toEqual("My-Value"); - expect(headers["X-My-Other-Header"]).toEqual("My-Other-Value"); - expect(overrideMimeType).toBe(expectedMimeType); - deferred.resolve(expectedResult); - }); + spyOn(Resource._Implementations, "loadWithXhr").and.callFake( + function ( + url, + responseType, + method, + data, + headers, + deferred, + overrideMimeType, + ) { + expect(url).toEqual(expectedUrl); + expect(responseType).toEqual(expectedResponseType); + expect(method).toEqual("POST"); + expect(data).toEqual(expectedData); + expect(headers["X-My-Header"]).toEqual("My-Value"); + expect(headers["X-My-Other-Header"]).toEqual("My-Other-Value"); + expect(overrideMimeType).toBe(expectedMimeType); + deferred.resolve(expectedResult); + }, + ); return resource .post(expectedData, { @@ -744,23 +746,25 @@ describe("Core/Resource", function () { }; const expectedMimeType = "application/test-data"; - spyOn(Resource._Implementations, "loadWithXhr").and.callFake(function ( - url, - responseType, - method, - data, - headers, - deferred, - overrideMimeType - ) { - expect(url).toEqual(expectedUrl); - expect(responseType).toEqual(expectedResponseType); - expect(method).toEqual("POST"); - expect(data).toEqual(expectedData); - expect(headers).toEqual(expectedHeaders); - expect(overrideMimeType).toBe(expectedMimeType); - deferred.resolve(expectedResult); - }); + spyOn(Resource._Implementations, "loadWithXhr").and.callFake( + function ( + url, + responseType, + method, + data, + headers, + deferred, + overrideMimeType, + ) { + expect(url).toEqual(expectedUrl); + expect(responseType).toEqual(expectedResponseType); + expect(method).toEqual("POST"); + expect(data).toEqual(expectedData); + expect(headers).toEqual(expectedHeaders); + expect(overrideMimeType).toBe(expectedMimeType); + deferred.resolve(expectedResult); + }, + ); return Resource.post({ url: expectedUrl, @@ -791,24 +795,26 @@ describe("Core/Resource", function () { headers: expectedHeaders, }); - spyOn(Resource._Implementations, "loadWithXhr").and.callFake(function ( - url, - responseType, - method, - data, - headers, - deferred, - overrideMimeType - ) { - expect(url).toEqual(expectedUrl); - expect(responseType).toEqual(expectedResponseType); - expect(method).toEqual("PUT"); - expect(data).toEqual(expectedData); - expect(headers["X-My-Header"]).toEqual("My-Value"); - expect(headers["X-My-Other-Header"]).toEqual("My-Other-Value"); - expect(overrideMimeType).toBe(expectedMimeType); - deferred.resolve(expectedResult); - }); + spyOn(Resource._Implementations, "loadWithXhr").and.callFake( + function ( + url, + responseType, + method, + data, + headers, + deferred, + overrideMimeType, + ) { + expect(url).toEqual(expectedUrl); + expect(responseType).toEqual(expectedResponseType); + expect(method).toEqual("PUT"); + expect(data).toEqual(expectedData); + expect(headers["X-My-Header"]).toEqual("My-Value"); + expect(headers["X-My-Other-Header"]).toEqual("My-Other-Value"); + expect(overrideMimeType).toBe(expectedMimeType); + deferred.resolve(expectedResult); + }, + ); return resource .put(expectedData, { @@ -837,23 +843,25 @@ describe("Core/Resource", function () { }; const expectedMimeType = "application/test-data"; - spyOn(Resource._Implementations, "loadWithXhr").and.callFake(function ( - url, - responseType, - method, - data, - headers, - deferred, - overrideMimeType - ) { - expect(url).toEqual(expectedUrl); - expect(responseType).toEqual(expectedResponseType); - expect(method).toEqual("PUT"); - expect(data).toEqual(expectedData); - expect(headers).toEqual(expectedHeaders); - expect(overrideMimeType).toBe(expectedMimeType); - deferred.resolve(expectedResult); - }); + spyOn(Resource._Implementations, "loadWithXhr").and.callFake( + function ( + url, + responseType, + method, + data, + headers, + deferred, + overrideMimeType, + ) { + expect(url).toEqual(expectedUrl); + expect(responseType).toEqual(expectedResponseType); + expect(method).toEqual("PUT"); + expect(data).toEqual(expectedData); + expect(headers).toEqual(expectedHeaders); + expect(overrideMimeType).toBe(expectedMimeType); + deferred.resolve(expectedResult); + }, + ); return Resource.put({ url: expectedUrl, @@ -884,24 +892,26 @@ describe("Core/Resource", function () { headers: expectedHeaders, }); - spyOn(Resource._Implementations, "loadWithXhr").and.callFake(function ( - url, - responseType, - method, - data, - headers, - deferred, - overrideMimeType - ) { - expect(url).toEqual(expectedUrl); - expect(responseType).toEqual(expectedResponseType); - expect(method).toEqual("PATCH"); - expect(data).toEqual(expectedData); - expect(headers["X-My-Header"]).toEqual("My-Value"); - expect(headers["X-My-Other-Header"]).toEqual("My-Other-Value"); - expect(overrideMimeType).toBe(expectedMimeType); - deferred.resolve(expectedResult); - }); + spyOn(Resource._Implementations, "loadWithXhr").and.callFake( + function ( + url, + responseType, + method, + data, + headers, + deferred, + overrideMimeType, + ) { + expect(url).toEqual(expectedUrl); + expect(responseType).toEqual(expectedResponseType); + expect(method).toEqual("PATCH"); + expect(data).toEqual(expectedData); + expect(headers["X-My-Header"]).toEqual("My-Value"); + expect(headers["X-My-Other-Header"]).toEqual("My-Other-Value"); + expect(overrideMimeType).toBe(expectedMimeType); + deferred.resolve(expectedResult); + }, + ); return resource .patch(expectedData, { @@ -930,23 +940,25 @@ describe("Core/Resource", function () { }; const expectedMimeType = "application/test-data"; - spyOn(Resource._Implementations, "loadWithXhr").and.callFake(function ( - url, - responseType, - method, - data, - headers, - deferred, - overrideMimeType - ) { - expect(url).toEqual(expectedUrl); - expect(responseType).toEqual(expectedResponseType); - expect(method).toEqual("PATCH"); - expect(data).toEqual(expectedData); - expect(headers).toEqual(expectedHeaders); - expect(overrideMimeType).toBe(expectedMimeType); - deferred.resolve(expectedResult); - }); + spyOn(Resource._Implementations, "loadWithXhr").and.callFake( + function ( + url, + responseType, + method, + data, + headers, + deferred, + overrideMimeType, + ) { + expect(url).toEqual(expectedUrl); + expect(responseType).toEqual(expectedResponseType); + expect(method).toEqual("PATCH"); + expect(data).toEqual(expectedData); + expect(headers).toEqual(expectedHeaders); + expect(overrideMimeType).toBe(expectedMimeType); + deferred.resolve(expectedResult); + }, + ); return Resource.patch({ url: expectedUrl, @@ -963,7 +975,7 @@ describe("Core/Resource", function () { const url = "http://test.com/data"; const expectedResult = Promise.resolve(); spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - expectedResult + expectedResult, ); const result = Resource.fetchArrayBuffer(url); expect(result).toBe(expectedResult); @@ -1059,7 +1071,7 @@ describe("Core/Resource", function () { it("fetchJson calls fetch with expected parameters and parses result", function () { const expectedResult = { x: 123 }; spyOn(Resource.prototype, "fetch").and.returnValue( - Promise.resolve(JSON.stringify(expectedResult)) + Promise.resolve(JSON.stringify(expectedResult)), ); return Resource.fetchJson("url").then(function (result) { expect(result).toEqual(expectedResult); @@ -1116,19 +1128,21 @@ describe("Core/Resource", function () { status: "success", }; - spyOn(Resource._Implementations, "loadWithXhr").and.callFake(function ( - url, - responseType, - method, - data, - headers, - deferred, - overrideMimeType - ) { - expect(url).toEqual(expectedUrl); - expect(method).toEqual("GET"); - deferred.resolve(expectedResult); - }); + spyOn(Resource._Implementations, "loadWithXhr").and.callFake( + function ( + url, + responseType, + method, + data, + headers, + deferred, + overrideMimeType, + ) { + expect(url).toEqual(expectedUrl); + expect(method).toEqual("GET"); + deferred.resolve(expectedResult); + }, + ); const resource = new Resource({ url: expectedUrl }); return resource.fetch().then(function (result) { @@ -1150,19 +1164,21 @@ describe("Core/Resource", function () { status: "success", }; - spyOn(Resource._Implementations, "loadWithXhr").and.callFake(function ( - url, - responseType, - method, - data, - headers, - deferred, - overrideMimeType - ) { - expect(url).toEqual(expectedUrl); - expect(method).toEqual("DELETE"); - deferred.resolve(expectedResult); - }); + spyOn(Resource._Implementations, "loadWithXhr").and.callFake( + function ( + url, + responseType, + method, + data, + headers, + deferred, + overrideMimeType, + ) { + expect(url).toEqual(expectedUrl); + expect(method).toEqual("DELETE"); + deferred.resolve(expectedResult); + }, + ); const resource = new Resource({ url: expectedUrl }); return resource.delete().then(function (result) { @@ -1212,27 +1228,29 @@ describe("Core/Resource", function () { }; spyOn(window, "XMLHttpRequest").and.returnValue(fakeXHR); - spyOn(Resource._Implementations, "loadWithXhr").and.callFake(function ( - url, - responseType, - method, - data, - headers, - deferred, - overrideMimeType - ) { - expect(url).toEqual(expectedUrl); - expect(method).toEqual("HEAD"); - Resource._DefaultImplementations.loadWithXhr( + spyOn(Resource._Implementations, "loadWithXhr").and.callFake( + function ( url, responseType, method, data, headers, deferred, - overrideMimeType - ); - }); + overrideMimeType, + ) { + expect(url).toEqual(expectedUrl); + expect(method).toEqual("HEAD"); + Resource._DefaultImplementations.loadWithXhr( + url, + responseType, + method, + data, + headers, + deferred, + overrideMimeType, + ); + }, + ); const resource = new Resource({ url: expectedUrl }); return resource.head().then(function (result) { @@ -1242,15 +1260,15 @@ describe("Core/Resource", function () { expect(result.etag).toEqual(expectedResult.etag); expect(result["content-type"]).toEqual(expectedResult["content-type"]); expect(result["access-control-allow-origin"]).toEqual( - expectedResult["access-control-allow-origin"] + expectedResult["access-control-allow-origin"], ); expect(result["cache-control"]).toEqual(expectedResult["cache-control"]); expect(result["accept-ranges"]).toEqual(expectedResult["accept-ranges"]); expect(result["access-control-allow-headers"]).toEqual( - expectedResult["access-control-allow-headers"] + expectedResult["access-control-allow-headers"], ); expect(result["content-length"]).toEqual( - expectedResult["content-length"] + expectedResult["content-length"], ); }); }); @@ -1296,27 +1314,29 @@ describe("Core/Resource", function () { }; spyOn(window, "XMLHttpRequest").and.returnValue(fakeXHR); - spyOn(Resource._Implementations, "loadWithXhr").and.callFake(function ( - url, - responseType, - method, - data, - headers, - deferred, - overrideMimeType - ) { - expect(url).toEqual(expectedUrl); - expect(method).toEqual("OPTIONS"); - Resource._DefaultImplementations.loadWithXhr( + spyOn(Resource._Implementations, "loadWithXhr").and.callFake( + function ( url, responseType, method, data, headers, deferred, - overrideMimeType - ); - }); + overrideMimeType, + ) { + expect(url).toEqual(expectedUrl); + expect(method).toEqual("OPTIONS"); + Resource._DefaultImplementations.loadWithXhr( + url, + responseType, + method, + data, + headers, + deferred, + overrideMimeType, + ); + }, + ); const resource = new Resource({ url: expectedUrl }); return resource.options().then(function (result) { @@ -1325,45 +1345,45 @@ describe("Core/Resource", function () { expect(result.etag).toEqual(expectedResult.etag); expect(result["content-type"]).toEqual(expectedResult["content-type"]); expect(result["access-control-allow-origin"]).toEqual( - expectedResult["access-control-allow-origin"] + expectedResult["access-control-allow-origin"], ); expect(result["access-control-allow-methods"]).toEqual( - expectedResult["access-control-allow-methods"] + expectedResult["access-control-allow-methods"], ); expect(result["access-control-allow-headers"]).toEqual( - expectedResult["access-control-allow-headers"] + expectedResult["access-control-allow-headers"], ); expect(result["content-length"]).toEqual( - expectedResult["content-length"] + expectedResult["content-length"], ); }); }); it("can load an SVG", function () { - return Resource.fetchImage("./Data/Images/Red16x16.svg").then(function ( - loadedImage - ) { - expect(loadedImage.width).toEqual(16); - expect(loadedImage.height).toEqual(16); - }); + return Resource.fetchImage("./Data/Images/Red16x16.svg").then( + function (loadedImage) { + expect(loadedImage.width).toEqual(16); + expect(loadedImage.height).toEqual(16); + }, + ); }); it("can load a dimensionless SVG", function () { - return Resource.fetchImage("./Data/Images/Blue.svg").then(function ( - loadedImage - ) { - expect(loadedImage.width).toBeGreaterThan(0); - expect(loadedImage.height).toBeGreaterThan(0); - }); + return Resource.fetchImage("./Data/Images/Blue.svg").then( + function (loadedImage) { + expect(loadedImage.width).toBeGreaterThan(0); + expect(loadedImage.height).toBeGreaterThan(0); + }, + ); }); it("can load an image preferring blob", function () { - return Resource.fetchImage("./Data/Images/Green.png", true).then(function ( - loadedImage - ) { - expect(loadedImage.width).toEqual(1); - expect(loadedImage.height).toEqual(1); - }); + return Resource.fetchImage("./Data/Images/Green.png", true).then( + function (loadedImage) { + expect(loadedImage.width).toEqual(1); + expect(loadedImage.height).toEqual(1); + }, + ); }); it("can load an image from a data URI", function () { @@ -1514,7 +1534,7 @@ describe("Core/Resource", function () { } spyOn(Resource, "supportsImageBitmapOptions").and.returnValue( - Promise.resolve(false) + Promise.resolve(false), ); spyOn(window, "createImageBitmap").and.callThrough(); @@ -1550,7 +1570,7 @@ describe("Core/Resource", function () { // Force the fetching of a bad blob that is not an image to trigger the error spyOn(Resource.prototype, "fetch").and.returnValue( - Promise.resolve(new Blob([new Uint8Array([])], { type: "text/plain" })) + Promise.resolve(new Blob([new Uint8Array([])], { type: "text/plain" })), ); return Resource.fetchImage({ @@ -1573,17 +1593,17 @@ describe("Core/Resource", function () { // specific functionality of this code path. For example, the crossOrigin // restriction does not apply to images loaded with ImageBitmap. spyOn(Resource, "supportsImageBitmapOptions").and.returnValue( - Promise.resolve(false) + Promise.resolve(false), ); }); it("can load an image", function () { - return Resource.fetchImage("./Data/Images/Green.png").then(function ( - loadedImage - ) { - expect(loadedImage.width).toEqual(1); - expect(loadedImage.height).toEqual(1); - }); + return Resource.fetchImage("./Data/Images/Green.png").then( + function (loadedImage) { + expect(loadedImage.width).toEqual(1); + expect(loadedImage.height).toEqual(1); + }, + ); }); it("sets the crossOrigin property for cross-origin images", function () { @@ -1593,7 +1613,7 @@ describe("Core/Resource", function () { function () { deferred.resolve(); return fakeImage; - } + }, ); // mock image loading so that the promise resolves @@ -1605,7 +1625,7 @@ describe("Core/Resource", function () { function () { expect(imageConstructorSpy).toHaveBeenCalled(); expect(fakeImage.crossOrigin).toEqual(""); - } + }, ); }); @@ -1616,7 +1636,7 @@ describe("Core/Resource", function () { function () { deferred.resolve(); return fakeImage; - } + }, ); // mock image loading so that the promise resolves @@ -1637,7 +1657,7 @@ describe("Core/Resource", function () { function () { deferred.resolve(); return fakeImage; - } + }, ); // mock image loading so that the promise resolves @@ -1727,23 +1747,25 @@ describe("Core/Resource", function () { const expectedHeaders = { "X-my-header": "my-value", }; - spyOn(Resource._Implementations, "loadWithXhr").and.callFake(function ( - url, - responseType, - method, - data, - headers, - deferred, - overrideMimeType - ) { - expect(url).toEqual(expectedUrl); - expect(headers).toEqual(expectedHeaders); - expect(responseType).toEqual("blob"); - - const binary = dataUriToBuffer(dataUri); - - deferred.resolve(new Blob([binary], { type: "image/png" })); - }); + spyOn(Resource._Implementations, "loadWithXhr").and.callFake( + function ( + url, + responseType, + method, + data, + headers, + deferred, + overrideMimeType, + ) { + expect(url).toEqual(expectedUrl); + expect(headers).toEqual(expectedHeaders); + expect(responseType).toEqual("blob"); + + const binary = dataUriToBuffer(dataUri); + + deferred.resolve(new Blob([binary], { type: "image/png" })); + }, + ); const testResource = new Resource({ url: expectedUrl, @@ -1758,17 +1780,19 @@ describe("Core/Resource", function () { }); it("Doesn't call loadWithXhr with blob response type if headers is set but is a data URI", function () { - spyOn(Resource._Implementations, "loadWithXhr").and.callFake(function ( - url, - responseType, - method, - data, - headers, - deferred, - overrideMimeType - ) { - deferred.reject("this shouldn't happen"); - }); + spyOn(Resource._Implementations, "loadWithXhr").and.callFake( + function ( + url, + responseType, + method, + data, + headers, + deferred, + overrideMimeType, + ) { + deferred.reject("this shouldn't happen"); + }, + ); spyOn(Resource._Implementations, "createImage") .and.callFake(function (url, crossOrigin, deferred) { @@ -2143,7 +2167,7 @@ describe("Core/Resource", function () { responseType: "json", }).then(function (result) { expect(result).toEqual( - jasmine.objectContaining({ hello: "world" }) + jasmine.objectContaining({ hello: "world" }), ); }); }); @@ -2187,7 +2211,7 @@ describe("Core/Resource", function () { }; requestConstructorSpy = spyOn(window, "XMLHttpRequest").and.returnValue( - fakeXHR + fakeXHR, ); }); @@ -2489,7 +2513,7 @@ describe("Core/Resource", function () { expect(receivedResource.url).toEqual(resource.url); expect(receivedResource._retryCount).toEqual(1); expect(cb.calls.argsFor(0)[1] instanceof RequestErrorEvent).toBe( - true + true, ); }); }); @@ -2519,7 +2543,7 @@ describe("Core/Resource", function () { expect(receivedResource.url).toEqual(resource.url); expect(receivedResource._retryCount).toEqual(1); expect(cb.calls.argsFor(0)[1] instanceof RequestErrorEvent).toBe( - true + true, ); }); }); @@ -2553,7 +2577,7 @@ describe("Core/Resource", function () { expect(receivedResource.url).toEqual(resource.url); expect(receivedResource._retryCount).toEqual(1); expect(cb.calls.argsFor(0)[1] instanceof RequestErrorEvent).toBe( - true + true, ); }); }); @@ -2570,7 +2594,7 @@ describe("Core/Resource", function () { expect(name).toContain("loadJsonp"); expect(deferred).toBeDefined(); deferred.resolve(); - } + }, ); return Resource.fetchJsonp(testUrl); }); @@ -2591,7 +2615,7 @@ describe("Core/Resource", function () { function (url, functionName, deferred) { expect(url).toContain("callback=loadJsonp"); deferred.resolve(); - } + }, ); return Resource.fetchJsonp(testUrl, options); }); @@ -2604,7 +2628,7 @@ describe("Core/Resource", function () { spyOn(Resource._Implementations, "loadAndExecuteScript").and.callFake( function (url, functionName, deferred) { lastDeferred = deferred; - } + }, ); const resource = new Resource({ @@ -2646,7 +2670,7 @@ describe("Core/Resource", function () { spyOn(Resource._Implementations, "loadAndExecuteScript").and.callFake( function (url, functionName, deferred) { lastDeferred = deferred; - } + }, ); const resource = new Resource({ @@ -2683,7 +2707,7 @@ describe("Core/Resource", function () { function (url, functionName, deferred) { lastUrl = url; lastDeferred = deferred; - } + }, ); const resource = new Resource({ diff --git a/packages/engine/Specs/Core/S2CellSpec.js b/packages/engine/Specs/Core/S2CellSpec.js index 57a4f7ef2640..6bb0947ac748 100644 --- a/packages/engine/Specs/Core/S2CellSpec.js +++ b/packages/engine/Specs/Core/S2CellSpec.js @@ -143,8 +143,8 @@ describe("Core/S2Cell", function () { cellIdValidity = S2Cell.isValidId( BigInt( - "0b0010101000000000000000000000000000000000000000000000000000000000" - ) + "0b0010101000000000000000000000000000000000000000000000000000000000", + ), ); expect(cellIdValidity).toBe(false); }); @@ -162,7 +162,7 @@ describe("Core/S2Cell", function () { expect(S2Cell.getIdFromToken("04")).toEqual(BigInt("288230376151711744")); expect(S2Cell.getIdFromToken("3")).toEqual(BigInt("3458764513820540928")); expect(S2Cell.getIdFromToken("2ef59bd352b93ac3")).toEqual( - BigInt("3383782026967071427") + BigInt("3383782026967071427"), ); }); @@ -170,7 +170,7 @@ describe("Core/S2Cell", function () { expect(S2Cell.getTokenFromId(BigInt("288230376151711744"))).toEqual("04"); expect(S2Cell.getTokenFromId(BigInt("3458764513820540928"))).toEqual("3"); expect(S2Cell.getTokenFromId(BigInt("3383782026967071427"))).toEqual( - "2ef59bd352b93ac3" + "2ef59bd352b93ac3", ); }); @@ -269,35 +269,35 @@ describe("Core/S2Cell", function () { it("gets correct center of cell", function () { expect(S2Cell.fromToken("1").getCenter()).toEqualEpsilon( Cartesian3.fromDegrees(0.0, 0.0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(S2Cell.fromToken("3").getCenter()).toEqualEpsilon( Cartesian3.fromDegrees(90.0, 0.0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(S2Cell.fromToken("5").getCenter()).toEqualEpsilon( Cartesian3.fromDegrees(-180.0, 90.0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(S2Cell.fromToken("7").getCenter()).toEqualEpsilon( Cartesian3.fromDegrees(-180.0, 0.0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(S2Cell.fromToken("9").getCenter()).toEqualEpsilon( Cartesian3.fromDegrees(-90.0, 0.0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(S2Cell.fromToken("b").getCenter()).toEqualEpsilon( Cartesian3.fromDegrees(0.0, -90.0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(S2Cell.fromToken("2ef59bd352b93ac3").getCenter()).toEqualEpsilon( Cartesian3.fromDegrees(105.64131803774308, -10.490091033598308), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(S2Cell.fromToken("1234567").getCenter()).toEqualEpsilon( Cartesian3.fromDegrees(9.868307318504081, 27.468392925827605), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -316,19 +316,19 @@ describe("Core/S2Cell", function () { const cell = S2Cell.fromToken("2ef59bd352b93ac3"); expect(cell.getVertex(0)).toEqualEpsilon( Cartesian3.fromDegrees(105.64131799299665, -10.490091077431977), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(cell.getVertex(1)).toEqualEpsilon( Cartesian3.fromDegrees(105.64131808248949, -10.490091072946313), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(cell.getVertex(2)).toEqualEpsilon( Cartesian3.fromDegrees(105.64131808248948, -10.490090989764633), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(cell.getVertex(3)).toEqualEpsilon( Cartesian3.fromDegrees(105.64131799299665, -10.4900909942503), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); }); diff --git a/packages/engine/Specs/Core/ScreenSpaceEventHandlerSpec.js b/packages/engine/Specs/Core/ScreenSpaceEventHandlerSpec.js index 58719b46a4b3..6aa4b9d1ad2a 100644 --- a/packages/engine/Specs/Core/ScreenSpaceEventHandlerSpec.js +++ b/packages/engine/Specs/Core/ScreenSpaceEventHandlerSpec.js @@ -48,9 +48,10 @@ describe("Core/ScreenSpaceEventHandler", function () { return cloningSpy; } - const eventsToStop = "pointerdown pointerup pointermove pointercancel mousedown mouseup mousemove touchstart touchend touchmove touchcancel dblclick wheel mousewheel DOMMouseScroll".split( - " " - ); + const eventsToStop = + "pointerdown pointerup pointermove pointercancel mousedown mouseup mousemove touchstart touchend touchmove touchcancel dblclick wheel mousewheel DOMMouseScroll".split( + " ", + ); function stop(event) { event.stopPropagation(); @@ -140,7 +141,7 @@ describe("Core/ScreenSpaceEventHandler", function () { if (defined(modifier)) { specName += ` with ${keyForValue( KeyboardEventModifier, - modifier + modifier, )} modifier`; } it(specName, function () { @@ -158,7 +159,7 @@ describe("Core/ScreenSpaceEventHandler", function () { specFunction, possibleButtons, possibleModifiers, - possibleEventTypes + possibleEventTypes, ) { for (let i = 0; i < possibleButtons.length; ++i) { const eventType = possibleEventTypes[i]; @@ -176,7 +177,7 @@ describe("Core/ScreenSpaceEventHandler", function () { element, combine(options, { pointerType: "mouse", - }) + }), ); } else { DomEventSimulator.fireMouseDown(element, options); @@ -189,7 +190,7 @@ describe("Core/ScreenSpaceEventHandler", function () { element, combine(options, { pointerType: "mouse", - }) + }), ); } else { DomEventSimulator.fireMouseUp(element, options); @@ -202,7 +203,7 @@ describe("Core/ScreenSpaceEventHandler", function () { element, combine(options, { pointerType: "mouse", - }) + }), ); } else { DomEventSimulator.fireMouseMove(element, options); @@ -224,8 +225,8 @@ describe("Core/ScreenSpaceEventHandler", function () { clientX: 1, clientY: 2, }, - eventOptions - ) + eventOptions, + ), ); } @@ -266,7 +267,7 @@ describe("Core/ScreenSpaceEventHandler", function () { testMouseDownEvent, possibleButtons, possibleModifiers, - possibleEventTypes + possibleEventTypes, ); }); @@ -286,8 +287,8 @@ describe("Core/ScreenSpaceEventHandler", function () { clientX: 1, clientY: 2, }, - eventOptions - ) + eventOptions, + ), ); simulateMouseUp( element, @@ -296,8 +297,8 @@ describe("Core/ScreenSpaceEventHandler", function () { clientX: 1, clientY: 2, }, - eventOptions - ) + eventOptions, + ), ); } @@ -319,8 +320,8 @@ describe("Core/ScreenSpaceEventHandler", function () { clientX: 1, clientY: 2, }, - eventOptions - ) + eventOptions, + ), ); simulateMouseMove( element, @@ -329,8 +330,8 @@ describe("Core/ScreenSpaceEventHandler", function () { clientX: 10, clientY: 11, }, - eventOptions - ) + eventOptions, + ), ); simulateMouseUp( element, @@ -339,8 +340,8 @@ describe("Core/ScreenSpaceEventHandler", function () { clientX: 10, clientY: 11, }, - eventOptions - ) + eventOptions, + ), ); } @@ -382,7 +383,7 @@ describe("Core/ScreenSpaceEventHandler", function () { testMouseUpEvent, possibleButtons, possibleModifiers, - possibleEventTypes + possibleEventTypes, ); }); @@ -402,8 +403,8 @@ describe("Core/ScreenSpaceEventHandler", function () { clientX: 1, clientY: 2, }, - eventOptions - ) + eventOptions, + ), ); simulateMouseUp( element, @@ -412,8 +413,8 @@ describe("Core/ScreenSpaceEventHandler", function () { clientX: 1, clientY: 2, }, - eventOptions - ) + eventOptions, + ), ); } @@ -433,8 +434,8 @@ describe("Core/ScreenSpaceEventHandler", function () { clientX: 1, clientY: 2, }, - eventOptions - ) + eventOptions, + ), ); simulateMouseUp( element, @@ -443,8 +444,8 @@ describe("Core/ScreenSpaceEventHandler", function () { clientX: 10, clientY: 11, }, - eventOptions - ) + eventOptions, + ), ); expect(action).not.toHaveBeenCalled(); @@ -479,7 +480,7 @@ describe("Core/ScreenSpaceEventHandler", function () { testMouseClickEvent, possibleButtons, possibleModifiers, - possibleEventTypes + possibleEventTypes, ); }); @@ -498,8 +499,8 @@ describe("Core/ScreenSpaceEventHandler", function () { clientX: 1, clientY: 2, }, - eventOptions - ) + eventOptions, + ), ); } @@ -532,7 +533,7 @@ describe("Core/ScreenSpaceEventHandler", function () { testMouseDoubleClickEvent, possibleButtons, possibleModifiers, - possibleEventTypes + possibleEventTypes, ); }); @@ -551,8 +552,8 @@ describe("Core/ScreenSpaceEventHandler", function () { clientX: 1, clientY: 2, }, - eventOptions - ) + eventOptions, + ), ); simulateMouseMove( element, @@ -561,8 +562,8 @@ describe("Core/ScreenSpaceEventHandler", function () { clientX: 2, clientY: 3, }, - eventOptions - ) + eventOptions, + ), ); } @@ -596,7 +597,7 @@ describe("Core/ScreenSpaceEventHandler", function () { testMouseMoveEvent, possibleButtons, possibleModifiers, - possibleEventTypes + possibleEventTypes, ); }); @@ -616,8 +617,8 @@ describe("Core/ScreenSpaceEventHandler", function () { { deltaY: 120, }, - eventOptions - ) + eventOptions, + ), ); } @@ -650,7 +651,7 @@ describe("Core/ScreenSpaceEventHandler", function () { testWheelEvent, possibleButtons, possibleModifiers, - possibleEventTypes + possibleEventTypes, ); }); } else if (document.onmousewheel !== undefined) { @@ -668,8 +669,8 @@ describe("Core/ScreenSpaceEventHandler", function () { { wheelDelta: -120, }, - eventOptions - ) + eventOptions, + ), ); } @@ -700,7 +701,7 @@ describe("Core/ScreenSpaceEventHandler", function () { testMouseWheelEvent, possibleButtons, possibleModifiers, - possibleEventTypes + possibleEventTypes, ); }); } @@ -728,8 +729,8 @@ describe("Core/ScreenSpaceEventHandler", function () { pointerType: "touch", pointerId: 1, }, - touchStartPosition - ) + touchStartPosition, + ), ); } else { DomEventSimulator.fireTouchStart(element, { @@ -738,7 +739,7 @@ describe("Core/ScreenSpaceEventHandler", function () { { identifier: 0, }, - touchStartPosition + touchStartPosition, ), ], }); @@ -789,8 +790,8 @@ describe("Core/ScreenSpaceEventHandler", function () { pointerType: "touch", pointerId: 1, }, - touchStartPosition - ) + touchStartPosition, + ), ); DomEventSimulator.firePointerMove( element, @@ -799,8 +800,8 @@ describe("Core/ScreenSpaceEventHandler", function () { pointerType: "touch", pointerId: 1, }, - touchMovePosition - ) + touchMovePosition, + ), ); } else { DomEventSimulator.fireTouchStart(element, { @@ -809,7 +810,7 @@ describe("Core/ScreenSpaceEventHandler", function () { { identifier: 0, }, - touchStartPosition + touchStartPosition, ), ], }); @@ -819,7 +820,7 @@ describe("Core/ScreenSpaceEventHandler", function () { { identifier: 0, }, - touchMovePosition + touchMovePosition, ), ], }); @@ -871,8 +872,8 @@ describe("Core/ScreenSpaceEventHandler", function () { pointerType: "touch", pointerId: 1, }, - touchStartPosition - ) + touchStartPosition, + ), ); DomEventSimulator.firePointerUp( element, @@ -881,8 +882,8 @@ describe("Core/ScreenSpaceEventHandler", function () { pointerType: "touch", pointerId: 1, }, - touchEndPosition - ) + touchEndPosition, + ), ); } else { DomEventSimulator.fireTouchStart(element, { @@ -891,7 +892,7 @@ describe("Core/ScreenSpaceEventHandler", function () { { identifier: 0, }, - touchStartPosition + touchStartPosition, ), ], }); @@ -901,7 +902,7 @@ describe("Core/ScreenSpaceEventHandler", function () { { identifier: 0, }, - touchEndPosition + touchEndPosition, ), ], }); @@ -940,8 +941,8 @@ describe("Core/ScreenSpaceEventHandler", function () { pointerType: "touch", pointerId: 1, }, - touchStartPosition - ) + touchStartPosition, + ), ); DomEventSimulator.firePointerMove( element, @@ -950,8 +951,8 @@ describe("Core/ScreenSpaceEventHandler", function () { pointerType: "touch", pointerId: 1, }, - touchMovePosition - ) + touchMovePosition, + ), ); DomEventSimulator.firePointerUp( element, @@ -960,8 +961,8 @@ describe("Core/ScreenSpaceEventHandler", function () { pointerType: "touch", pointerId: 1, }, - touchEndPosition - ) + touchEndPosition, + ), ); } else { DomEventSimulator.fireTouchStart(element, { @@ -970,7 +971,7 @@ describe("Core/ScreenSpaceEventHandler", function () { { identifier: 0, }, - touchStartPosition + touchStartPosition, ), ], }); @@ -980,7 +981,7 @@ describe("Core/ScreenSpaceEventHandler", function () { { identifier: 0, }, - touchMovePosition + touchMovePosition, ), ], }); @@ -990,7 +991,7 @@ describe("Core/ScreenSpaceEventHandler", function () { { identifier: 0, }, - touchEndPosition + touchEndPosition, ), ], }); @@ -1042,8 +1043,8 @@ describe("Core/ScreenSpaceEventHandler", function () { pointerType: "touch", pointerId: 1, }, - touchStartPosition - ) + touchStartPosition, + ), ); DomEventSimulator.firePointerCancel( element, @@ -1052,8 +1053,8 @@ describe("Core/ScreenSpaceEventHandler", function () { pointerType: "touch", pointerId: 1, }, - touchEndPosition - ) + touchEndPosition, + ), ); } else { DomEventSimulator.fireTouchStart(element, { @@ -1062,7 +1063,7 @@ describe("Core/ScreenSpaceEventHandler", function () { { identifier: 0, }, - touchStartPosition + touchStartPosition, ), ], }); @@ -1072,7 +1073,7 @@ describe("Core/ScreenSpaceEventHandler", function () { { identifier: 0, }, - touchEndPosition + touchEndPosition, ), ], }); @@ -1111,8 +1112,8 @@ describe("Core/ScreenSpaceEventHandler", function () { pointerType: "touch", pointerId: 1, }, - touchStartPosition - ) + touchStartPosition, + ), ); DomEventSimulator.firePointerMove( element, @@ -1121,8 +1122,8 @@ describe("Core/ScreenSpaceEventHandler", function () { pointerType: "touch", pointerId: 1, }, - touchMovePosition - ) + touchMovePosition, + ), ); DomEventSimulator.firePointerCancel( element, @@ -1131,8 +1132,8 @@ describe("Core/ScreenSpaceEventHandler", function () { pointerType: "touch", pointerId: 1, }, - touchEndPosition - ) + touchEndPosition, + ), ); } else { DomEventSimulator.fireTouchStart(element, { @@ -1141,7 +1142,7 @@ describe("Core/ScreenSpaceEventHandler", function () { { identifier: 0, }, - touchStartPosition + touchStartPosition, ), ], }); @@ -1151,7 +1152,7 @@ describe("Core/ScreenSpaceEventHandler", function () { { identifier: 0, }, - touchMovePosition + touchMovePosition, ), ], }); @@ -1161,7 +1162,7 @@ describe("Core/ScreenSpaceEventHandler", function () { { identifier: 0, }, - touchEndPosition + touchEndPosition, ), ], }); @@ -1213,8 +1214,8 @@ describe("Core/ScreenSpaceEventHandler", function () { pointerType: "touch", pointerId: 1, }, - touch1StartPosition - ) + touch1StartPosition, + ), ); DomEventSimulator.firePointerDown( element, @@ -1223,8 +1224,8 @@ describe("Core/ScreenSpaceEventHandler", function () { pointerType: "touch", pointerId: 2, }, - touch2StartPosition - ) + touch2StartPosition, + ), ); } else { DomEventSimulator.fireTouchStart(element, { @@ -1233,7 +1234,7 @@ describe("Core/ScreenSpaceEventHandler", function () { { identifier: 0, }, - touch1StartPosition + touch1StartPosition, ), ], }); @@ -1243,13 +1244,13 @@ describe("Core/ScreenSpaceEventHandler", function () { { identifier: 0, }, - touch1StartPosition + touch1StartPosition, ), combine( { identifier: 1, }, - touch2StartPosition + touch2StartPosition, ), ], }); @@ -1309,8 +1310,8 @@ describe("Core/ScreenSpaceEventHandler", function () { pointerType: "touch", pointerId: 1, }, - touch1StartPosition - ) + touch1StartPosition, + ), ); DomEventSimulator.firePointerDown( element, @@ -1319,8 +1320,8 @@ describe("Core/ScreenSpaceEventHandler", function () { pointerType: "touch", pointerId: 2, }, - touch2StartPosition - ) + touch2StartPosition, + ), ); DomEventSimulator.firePointerMove( element, @@ -1329,8 +1330,8 @@ describe("Core/ScreenSpaceEventHandler", function () { pointerType: "touch", pointerId: 1, }, - touch1MovePosition - ) + touch1MovePosition, + ), ); DomEventSimulator.firePointerMove( element, @@ -1339,8 +1340,8 @@ describe("Core/ScreenSpaceEventHandler", function () { pointerType: "touch", pointerId: 2, }, - touch2MovePosition - ) + touch2MovePosition, + ), ); } else { DomEventSimulator.fireTouchStart(element, { @@ -1349,7 +1350,7 @@ describe("Core/ScreenSpaceEventHandler", function () { { identifier: 0, }, - touch1StartPosition + touch1StartPosition, ), ], }); @@ -1359,13 +1360,13 @@ describe("Core/ScreenSpaceEventHandler", function () { { identifier: 0, }, - touch1StartPosition + touch1StartPosition, ), combine( { identifier: 1, }, - touch2StartPosition + touch2StartPosition, ), ], }); @@ -1375,13 +1376,13 @@ describe("Core/ScreenSpaceEventHandler", function () { { identifier: 0, }, - touch1MovePosition + touch1MovePosition, ), combine( { identifier: 1, }, - touch2MovePosition + touch2MovePosition, ), ], }); @@ -1475,8 +1476,8 @@ describe("Core/ScreenSpaceEventHandler", function () { pointerType: "touch", pointerId: 1, }, - touch1Position - ) + touch1Position, + ), ); DomEventSimulator.firePointerDown( element, @@ -1485,8 +1486,8 @@ describe("Core/ScreenSpaceEventHandler", function () { pointerType: "touch", pointerId: 2, }, - touch2Position - ) + touch2Position, + ), ); // Releasing one of two fingers should not trigger @@ -1499,8 +1500,8 @@ describe("Core/ScreenSpaceEventHandler", function () { pointerType: "touch", pointerId: 1, }, - touch1Position - ) + touch1Position, + ), ); expect(pinchEndAction).not.toHaveBeenCalled(); expect(leftDownAction).not.toHaveBeenCalled(); @@ -1516,8 +1517,8 @@ describe("Core/ScreenSpaceEventHandler", function () { pointerType: "touch", pointerId: 1, }, - touch2Position - ) + touch2Position, + ), ); expect(pinchStartAction).not.toHaveBeenCalled(); @@ -1529,8 +1530,8 @@ describe("Core/ScreenSpaceEventHandler", function () { pointerType: "touch", pointerId: 1, }, - touch2Position - ) + touch2Position, + ), ); DomEventSimulator.firePointerUp( element, @@ -1539,8 +1540,8 @@ describe("Core/ScreenSpaceEventHandler", function () { pointerType: "touch", pointerId: 2, }, - touch2Position - ) + touch2Position, + ), ); expect(pinchEndAction).toHaveBeenCalled(); } else { @@ -1597,8 +1598,8 @@ describe("Core/ScreenSpaceEventHandler", function () { pointerType: "touch", pointerId: 1, }, - touchStartPosition - ) + touchStartPosition, + ), ); DomEventSimulator.firePointerUp( element, @@ -1607,8 +1608,8 @@ describe("Core/ScreenSpaceEventHandler", function () { pointerType: "touch", pointerId: 1, }, - touchEndPosition - ) + touchEndPosition, + ), ); } else { DomEventSimulator.fireTouchStart(element, { @@ -1617,7 +1618,7 @@ describe("Core/ScreenSpaceEventHandler", function () { { identifier: 0, }, - touchStartPosition + touchStartPosition, ), ], }); @@ -1627,7 +1628,7 @@ describe("Core/ScreenSpaceEventHandler", function () { { identifier: 0, }, - touchEndPosition + touchEndPosition, ), ], }); @@ -1682,8 +1683,8 @@ describe("Core/ScreenSpaceEventHandler", function () { pointerType: "touch", pointerId: 1, }, - touchStartPosition - ) + touchStartPosition, + ), ); jasmine.clock().tick(timeout); DomEventSimulator.firePointerUp( @@ -1693,8 +1694,8 @@ describe("Core/ScreenSpaceEventHandler", function () { pointerType: "touch", pointerId: 1, }, - touchEndPosition - ) + touchEndPosition, + ), ); } else { DomEventSimulator.fireTouchStart(element, { @@ -1703,7 +1704,7 @@ describe("Core/ScreenSpaceEventHandler", function () { { identifier: 0, }, - touchStartPosition + touchStartPosition, ), ], }); @@ -1714,7 +1715,7 @@ describe("Core/ScreenSpaceEventHandler", function () { { identifier: 0, }, - touchEndPosition + touchEndPosition, ), ], }); @@ -1783,8 +1784,8 @@ describe("Core/ScreenSpaceEventHandler", function () { pointerType: "touch", pointerId: 1, }, - touchStartPosition - ) + touchStartPosition, + ), ); DomEventSimulator.firePointerCancel( element, @@ -1793,8 +1794,8 @@ describe("Core/ScreenSpaceEventHandler", function () { pointerType: "touch", pointerId: 1, }, - touchEndPosition - ) + touchEndPosition, + ), ); } else { DomEventSimulator.fireTouchStart(element, { @@ -1803,7 +1804,7 @@ describe("Core/ScreenSpaceEventHandler", function () { { identifier: 0, }, - touchStartPosition + touchStartPosition, ), ], }); @@ -1813,7 +1814,7 @@ describe("Core/ScreenSpaceEventHandler", function () { { identifier: 0, }, - touchEndPosition + touchEndPosition, ), ], }); @@ -1857,7 +1858,7 @@ describe("Core/ScreenSpaceEventHandler", function () { handler.destroy(); expect(element.removeEventListener.calls.count()).toEqual( - element.addEventListener.calls.count() + element.addEventListener.calls.count(), ); }); }); diff --git a/packages/engine/Specs/Core/ShowGeometryInstanceAttributeSpec.js b/packages/engine/Specs/Core/ShowGeometryInstanceAttributeSpec.js index ccccf6ddd37c..ebfe89da903b 100644 --- a/packages/engine/Specs/Core/ShowGeometryInstanceAttributeSpec.js +++ b/packages/engine/Specs/Core/ShowGeometryInstanceAttributeSpec.js @@ -7,7 +7,7 @@ describe("Core/ShowGeometryInstanceAttribute", function () { it("constructor", function () { const attribute = new ShowGeometryInstanceAttribute(false); expect(attribute.componentDatatype).toEqual( - ComponentDatatype.UNSIGNED_BYTE + ComponentDatatype.UNSIGNED_BYTE, ); expect(attribute.componentsPerAttribute).toEqual(1); expect(attribute.normalize).toEqual(false); diff --git a/packages/engine/Specs/Core/Simon1994PlanetaryPositionsSpec.js b/packages/engine/Specs/Core/Simon1994PlanetaryPositionsSpec.js index 01d26b41a644..51b47155d828 100644 --- a/packages/engine/Specs/Core/Simon1994PlanetaryPositionsSpec.js +++ b/packages/engine/Specs/Core/Simon1994PlanetaryPositionsSpec.js @@ -84,14 +84,15 @@ describe("Core/Simon1994PlanetaryPositions", function () { for (i = 0; i < 24; i++) { transformMatrix = Transforms.computeIcrfToCentralBodyFixedMatrix( timesOfDay[i], - transformMatrix - ); - const position = PlanetaryPositions.computeSunPositionInEarthInertialFrame( - timesOfDay[i] + transformMatrix, ); + const position = + PlanetaryPositions.computeSunPositionInEarthInertialFrame( + timesOfDay[i], + ); Matrix3.multiplyByVector(transformMatrix, position, position); angles.push( - CesiumMath.convertLongitudeRange(Math.atan2(position.y, position.x)) + CesiumMath.convertLongitudeRange(Math.atan2(position.y, position.x)), ); } //Expect a clockwise motion. diff --git a/packages/engine/Specs/Core/SimplePolylineGeometrySpec.js b/packages/engine/Specs/Core/SimplePolylineGeometrySpec.js index 1375664dfda4..c4c8713f5c71 100644 --- a/packages/engine/Specs/Core/SimplePolylineGeometrySpec.js +++ b/packages/engine/Specs/Core/SimplePolylineGeometrySpec.js @@ -46,12 +46,12 @@ describe("Core/SimplePolylineGeometry", function () { positions: positions, granularity: Math.PI, ellipsoid: Ellipsoid.UNIT_SPHERE, - }) + }), ); expect(line.attributes.position.values).toEqualEpsilon( [1.0, 0.0, 0.0, 0.0, 1.0, 0.0, 0.0, 0.0, 1.0], - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(line.indices).toEqual([0, 1, 1, 2]); expect(line.primitiveType).toEqual(PrimitiveType.LINES); @@ -66,7 +66,7 @@ describe("Core/SimplePolylineGeometry", function () { granularity: Math.PI, ellipsoid: Ellipsoid.UNIT_SPHERE, arcType: ArcType.RHUMB, - }) + }), ); const cartesian3Array = []; @@ -74,7 +74,7 @@ describe("Core/SimplePolylineGeometry", function () { expect(line.attributes.position.values).toEqualEpsilon( cartesian3Array, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); expect(line.indices).toEqual([0, 1, 1, 2]); expect(line.primitiveType).toEqual(PrimitiveType.LINES); @@ -98,7 +98,7 @@ describe("Core/SimplePolylineGeometry", function () { colors: colors, granularity: Math.PI, ellipsoid: Ellipsoid.UNIT_SPHERE, - }) + }), ); expect(line.attributes.color).toBeDefined(); @@ -125,7 +125,7 @@ describe("Core/SimplePolylineGeometry", function () { colorsPerVertex: true, granularity: Math.PI, ellipsoid: Ellipsoid.UNIT_SPHERE, - }) + }), ); expect(line.attributes.color).toBeDefined(); @@ -144,19 +144,11 @@ describe("Core/SimplePolylineGeometry", function () { new SimplePolylineGeometry({ positions: positions, arcType: ArcType.NONE, - }) + }), ); expect(line.attributes.position.values).toEqual([ - 0.0, - 0.0, - 0.0, - 1.0, - 0.0, - 0.0, - 2.0, - 0.0, - 0.0, + 0.0, 0.0, 0.0, 1.0, 0.0, 0.0, 2.0, 0.0, 0.0, ]); expect(line.indices).toEqual([0, 1, 1, 2]); expect(line.primitiveType).toEqual(PrimitiveType.LINES); @@ -179,7 +171,7 @@ describe("Core/SimplePolylineGeometry", function () { positions: positions, colors: colors, arcType: ArcType.NONE, - }) + }), ); expect(line.attributes.color).toBeDefined(); @@ -205,7 +197,7 @@ describe("Core/SimplePolylineGeometry", function () { colors: colors, colorsPerVertex: true, arcType: ArcType.NONE, - }) + }), ); expect(line.attributes.color).toBeDefined(); @@ -228,41 +220,14 @@ describe("Core/SimplePolylineGeometry", function () { ellipsoid: new Ellipsoid(12, 13, 14), }); let packedInstance = [ - 3, - 1, - 2, - 3, - 4, - 5, - 6, - 7, - 8, - 9, - 3, - 1, - 0, - 0, - 1, - 0, - 1, - 0, - 1, - 0, - 0, - 1, - 1, - 12, - 13, - 14, - 1, - 0, - 11, + 3, 1, 2, 3, 4, 5, 6, 7, 8, 9, 3, 1, 0, 0, 1, 0, 1, 0, 1, 0, 0, 1, 1, 12, 13, + 14, 1, 0, 11, ]; createPackableSpecs( SimplePolylineGeometry, line, packedInstance, - "per vertex colors" + "per vertex colors", ); line = new SimplePolylineGeometry({ @@ -288,7 +253,7 @@ describe("Core/SimplePolylineGeometry", function () { SimplePolylineGeometry, line, packedInstance, - "geodesic line" + "geodesic line", ); line = new SimplePolylineGeometry({ @@ -304,7 +269,7 @@ describe("Core/SimplePolylineGeometry", function () { SimplePolylineGeometry, line, packedInstance, - "rhumb line" + "rhumb line", ); line = new SimplePolylineGeometry({ @@ -320,6 +285,6 @@ describe("Core/SimplePolylineGeometry", function () { SimplePolylineGeometry, line, packedInstance, - "straight line" + "straight line", ); }); diff --git a/packages/engine/Specs/Core/SphereGeometrySpec.js b/packages/engine/Specs/Core/SphereGeometrySpec.js index d4aa38e45c5e..6c05a8f85192 100644 --- a/packages/engine/Specs/Core/SphereGeometrySpec.js +++ b/packages/engine/Specs/Core/SphereGeometrySpec.js @@ -31,7 +31,7 @@ describe("Core/SphereGeometry", function () { radius: 1, stackPartitions: 3, slicePartitions: 3, - }) + }), ); // The vertices are 6x6 because an additional slice and stack are added @@ -50,7 +50,7 @@ describe("Core/SphereGeometry", function () { radius: 1, stackPartitions: 3, slicePartitions: 3, - }) + }), ); const numVertices = 36; @@ -70,7 +70,7 @@ describe("Core/SphereGeometry", function () { radius: 1, stackPartitions: 3, slicePartitions: 3, - }) + }), ); const positions = m.attributes.position.values; @@ -86,16 +86,16 @@ describe("Core/SphereGeometry", function () { expect(Cartesian3.magnitude(position)).toEqualEpsilon( 1.0, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(normal).toEqualEpsilon( Cartesian3.normalize(position, position), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(Cartesian3.dot(Cartesian3.UNIT_Z, tangent)).not.toBeLessThan(0.0); expect(bitangent).toEqualEpsilon( Cartesian3.cross(normal, tangent, normal), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); } }); diff --git a/packages/engine/Specs/Core/SphereOutlineGeometrySpec.js b/packages/engine/Specs/Core/SphereOutlineGeometrySpec.js index fe20690fb5bb..973677b2890b 100644 --- a/packages/engine/Specs/Core/SphereOutlineGeometrySpec.js +++ b/packages/engine/Specs/Core/SphereOutlineGeometrySpec.js @@ -33,7 +33,7 @@ describe("Core/SphereOutlineGeometry", function () { stackPartitions: 2, slicePartitions: 2, subdivisions: 2, - }) + }), ); expect(m.attributes.position.values.length).toEqual(12 * 3); diff --git a/packages/engine/Specs/Core/SphericalSpec.js b/packages/engine/Specs/Core/SphericalSpec.js index c5515a14f861..3e38416c67a4 100644 --- a/packages/engine/Specs/Core/SphericalSpec.js +++ b/packages/engine/Specs/Core/SphericalSpec.js @@ -36,13 +36,13 @@ describe("Core/Spherical", function () { const spherical = new Spherical( sixtyDegrees, fortyFiveDegrees + Math.PI / 2.0, - Math.sqrt(8.0) + Math.sqrt(8.0), ); it("Can convert Cartesian3 to a new spherical instance", function () { expect(spherical).toEqualEpsilon( Spherical.fromCartesian3(cartesian), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -50,7 +50,7 @@ describe("Core/Spherical", function () { const existing = new Spherical(); expect(spherical).toEqualEpsilon( Spherical.fromCartesian3(cartesian, existing), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(spherical).toEqualEpsilon(existing, CesiumMath.EPSILON15); }); @@ -110,7 +110,7 @@ describe("Core/Spherical", function () { it("equalsEpsilon returns false for expected values.", function () { expect(new Spherical(1, 2, 1)).not.toEqualEpsilon( new NotSpherical(1, 2, 3), - 1 + 1, ); }); diff --git a/packages/engine/Specs/Core/SplineSpec.js b/packages/engine/Specs/Core/SplineSpec.js index 8a38ca5a2acb..94570c49ce62 100644 --- a/packages/engine/Specs/Core/SplineSpec.js +++ b/packages/engine/Specs/Core/SplineSpec.js @@ -93,7 +93,7 @@ describe("Core/Spline", function () { // jump far forward expect(spline.findTimeInterval(times[times.length - 2], 0)).toEqual( - times.length - 2 + times.length - 2, ); // jump far back diff --git a/packages/engine/Specs/Core/StereographicSpec.js b/packages/engine/Specs/Core/StereographicSpec.js index 60c742a4b3c7..285e8b39bd36 100644 --- a/packages/engine/Specs/Core/StereographicSpec.js +++ b/packages/engine/Specs/Core/StereographicSpec.js @@ -11,208 +11,208 @@ describe("Core/Stereographic", function () { expect(stereographic.x).toEqual(0.0); expect(stereographic.y).toEqual(0.0); expect(stereographic.tangentPlane).toEqual( - Stereographic.NORTH_POLE_TANGENT_PLANE + Stereographic.NORTH_POLE_TANGENT_PLANE, ); }); it("construct with values", function () { const stereographic = new Stereographic( new Cartesian2(1.0, 2.0), - Stereographic.SOUTH_POLE_TANGENT_PLANE + Stereographic.SOUTH_POLE_TANGENT_PLANE, ); expect(stereographic.x).toEqual(1.0); expect(stereographic.y).toEqual(2.0); expect(stereographic.tangentPlane).toEqual( - Stereographic.SOUTH_POLE_TANGENT_PLANE + Stereographic.SOUTH_POLE_TANGENT_PLANE, ); }); it("fromCartesian constructs a Stereographic in the northern hemisphere", function () { const stereographic = new Stereographic.fromCartesian( - Cartesian3.fromDegrees(30.0, 60.0) + Cartesian3.fromDegrees(30.0, 60.0), ); expect(stereographic.x).toEqualEpsilon(0.1347555369, CesiumMath.EPSILON7); expect(stereographic.y).toEqualEpsilon(-0.2334034365, CesiumMath.EPSILON7); expect(stereographic.tangentPlane).toEqual( - Stereographic.NORTH_POLE_TANGENT_PLANE + Stereographic.NORTH_POLE_TANGENT_PLANE, ); }); it("fromCartesian constructs a Stereographic at 0,0", function () { const stereographic = new Stereographic.fromCartesian( - Cartesian3.fromDegrees(0.0, 0.0) + Cartesian3.fromDegrees(0.0, 0.0), ); expect(stereographic.x).toEqualEpsilon(0.0, CesiumMath.EPSILON7); expect(stereographic.y).toEqualEpsilon(-1.0, CesiumMath.EPSILON7); expect(stereographic.tangentPlane).toEqual( - Stereographic.NORTH_POLE_TANGENT_PLANE + Stereographic.NORTH_POLE_TANGENT_PLANE, ); }); it("fromCartesian constructs a Stereographic in the southern hemisphere", function () { const stereographic = new Stereographic.fromCartesian( - Cartesian3.fromDegrees(30.0, -60.0) + Cartesian3.fromDegrees(30.0, -60.0), ); expect(stereographic.x).toEqualEpsilon(0.1347555369, CesiumMath.EPSILON7); expect(stereographic.y).toEqualEpsilon(-0.2334034365, CesiumMath.EPSILON7); expect(stereographic.tangentPlane).toEqual( - Stereographic.SOUTH_POLE_TANGENT_PLANE + Stereographic.SOUTH_POLE_TANGENT_PLANE, ); }); it("can get longitude from a Stereographic in the northern hemisphere", function () { let stereographic = new Stereographic.fromCartesian( - Cartesian3.fromDegrees(30.0, 60.0) + Cartesian3.fromDegrees(30.0, 60.0), ); expect(stereographic.longitude).toEqualEpsilon( CesiumMath.toRadians(30.0), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); stereographic = new Stereographic.fromCartesian( - Cartesian3.fromDegrees(60.0, 30.0) + Cartesian3.fromDegrees(60.0, 30.0), ); expect(stereographic.longitude).toEqualEpsilon( CesiumMath.toRadians(60.0), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); stereographic = new Stereographic.fromCartesian( - Cartesian3.fromDegrees(-60.0, 30.0) + Cartesian3.fromDegrees(-60.0, 30.0), ); expect(stereographic.longitude).toEqualEpsilon( CesiumMath.toRadians(-60.0), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); stereographic = new Stereographic.fromCartesian( - Cartesian3.fromDegrees(-135.0, 60.0) + Cartesian3.fromDegrees(-135.0, 60.0), ); expect(stereographic.longitude).toEqualEpsilon( CesiumMath.toRadians(-135.0), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); stereographic = new Stereographic.fromCartesian( - Cartesian3.fromDegrees(135.0, 60.0) + Cartesian3.fromDegrees(135.0, 60.0), ); expect(stereographic.longitude).toEqualEpsilon( CesiumMath.toRadians(135.0), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); it("can get longitude from a Stereographic in the southern hemisphere", function () { let stereographic = new Stereographic.fromCartesian( - Cartesian3.fromDegrees(30.0, -60.0) + Cartesian3.fromDegrees(30.0, -60.0), ); expect(stereographic.longitude).toEqualEpsilon( CesiumMath.toRadians(30.0), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); stereographic = new Stereographic.fromCartesian( - Cartesian3.fromDegrees(60.0, -30.0) + Cartesian3.fromDegrees(60.0, -30.0), ); expect(stereographic.longitude).toEqualEpsilon( CesiumMath.toRadians(60.0), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); stereographic = new Stereographic.fromCartesian( - Cartesian3.fromDegrees(-60.0, -30.0) + Cartesian3.fromDegrees(-60.0, -30.0), ); expect(stereographic.longitude).toEqualEpsilon( CesiumMath.toRadians(-60.0), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); stereographic = new Stereographic.fromCartesian( - Cartesian3.fromDegrees(-135.0, -60.0) + Cartesian3.fromDegrees(-135.0, -60.0), ); expect(stereographic.longitude).toEqualEpsilon( CesiumMath.toRadians(-135.0), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); stereographic = new Stereographic.fromCartesian( - Cartesian3.fromDegrees(135.0, -60.0) + Cartesian3.fromDegrees(135.0, -60.0), ); expect(stereographic.longitude).toEqualEpsilon( CesiumMath.toRadians(135.0), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); it("can get conformal latitidude from a Stereographic in the northern hemisphere", function () { let stereographic = new Stereographic.fromCartesian( - Cartesian3.fromDegrees(30.0, 60.0) + Cartesian3.fromDegrees(30.0, 60.0), ); expect(stereographic.conformalLatitude).toEqualEpsilon( 1.04428418, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); stereographic = new Stereographic.fromCartesian( - Cartesian3.fromDegrees(60.0, 30.0) + Cartesian3.fromDegrees(60.0, 30.0), ); expect(stereographic.conformalLatitude).toEqualEpsilon( 0.52069517, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); it("can get conformal latitidude from a Stereographic in the southern hemisphere", function () { let stereographic = new Stereographic.fromCartesian( - Cartesian3.fromDegrees(30.0, -60.0) + Cartesian3.fromDegrees(30.0, -60.0), ); expect(stereographic.conformalLatitude).toEqualEpsilon( -1.04428418, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); stereographic = new Stereographic.fromCartesian( - Cartesian3.fromDegrees(60.0, -30.0) + Cartesian3.fromDegrees(60.0, -30.0), ); expect(stereographic.conformalLatitude).toEqualEpsilon( -0.52069517, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); it("can get latitidude from a Stereographic in the northern hemisphere", function () { let stereographic = new Stereographic.fromCartesian( - Cartesian3.fromDegrees(30.0, 60.0) + Cartesian3.fromDegrees(30.0, 60.0), ); expect(stereographic.getLatitude()).toEqualEpsilon( CesiumMath.toRadians(60.0), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); stereographic = new Stereographic.fromCartesian( - Cartesian3.fromDegrees(60.0, 30.0) + Cartesian3.fromDegrees(60.0, 30.0), ); expect(stereographic.getLatitude()).toEqualEpsilon( CesiumMath.toRadians(30.0), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); it("can get latitidude from a Stereographic in the southern hemisphere", function () { let stereographic = new Stereographic.fromCartesian( - Cartesian3.fromDegrees(30.0, -60.0) + Cartesian3.fromDegrees(30.0, -60.0), ); expect(stereographic.getLatitude()).toEqualEpsilon( CesiumMath.toRadians(-60.0), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); stereographic = new Stereographic.fromCartesian( - Cartesian3.fromDegrees(60.0, -30.0) + Cartesian3.fromDegrees(60.0, -30.0), ); expect(stereographic.getLatitude()).toEqualEpsilon( CesiumMath.toRadians(-30.0), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); @@ -225,33 +225,33 @@ describe("Core/Stereographic", function () { expect(stereographics[0].x).toEqualEpsilon( 0.1347555369, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(stereographics[0].y).toEqualEpsilon( -0.2334034365, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(stereographics[0].tangentPlane).toEqual( - Stereographic.NORTH_POLE_TANGENT_PLANE + Stereographic.NORTH_POLE_TANGENT_PLANE, ); expect(stereographics[1].x).toEqualEpsilon( 0.1347555369, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(stereographics[1].y).toEqualEpsilon( -0.2334034365, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(stereographics[1].tangentPlane).toEqual( - Stereographic.SOUTH_POLE_TANGENT_PLANE + Stereographic.SOUTH_POLE_TANGENT_PLANE, ); }); it("clone works with a result parameter", function () { const stereographic = new Stereographic( new Cartesian2(1.0, 2.0), - Stereographic.SOUTH_POLE_TANGENT_PLANE + Stereographic.SOUTH_POLE_TANGENT_PLANE, ); const result = new Stereographic(); const returnedResult = Stereographic.clone(stereographic, result); @@ -263,7 +263,7 @@ describe("Core/Stereographic", function () { it("clone works without result parmater", function () { const stereographic = new Stereographic( new Cartesian2(1.0, 2.0), - Stereographic.SOUTH_POLE_TANGENT_PLANE + Stereographic.SOUTH_POLE_TANGENT_PLANE, ); const result = Stereographic.clone(stereographic); expect(stereographic).not.toBe(result); diff --git a/packages/engine/Specs/Core/TaskProcessorSpec.js b/packages/engine/Specs/Core/TaskProcessorSpec.js index 80c541b87769..9279ca0ba673 100644 --- a/packages/engine/Specs/Core/TaskProcessorSpec.js +++ b/packages/engine/Specs/Core/TaskProcessorSpec.js @@ -22,7 +22,7 @@ describe("Core/TaskProcessor", function () { it("throws runtime error if browser is not supported", async function () { spyOn(FeatureDetection, "supportsEsmWebWorkers").and.returnValue(false); taskProcessor = new TaskProcessor( - absolutize("../Specs/Build/TestWorkers/returnParameters.js") + absolutize("../Specs/Build/TestWorkers/returnParameters.js"), ); expect(() => taskProcessor.scheduleTask()).toThrowError(RuntimeError); @@ -30,7 +30,7 @@ describe("Core/TaskProcessor", function () { it("works with a simple worker", async function () { taskProcessor = new TaskProcessor( - absolutize("../Build/Specs/TestWorkers/returnParameters.js") + absolutize("../Build/Specs/TestWorkers/returnParameters.js"), ); const parameters = { @@ -41,7 +41,7 @@ describe("Core/TaskProcessor", function () { }; await expectAsync(taskProcessor.scheduleTask(parameters)).toBeResolvedTo( - parameters + parameters, ); }); @@ -49,7 +49,7 @@ describe("Core/TaskProcessor", function () { window.CESIUM_WORKERS = undefined; TaskProcessor._workerModulePrefix = absolutize( - "../Build/Specs/TestWorkers/" + "../Build/Specs/TestWorkers/", ); taskProcessor = new TaskProcessor("returnParameters.js"); @@ -61,7 +61,7 @@ describe("Core/TaskProcessor", function () { }; await expectAsync(taskProcessor.scheduleTask(parameters)).toBeResolvedTo( - parameters + parameters, ); }); @@ -82,7 +82,7 @@ describe("Core/TaskProcessor", function () { expect(blobSpy).toHaveBeenCalledWith( [`import "http://test.com/source/Workers/transferTypedArrayTest.js";`], - { type: "application/javascript" } + { type: "application/javascript" }, ); // Reset old values for BASE_URL @@ -101,13 +101,13 @@ describe("Core/TaskProcessor", function () { expect(blobSpy).toHaveBeenCalledWith( [`import "http://test.com/Workers/testing.js";`], - { type: "application/javascript" } + { type: "application/javascript" }, ); }); it("can be destroyed", function () { taskProcessor = new TaskProcessor( - absolutize("../Specs/Build/TestWorkers/returnParameters.js") + absolutize("../Specs/Build/TestWorkers/returnParameters.js"), ); expect(taskProcessor.isDestroyed()).toEqual(false); @@ -119,7 +119,7 @@ describe("Core/TaskProcessor", function () { it("can transfer array buffer", async function () { taskProcessor = new TaskProcessor( - absolutize("../Build/Specs/TestWorkers/returnByteLength.js") + absolutize("../Build/Specs/TestWorkers/returnByteLength.js"), ); const byteLength = 100; @@ -140,7 +140,7 @@ describe("Core/TaskProcessor", function () { it("can transfer array buffer back from worker", async function () { taskProcessor = new TaskProcessor( - absolutize("../Build/Specs/TestWorkers/transferArrayBuffer.js") + absolutize("../Build/Specs/TestWorkers/transferArrayBuffer.js"), ); const byteLength = 100; @@ -155,7 +155,7 @@ describe("Core/TaskProcessor", function () { it("rejects promise if worker throws", async function () { taskProcessor = new TaskProcessor( - absolutize("../Build/Specs/TestWorkers/throwError.js") + absolutize("../Build/Specs/TestWorkers/throwError.js"), ); const message = "foo"; @@ -164,13 +164,13 @@ describe("Core/TaskProcessor", function () { }; await expectAsync( - taskProcessor.scheduleTask(parameters) + taskProcessor.scheduleTask(parameters), ).toBeRejectedWithError(Error, message); }); it("rejects promise if worker returns a non-clonable result", async function () { taskProcessor = new TaskProcessor( - absolutize("../Build/Specs/TestWorkers/returnNonCloneable.js") + absolutize("../Build/Specs/TestWorkers/returnNonCloneable.js"), ); const message = "foo"; @@ -179,13 +179,13 @@ describe("Core/TaskProcessor", function () { }; await expectAsync(taskProcessor.scheduleTask(parameters)).toBeRejectedWith( - jasmine.stringContaining("postMessage failed") + jasmine.stringContaining("postMessage failed"), ); }); it("successful task raises the taskCompletedEvent", async function () { taskProcessor = new TaskProcessor( - absolutize("../Build/Specs/TestWorkers/returnParameters.js") + absolutize("../Build/Specs/TestWorkers/returnParameters.js"), ); const parameters = { @@ -195,11 +195,10 @@ describe("Core/TaskProcessor", function () { }, }; let eventRaised = false; - const removeListenerCallback = TaskProcessor.taskCompletedEvent.addEventListener( - function () { + const removeListenerCallback = + TaskProcessor.taskCompletedEvent.addEventListener(function () { eventRaised = true; - } - ); + }); await expectAsync(taskProcessor.scheduleTask(parameters)).toBeResolved(); expect(eventRaised).toBe(true); @@ -208,7 +207,7 @@ describe("Core/TaskProcessor", function () { it("unsuccessful task raises the taskCompletedEvent with error", async function () { taskProcessor = new TaskProcessor( - absolutize("../Build/Specs/TestWorkers/returnNonCloneable.js") + absolutize("../Build/Specs/TestWorkers/returnNonCloneable.js"), ); const message = "foo"; @@ -217,12 +216,11 @@ describe("Core/TaskProcessor", function () { }; let eventRaised = false; - const removeListenerCallback = TaskProcessor.taskCompletedEvent.addEventListener( - function (error) { + const removeListenerCallback = + TaskProcessor.taskCompletedEvent.addEventListener(function (error) { eventRaised = true; expect(error).toBeDefined(); - } - ); + }); await expectAsync(taskProcessor.scheduleTask(parameters)).toBeRejected(); expect(eventRaised).toBe(true); @@ -232,7 +230,7 @@ describe("Core/TaskProcessor", function () { it("can load and compile web assembly module", async function () { const binaryUrl = absolutize("../Specs/TestWorkers/TestWasm/testWasm.wasm"); taskProcessor = new TaskProcessor( - absolutize("../Build/Specs/TestWorkers/returnWasmConfig.js", 5) + absolutize("../Build/Specs/TestWorkers/returnWasmConfig.js", 5), ); const result = await taskProcessor.initWebAssemblyModule({ wasmBinaryFile: binaryUrl, @@ -249,7 +247,7 @@ describe("Core/TaskProcessor", function () { it("uses a backup module if web assembly is not supported", async function () { const binaryUrl = absolutize("../Specs/TestWorkers/TestWasm/testWasm.wasm"); taskProcessor = new TaskProcessor( - absolutize("../Build/Specs/TestWorkers/returnWasmConfig.js", 5) + absolutize("../Build/Specs/TestWorkers/returnWasmConfig.js", 5), ); spyOn(FeatureDetection, "supportsWebAssembly").and.returnValue(false); @@ -267,7 +265,7 @@ describe("Core/TaskProcessor", function () { it("throws runtime error if web assembly is not supported and no backup is provided", async function () { const binaryUrl = absolutize("../Specs/TestWorkers/TestWasm/testWasm.wasm"); taskProcessor = new TaskProcessor( - absolutize("../Build/Specs/TestWorkers/returnWasmConfig.js", 5) + absolutize("../Build/Specs/TestWorkers/returnWasmConfig.js", 5), ); spyOn(FeatureDetection, "supportsWebAssembly").and.returnValue(false); @@ -275,7 +273,7 @@ describe("Core/TaskProcessor", function () { await expectAsync( taskProcessor.initWebAssemblyModule({ wasmBinaryFile: binaryUrl, - }) + }), ).toBeRejectedWithError(RuntimeError); }); }); diff --git a/packages/engine/Specs/Core/TerrainEncodingSpec.js b/packages/engine/Specs/Core/TerrainEncodingSpec.js index 3856b6a59521..b6a896a3be58 100644 --- a/packages/engine/Specs/Core/TerrainEncodingSpec.js +++ b/packages/engine/Specs/Core/TerrainEncodingSpec.js @@ -56,7 +56,7 @@ describe("Core/TerrainEncoding", function () { minimumHeight, maximumHeight, fromENU, - hasVertexNormals + hasVertexNormals, ); expect(encoding.quantization).toEqual(TerrainQuantization.NONE); @@ -85,7 +85,7 @@ describe("Core/TerrainEncoding", function () { minimumHeight, maximumHeight, fromENU, - hasVertexNormals + hasVertexNormals, ); expect(encoding.quantization).toEqual(TerrainQuantization.BITS12); @@ -114,7 +114,7 @@ describe("Core/TerrainEncoding", function () { minimumHeight, maximumHeight, fromENU, - hasVertexNormals + hasVertexNormals, ); const position = new Cartesian3(1.0e3, 1.0e3, 1.0e3); @@ -142,7 +142,7 @@ describe("Core/TerrainEncoding", function () { minimumHeight, maximumHeight, fromENU, - hasVertexNormals + hasVertexNormals, ); const position = new Cartesian3(1.0e3, 1.0e3, 1.0e3); @@ -166,7 +166,7 @@ describe("Core/TerrainEncoding", function () { minimumHeight, maximumHeight, fromENU, - hasVertexNormals + hasVertexNormals, ); const position = new Cartesian3(1.0e2, 1.0e2, 1.0e2); @@ -189,7 +189,7 @@ describe("Core/TerrainEncoding", function () { minimumHeight, maximumHeight, fromENU, - hasVertexNormals + hasVertexNormals, ); const position = new Cartesian3(1.0e2, 1.0e2, 1.0e2); @@ -219,7 +219,7 @@ describe("Core/TerrainEncoding", function () { const exaggeratedHeight = VerticalExaggeration.getHeight( height, exaggeration, - exaggerationRelativeHeight + exaggerationRelativeHeight, ); const exaggeratedPosition = new Cartesian3(exaggeratedHeight, 0.0, 0.0); @@ -239,7 +239,7 @@ describe("Core/TerrainEncoding", function () { hasWebMercatorT, hasGeodeticSurfaceNormals, exaggeration, - exaggerationRelativeHeight + exaggerationRelativeHeight, ); const buffer = []; @@ -251,17 +251,17 @@ describe("Core/TerrainEncoding", function () { height, undefined, undefined, - geodeticSurfaceNormal + geodeticSurfaceNormal, ); expect(encoding.stride).toEqual(9); expect(buffer.length).toEqual(encoding.stride); expect(encoding.getExaggeratedPosition(buffer, 0)).toEqualEpsilon( exaggeratedPosition, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); expect( - encoding.decodeGeodeticSurfaceNormal(buffer, 0, new Cartesian3()) + encoding.decodeGeodeticSurfaceNormal(buffer, 0, new Cartesian3()), ).toEqualEpsilon(geodeticSurfaceNormal, CesiumMath.EPSILON5); }); @@ -273,7 +273,7 @@ describe("Core/TerrainEncoding", function () { minimumHeight, maximumHeight, fromENU, - hasVertexNormals + hasVertexNormals, ); const texCoords = new Cartesian2(0.25, 0.75); @@ -286,7 +286,7 @@ describe("Core/TerrainEncoding", function () { expect(encoding.decodeTextureCoordinates(buffer, 0)).toEqualEpsilon( texCoords, - 1.0 / 4095.0 + 1.0 / 4095.0, ); }); @@ -298,7 +298,7 @@ describe("Core/TerrainEncoding", function () { minimumHeight, maximumHeight, fromENU, - hasVertexNormals + hasVertexNormals, ); const texCoords = new Cartesian2(0.75, 0.25); @@ -310,7 +310,7 @@ describe("Core/TerrainEncoding", function () { Cartesian3.ZERO, texCoords, 100.0, - Cartesian3.UNIT_X + Cartesian3.UNIT_X, ); expect(encoding.stride).toEqual(4); @@ -318,7 +318,7 @@ describe("Core/TerrainEncoding", function () { expect(encoding.decodeTextureCoordinates(buffer, 0)).toEqualEpsilon( texCoords, - 1.0 / 4095.0 + 1.0 / 4095.0, ); }); @@ -332,7 +332,7 @@ describe("Core/TerrainEncoding", function () { minimumHeight, maximumHeight, fromENU, - hasVertexNormals + hasVertexNormals, ); const buffer = []; @@ -344,7 +344,7 @@ describe("Core/TerrainEncoding", function () { expect(encoding.decodeHeight(buffer, 0)).toEqualEpsilon( height, - 200.0 / 4095.0 + 200.0 / 4095.0, ); }); @@ -358,7 +358,7 @@ describe("Core/TerrainEncoding", function () { minimumHeight, maximumHeight, fromENU, - hasVertexNormals + hasVertexNormals, ); const buffer = []; @@ -369,7 +369,7 @@ describe("Core/TerrainEncoding", function () { center, Cartesian2.ZERO, height, - Cartesian3.UNIT_X + Cartesian3.UNIT_X, ); expect(encoding.stride).toEqual(4); @@ -377,7 +377,7 @@ describe("Core/TerrainEncoding", function () { expect(encoding.decodeHeight(buffer, 0)).toEqualEpsilon( height, - 200.0 / 4095.0 + 200.0 / 4095.0, ); }); @@ -389,7 +389,7 @@ describe("Core/TerrainEncoding", function () { minimumHeight, maximumHeight, fromENU, - hasVertexNormals + hasVertexNormals, ); const normal = new Cartesian3(1.0, 1.0, 1.0); @@ -403,7 +403,7 @@ describe("Core/TerrainEncoding", function () { center, Cartesian2.ZERO, minimumHeight, - octNormal + octNormal, ); expect(encoding.stride).toEqual(4); @@ -420,7 +420,7 @@ describe("Core/TerrainEncoding", function () { minimumHeight, maximumHeight, fromENU, - hasVertexNormals + hasVertexNormals, ); const oldBuffer = []; @@ -450,7 +450,7 @@ describe("Core/TerrainEncoding", function () { fromENU, hasVertexNormals, hasWebMarcatorT, - hasGeodeticSurfaceNormals + hasGeodeticSurfaceNormals, ); const geodeticSurfaceNormal = new Cartesian3(1.0, 0.0, 0.0); @@ -463,7 +463,7 @@ describe("Core/TerrainEncoding", function () { minimumHeight, undefined, undefined, - geodeticSurfaceNormal + geodeticSurfaceNormal, ); const oldStride = encoding.stride; @@ -496,7 +496,7 @@ describe("Core/TerrainEncoding", function () { minimumHeight, maximumHeight, fromENU, - hasVertexNormals + hasVertexNormals, ); const buffer = []; @@ -525,7 +525,7 @@ describe("Core/TerrainEncoding", function () { minimumHeight, maximumHeight, fromENU, - hasVertexNormals + hasVertexNormals, ); const attributeLocations = encoding.getAttributeLocations(); @@ -551,7 +551,7 @@ describe("Core/TerrainEncoding", function () { minimumHeight, maximumHeight, fromENU, - hasVertexNormals + hasVertexNormals, ); const cloned = TerrainEncoding.clone(encoding); @@ -584,7 +584,7 @@ describe("Core/TerrainEncoding", function () { minimumHeight, maximumHeight, fromENU, - hasVertexNormals + hasVertexNormals, ); const result = new TerrainEncoding(); const cloned = TerrainEncoding.clone(encoding, result); diff --git a/packages/engine/Specs/Core/TileAvailabilitySpec.js b/packages/engine/Specs/Core/TileAvailabilitySpec.js index 6dabad854007..fe4766f80417 100644 --- a/packages/engine/Specs/Core/TileAvailabilitySpec.js +++ b/packages/engine/Specs/Core/TileAvailabilitySpec.js @@ -18,7 +18,7 @@ describe("Core/TileAvailability", function () { 0, 0, tilingScheme.getNumberOfXTilesAtLevel(), - tilingScheme.getNumberOfYTilesAtLevel() + tilingScheme.getNumberOfYTilesAtLevel(), ); return availability; } @@ -28,8 +28,8 @@ describe("Core/TileAvailability", function () { const availability = createAvailability(webMercator, 15); expect( availability.computeMaximumLevelAtPosition( - Cartographic.fromDegrees(25.0, 88.0) - ) + Cartographic.fromDegrees(25.0, 88.0), + ), ).toBe(-1); }); @@ -37,8 +37,8 @@ describe("Core/TileAvailability", function () { const availability = createAvailability(geographic, 15); expect( availability.computeMaximumLevelAtPosition( - Cartographic.fromDegrees(25.0, 88.0) - ) + Cartographic.fromDegrees(25.0, 88.0), + ), ).toBe(0); }); @@ -48,8 +48,8 @@ describe("Core/TileAvailability", function () { availability.addAvailableTileRange(1, 1, 0, 1, 0); expect( availability.computeMaximumLevelAtPosition( - Cartographic.fromRadians(0.0, 0.0) - ) + Cartographic.fromRadians(0.0, 0.0), + ), ).toBe(1); // Make sure it isn't dependent on the order we add the rectangles. @@ -58,8 +58,8 @@ describe("Core/TileAvailability", function () { availability.addAvailableTileRange(0, 0, 0, 0, 0); expect( availability.computeMaximumLevelAtPosition( - Cartographic.fromRadians(0.0, 0.0) - ) + Cartographic.fromRadians(0.0, 0.0), + ), ).toBe(1); }); @@ -69,8 +69,8 @@ describe("Core/TileAvailability", function () { availability.addAvailableTileRange(1, 1, 1, 1, 1); expect( availability.computeMaximumLevelAtPosition( - Cartographic.fromRadians(-Math.PI / 2.0, 0.0) - ) + Cartographic.fromRadians(-Math.PI / 2.0, 0.0), + ), ).toBe(1); }); }); @@ -80,8 +80,8 @@ describe("Core/TileAvailability", function () { const availability = createAvailability(geographic, 15); expect( availability.computeBestAvailableLevelOverRectangle( - Rectangle.fromDegrees(1.0, 2.0, 3.0, 4.0) - ) + Rectangle.fromDegrees(1.0, 2.0, 3.0, 4.0), + ), ).toBe(0); }); @@ -92,13 +92,13 @@ describe("Core/TileAvailability", function () { 0, 0, geographic.getNumberOfXTilesAtLevel(5) - 1, - geographic.getNumberOfYTilesAtLevel(5) - 1 + geographic.getNumberOfYTilesAtLevel(5) - 1, ); availability.addAvailableTileRange(6, 7, 8, 9, 10); expect( availability.computeBestAvailableLevelOverRectangle( - Rectangle.fromDegrees(1.0, 2.0, 3.0, 4.0) - ) + Rectangle.fromDegrees(1.0, 2.0, 3.0, 4.0), + ), ).toBe(5); }); @@ -109,12 +109,12 @@ describe("Core/TileAvailability", function () { 0, 0, geographic.getNumberOfXTilesAtLevel(5) - 1, - geographic.getNumberOfYTilesAtLevel(5) - 1 + geographic.getNumberOfYTilesAtLevel(5) - 1, ); availability.addAvailableTileRange(6, 7, 8, 9, 10); const rectangle = geographic.tileXYToRectangle(8, 9, 6); expect( - availability.computeBestAvailableLevelOverRectangle(rectangle) + availability.computeBestAvailableLevelOverRectangle(rectangle), ).toBe(6); }); @@ -125,7 +125,7 @@ describe("Core/TileAvailability", function () { 0, 0, geographic.getNumberOfXTilesAtLevel(5) - 1, - geographic.getNumberOfYTilesAtLevel(5) - 1 + geographic.getNumberOfYTilesAtLevel(5) - 1, ); availability.addAvailableTileRange(6, 7, 8, 7, 8); const rectangle = geographic.tileXYToRectangle(7, 8, 6); @@ -134,7 +134,7 @@ describe("Core/TileAvailability", function () { rectangle.south -= 0.01; rectangle.north += 0.01; expect( - availability.computeBestAvailableLevelOverRectangle(rectangle) + availability.computeBestAvailableLevelOverRectangle(rectangle), ).toBe(5); }); @@ -145,30 +145,30 @@ describe("Core/TileAvailability", function () { 0, 0, geographic.getNumberOfXTilesAtLevel(5) - 1, - geographic.getNumberOfYTilesAtLevel(5) - 1 + geographic.getNumberOfYTilesAtLevel(5) - 1, ); availability.addAvailableTileRange( 6, 0, 0, 10, - geographic.getNumberOfYTilesAtLevel(6) - 1 + geographic.getNumberOfYTilesAtLevel(6) - 1, ); availability.addAvailableTileRange( 6, geographic.getNumberOfXTilesAtLevel(6) - 11, 0, geographic.getNumberOfXTilesAtLevel(6) - 1, - geographic.getNumberOfYTilesAtLevel(6) - 1 + geographic.getNumberOfYTilesAtLevel(6) - 1, ); let rectangle = Rectangle.fromDegrees(179.0, 45.0, -179.0, 50.0); expect( - availability.computeBestAvailableLevelOverRectangle(rectangle) + availability.computeBestAvailableLevelOverRectangle(rectangle), ).toBe(6); rectangle = Rectangle.fromDegrees(45.0, 45.0, -45.0, 50.0); expect( - availability.computeBestAvailableLevelOverRectangle(rectangle) + availability.computeBestAvailableLevelOverRectangle(rectangle), ).toBe(5); }); @@ -179,7 +179,7 @@ describe("Core/TileAvailability", function () { 0, 0, geographic.getNumberOfXTilesAtLevel(5) - 1, - geographic.getNumberOfYTilesAtLevel(5) - 1 + geographic.getNumberOfYTilesAtLevel(5) - 1, ); availability.addAvailableTileRange(6, 0, 2, 1, 3); availability.addAvailableTileRange(6, 2, 0, 3, 1); @@ -187,7 +187,7 @@ describe("Core/TileAvailability", function () { availability.addAvailableTileRange(6, 2, 2, 3, 3); const rectangle = geographic.tileXYToRectangle(0, 0, 4); expect( - availability.computeBestAvailableLevelOverRectangle(rectangle) + availability.computeBestAvailableLevelOverRectangle(rectangle), ).toBe(6); }); }); @@ -202,7 +202,7 @@ describe("Core/TileAvailability", function () { for (let i = 0; i < levelRectangles.length; ++i) { for (let j = i; j < levelRectangles.length; ++j) { expect(levelRectangles[i].level <= levelRectangles[j].level).toBe( - true + true, ); } } @@ -219,8 +219,8 @@ describe("Core/TileAvailability", function () { availability.addAvailableTileRange(1, 0, 0, 3, 1); expect( availability.computeMaximumLevelAtPosition( - new Cartographic(-Math.PI / 2.0, 0.0) - ) + new Cartographic(-Math.PI / 2.0, 0.0), + ), ).toBe(1); // We should get the same result adding them in the opposite order. @@ -229,8 +229,8 @@ describe("Core/TileAvailability", function () { availability.addAvailableTileRange(0, 0, 0, 1, 0); expect( availability.computeMaximumLevelAtPosition( - new Cartographic(-Math.PI / 2.0, 0.0) - ) + new Cartographic(-Math.PI / 2.0, 0.0), + ), ).toBe(1); }); diff --git a/packages/engine/Specs/Core/TimeIntervalCollectionSpec.js b/packages/engine/Specs/Core/TimeIntervalCollectionSpec.js index 707215ec6292..f365491cb12f 100644 --- a/packages/engine/Specs/Core/TimeIntervalCollectionSpec.js +++ b/packages/engine/Specs/Core/TimeIntervalCollectionSpec.js @@ -26,7 +26,7 @@ describe("Core/TimeIntervalCollection", function () { julianDates, isStartIncluded, isStopIncluded, - dataCallback + dataCallback, ) { dataCallback = defaultValue(dataCallback, defaultDataCallback); const length = intervals.length; @@ -37,7 +37,7 @@ describe("Core/TimeIntervalCollection", function () { expect(JulianDate.compare(interval.stop, julianDates[i + 1])).toEqual(0); expect(interval.isStartIncluded).toBe(i === 0 ? isStartIncluded : true); expect(interval.isStopIncluded).toBe( - i === length - 1 ? isStopIncluded : false + i === length - 1 ? isStopIncluded : false, ); expect(interval.data).toEqual(dataCallback(interval, i)); } @@ -291,7 +291,7 @@ describe("Core/TimeIntervalCollection", function () { stop: interval2.stop, isStartIncluded: true, isStopIncluded: false, - }) + }), ).toEqual(interval2); }); @@ -325,7 +325,7 @@ describe("Core/TimeIntervalCollection", function () { intervals.findInterval({ start: interval2.start, stop: interval2.stop, - }) + }), ).toEqual(interval2); }); @@ -357,7 +357,7 @@ describe("Core/TimeIntervalCollection", function () { stop: new JulianDate(2), isStartIncluded: false, isStopIncluded: true, - }) + }), ); expect(intervals.isEmpty).toEqual(false); intervals.removeAll(); @@ -375,7 +375,7 @@ describe("Core/TimeIntervalCollection", function () { isStartIncluded: true, isStopIncluded: true, data: 1, - }) + }), ); expect(intervals.length).toEqual(1); @@ -386,7 +386,7 @@ describe("Core/TimeIntervalCollection", function () { isStartIncluded: true, isStopIncluded: true, data: 2, - }) + }), ); expect(intervals.length).toEqual(3); @@ -404,7 +404,7 @@ describe("Core/TimeIntervalCollection", function () { isStartIncluded: true, isStopIncluded: true, data: 1, - }) + }), ); expect(intervals.length).toEqual(1); @@ -415,7 +415,7 @@ describe("Core/TimeIntervalCollection", function () { isStartIncluded: true, isStopIncluded: true, data: 1, - }) + }), ); expect(intervals.length).toEqual(1); @@ -455,10 +455,10 @@ describe("Core/TimeIntervalCollection", function () { expect(intervals.isEmpty).toEqual(false); expect(intervals.findIntervalContainingDate(interval1.start)).toEqual( - interval1 + interval1, ); expect(intervals.findIntervalContainingDate(interval1.stop)).toEqual( - interval1 + interval1, ); intervals.addInterval(interval2); @@ -469,13 +469,13 @@ describe("Core/TimeIntervalCollection", function () { expect(intervals.isEmpty).toEqual(false); expect(intervals.findIntervalContainingDate(interval1.start)).toEqual( - interval1 + interval1, ); expect(intervals.findIntervalContainingDate(interval1.stop)).toEqual( - interval1 + interval1, ); expect(intervals.findIntervalContainingDate(interval2.stop)).toEqual( - interval2 + interval2, ); intervals.addInterval(interval3); @@ -485,19 +485,19 @@ describe("Core/TimeIntervalCollection", function () { expect(intervals.isEmpty).toEqual(false); expect(intervals.findIntervalContainingDate(interval1.start)).toEqual( - interval1 + interval1, ); expect(intervals.findIntervalContainingDate(interval1.stop)).toEqual( - interval1 + interval1, ); expect(intervals.findIntervalContainingDate(interval2.stop)).toEqual( - interval2 + interval2, ); expect(intervals.findIntervalContainingDate(interval3.start)).toEqual( - interval3 + interval3, ); expect(intervals.findIntervalContainingDate(interval3.stop)).toEqual( - interval3 + interval3, ); }); @@ -533,10 +533,10 @@ describe("Core/TimeIntervalCollection", function () { expect(intervals.isEmpty).toEqual(false); expect(intervals.findIntervalContainingDate(interval1.start).data).toEqual( - 1 + 1, ); expect(intervals.findIntervalContainingDate(interval1.stop).data).toEqual( - 1 + 1, ); intervals.addInterval(interval2); @@ -547,13 +547,13 @@ describe("Core/TimeIntervalCollection", function () { expect(intervals.isEmpty).toEqual(false); expect(intervals.findIntervalContainingDate(interval1.start).data).toEqual( - 1 + 1, ); expect(intervals.findIntervalContainingDate(interval1.stop).data).toEqual( - 2 + 2, ); expect(intervals.findIntervalContainingDate(interval2.stop).data).toEqual( - 2 + 2, ); intervals.addInterval(interval3); @@ -563,22 +563,22 @@ describe("Core/TimeIntervalCollection", function () { expect(intervals.isEmpty).toEqual(false); expect(intervals.findIntervalContainingDate(interval1.start).data).toEqual( - 3 + 3, ); expect(intervals.findIntervalContainingDate(interval1.stop).data).toEqual( - 3 + 3, ); expect(intervals.findIntervalContainingDate(interval2.start).data).toEqual( - 3 + 3, ); expect(intervals.findIntervalContainingDate(interval2.stop).data).toEqual( - 3 + 3, ); expect(intervals.findIntervalContainingDate(interval3.start).data).toEqual( - 3 + 3, ); expect(intervals.findIntervalContainingDate(interval3.stop).data).toEqual( - 3 + 3, ); }); @@ -601,25 +601,25 @@ describe("Core/TimeIntervalCollection", function () { const intervals = new TimeIntervalCollection(); intervals.addInterval(interval1); expect( - intervals.findDataForIntervalContainingDate(interval1.start) + intervals.findDataForIntervalContainingDate(interval1.start), ).toEqual(1); expect(intervals.findDataForIntervalContainingDate(interval1.stop)).toEqual( - 1 + 1, ); intervals.addInterval(interval2); expect( - intervals.findDataForIntervalContainingDate(interval1.start) + intervals.findDataForIntervalContainingDate(interval1.start), ).toEqual(1); expect(intervals.findDataForIntervalContainingDate(interval1.stop)).toEqual( - 2 + 2, ); expect(intervals.findDataForIntervalContainingDate(interval2.stop)).toEqual( - 2 + 2, ); expect( - intervals.findDataForIntervalContainingDate(new JulianDate(5)) + intervals.findDataForIntervalContainingDate(new JulianDate(5)), ).toBeUndefined(); }); @@ -697,7 +697,7 @@ describe("Core/TimeIntervalCollection", function () { startDays, stopDays, isStartIncluded, - isStopIncluded + isStopIncluded, ) { return new TimeInterval({ start: new JulianDate(startDays, 0.0, TimeStandard.TAI), @@ -717,25 +717,25 @@ describe("Core/TimeIntervalCollection", function () { // Before first expect(intervals.removeInterval(createTimeInterval(1.0, 5.0))).toEqual( - false + false, ); expect(intervals.length).toEqual(2); // After last expect(intervals.removeInterval(createTimeInterval(50.0, 60.0))).toEqual( - false + false, ); expect(intervals.length).toEqual(2); // Inside hole expect(intervals.removeInterval(createTimeInterval(22.0, 28.0))).toEqual( - false + false, ); expect(intervals.length).toEqual(2); // From beginning expect(intervals.removeInterval(createTimeInterval(5.0, 15.0))).toEqual( - true + true, ); expect(intervals.length).toEqual(2); expect(JulianDate.totalDays(intervals.get(0).start)).toEqual(15.0); @@ -743,7 +743,7 @@ describe("Core/TimeIntervalCollection", function () { // From end expect(intervals.removeInterval(createTimeInterval(35.0, 45.0))).toEqual( - true + true, ); expect(intervals.length).toEqual(2); expect(JulianDate.totalDays(intervals.get(1).start)).toEqual(30.0); @@ -755,7 +755,7 @@ describe("Core/TimeIntervalCollection", function () { // From middle of single interval expect(intervals.removeInterval(createTimeInterval(12.0, 18.0))).toEqual( - true + true, ); expect(intervals.length).toEqual(3); expect(JulianDate.totalDays(intervals.get(0).stop)).toEqual(12.0); @@ -770,7 +770,7 @@ describe("Core/TimeIntervalCollection", function () { // Span an entire interval and into part of next expect(intervals.removeInterval(createTimeInterval(25.0, 46.0))).toEqual( - true + true, ); expect(intervals.length).toEqual(2); expect(JulianDate.totalDays(intervals.get(1).start)).toEqual(46.0); @@ -783,7 +783,7 @@ describe("Core/TimeIntervalCollection", function () { // Interval ends at same date as an existing interval expect(intervals.removeInterval(createTimeInterval(25.0, 40.0))).toEqual( - true + true, ); expect(intervals.length).toEqual(2); expect(JulianDate.totalDays(intervals.get(0).stop)).toEqual(20.0); @@ -797,7 +797,7 @@ describe("Core/TimeIntervalCollection", function () { // Interval ends at same date as an existing interval and single point of existing // interval survives. expect( - intervals.removeInterval(createTimeInterval(25.0, 40.0, true, false)) + intervals.removeInterval(createTimeInterval(25.0, 40.0, true, false)), ).toEqual(true); expect(intervals.length).toEqual(3); expect(JulianDate.totalDays(intervals.get(0).stop)).toEqual(20.0); @@ -815,7 +815,7 @@ describe("Core/TimeIntervalCollection", function () { // Interval ends at same date as an existing interval, single point of existing // interval survives, and single point can be combined with the next interval. expect( - intervals.removeInterval(createTimeInterval(25.0, 40.0, true, false)) + intervals.removeInterval(createTimeInterval(25.0, 40.0, true, false)), ).toEqual(true); expect(intervals.length).toEqual(2); expect(JulianDate.totalDays(intervals.get(0).stop)).toEqual(20.0); @@ -827,7 +827,7 @@ describe("Core/TimeIntervalCollection", function () { // End point of removal interval overlaps first point of existing interval. expect(intervals.removeInterval(createTimeInterval(0.0, 10.0))).toEqual( - true + true, ); expect(intervals.length).toEqual(1); expect(JulianDate.totalDays(intervals.get(0).start)).toEqual(10.0); @@ -841,7 +841,7 @@ describe("Core/TimeIntervalCollection", function () { // Start point of removal interval does NOT overlap last point of existing interval // because the start point is not included. expect( - intervals.removeInterval(createTimeInterval(20.0, 30.0, false, true)) + intervals.removeInterval(createTimeInterval(20.0, 30.0, false, true)), ).toEqual(false); expect(intervals.length).toEqual(1); expect(JulianDate.totalDays(intervals.get(0).start)).toEqual(10.0); @@ -853,7 +853,7 @@ describe("Core/TimeIntervalCollection", function () { intervals.removeAll(); intervals.addInterval(createTimeInterval(0.0, 20.0)); expect( - intervals.removeInterval(createTimeInterval(0.0, 20.0, false, false)) + intervals.removeInterval(createTimeInterval(0.0, 20.0, false, false)), ).toEqual(true); expect(intervals.length).toEqual(2); expect(JulianDate.totalDays(intervals.get(0).start)).toEqual(0.0); @@ -946,37 +946,37 @@ describe("Core/TimeIntervalCollection", function () { isStartIncluded: true, isStopIncluded: true, data: undefined, - }) + }), ); } function expectCollection(collection, count, expectation) { expectation.forEach(function (item) { const interval = collection.findIntervalContainingDate( - new JulianDate(CONST_DAY_NUM, item.sec) + new JulianDate(CONST_DAY_NUM, item.sec), ); if (item.data === null) { // expect the interval at this time not to exist if (interval !== undefined) { throw new Error( - `expected undefined at ${item.sec} seconds but it was ${interval.data}` + `expected undefined at ${item.sec} seconds but it was ${interval.data}`, ); } expect(interval).toBeUndefined(); } else if (interval === undefined) { throw new Error( - `expected ${item.data} at ${item.sec} seconds, but it was undefined` + `expected ${item.data} at ${item.sec} seconds, but it was undefined`, ); } else if (interval.data !== item.data) { throw new Error( - `expected ${item.data} at ${item.sec} seconds, but it was ${interval.data}` + `expected ${item.data} at ${item.sec} seconds, but it was ${interval.data}`, ); } }); if (collection.length !== count) { throw new Error( - `Expected interval to have ${count} elements but it had ${collection.length}` + `Expected interval to have ${count} elements but it had ${collection.length}`, ); } } @@ -1232,7 +1232,7 @@ describe("Core/TimeIntervalCollection", function () { stop: new JulianDate(2), isStartIncluded: true, isStopIncluded: false, - }) + }), ); intervals.addInterval( new TimeInterval({ @@ -1240,7 +1240,7 @@ describe("Core/TimeIntervalCollection", function () { stop: new JulianDate(3), isStartIncluded: false, isStopIncluded: false, - }) + }), ); intervals.addInterval( new TimeInterval({ @@ -1248,7 +1248,7 @@ describe("Core/TimeIntervalCollection", function () { stop: new JulianDate(4), isStartIncluded: false, isStopIncluded: false, - }) + }), ); intervals.addInterval( new TimeInterval({ @@ -1256,7 +1256,7 @@ describe("Core/TimeIntervalCollection", function () { stop: new JulianDate(5), isStartIncluded: false, isStopIncluded: true, - }) + }), ); const removedInterval = new TimeInterval({ @@ -1280,7 +1280,7 @@ describe("Core/TimeIntervalCollection", function () { stop: new JulianDate(4), isStartIncluded: true, isStopIncluded: true, - }) + }), ); expect(left.intersect(new TimeIntervalCollection()).length).toEqual(0); }); @@ -1293,7 +1293,7 @@ describe("Core/TimeIntervalCollection", function () { stop: new JulianDate(2), isStartIncluded: true, isStopIncluded: false, - }) + }), ); const right = new TimeIntervalCollection(); @@ -1303,7 +1303,7 @@ describe("Core/TimeIntervalCollection", function () { stop: new JulianDate(3), isStartIncluded: true, isStopIncluded: true, - }) + }), ); expect(left.intersect(right).length).toEqual(0); }); @@ -1316,7 +1316,7 @@ describe("Core/TimeIntervalCollection", function () { stop: new JulianDate(4), isStartIncluded: true, isStopIncluded: true, - }) + }), ); const right = new TimeIntervalCollection(); @@ -1326,7 +1326,7 @@ describe("Core/TimeIntervalCollection", function () { stop: new JulianDate(3), isStartIncluded: false, isStopIncluded: false, - }) + }), ); const intersectedIntervals = left.intersect(right); @@ -1347,7 +1347,7 @@ describe("Core/TimeIntervalCollection", function () { isStartIncluded: true, isStopIncluded: true, data: new TestObject(1), - }) + }), ); const right = new TimeIntervalCollection(); @@ -1358,13 +1358,13 @@ describe("Core/TimeIntervalCollection", function () { isStartIncluded: false, isStopIncluded: false, data: new TestObject(2), - }) + }), ); const intersectedIntervals = left.intersect( right, TestObject.equals, - TestObject.merge + TestObject.merge, ); expect(intersectedIntervals.length).toEqual(1); @@ -1416,7 +1416,7 @@ describe("Core/TimeIntervalCollection", function () { isStartIncluded: true, isStopIncluded: true, data: {}, - }) + }), ); left.addInterval( new TimeInterval({ @@ -1425,7 +1425,7 @@ describe("Core/TimeIntervalCollection", function () { isStartIncluded: false, isStopIncluded: true, data: {}, - }) + }), ); left.addInterval( new TimeInterval({ @@ -1434,7 +1434,7 @@ describe("Core/TimeIntervalCollection", function () { isStartIncluded: true, isStopIncluded: true, data: {}, - }) + }), ); const right = new TimeIntervalCollection(); @@ -1445,7 +1445,7 @@ describe("Core/TimeIntervalCollection", function () { isStartIncluded: true, isStopIncluded: true, data: {}, - }) + }), ); right.addInterval( new TimeInterval({ @@ -1454,7 +1454,7 @@ describe("Core/TimeIntervalCollection", function () { isStartIncluded: false, isStopIncluded: true, data: {}, - }) + }), ); right.addInterval( new TimeInterval({ @@ -1463,7 +1463,7 @@ describe("Core/TimeIntervalCollection", function () { isStartIncluded: true, isStopIncluded: true, data: {}, - }) + }), ); expect(left.equals(right)).toEqual(false); @@ -1471,13 +1471,13 @@ describe("Core/TimeIntervalCollection", function () { expect( left.equals(right, function () { return true; - }) + }), ).toEqual(true); expect( left.equals(right, function () { return false; - }) + }), ).toEqual(false); }); @@ -1891,7 +1891,7 @@ describe("Core/TimeIntervalCollection", function () { // Check trailing interval const trailing = intervals._intervals.pop(); expect( - JulianDate.compare(trailing.start, julianDates[iso8601Dates.length - 1]) + JulianDate.compare(trailing.start, julianDates[iso8601Dates.length - 1]), ).toEqual(0); expect(JulianDate.compare(trailing.stop, Iso8601.MAXIMUM_VALUE)).toEqual(0); expect(trailing.isStartIncluded).toBe(false); @@ -1938,7 +1938,7 @@ describe("Core/TimeIntervalCollection", function () { // Check trailing interval const trailing = intervals._intervals.pop(); expect( - JulianDate.compare(trailing.start, julianDates[iso8601Dates.length - 1]) + JulianDate.compare(trailing.start, julianDates[iso8601Dates.length - 1]), ).toEqual(0); expect(JulianDate.compare(trailing.stop, Iso8601.MAXIMUM_VALUE)).toEqual(0); expect(trailing.isStartIncluded).toBe(true); @@ -2009,7 +2009,7 @@ describe("Core/TimeIntervalCollection", function () { // Check trailing interval const trailing = intervals._intervals.pop(); expect( - JulianDate.compare(trailing.start, julianDates[iso8601Dates.length - 1]) + JulianDate.compare(trailing.start, julianDates[iso8601Dates.length - 1]), ).toEqual(0); expect(JulianDate.compare(trailing.stop, Iso8601.MAXIMUM_VALUE)).toEqual(0); expect(trailing.isStartIncluded).toBe(false); @@ -2054,7 +2054,7 @@ describe("Core/TimeIntervalCollection", function () { // Check trailing interval const trailing = intervals._intervals.pop(); expect( - JulianDate.compare(trailing.start, julianDates[iso8601Dates.length - 1]) + JulianDate.compare(trailing.start, julianDates[iso8601Dates.length - 1]), ).toEqual(0); expect(JulianDate.compare(trailing.stop, Iso8601.MAXIMUM_VALUE)).toEqual(0); expect(trailing.isStartIncluded).toBe(true); @@ -2103,7 +2103,7 @@ describe("Core/TimeIntervalCollection", function () { // Check trailing interval const trailing = intervals._intervals.pop(); expect( - JulianDate.compare(trailing.start, julianDates[iso8601Dates.length - 1]) + JulianDate.compare(trailing.start, julianDates[iso8601Dates.length - 1]), ).toEqual(0); expect(JulianDate.compare(trailing.stop, Iso8601.MAXIMUM_VALUE)).toEqual(0); expect(trailing.isStartIncluded).toBe(true); @@ -2152,7 +2152,7 @@ describe("Core/TimeIntervalCollection", function () { // Check trailing interval const trailing = intervals._intervals.pop(); expect( - JulianDate.compare(trailing.start, julianDates[iso8601Dates.length - 1]) + JulianDate.compare(trailing.start, julianDates[iso8601Dates.length - 1]), ).toEqual(0); expect(JulianDate.compare(trailing.stop, Iso8601.MAXIMUM_VALUE)).toEqual(0); expect(trailing.isStartIncluded).toBe(true); diff --git a/packages/engine/Specs/Core/TimeIntervalSpec.js b/packages/engine/Specs/Core/TimeIntervalSpec.js index 465c1382f83e..ec596303ab8c 100644 --- a/packages/engine/Specs/Core/TimeIntervalSpec.js +++ b/packages/engine/Specs/Core/TimeIntervalSpec.js @@ -87,7 +87,7 @@ describe("Core/TimeInterval", function () { isStopIncluded: isStopIncluded, data: data, }, - expectedResult + expectedResult, ); expect(expectedResult).toBe(interval); @@ -112,7 +112,7 @@ describe("Core/TimeInterval", function () { stop: JulianDate.fromIso8601(isoDate2), }); expect(TimeInterval.toIso8601(interval)).toEqual( - "0950-01-02T03:04:05Z/0950-01-03T03:04:05Z" + "0950-01-02T03:04:05Z/0950-01-03T03:04:05Z", ); }); @@ -124,7 +124,7 @@ describe("Core/TimeInterval", function () { expect( TimeInterval.fromIso8601({ iso8601: TimeInterval.toIso8601(interval), - }) + }), ).toEqual(interval); }); @@ -136,10 +136,10 @@ describe("Core/TimeInterval", function () { stop: JulianDate.fromIso8601(isoDate2), }); expect(TimeInterval.toIso8601(interval, 0)).toEqual( - "0950-01-02T03:04:05Z/0950-01-03T03:04:05Z" + "0950-01-02T03:04:05Z/0950-01-03T03:04:05Z", ); expect(TimeInterval.toIso8601(interval, 7)).toEqual( - "0950-01-02T03:04:05.0123450Z/0950-01-03T03:04:05.0123450Z" + "0950-01-02T03:04:05.0123450Z/0950-01-03T03:04:05.0123450Z", ); }); @@ -223,16 +223,16 @@ describe("Core/TimeInterval", function () { stop: new JulianDate(2451546), }); expect(TimeInterval.contains(interval, new JulianDate(2451545.5))).toEqual( - true + true, ); expect(TimeInterval.contains(interval, new JulianDate(2451546.5))).toEqual( - false + false, ); }); it("contains works for an empty interval.", function () { expect(TimeInterval.contains(TimeInterval.EMPTY, new JulianDate())).toEqual( - false + false, ); }); @@ -244,10 +244,10 @@ describe("Core/TimeInterval", function () { isStopIncluded: true, }); expect(TimeInterval.contains(interval, new JulianDate(2451545))).toEqual( - true + true, ); expect(TimeInterval.contains(interval, new JulianDate(2451546))).toEqual( - true + true, ); }); @@ -259,10 +259,10 @@ describe("Core/TimeInterval", function () { isStopIncluded: false, }); expect(TimeInterval.contains(interval, new JulianDate(2451545))).toEqual( - false + false, ); expect(TimeInterval.contains(interval, new JulianDate(2451546))).toEqual( - false + false, ); }); @@ -571,12 +571,12 @@ describe("Core/TimeInterval", function () { const intersect1 = TimeInterval.intersect( first, second, - new TimeInterval() + new TimeInterval(), ); const intersect2 = TimeInterval.intersect( second, first, - new TimeInterval() + new TimeInterval(), ); expect(intersect1).toEqual(intersect2); expect(intersect2).toEqual(intersect1); @@ -590,7 +590,7 @@ describe("Core/TimeInterval", function () { stop: new JulianDate(2), }); expect( - TimeInterval.intersect(interval, undefined, new TimeInterval()) + TimeInterval.intersect(interval, undefined, new TimeInterval()), ).toEqual(TimeInterval.EMPTY); }); @@ -611,7 +611,7 @@ describe("Core/TimeInterval", function () { new TimeInterval(), function (left, right) { return left + right; - } + }, ); expect(twoToThree.start).toEqual(twoToFour.start); expect(twoToThree.stop).toEqual(oneToThree.stop); diff --git a/packages/engine/Specs/Core/TipsifySpec.js b/packages/engine/Specs/Core/TipsifySpec.js index df81516f5aa3..354d5630ff83 100644 --- a/packages/engine/Specs/Core/TipsifySpec.js +++ b/packages/engine/Specs/Core/TipsifySpec.js @@ -8,7 +8,7 @@ describe("Core/Tipsify", function () { indices: [0, 1, 2, 0, 2, 3, 0, 3, 4, 0, 4, 5, 0, 5, 6, 0, 1, 6], maximumIndex: 6, cacheSize: 3, - }) + }), ).toEqual(2); }); @@ -17,7 +17,7 @@ describe("Core/Tipsify", function () { Tipsify.calculateACMR({ indices: [0, 1, 2, 0, 2, 3, 0, 3, 4, 0, 4, 5, 0, 5, 6, 0, 1, 6], cacheSize: 3, - }) + }), ).toEqual(2); }); @@ -93,117 +93,12 @@ describe("Core/Tipsify", function () { it("can lower ACMR using the Tipsify algorithm", function () { const indices = [ - 0, - 1, - 7, - 1, - 7, - 8, - 1, - 2, - 8, - 2, - 8, - 9, - 2, - 3, - 9, - 3, - 9, - 10, - 3, - 4, - 10, - 4, - 10, - 11, - 4, - 5, - 11, - 5, - 11, - 12, - 6, - 13, - 14, - 6, - 7, - 14, - 7, - 14, - 15, - 7, - 8, - 15, - 8, - 15, - 16, - 8, - 9, - 16, - 9, - 16, - 17, - 9, - 10, - 17, - 10, - 17, - 18, - 10, - 11, - 18, - 11, - 18, - 19, - 11, - 12, - 19, - 12, - 19, - 20, - 13, - 21, - 22, - 13, - 14, - 22, - 14, - 22, - 23, - 14, - 15, - 23, - 15, - 23, - 24, - 15, - 16, - 24, - 16, - 24, - 25, - 16, - 17, - 25, - 17, - 25, - 26, - 17, - 18, - 26, - 18, - 26, - 27, - 18, - 19, - 27, - 19, - 27, - 28, - 19, - 20, - 28, + 0, 1, 7, 1, 7, 8, 1, 2, 8, 2, 8, 9, 2, 3, 9, 3, 9, 10, 3, 4, 10, 4, 10, + 11, 4, 5, 11, 5, 11, 12, 6, 13, 14, 6, 7, 14, 7, 14, 15, 7, 8, 15, 8, 15, + 16, 8, 9, 16, 9, 16, 17, 9, 10, 17, 10, 17, 18, 10, 11, 18, 11, 18, 19, + 11, 12, 19, 12, 19, 20, 13, 21, 22, 13, 14, 22, 14, 22, 23, 14, 15, 23, + 15, 23, 24, 15, 16, 24, 16, 24, 25, 16, 17, 25, 17, 25, 26, 17, 18, 26, + 18, 26, 27, 18, 19, 27, 19, 27, 28, 19, 20, 28, ]; const acmrBefore = Tipsify.calculateACMR({ indices: indices, @@ -225,120 +120,15 @@ describe("Core/Tipsify", function () { it("can Tipsify without knowing the maximum index", function () { const indices = [ - 0, - 1, - 7, - 1, - 7, - 8, - 1, - 2, - 8, - 2, - 8, - 9, - 2, - 3, - 9, - 3, - 9, - 10, - 3, - 4, - 10, - 4, - 10, - 11, - 4, - 5, - 11, - 5, - 11, - 12, - 6, - 13, - 14, - 6, - 7, - 14, - 7, - 14, - 15, - 7, - 8, - 15, - 8, - 15, - 16, - 8, - 9, - 16, - 9, - 16, - 17, - 9, - 10, - 17, - 10, - 17, - 18, - 10, - 11, - 18, - 11, - 18, - 19, - 11, - 12, - 19, - 12, - 19, - 20, - 13, - 21, - 22, - 13, - 14, - 22, - 14, - 22, - 23, - 14, - 15, - 23, - 15, - 23, - 24, - 15, - 16, - 24, - 16, - 24, - 25, - 16, - 17, - 25, - 17, - 25, - 26, - 17, - 18, - 26, - 18, - 26, - 27, - 18, - 19, - 27, - 19, - 27, - 28, - 19, - 20, - 28, + 0, 1, 7, 1, 7, 8, 1, 2, 8, 2, 8, 9, 2, 3, 9, 3, 9, 10, 3, 4, 10, 4, 10, + 11, 4, 5, 11, 5, 11, 12, 6, 13, 14, 6, 7, 14, 7, 14, 15, 7, 8, 15, 8, 15, + 16, 8, 9, 16, 9, 16, 17, 9, 10, 17, 10, 17, 18, 10, 11, 18, 11, 18, 19, + 11, 12, 19, 12, 19, 20, 13, 21, 22, 13, 14, 22, 14, 22, 23, 14, 15, 23, + 15, 23, 24, 15, 16, 24, 16, 24, 25, 16, 17, 25, 17, 25, 26, 17, 18, 26, + 18, 26, 27, 18, 19, 27, 19, 27, 28, 19, 20, 28, ]; expect(Tipsify.tipsify({ indices: indices, cacheSize: 6 })).toEqual( - Tipsify.tipsify({ indices: indices, maximumIndex: 28, cacheSize: 6 }) + Tipsify.tipsify({ indices: indices, maximumIndex: 28, cacheSize: 6 }), ); }); }); diff --git a/packages/engine/Specs/Core/TransformsSpec.js b/packages/engine/Specs/Core/TransformsSpec.js index 1f2277b58330..a879b23d9a35 100644 --- a/packages/engine/Specs/Core/TransformsSpec.js +++ b/packages/engine/Specs/Core/TransformsSpec.js @@ -30,24 +30,24 @@ describe("Core/Transforms", function () { origin.x, origin.y, origin.z, - 1.0 + 1.0, ); const returnedResult = Transforms.eastNorthUpToFixedFrame( origin, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(Matrix4.getColumn(returnedResult, 0, new Cartesian4())).toEqual( - Cartesian4.UNIT_Y + Cartesian4.UNIT_Y, ); // east expect(Matrix4.getColumn(returnedResult, 1, new Cartesian4())).toEqual( - Cartesian4.UNIT_Z + Cartesian4.UNIT_Z, ); // north expect(Matrix4.getColumn(returnedResult, 2, new Cartesian4())).toEqual( - Cartesian4.UNIT_X + Cartesian4.UNIT_X, ); // up expect(Matrix4.getColumn(returnedResult, 3, new Cartesian4())).toEqual( - expectedTranslation + expectedTranslation, ); // translation }); @@ -57,27 +57,27 @@ describe("Core/Transforms", function () { origin.x, origin.y, origin.z, - 1.0 + 1.0, ); const result = new Matrix4(2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2); const returnedResult = Transforms.eastNorthUpToFixedFrame( origin, Ellipsoid.UNIT_SPHERE, - result + result, ); expect(result).toBe(returnedResult); expect(Matrix4.getColumn(returnedResult, 0, new Cartesian4())).toEqual( - Cartesian4.UNIT_Y + Cartesian4.UNIT_Y, ); // east expect(Matrix4.getColumn(returnedResult, 1, new Cartesian4())).toEqual( - Cartesian4.UNIT_Z + Cartesian4.UNIT_Z, ); // north expect(Matrix4.getColumn(returnedResult, 2, new Cartesian4())).toEqual( - Cartesian4.UNIT_X + Cartesian4.UNIT_X, ); // up expect(Matrix4.getColumn(returnedResult, 3, new Cartesian4())).toEqual( - expectedTranslation + expectedTranslation, ); // translation }); @@ -87,27 +87,27 @@ describe("Core/Transforms", function () { northPole.x, northPole.y, northPole.z, - 1.0 + 1.0, ); const result = new Matrix4(); const returnedResult = Transforms.eastNorthUpToFixedFrame( northPole, Ellipsoid.UNIT_SPHERE, - result + result, ); expect(returnedResult).toBe(result); expect(Matrix4.getColumn(returnedResult, 0, new Cartesian4())).toEqual( - Cartesian4.UNIT_Y + Cartesian4.UNIT_Y, ); // east expect(Matrix4.getColumn(returnedResult, 1, new Cartesian4())).toEqual( - negativeX + negativeX, ); // north expect(Matrix4.getColumn(returnedResult, 2, new Cartesian4())).toEqual( - Cartesian4.UNIT_Z + Cartesian4.UNIT_Z, ); // up expect(Matrix4.getColumn(returnedResult, 3, new Cartesian4())).toEqual( - expectedTranslation + expectedTranslation, ); // translation }); @@ -117,24 +117,24 @@ describe("Core/Transforms", function () { southPole.x, southPole.y, southPole.z, - 1.0 + 1.0, ); const returnedResult = Transforms.eastNorthUpToFixedFrame( southPole, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(Matrix4.getColumn(returnedResult, 0, new Cartesian4())).toEqual( - Cartesian4.UNIT_Y + Cartesian4.UNIT_Y, ); // east expect(Matrix4.getColumn(returnedResult, 1, new Cartesian4())).toEqual( - Cartesian4.UNIT_X + Cartesian4.UNIT_X, ); // north expect(Matrix4.getColumn(returnedResult, 2, new Cartesian4())).toEqual( - negativeZ + negativeZ, ); // up expect(Matrix4.getColumn(returnedResult, 3, new Cartesian4())).toEqual( - expectedTranslation + expectedTranslation, ); // translation }); @@ -144,19 +144,19 @@ describe("Core/Transforms", function () { const returnedResult = Transforms.eastNorthUpToFixedFrame( origin, - Ellipsoid.WGS84 + Ellipsoid.WGS84, ); expect(Matrix4.getColumn(returnedResult, 0, new Cartesian4())).toEqual( - Cartesian4.UNIT_Y + Cartesian4.UNIT_Y, ); // east expect(Matrix4.getColumn(returnedResult, 1, new Cartesian4())).toEqual( - negativeX + negativeX, ); // north expect(Matrix4.getColumn(returnedResult, 2, new Cartesian4())).toEqual( - Cartesian4.UNIT_Z + Cartesian4.UNIT_Z, ); // up expect(Matrix4.getColumn(returnedResult, 3, new Cartesian4())).toEqual( - expectedTranslation + expectedTranslation, ); // translation }); @@ -166,24 +166,24 @@ describe("Core/Transforms", function () { origin.x, origin.y, origin.z, - 1.0 + 1.0, ); const returnedResult = Transforms.northEastDownToFixedFrame( origin, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(Matrix4.getColumn(returnedResult, 0, new Cartesian4())).toEqual( - Cartesian4.UNIT_Z + Cartesian4.UNIT_Z, ); // north expect(Matrix4.getColumn(returnedResult, 1, new Cartesian4())).toEqual( - Cartesian4.UNIT_Y + Cartesian4.UNIT_Y, ); // east expect(Matrix4.getColumn(returnedResult, 2, new Cartesian4())).toEqual( - negativeX + negativeX, ); // down expect(Matrix4.getColumn(returnedResult, 3, new Cartesian4())).toEqual( - expectedTranslation + expectedTranslation, ); // translation }); @@ -193,27 +193,27 @@ describe("Core/Transforms", function () { origin.x, origin.y, origin.z, - 1.0 + 1.0, ); const result = new Matrix4(2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2); const returnedResult = Transforms.northEastDownToFixedFrame( origin, Ellipsoid.UNIT_SPHERE, - result + result, ); expect(result).toBe(returnedResult); expect(Matrix4.getColumn(returnedResult, 0, new Cartesian4())).toEqual( - Cartesian4.UNIT_Z + Cartesian4.UNIT_Z, ); // north expect(Matrix4.getColumn(returnedResult, 1, new Cartesian4())).toEqual( - Cartesian4.UNIT_Y + Cartesian4.UNIT_Y, ); // east expect(Matrix4.getColumn(returnedResult, 2, new Cartesian4())).toEqual( - negativeX + negativeX, ); // down expect(Matrix4.getColumn(returnedResult, 3, new Cartesian4())).toEqual( - expectedTranslation + expectedTranslation, ); // translation }); @@ -223,27 +223,27 @@ describe("Core/Transforms", function () { northPole.x, northPole.y, northPole.z, - 1.0 + 1.0, ); const result = new Matrix4(); const returnedResult = Transforms.northEastDownToFixedFrame( northPole, Ellipsoid.UNIT_SPHERE, - result + result, ); expect(returnedResult).toBe(result); expect(Matrix4.getColumn(returnedResult, 0, new Cartesian4())).toEqual( - negativeX + negativeX, ); // north expect(Matrix4.getColumn(returnedResult, 1, new Cartesian4())).toEqual( - Cartesian4.UNIT_Y + Cartesian4.UNIT_Y, ); // east expect(Matrix4.getColumn(returnedResult, 2, new Cartesian4())).toEqual( - negativeZ + negativeZ, ); // down expect(Matrix4.getColumn(returnedResult, 3, new Cartesian4())).toEqual( - expectedTranslation + expectedTranslation, ); // translation }); @@ -253,24 +253,24 @@ describe("Core/Transforms", function () { southPole.x, southPole.y, southPole.z, - 1.0 + 1.0, ); const returnedResult = Transforms.northEastDownToFixedFrame( southPole, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(Matrix4.getColumn(returnedResult, 0, new Cartesian4())).toEqual( - Cartesian4.UNIT_X + Cartesian4.UNIT_X, ); // north expect(Matrix4.getColumn(returnedResult, 1, new Cartesian4())).toEqual( - Cartesian4.UNIT_Y + Cartesian4.UNIT_Y, ); // east expect(Matrix4.getColumn(returnedResult, 2, new Cartesian4())).toEqual( - Cartesian4.UNIT_Z + Cartesian4.UNIT_Z, ); // down expect(Matrix4.getColumn(returnedResult, 3, new Cartesian4())).toEqual( - expectedTranslation + expectedTranslation, ); // translation }); @@ -280,19 +280,19 @@ describe("Core/Transforms", function () { const returnedResult = Transforms.northEastDownToFixedFrame( origin, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(Matrix4.getColumn(returnedResult, 0, new Cartesian4())).toEqual( - negativeX + negativeX, ); // north expect(Matrix4.getColumn(returnedResult, 1, new Cartesian4())).toEqual( - Cartesian4.UNIT_Y + Cartesian4.UNIT_Y, ); // east expect(Matrix4.getColumn(returnedResult, 2, new Cartesian4())).toEqual( - negativeZ + negativeZ, ); // down expect(Matrix4.getColumn(returnedResult, 3, new Cartesian4())).toEqual( - expectedTranslation + expectedTranslation, ); // translation }); @@ -302,24 +302,24 @@ describe("Core/Transforms", function () { origin.x, origin.y, origin.z, - 1.0 + 1.0, ); const returnedResult = Transforms.northUpEastToFixedFrame( origin, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(Matrix4.getColumn(returnedResult, 0, new Cartesian4())).toEqual( - Cartesian4.UNIT_Z + Cartesian4.UNIT_Z, ); // north expect(Matrix4.getColumn(returnedResult, 1, new Cartesian4())).toEqual( - Cartesian4.UNIT_X + Cartesian4.UNIT_X, ); // up expect(Matrix4.getColumn(returnedResult, 2, new Cartesian4())).toEqual( - Cartesian4.UNIT_Y + Cartesian4.UNIT_Y, ); // east expect(Matrix4.getColumn(returnedResult, 3, new Cartesian4())).toEqual( - expectedTranslation + expectedTranslation, ); // translation }); @@ -329,27 +329,27 @@ describe("Core/Transforms", function () { origin.x, origin.y, origin.z, - 1.0 + 1.0, ); const result = new Matrix4(2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2); const returnedResult = Transforms.northUpEastToFixedFrame( origin, Ellipsoid.UNIT_SPHERE, - result + result, ); expect(result).toBe(returnedResult); expect(Matrix4.getColumn(returnedResult, 0, new Cartesian4())).toEqual( - Cartesian4.UNIT_Z + Cartesian4.UNIT_Z, ); // north expect(Matrix4.getColumn(returnedResult, 1, new Cartesian4())).toEqual( - Cartesian4.UNIT_X + Cartesian4.UNIT_X, ); // up expect(Matrix4.getColumn(returnedResult, 2, new Cartesian4())).toEqual( - Cartesian4.UNIT_Y + Cartesian4.UNIT_Y, ); // east expect(Matrix4.getColumn(returnedResult, 3, new Cartesian4())).toEqual( - expectedTranslation + expectedTranslation, ); // translation }); @@ -359,27 +359,27 @@ describe("Core/Transforms", function () { northPole.x, northPole.y, northPole.z, - 1.0 + 1.0, ); const result = new Matrix4(); const returnedResult = Transforms.northUpEastToFixedFrame( northPole, Ellipsoid.UNIT_SPHERE, - result + result, ); expect(returnedResult).toBe(result); expect(Matrix4.getColumn(returnedResult, 0, new Cartesian4())).toEqual( - negativeX + negativeX, ); // north expect(Matrix4.getColumn(returnedResult, 1, new Cartesian4())).toEqual( - Cartesian4.UNIT_Z + Cartesian4.UNIT_Z, ); // up expect(Matrix4.getColumn(returnedResult, 2, new Cartesian4())).toEqual( - Cartesian4.UNIT_Y + Cartesian4.UNIT_Y, ); // east expect(Matrix4.getColumn(returnedResult, 3, new Cartesian4())).toEqual( - expectedTranslation + expectedTranslation, ); // translation }); @@ -389,24 +389,24 @@ describe("Core/Transforms", function () { southPole.x, southPole.y, southPole.z, - 1.0 + 1.0, ); const returnedResult = Transforms.northUpEastToFixedFrame( southPole, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(Matrix4.getColumn(returnedResult, 0, new Cartesian4())).toEqual( - Cartesian4.UNIT_X + Cartesian4.UNIT_X, ); // north expect(Matrix4.getColumn(returnedResult, 1, new Cartesian4())).toEqual( - negativeZ + negativeZ, ); // up expect(Matrix4.getColumn(returnedResult, 2, new Cartesian4())).toEqual( - Cartesian4.UNIT_Y + Cartesian4.UNIT_Y, ); // east expect(Matrix4.getColumn(returnedResult, 3, new Cartesian4())).toEqual( - expectedTranslation + expectedTranslation, ); // translation }); @@ -416,19 +416,19 @@ describe("Core/Transforms", function () { const returnedResult = Transforms.northUpEastToFixedFrame( origin, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(Matrix4.getColumn(returnedResult, 0, new Cartesian4())).toEqual( - negativeX + negativeX, ); // north expect(Matrix4.getColumn(returnedResult, 1, new Cartesian4())).toEqual( - Cartesian4.UNIT_Z + Cartesian4.UNIT_Z, ); // up expect(Matrix4.getColumn(returnedResult, 2, new Cartesian4())).toEqual( - Cartesian4.UNIT_Y + Cartesian4.UNIT_Y, ); // east expect(Matrix4.getColumn(returnedResult, 3, new Cartesian4())).toEqual( - expectedTranslation + expectedTranslation, ); // translation }); @@ -438,24 +438,24 @@ describe("Core/Transforms", function () { origin.x, origin.y, origin.z, - 1.0 + 1.0, ); const returnedResult = Transforms.northWestUpToFixedFrame( origin, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(Matrix4.getColumn(returnedResult, 0, new Cartesian4())).toEqual( - Cartesian4.UNIT_Z + Cartesian4.UNIT_Z, ); // north expect(Matrix4.getColumn(returnedResult, 1, new Cartesian4())).toEqual( - negativeY + negativeY, ); // west expect(Matrix4.getColumn(returnedResult, 2, new Cartesian4())).toEqual( - Cartesian4.UNIT_X + Cartesian4.UNIT_X, ); // up expect(Matrix4.getColumn(returnedResult, 3, new Cartesian4())).toEqual( - expectedTranslation + expectedTranslation, ); // translation }); @@ -465,27 +465,27 @@ describe("Core/Transforms", function () { origin.x, origin.y, origin.z, - 1.0 + 1.0, ); const result = new Matrix4(2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2, 2); const returnedResult = Transforms.northWestUpToFixedFrame( origin, Ellipsoid.UNIT_SPHERE, - result + result, ); expect(result).toBe(returnedResult); expect(Matrix4.getColumn(returnedResult, 0, new Cartesian4())).toEqual( - Cartesian4.UNIT_Z + Cartesian4.UNIT_Z, ); // north expect(Matrix4.getColumn(returnedResult, 1, new Cartesian4())).toEqual( - negativeY + negativeY, ); // west expect(Matrix4.getColumn(returnedResult, 2, new Cartesian4())).toEqual( - Cartesian4.UNIT_X + Cartesian4.UNIT_X, ); // up expect(Matrix4.getColumn(returnedResult, 3, new Cartesian4())).toEqual( - expectedTranslation + expectedTranslation, ); // translation }); @@ -495,27 +495,27 @@ describe("Core/Transforms", function () { northPole.x, northPole.y, northPole.z, - 1.0 + 1.0, ); const result = new Matrix4(); const returnedResult = Transforms.northWestUpToFixedFrame( northPole, Ellipsoid.UNIT_SPHERE, - result + result, ); expect(returnedResult).toBe(result); expect(Matrix4.getColumn(returnedResult, 0, new Cartesian4())).toEqual( - negativeX + negativeX, ); // north expect(Matrix4.getColumn(returnedResult, 1, new Cartesian4())).toEqual( - negativeY + negativeY, ); // west expect(Matrix4.getColumn(returnedResult, 2, new Cartesian4())).toEqual( - Cartesian4.UNIT_Z + Cartesian4.UNIT_Z, ); // up expect(Matrix4.getColumn(returnedResult, 3, new Cartesian4())).toEqual( - expectedTranslation + expectedTranslation, ); // translation }); @@ -525,24 +525,24 @@ describe("Core/Transforms", function () { southPole.x, southPole.y, southPole.z, - 1.0 + 1.0, ); const returnedResult = Transforms.northWestUpToFixedFrame( southPole, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(Matrix4.getColumn(returnedResult, 0, new Cartesian4())).toEqual( - Cartesian4.UNIT_X + Cartesian4.UNIT_X, ); // north expect(Matrix4.getColumn(returnedResult, 1, new Cartesian4())).toEqual( - negativeY + negativeY, ); // west expect(Matrix4.getColumn(returnedResult, 2, new Cartesian4())).toEqual( - negativeZ + negativeZ, ); // up expect(Matrix4.getColumn(returnedResult, 3, new Cartesian4())).toEqual( - expectedTranslation + expectedTranslation, ); // translation }); @@ -552,19 +552,19 @@ describe("Core/Transforms", function () { const returnedResult = Transforms.northWestUpToFixedFrame( origin, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(Matrix4.getColumn(returnedResult, 0, new Cartesian4())).toEqual( - negativeX + negativeX, ); // north expect(Matrix4.getColumn(returnedResult, 1, new Cartesian4())).toEqual( - negativeY + negativeY, ); // west expect(Matrix4.getColumn(returnedResult, 2, new Cartesian4())).toEqual( - Cartesian4.UNIT_Z + Cartesian4.UNIT_Z, ); // up expect(Matrix4.getColumn(returnedResult, 3, new Cartesian4())).toEqual( - expectedTranslation + expectedTranslation, ); // translation }); @@ -667,7 +667,7 @@ describe("Core/Transforms", function () { for (let i = 0; i < converterTab.length; i++) { const converterMatrix = converterTab[i].converter( position, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); const order = converterTab[i].order; // check translation @@ -701,10 +701,8 @@ describe("Core/Transforms", function () { for (let i = 0; i < cartesianTab.length; i++) { const cartesian = cartesianTab[i]; - const classicalEastNorthUpReferential = Transforms.eastNorthUpToFixedFrame( - cartesian, - Ellipsoid.UNIT_SPHERE - ); + const classicalEastNorthUpReferential = + Transforms.eastNorthUpToFixedFrame(cartesian, Ellipsoid.UNIT_SPHERE); testAllLocalFrame(classicalEastNorthUpReferential, cartesian); } }); @@ -746,7 +744,7 @@ describe("Core/Transforms", function () { const hpr = new HeadingPitchRoll(heading, pitch, roll); const expectedRotation = Matrix3.fromQuaternion( - Quaternion.fromHeadingPitchRoll(hpr) + Quaternion.fromHeadingPitchRoll(hpr), ); const expectedX = Matrix3.getColumn(expectedRotation, 0, new Cartesian3()); const expectedY = Matrix3.getColumn(expectedRotation, 1, new Cartesian3()); @@ -759,19 +757,19 @@ describe("Core/Transforms", function () { const returnedResult = Transforms.headingPitchRollToFixedFrame( origin, hpr, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); const actualX = Cartesian3.fromCartesian4( - Matrix4.getColumn(returnedResult, 0, new Cartesian4()) + Matrix4.getColumn(returnedResult, 0, new Cartesian4()), ); const actualY = Cartesian3.fromCartesian4( - Matrix4.getColumn(returnedResult, 1, new Cartesian4()) + Matrix4.getColumn(returnedResult, 1, new Cartesian4()), ); const actualZ = Cartesian3.fromCartesian4( - Matrix4.getColumn(returnedResult, 2, new Cartesian4()) + Matrix4.getColumn(returnedResult, 2, new Cartesian4()), ); const actualTranslation = Cartesian3.fromCartesian4( - Matrix4.getColumn(returnedResult, 3, new Cartesian4()) + Matrix4.getColumn(returnedResult, 3, new Cartesian4()), ); expect(actualX).toEqual(expectedX); @@ -788,7 +786,7 @@ describe("Core/Transforms", function () { const hpr = new HeadingPitchRoll(heading, pitch, roll); const expectedRotation = Matrix3.fromQuaternion( - Quaternion.fromHeadingPitchRoll(hpr) + Quaternion.fromHeadingPitchRoll(hpr), ); const expectedX = Matrix3.getColumn(expectedRotation, 0, new Cartesian3()); const expectedY = Matrix3.getColumn(expectedRotation, 1, new Cartesian3()); @@ -801,19 +799,19 @@ describe("Core/Transforms", function () { const returnedResult = Transforms.headingPitchRollToFixedFrame( origin, hpr, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); const actualX = Cartesian3.fromCartesian4( - Matrix4.getColumn(returnedResult, 0, new Cartesian4()) + Matrix4.getColumn(returnedResult, 0, new Cartesian4()), ); const actualY = Cartesian3.fromCartesian4( - Matrix4.getColumn(returnedResult, 1, new Cartesian4()) + Matrix4.getColumn(returnedResult, 1, new Cartesian4()), ); const actualZ = Cartesian3.fromCartesian4( - Matrix4.getColumn(returnedResult, 2, new Cartesian4()) + Matrix4.getColumn(returnedResult, 2, new Cartesian4()), ); const actualTranslation = Cartesian3.fromCartesian4( - Matrix4.getColumn(returnedResult, 3, new Cartesian4()) + Matrix4.getColumn(returnedResult, 3, new Cartesian4()), ); expect(actualX).toEqual(expectedX); @@ -830,7 +828,7 @@ describe("Core/Transforms", function () { const hpr = new HeadingPitchRoll(heading, pitch, roll); const expectedRotation = Matrix3.fromQuaternion( - Quaternion.fromHeadingPitchRoll(hpr) + Quaternion.fromHeadingPitchRoll(hpr), ); const expectedX = Matrix3.getColumn(expectedRotation, 0, new Cartesian3()); const expectedY = Matrix3.getColumn(expectedRotation, 1, new Cartesian3()); @@ -844,19 +842,19 @@ describe("Core/Transforms", function () { origin, hpr, Ellipsoid.UNIT_SPHERE, - Transforms.eastNorthUpToFixedFrame + Transforms.eastNorthUpToFixedFrame, ); const actualX = Cartesian3.fromCartesian4( - Matrix4.getColumn(returnedResult, 0, new Cartesian4()) + Matrix4.getColumn(returnedResult, 0, new Cartesian4()), ); const actualY = Cartesian3.fromCartesian4( - Matrix4.getColumn(returnedResult, 1, new Cartesian4()) + Matrix4.getColumn(returnedResult, 1, new Cartesian4()), ); const actualZ = Cartesian3.fromCartesian4( - Matrix4.getColumn(returnedResult, 2, new Cartesian4()) + Matrix4.getColumn(returnedResult, 2, new Cartesian4()), ); const actualTranslation = Cartesian3.fromCartesian4( - Matrix4.getColumn(returnedResult, 3, new Cartesian4()) + Matrix4.getColumn(returnedResult, 3, new Cartesian4()), ); expect(actualX).toEqual(expectedX); @@ -873,7 +871,7 @@ describe("Core/Transforms", function () { const hpr = new HeadingPitchRoll(heading, pitch, roll); const expectedRotation = Matrix3.fromQuaternion( - Quaternion.fromHeadingPitchRoll(hpr) + Quaternion.fromHeadingPitchRoll(hpr), ); const expectedX = Matrix3.getColumn(expectedRotation, 0, new Cartesian3()); const expectedY = Matrix3.getColumn(expectedRotation, 1, new Cartesian3()); @@ -889,19 +887,19 @@ describe("Core/Transforms", function () { hpr, Ellipsoid.UNIT_SPHERE, Transforms.eastNorthUpToFixedFrame, - result + result, ); const actualX = Cartesian3.fromCartesian4( - Matrix4.getColumn(returnedResult, 0, new Cartesian4()) + Matrix4.getColumn(returnedResult, 0, new Cartesian4()), ); const actualY = Cartesian3.fromCartesian4( - Matrix4.getColumn(returnedResult, 1, new Cartesian4()) + Matrix4.getColumn(returnedResult, 1, new Cartesian4()), ); const actualZ = Cartesian3.fromCartesian4( - Matrix4.getColumn(returnedResult, 2, new Cartesian4()) + Matrix4.getColumn(returnedResult, 2, new Cartesian4()), ); const actualTranslation = Cartesian3.fromCartesian4( - Matrix4.getColumn(returnedResult, 3, new Cartesian4()) + Matrix4.getColumn(returnedResult, 3, new Cartesian4()), ); expect(returnedResult).toBe(result); @@ -919,17 +917,17 @@ describe("Core/Transforms", function () { const hpr = new HeadingPitchRoll(heading, pitch, roll); const expectedRotation = Matrix3.fromQuaternion( - Quaternion.fromHeadingPitchRoll(hpr) + Quaternion.fromHeadingPitchRoll(hpr), ); const expectedEast = Matrix3.getColumn( expectedRotation, 0, - new Cartesian3() + new Cartesian3(), ); // east const expectedNorth = Matrix3.getColumn( expectedRotation, 1, - new Cartesian3() + new Cartesian3(), ); // north const expectedUp = Matrix3.getColumn(expectedRotation, 2, new Cartesian3()); // up @@ -937,19 +935,19 @@ describe("Core/Transforms", function () { expectedEast.z, expectedEast.x, expectedEast.y, - expectedEast + expectedEast, ); Cartesian3.fromElements( expectedNorth.z, expectedNorth.x, expectedNorth.y, - expectedNorth + expectedNorth, ); Cartesian3.fromElements( expectedUp.z, expectedUp.x, expectedUp.y, - expectedUp + expectedUp, ); const result = new Matrix4(); @@ -958,19 +956,19 @@ describe("Core/Transforms", function () { hpr, Ellipsoid.UNIT_SPHERE, Transforms.eastNorthUpToFixedFrame, - result + result, ); let actualEast = Cartesian3.fromCartesian4( - Matrix4.getColumn(returnedResult, 0, new Cartesian4()) + Matrix4.getColumn(returnedResult, 0, new Cartesian4()), ); // east let actualNorth = Cartesian3.fromCartesian4( - Matrix4.getColumn(returnedResult, 1, new Cartesian4()) + Matrix4.getColumn(returnedResult, 1, new Cartesian4()), ); // north let actualUp = Cartesian3.fromCartesian4( - Matrix4.getColumn(returnedResult, 2, new Cartesian4()) + Matrix4.getColumn(returnedResult, 2, new Cartesian4()), ); // up let actualTranslation = Cartesian3.fromCartesian4( - Matrix4.getColumn(returnedResult, 3, new Cartesian4()) + Matrix4.getColumn(returnedResult, 3, new Cartesian4()), ); expect(returnedResult).toBe(result); @@ -981,32 +979,32 @@ describe("Core/Transforms", function () { const UNEFixedFrameConverter = Transforms.localFrameToFixedFrameGenerator( "west", - "south" + "south", ); // up north east returnedResult = Transforms.headingPitchRollToFixedFrame( origin, hpr, Ellipsoid.UNIT_SPHERE, UNEFixedFrameConverter, - result + result, ); actualEast = Cartesian3.fromCartesian4( - Matrix4.getColumn(returnedResult, 0, new Cartesian4()) + Matrix4.getColumn(returnedResult, 0, new Cartesian4()), ); // east actualEast.y = -actualEast.y; actualEast.z = -actualEast.z; actualNorth = Cartesian3.fromCartesian4( - Matrix4.getColumn(returnedResult, 1, new Cartesian4()) + Matrix4.getColumn(returnedResult, 1, new Cartesian4()), ); // north actualNorth.y = -actualNorth.y; actualNorth.z = -actualNorth.z; actualUp = Cartesian3.fromCartesian4( - Matrix4.getColumn(returnedResult, 2, new Cartesian4()) + Matrix4.getColumn(returnedResult, 2, new Cartesian4()), ); // up actualUp.y = -actualUp.y; actualUp.z = -actualUp.z; actualTranslation = Cartesian3.fromCartesian4( - Matrix4.getColumn(returnedResult, 3, new Cartesian4()) + Matrix4.getColumn(returnedResult, 3, new Cartesian4()), ); expect(returnedResult).toBe(result); @@ -1026,7 +1024,7 @@ describe("Core/Transforms", function () { const transform = Transforms.headingPitchRollToFixedFrame( origin, hpr, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); const expected = Matrix4.getMatrix3(transform, new Matrix3()); @@ -1034,7 +1032,7 @@ describe("Core/Transforms", function () { origin, hpr, Ellipsoid.UNIT_SPHERE, - Transforms.eastNorthUpToFixedFrame + Transforms.eastNorthUpToFixedFrame, ); const actual = Matrix3.fromQuaternion(quaternion); expect(actual).toEqualEpsilon(expected, CesiumMath.EPSILON11); @@ -1050,7 +1048,7 @@ describe("Core/Transforms", function () { const transform = Transforms.headingPitchRollToFixedFrame( origin, hpr, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); const expected = Matrix4.getMatrix3(transform, new Matrix3()); @@ -1060,7 +1058,7 @@ describe("Core/Transforms", function () { hpr, Ellipsoid.UNIT_SPHERE, Transforms.eastNorthUpToFixedFrame, - result + result, ); const actual = Matrix3.fromQuaternion(quaternion); expect(quaternion).toBe(result); @@ -1077,7 +1075,7 @@ describe("Core/Transforms", function () { const transform = Transforms.headingPitchRollToFixedFrame( origin, hpr, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); const expected = Matrix4.getMatrix3(transform, new Matrix3()); @@ -1087,7 +1085,7 @@ describe("Core/Transforms", function () { hpr, Ellipsoid.UNIT_SPHERE, undefined, - result + result, ); const actual = Matrix3.fromQuaternion(quaternion); expect(quaternion).toBe(result); @@ -1102,14 +1100,14 @@ describe("Core/Transforms", function () { const hpr = new HeadingPitchRoll(heading, pitch, roll); const fixedFrameTransform = Transforms.localFrameToFixedFrameGenerator( "west", - "south" + "south", ); const transform = Transforms.headingPitchRollToFixedFrame( origin, hpr, Ellipsoid.UNIT_SPHERE, - fixedFrameTransform + fixedFrameTransform, ); const expected = Matrix4.getMatrix3(transform, new Matrix3()); @@ -1119,7 +1117,7 @@ describe("Core/Transforms", function () { hpr, Ellipsoid.UNIT_SPHERE, fixedFrameTransform, - result + result, ); const actual = Matrix3.fromQuaternion(quaternion); expect(quaternion).toBe(result); @@ -1144,11 +1142,11 @@ describe("Core/Transforms", function () { const t4 = Matrix4.fromRotationTranslation( t, Cartesian3.ZERO, - new Matrix4() + new Matrix4(), ); expect(Matrix4.inverse(t4, new Matrix4())).toEqualEpsilon( Matrix4.inverseTransformation(t4, new Matrix4()), - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); time = JulianDate.addHours(time, 23.93447, new JulianDate()); // add one sidereal day @@ -1177,7 +1175,7 @@ describe("Core/Transforms", function () { const t4 = Matrix4.fromRotationTranslation(t); expect(Matrix4.inverse(t4, new Matrix4())).toEqualEpsilon( Matrix4.inverseTransformation(t4, new Matrix4()), - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); time = JulianDate.addHours(time, 23.93447, new JulianDate()); // add one sidereal day @@ -1208,7 +1206,7 @@ describe("Core/Transforms", function () { const t4 = Matrix4.fromRotationTranslation(t); expect(Matrix4.inverse(t4, new Matrix4())).toEqualEpsilon( Matrix4.inverseTransformation(t4, new Matrix4()), - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); time = JulianDate.addHours(time, 23.93447, new JulianDate()); // add one sidereal day @@ -1253,7 +1251,7 @@ describe("Core/Transforms", function () { const t4 = Matrix4.fromRotationTranslation(t); expect(Matrix4.inverse(t4, new Matrix4())).toEqualEpsilon( Matrix4.inverseTransformation(t4, new Matrix4()), - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); time = JulianDate.addHours(time, 27.321661 * 24, new JulianDate()); // add one sidereal month @@ -1273,13 +1271,13 @@ describe("Core/Transforms", function () { 0.4170384828971786, 0.3598159441089767, 0.2133099942194372, - -0.9083123541662688 + -0.9083123541662688, ); const testInverse = Matrix3.multiply( Matrix3.transpose(t, new Matrix3()), expectedMtx, - new Matrix3() + new Matrix3(), ); const testDiff = new Matrix3(); for (let i = 0; i < 9; i++) { @@ -1287,7 +1285,7 @@ describe("Core/Transforms", function () { } expect(testInverse).toEqualEpsilon( Matrix3.IDENTITY, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(testDiff).toEqualEpsilon(new Matrix3(), CesiumMath.EPSILON14); }); @@ -1296,9 +1294,8 @@ describe("Core/Transforms", function () { describe("computeIcrfToFixedMatrix", function () { async function preloadTransformationData(start, stop, eopUrl) { if (defined(eopUrl)) { - Transforms.earthOrientationParameters = await EarthOrientationParameters.fromUrl( - eopUrl - ); + Transforms.earthOrientationParameters = + await EarthOrientationParameters.fromUrl(eopUrl); } Transforms.iau2006XysData = new Iau2006XysData(); @@ -1326,17 +1323,17 @@ describe("Core/Transforms", function () { // what happens when we try evaluating at times when we don't have EOP as well as at // times where we do. The samples are not at exact EOP times, in order to test interpolation. const componentsData = await Resource.fetchJson( - "Data/EarthOrientationParameters/IcrfToFixedStkComponentsRotationData.json" + "Data/EarthOrientationParameters/IcrfToFixedStkComponentsRotationData.json", ); const start = JulianDate.fromIso8601(componentsData[0].date); const stop = JulianDate.fromIso8601( - componentsData[componentsData.length - 1].date + componentsData[componentsData.length - 1].date, ); await preloadTransformationData( start, stop, - "Data/EarthOrientationParameters/EOP-2011-July.json" + "Data/EarthOrientationParameters/EOP-2011-July.json", ); for (let i = 0; i < componentsData.length; ++i) { const time = JulianDate.fromIso8601(componentsData[i].date); @@ -1358,19 +1355,19 @@ describe("Core/Transforms", function () { const t4 = Matrix4.fromRotationTranslation(t); expect(Matrix4.inverse(t4, new Matrix4())).toEqualEpsilon( Matrix4.inverseTransformation(t4, new Matrix4()), - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); const expectedMtx = Matrix3.fromQuaternion( Quaternion.conjugate( componentsData[i].icrfToFixedQuaternion, - new Quaternion() - ) + new Quaternion(), + ), ); const testInverse = Matrix3.multiply( Matrix3.transpose(t, new Matrix3()), expectedMtx, - new Matrix3() + new Matrix3(), ); const testDiff = new Matrix3(); for (let k = 0; k < 9; k++) { @@ -1378,7 +1375,7 @@ describe("Core/Transforms", function () { } expect(testInverse).toEqualEpsilon( Matrix3.IDENTITY, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(testDiff).toEqualEpsilon(new Matrix3(), CesiumMath.EPSILON14); } @@ -1391,7 +1388,7 @@ describe("Core/Transforms", function () { await preloadTransformationData( time, time, - "Data/EarthOrientationParameters/EOP-2011-July.json" + "Data/EarthOrientationParameters/EOP-2011-July.json", ); const resultT = new Matrix3(); const t = Transforms.computeIcrfToFixedMatrix(time, resultT); @@ -1411,7 +1408,7 @@ describe("Core/Transforms", function () { const t4 = Matrix4.fromRotationTranslation(t); expect(Matrix4.inverse(t4, new Matrix4())).toEqualEpsilon( Matrix4.inverseTransformation(t4, new Matrix4()), - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); time = JulianDate.addHours(time, 23.93447, new JulianDate()); // add one sidereal day @@ -1432,13 +1429,13 @@ describe("Core/Transforms", function () { -0.0011325710874539787, 0.0011536112127187594, -0.0000089534866085598909, - 0.99999933455028112 + 0.99999933455028112, ); const testInverse = Matrix3.multiply( Matrix3.transpose(t, new Matrix3()), expectedMtx, - new Matrix3() + new Matrix3(), ); const testDiff = new Matrix3(); for (let i = 0; i < 9; i++) { @@ -1446,7 +1443,7 @@ describe("Core/Transforms", function () { } expect(testInverse).toEqualEpsilon( Matrix3.IDENTITY, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(testDiff).toEqualEpsilon(new Matrix3(), CesiumMath.EPSILON14); }); @@ -1457,7 +1454,7 @@ describe("Core/Transforms", function () { await preloadTransformationData( time, time, - "Data/EarthOrientationParameters/EOP-2011-July.json" + "Data/EarthOrientationParameters/EOP-2011-July.json", ); const resultT = new Matrix3(); const t = Transforms.computeIcrfToFixedMatrix(time, resultT); @@ -1472,13 +1469,13 @@ describe("Core/Transforms", function () { 0.0011266944449015753, 0.0011497249933208494, -0.000010082996932331842, - 0.99999933901516791 + 0.99999933901516791, ); const testInverse = Matrix3.multiply( Matrix3.transpose(t, new Matrix3()), expectedMtx, - new Matrix3() + new Matrix3(), ); const testDiff = new Matrix3(); for (let i = 0; i < 9; i++) { @@ -1486,7 +1483,7 @@ describe("Core/Transforms", function () { } expect(testInverse).toEqualEpsilon( Matrix3.IDENTITY, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(testDiff).toEqualEpsilon(new Matrix3(), CesiumMath.EPSILON14); }); @@ -1497,7 +1494,7 @@ describe("Core/Transforms", function () { await preloadTransformationData( time, time, - "Data/EarthOrientationParameters/EOP-2011-July.json" + "Data/EarthOrientationParameters/EOP-2011-July.json", ); const resultT = new Matrix3(); const t = Transforms.computeIcrfToFixedMatrix(time, resultT); @@ -1512,13 +1509,13 @@ describe("Core/Transforms", function () { 0.0011297972845023996, 0.0011493056536445096, -0.00001025368996280683, - 0.99999933949547 + 0.99999933949547, ); const testInverse = Matrix3.multiply( Matrix3.transpose(t, new Matrix3()), expectedMtx, - new Matrix3() + new Matrix3(), ); const testDiff = new Matrix3(); for (let i = 0; i < 9; i++) { @@ -1526,7 +1523,7 @@ describe("Core/Transforms", function () { } expect(testInverse).toEqualEpsilon( Matrix3.IDENTITY, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(testDiff).toEqualEpsilon(new Matrix3(), CesiumMath.EPSILON14); }); @@ -1536,13 +1533,13 @@ describe("Core/Transforms", function () { const inertialPos = new Cartesian3( -7322101.15395708, -41525699.1558387, - 0 + 0, ); // The following is the value computed by STK Components for the date specified below const expectedFixedPos = new Cartesian3( 39489858.9917795, -14783363.192887, - -8075.05820056297 + -8075.05820056297, ); // 2011-07-03 00:00:00 UTC @@ -1551,7 +1548,7 @@ describe("Core/Transforms", function () { await preloadTransformationData( time, time, - "Data/EarthOrientationParameters/EOP-2011-July.json" + "Data/EarthOrientationParameters/EOP-2011-July.json", ); const resultT = new Matrix3(); const t = Transforms.computeIcrfToFixedMatrix(time, resultT); @@ -1560,7 +1557,7 @@ describe("Core/Transforms", function () { const error = Cartesian3.subtract( result, expectedFixedPos, - new Cartesian3() + new Cartesian3(), ); // Given the magnitude of the positions involved (1e8) @@ -1576,7 +1573,7 @@ describe("Core/Transforms", function () { // we don't have the data in Cesium to load. await preloadTransformationData( time, - JulianDate.addDays(time, 1, new JulianDate()) + JulianDate.addDays(time, 1, new JulianDate()), ); const resultT = new Matrix3(); @@ -1593,7 +1590,7 @@ describe("Core/Transforms", function () { // we don't have the data in Cesium to load. await preloadTransformationData( time, - JulianDate.addDays(time, 1, new JulianDate()) + JulianDate.addDays(time, 1, new JulianDate()), ); const resultT = new Matrix3(); const t = Transforms.computeIcrfToFixedMatrix(time, resultT); @@ -1605,13 +1602,13 @@ describe("Core/Transforms", function () { const inertialPos = new Cartesian3( -7322101.15395708, -41525699.1558387, - 0 + 0, ); // The following is the value computed by STK Components for the date specified below const expectedFixedPos = new Cartesian3( 39489545.7583001, -14784199.9085371, - -8034.77037239318 + -8034.77037239318, ); // 2011-07-03 00:00:00 UTC @@ -1626,7 +1623,7 @@ describe("Core/Transforms", function () { const error = Cartesian3.subtract( result, expectedFixedPos, - new Cartesian3() + new Cartesian3(), ); // Given the magnitude of the positions involved (1e8) @@ -1643,13 +1640,13 @@ describe("Core/Transforms", function () { await preloadTransformationData( time, time, - "Data/EarthOrientationParameters/EOP-Invalid.json" + "Data/EarthOrientationParameters/EOP-Invalid.json", ); return Transforms.computeIcrfToFixedMatrix(time); - })() + })(), ).toBeRejectedWithError( RuntimeError, - "Error in loaded EOP data: The columnNames property is required." + "Error in loaded EOP data: The columnNames property is required.", ); }); @@ -1669,7 +1666,7 @@ describe("Core/Transforms", function () { width / height, 1.0, 10.0, - new Matrix4() + new Matrix4(), ); const vpTransform = Matrix4.computeViewportTransformation( { @@ -1678,7 +1675,7 @@ describe("Core/Transforms", function () { }, 0, 1, - new Matrix4() + new Matrix4(), ); it("pointToGLWindowCoordinates works at the center", function () { @@ -1686,7 +1683,7 @@ describe("Core/Transforms", function () { position: Cartesian3.multiplyByScalar( Cartesian3.UNIT_X, 2.0, - new Cartesian3() + new Cartesian3(), ), direction: Cartesian3.negate(Cartesian3.UNIT_X, new Cartesian3()), up: Cartesian3.UNIT_Z, @@ -1697,7 +1694,7 @@ describe("Core/Transforms", function () { const returnedResult = Transforms.pointToGLWindowCoordinates( mvpMatrix, vpTransform, - Cartesian3.ZERO + Cartesian3.ZERO, ); expect(returnedResult).toEqual(expected); }); @@ -1707,7 +1704,7 @@ describe("Core/Transforms", function () { position: Cartesian3.multiplyByScalar( Cartesian3.UNIT_X, 2.0, - new Cartesian3() + new Cartesian3(), ), direction: Cartesian3.negate(Cartesian3.UNIT_X, new Cartesian3()), up: Cartesian3.UNIT_Z, @@ -1720,7 +1717,7 @@ describe("Core/Transforms", function () { mvpMatrix, vpTransform, Cartesian3.ZERO, - result + result, ); expect(result).toBe(returnedResult); expect(returnedResult).toEqual(expected); @@ -1737,7 +1734,7 @@ describe("Core/Transforms", function () { const returnedResult = Transforms.pointToGLWindowCoordinates( perspective, vpTransform, - point + point, ); expect(returnedResult).toEqualEpsilon(expected, CesiumMath.EPSILON12); }); @@ -1753,7 +1750,7 @@ describe("Core/Transforms", function () { const returnedResult = Transforms.pointToGLWindowCoordinates( perspective, vpTransform, - point + point, ); expect(returnedResult).toEqualEpsilon(expected, CesiumMath.EPSILON12); }); @@ -1763,7 +1760,7 @@ describe("Core/Transforms", function () { position: Cartesian3.multiplyByScalar( Cartesian3.UNIT_X, 2.0, - new Cartesian3() + new Cartesian3(), ), direction: Cartesian3.negate(Cartesian3.UNIT_X, new Cartesian3()), up: Cartesian3.UNIT_Z, @@ -1774,7 +1771,7 @@ describe("Core/Transforms", function () { const returnedResult = Transforms.pointToWindowCoordinates( mvpMatrix, vpTransform, - Cartesian3.ZERO + Cartesian3.ZERO, ); expect(returnedResult).toEqual(expected); }); @@ -1784,7 +1781,7 @@ describe("Core/Transforms", function () { position: Cartesian3.multiplyByScalar( Cartesian3.UNIT_X, 2.0, - new Cartesian3() + new Cartesian3(), ), direction: Cartesian3.negate(Cartesian3.UNIT_X, new Cartesian3()), up: Cartesian3.UNIT_Z, @@ -1797,7 +1794,7 @@ describe("Core/Transforms", function () { mvpMatrix, vpTransform, Cartesian3.ZERO, - result + result, ); expect(result).toBe(returnedResult); expect(returnedResult).toEqual(expected); @@ -1814,7 +1811,7 @@ describe("Core/Transforms", function () { const returnedResult = Transforms.pointToWindowCoordinates( perspective, vpTransform, - point + point, ); expect(returnedResult).toEqualEpsilon(expected, CesiumMath.EPSILON12); }); @@ -1830,7 +1827,7 @@ describe("Core/Transforms", function () { const returnedResult = Transforms.pointToWindowCoordinates( perspective, vpTransform, - point + point, ); expect(returnedResult).toEqualEpsilon(expected, CesiumMath.EPSILON12); }); @@ -1838,21 +1835,21 @@ describe("Core/Transforms", function () { it("rotationMatrixFromPositionVelocity works without a result parameter", function () { let matrix = Transforms.rotationMatrixFromPositionVelocity( Cartesian3.UNIT_X, - Cartesian3.UNIT_Y + Cartesian3.UNIT_Y, ); let expected = new Matrix3(0, 0, 1, 1, 0, 0, 0, 1, 0); expect(matrix).toEqualEpsilon(expected, CesiumMath.EPSILON14); matrix = Transforms.rotationMatrixFromPositionVelocity( Cartesian3.UNIT_X, - Cartesian3.UNIT_Z + Cartesian3.UNIT_Z, ); expected = new Matrix3(0, 0, 1, 0, -1, 0, 1, 0, 0); expect(matrix).toEqualEpsilon(expected, CesiumMath.EPSILON14); matrix = Transforms.rotationMatrixFromPositionVelocity( Cartesian3.UNIT_Y, - Cartesian3.UNIT_Z + Cartesian3.UNIT_Z, ); expected = new Matrix3(0, 1, 0, 0, 0, 1, 1, 0, 0); expect(matrix).toEqualEpsilon(expected, CesiumMath.EPSILON14); @@ -1864,7 +1861,7 @@ describe("Core/Transforms", function () { Cartesian3.UNIT_X, Cartesian3.UNIT_Y, Ellipsoid.WGS84, - result + result, ); let expected = new Matrix3(0, 0, 1, 1, 0, 0, 0, 1, 0); expect(result).toEqualEpsilon(expected, CesiumMath.EPSILON14); @@ -1873,7 +1870,7 @@ describe("Core/Transforms", function () { Cartesian3.UNIT_X, Cartesian3.UNIT_Z, Ellipsoid.WGS84, - result + result, ); expected = new Matrix3(0, 0, 1, 0, -1, 0, 1, 0, 0); expect(result).toEqualEpsilon(expected, CesiumMath.EPSILON14); @@ -1882,7 +1879,7 @@ describe("Core/Transforms", function () { Cartesian3.UNIT_Y, Cartesian3.UNIT_Z, Ellipsoid.WGS84, - result + result, ); expected = new Matrix3(0, 1, 0, 0, 0, 1, 1, 0, 0); expect(result).toEqualEpsilon(expected, CesiumMath.EPSILON14); @@ -1900,16 +1897,16 @@ describe("Core/Transforms", function () { const modelMatrix = Transforms.headingPitchRollToFixedFrame( origin, hpr, - ellipsoid + ellipsoid, ); const modelMatrix2D = Transforms.basisTo2D( projection, modelMatrix, - new Matrix4() + new Matrix4(), ); const translation2D = Cartesian3.fromCartesian4( - Matrix4.getColumn(modelMatrix2D, 3, new Cartesian4()) + Matrix4.getColumn(modelMatrix2D, 3, new Cartesian4()), ); const carto = ellipsoid.cartesianToCartographic(origin); @@ -1931,12 +1928,12 @@ describe("Core/Transforms", function () { const modelMatrix = Transforms.headingPitchRollToFixedFrame( origin, hpr, - ellipsoid + ellipsoid, ); const modelMatrix2D = Transforms.basisTo2D( projection, modelMatrix, - new Matrix4() + new Matrix4(), ); const rotation2D = Matrix4.getMatrix3(modelMatrix2D, new Matrix3()); @@ -1947,7 +1944,7 @@ describe("Core/Transforms", function () { const hprPlusTranslate = Matrix4.multiply( enuInverse, modelMatrix, - new Matrix4() + new Matrix4(), ); const hpr2 = Matrix4.getMatrix3(hprPlusTranslate, new Matrix3()); @@ -1971,7 +1968,7 @@ describe("Core/Transforms", function () { const actual = Transforms.ellipsoidTo2DModelMatrix( projection, origin, - new Matrix4() + new Matrix4(), ); const expected = Matrix4.fromTranslation(origin); Transforms.basisTo2D(projection, expected, expected); @@ -1980,19 +1977,19 @@ describe("Core/Transforms", function () { const expectedRotation = Matrix4.getMatrix3(expected, new Matrix3()); expect(actualRotation).toEqualEpsilon( expectedRotation, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); const fromENU = Transforms.eastNorthUpToFixedFrame( origin, ellipsoid, - new Matrix4() + new Matrix4(), ); const toENU = Matrix4.inverseTransformation(fromENU, new Matrix4()); const toENUTranslation = Matrix4.getTranslation(toENU, new Cartesian4()); const projectedTranslation = Matrix4.getTranslation( expected, - new Cartesian4() + new Cartesian4(), ); const expectedTranslation = new Cartesian4(); @@ -2004,7 +2001,7 @@ describe("Core/Transforms", function () { expect(actualTranslation).toEqualEpsilon( expectedTranslation, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); }); @@ -2012,12 +2009,12 @@ describe("Core/Transforms", function () { const expected = new HeadingPitchRoll(0.5, 0.6, 0.7); let transform = Transforms.eastNorthUpToFixedFrame( - Cartesian3.fromDegrees(0, 0) + Cartesian3.fromDegrees(0, 0), ); const transform2 = Matrix4.fromTranslationQuaternionRotationScale( new Cartesian3(), Quaternion.fromHeadingPitchRoll(expected), - new Cartesian3(1, 1, 1) + new Cartesian3(1, 1, 1), ); transform = Matrix4.multiply(transform, transform2, transform2); @@ -2053,7 +2050,7 @@ describe("Core/Transforms", function () { expect(function () { Transforms.headingPitchRollToFixedFrame( undefined, - new HeadingPitchRoll() + new HeadingPitchRoll(), ); }).toThrowDeveloperError(); }); @@ -2075,7 +2072,7 @@ describe("Core/Transforms", function () { Transforms.pointToWindowCoordinates( undefined, Matrix4.IDENTITY, - Cartesian3.ZERO + Cartesian3.ZERO, ); }).toThrowDeveloperError(); }); @@ -2085,7 +2082,7 @@ describe("Core/Transforms", function () { Transforms.pointToWindowCoordinates( Matrix4.IDENTITY, undefined, - Cartesian3.ZERO + Cartesian3.ZERO, ); }).toThrowDeveloperError(); }); @@ -2095,7 +2092,7 @@ describe("Core/Transforms", function () { Transforms.pointToWindowCoordinates( Matrix4.IDENTITY, Matrix4.IDENTITY, - undefined + undefined, ); }).toThrowDeveloperError(); }); @@ -2111,7 +2108,7 @@ describe("Core/Transforms", function () { Transforms.basisTo2D( new GeographicProjection(), undefined, - new Matrix4() + new Matrix4(), ); }).toThrowDeveloperError(); }); @@ -2121,7 +2118,7 @@ describe("Core/Transforms", function () { Transforms.basisTo2D( new GeographicProjection(), Matrix4.IDENTITY, - undefined + undefined, ); }).toThrowDeveloperError(); }); @@ -2131,7 +2128,7 @@ describe("Core/Transforms", function () { Transforms.ellipsoidTo2DModelMatrix( undefined, Cartesian3.UNIT_X, - new Matrix4() + new Matrix4(), ); }).toThrowDeveloperError(); }); @@ -2141,7 +2138,7 @@ describe("Core/Transforms", function () { Transforms.ellipsoidTo2DModelMatrix( new GeographicProjection(), undefined, - new Matrix4() + new Matrix4(), ); }).toThrowDeveloperError(); }); @@ -2151,7 +2148,7 @@ describe("Core/Transforms", function () { Transforms.ellipsoidTo2DModelMatrix( new GeographicProjection(), Cartesian3.UNIT_X, - undefined + undefined, ); }).toThrowDeveloperError(); }); diff --git a/packages/engine/Specs/Core/TranslationRotationScaleSpec.js b/packages/engine/Specs/Core/TranslationRotationScaleSpec.js index c673745259eb..ad3afec38d79 100644 --- a/packages/engine/Specs/Core/TranslationRotationScaleSpec.js +++ b/packages/engine/Specs/Core/TranslationRotationScaleSpec.js @@ -21,7 +21,7 @@ describe("Core/TranslationRotationScale", function () { const transformation = new TranslationRotationScale( translation, rotation, - scale + scale, ); expect(transformation.translation).toEqual(translation); diff --git a/packages/engine/Specs/Core/TridiagonalSystemSolverSpec.js b/packages/engine/Specs/Core/TridiagonalSystemSolverSpec.js index b96c8720ab31..15db6f082ce6 100644 --- a/packages/engine/Specs/Core/TridiagonalSystemSolverSpec.js +++ b/packages/engine/Specs/Core/TridiagonalSystemSolverSpec.js @@ -73,14 +73,7 @@ describe("Core/TridiagonalSystemSolver", function () { it("solve nine unknowns", function () { const l = [1.0, 1.0, 1.0, 1.0, 1.0, 1.0, 1.0, 1.0]; const d = [ - -2.0304, - -2.0288, - -2.0272, - -2.0256, - -2.024, - -2.0224, - -2.0208, - -2.0192, + -2.0304, -2.0288, -2.0272, -2.0256, -2.024, -2.0224, -2.0208, -2.0192, -2.0176, ]; const u = [1.0, 1.0, 1.0, 1.0, 1.0, 1.0, 1.0, 1.0]; diff --git a/packages/engine/Specs/Core/TrustedServersSpec.js b/packages/engine/Specs/Core/TrustedServersSpec.js index f5a174a0f8d5..77efce7480ed 100644 --- a/packages/engine/Specs/Core/TrustedServersSpec.js +++ b/packages/engine/Specs/Core/TrustedServersSpec.js @@ -26,55 +26,55 @@ describe("Core/TrustedServers", function () { it("http without a port", function () { TrustedServers.add("cesiumjs.org", 80); expect(TrustedServers.contains("http://cesiumjs.org/index.html")).toBe( - true + true, ); expect(TrustedServers.contains("https://cesiumjs.org/index.html")).toBe( - false + false, ); }); it("https without a port", function () { TrustedServers.add("cesiumjs.org", 443); expect(TrustedServers.contains("https://cesiumjs.org/index.html")).toBe( - true + true, ); expect(TrustedServers.contains("http://cesiumjs.org/index.html")).toBe( - false + false, ); }); it("add", function () { expect(TrustedServers.contains("http://cesiumjs.org:81/index.html")).toBe( - false + false, ); TrustedServers.add("cesiumjs.org", 81); expect(TrustedServers.contains("http://cesiumjs.org/index.html")).toBe( - false + false, ); expect(TrustedServers.contains("http://cesiumjs.org:81/index.html")).toBe( - true + true, ); }); it("remove", function () { TrustedServers.add("cesiumjs.org", 81); expect(TrustedServers.contains("http://cesiumjs.org:81/index.html")).toBe( - true + true, ); TrustedServers.remove("cesiumjs.org", 8080); expect(TrustedServers.contains("http://cesiumjs.org:81/index.html")).toBe( - true + true, ); TrustedServers.remove("cesiumjs.org", 81); expect(TrustedServers.contains("http://cesiumjs.org:81/index.html")).toBe( - false + false, ); }); it("handles username/password credentials", function () { TrustedServers.add("cesiumjs.org", 81); expect( - TrustedServers.contains("http://user:pass@cesiumjs.org:81/index.html") + TrustedServers.contains("http://user:pass@cesiumjs.org:81/index.html"), ).toBe(true); }); @@ -90,15 +90,15 @@ describe("Core/TrustedServers", function () { it("clear", function () { TrustedServers.add("cesiumjs.org", 80); expect(TrustedServers.contains("http://cesiumjs.org/index.html")).toBe( - true + true, ); TrustedServers.clear(); expect(TrustedServers.contains("http://cesiumjs.org/index.html")).toBe( - false + false, ); TrustedServers.add("cesiumjs.org", 80); expect(TrustedServers.contains("http://cesiumjs.org/index.html")).toBe( - true + true, ); }); }); diff --git a/packages/engine/Specs/Core/VRTheWorldTerrainProviderSpec.js b/packages/engine/Specs/Core/VRTheWorldTerrainProviderSpec.js index a4103ae80051..900ca48d8131 100644 --- a/packages/engine/Specs/Core/VRTheWorldTerrainProviderSpec.js +++ b/packages/engine/Specs/Core/VRTheWorldTerrainProviderSpec.js @@ -21,7 +21,7 @@ describe("Core/VRTheWorldTerrainProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { if (url === imageUrl) { Resource._DefaultImplementations.loadWithXhr( @@ -31,7 +31,7 @@ describe("Core/VRTheWorldTerrainProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ); return; } @@ -90,9 +90,9 @@ describe("Core/VRTheWorldTerrainProvider", function () { it("fromUrl rejects without url", async function () { await expectAsync( - VRTheWorldTerrainProvider.fromUrl() + VRTheWorldTerrainProvider.fromUrl(), ).toBeRejectedWithDeveloperError( - "url is required, actual value was undefined" + "url is required, actual value was undefined", ); }); @@ -131,11 +131,11 @@ describe("Core/VRTheWorldTerrainProvider", function () { expect(provider.getLevelMaximumGeometricError(0)).toBeGreaterThan(0.0); expect(provider.getLevelMaximumGeometricError(0)).toEqualEpsilon( provider.getLevelMaximumGeometricError(1) * 2.0, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(provider.getLevelMaximumGeometricError(1)).toEqualEpsilon( provider.getLevelMaximumGeometricError(2) * 2.0, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -168,7 +168,7 @@ describe("Core/VRTheWorldTerrainProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { setTimeout(function () { const parser = new DOMParser(); @@ -198,10 +198,10 @@ describe("Core/VRTheWorldTerrainProvider", function () { }; await expectAsync( - VRTheWorldTerrainProvider.fromUrl("made/up/url") + VRTheWorldTerrainProvider.fromUrl("made/up/url"), ).toBeRejectedWithError( RuntimeError, - "An error occurred while accessing made/up/url: SRS EPSG:foo is not supported" + "An error occurred while accessing made/up/url: SRS EPSG:foo is not supported", ); }); @@ -214,24 +214,24 @@ describe("Core/VRTheWorldTerrainProvider", function () { Resource._Implementations.createImage = function ( request, crossOrigin, - deferred + deferred, ) { expect(request.url.indexOf(".tif?cesium=true")).toBeGreaterThanOrEqual( - 0 + 0, ); // Just return any old image. Resource._DefaultImplementations.createImage( new Request({ url: imageUrl }), crossOrigin, - deferred + deferred, ); }; const terrainProvider = await VRTheWorldTerrainProvider.fromUrl(baseUrl); expect(terrainProvider.tilingScheme).toBeInstanceOf( - GeographicTilingScheme + GeographicTilingScheme, ); const loadedData = await terrainProvider.requestTileGeometry(0, 0, 0); expect(loadedData).toBeInstanceOf(HeightmapTerrainData); @@ -244,7 +244,7 @@ describe("Core/VRTheWorldTerrainProvider", function () { RequestScheduler.maximumRequestsPerServer = 0; expect( - terrainProvider.requestTileGeometry(0, 0, 0, createRequest()) + terrainProvider.requestTileGeometry(0, 0, 0, createRequest()), ).toBeUndefined(); }); }); diff --git a/packages/engine/Specs/Core/VertexFormatSpec.js b/packages/engine/Specs/Core/VertexFormatSpec.js index 85acde809a35..f14735bc596c 100644 --- a/packages/engine/Specs/Core/VertexFormatSpec.js +++ b/packages/engine/Specs/Core/VertexFormatSpec.js @@ -23,12 +23,9 @@ describe("Core/VertexFormat", function () { expect(cloned).toEqual(vertexFormat); }); - createPackableSpecs(VertexFormat, VertexFormat.POSITION_AND_NORMAL, [ - 1.0, - 1.0, - 0.0, - 0.0, - 0.0, - 0.0, - ]); + createPackableSpecs( + VertexFormat, + VertexFormat.POSITION_AND_NORMAL, + [1.0, 1.0, 0.0, 0.0, 0.0, 0.0], + ); }); diff --git a/packages/engine/Specs/Core/VerticalExaggerationSpec.js b/packages/engine/Specs/Core/VerticalExaggerationSpec.js index 1d261e5c9791..e429cf93c09f 100644 --- a/packages/engine/Specs/Core/VerticalExaggerationSpec.js +++ b/packages/engine/Specs/Core/VerticalExaggerationSpec.js @@ -14,7 +14,7 @@ describe("Core/VerticalExaggeration", function () { const result = VerticalExaggeration.getHeight( height, scale, - relativeHeight + relativeHeight, ); expect(result).toEqual(height); }); @@ -27,7 +27,7 @@ describe("Core/VerticalExaggeration", function () { const result = VerticalExaggeration.getHeight( height, scale, - relativeHeight + relativeHeight, ); expect(result).toEqual(200.0); }); @@ -40,7 +40,7 @@ describe("Core/VerticalExaggeration", function () { const result = VerticalExaggeration.getHeight( height, scale, - relativeHeight + relativeHeight, ); expect(result).toEqual(100.0); }); @@ -53,7 +53,7 @@ describe("Core/VerticalExaggeration", function () { const result = VerticalExaggeration.getHeight( height, scale, - relativeHeight + relativeHeight, ); expect(result).toEqual(0.0); }); @@ -68,7 +68,7 @@ describe("Core/VerticalExaggeration", function () { position, ellipsoid, verticalExaggeration, - verticalExaggerationRelativeHeight + verticalExaggerationRelativeHeight, ); expect(result).toEqualEpsilon(position, CesiumMath.EPSILON8); }); @@ -83,11 +83,11 @@ describe("Core/VerticalExaggeration", function () { position, ellipsoid, verticalExaggeration, - verticalExaggerationRelativeHeight + verticalExaggerationRelativeHeight, ); expect(result).toEqualEpsilon( Cartesian3.fromRadians(0.0, 0.0, 200.0), - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); }); @@ -101,7 +101,7 @@ describe("Core/VerticalExaggeration", function () { position, ellipsoid, verticalExaggeration, - verticalExaggerationRelativeHeight + verticalExaggerationRelativeHeight, ); expect(result).toEqualEpsilon(position, CesiumMath.EPSILON8); }); @@ -116,11 +116,11 @@ describe("Core/VerticalExaggeration", function () { position, ellipsoid, verticalExaggeration, - verticalExaggerationRelativeHeight + verticalExaggerationRelativeHeight, ); expect(result).toEqualEpsilon( Cartesian3.fromRadians(0.0, 0.0, 0.0), - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); }); }); diff --git a/packages/engine/Specs/Core/VideoSynchronizerSpec.js b/packages/engine/Specs/Core/VideoSynchronizerSpec.js index 2b7e578238d1..642011a73da8 100644 --- a/packages/engine/Specs/Core/VideoSynchronizerSpec.js +++ b/packages/engine/Specs/Core/VideoSynchronizerSpec.js @@ -102,7 +102,7 @@ describe("Core/VideoSynchronizer", function () { return CesiumMath.equalsEpsilon( element.currentTime, 60 - element.duration, - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); }); }) @@ -113,7 +113,7 @@ describe("Core/VideoSynchronizer", function () { return CesiumMath.equalsEpsilon( element.currentTime, element.duration - 1, - CesiumMath.EPSILON1 + CesiumMath.EPSILON1, ); }); }) @@ -154,7 +154,7 @@ describe("Core/VideoSynchronizer", function () { return CesiumMath.equalsEpsilon( element.currentTime, element.duration, - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); }); }) diff --git a/packages/engine/Specs/Core/WallGeometrySpec.js b/packages/engine/Specs/Core/WallGeometrySpec.js index d8f430d9f0ab..c7667e7217f3 100644 --- a/packages/engine/Specs/Core/WallGeometrySpec.js +++ b/packages/engine/Specs/Core/WallGeometrySpec.js @@ -39,17 +39,9 @@ describe("Core/WallGeometry", function () { const geometry = WallGeometry.createGeometry( new WallGeometry({ positions: Cartesian3.fromDegreesArrayHeights([ - 49.0, - 18.0, - 1000.0, - 49.0, - 18.0, - 5000.0, - 49.0, - 18.0, - 1000.0, + 49.0, 18.0, 1000.0, 49.0, 18.0, 5000.0, 49.0, 18.0, 1000.0, ]), - }) + }), ); expect(geometry).toBeUndefined(); }); @@ -58,29 +50,19 @@ describe("Core/WallGeometry", function () { let geometry = WallGeometry.createGeometry( new WallGeometry({ positions: Cartesian3.fromDegreesArray([ - 49.0, - 18.0, - 49.0, - 18.0, - 49.0, - 18.0, + 49.0, 18.0, 49.0, 18.0, 49.0, 18.0, ]), - }) + }), ); expect(geometry).toBeUndefined(); geometry = WallGeometry.createGeometry( new WallGeometry({ positions: Cartesian3.fromDegreesArray([ - 49.0, - 18.0, - 49.0, - 18.0, - 49.0, - 18.0, + 49.0, 18.0, 49.0, 18.0, 49.0, 18.0, ]), maximumHeights: [0, 0, 0], - }) + }), ); expect(geometry).toBeUndefined(); }); @@ -90,12 +72,10 @@ describe("Core/WallGeometry", function () { new WallGeometry({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArray([ - -47.93121266896352, - -15.771192496304398, - -47.93119792786269, + -47.93121266896352, -15.771192496304398, -47.93119792786269, -15.771148001875085, ]), - }) + }), ); }); @@ -104,14 +84,9 @@ describe("Core/WallGeometry", function () { new WallGeometry({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArrayHeights([ - 49.0, - 18.0, - 1000.0, - 50.0, - 18.0, - 1000.0, + 49.0, 18.0, 1000.0, 50.0, 18.0, 1000.0, ]), - }) + }), ); const positions = w.attributes.position.values; @@ -121,12 +96,12 @@ describe("Core/WallGeometry", function () { expect(w.indices.length).toEqual(numTriangles * 3); let cartographic = ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(positions, 0) + Cartesian3.fromArray(positions, 0), ); expect(cartographic.height).toEqualEpsilon(0.0, CesiumMath.EPSILON8); cartographic = ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(positions, 3) + Cartesian3.fromArray(positions, 3), ); expect(cartographic.height).toEqualEpsilon(1000.0, CesiumMath.EPSILON8); }); @@ -136,23 +111,10 @@ describe("Core/WallGeometry", function () { new WallGeometry({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArrayHeights([ - -107.0, - 43.0, - 1000.0, - -106.0, - 43.0, - 1000.0, - -106.0, - 42.0, - 1000.0, - -107.0, - 42.0, - 1000.0, - -107.0, - 43.0, - 1000.0, + -107.0, 43.0, 1000.0, -106.0, 43.0, 1000.0, -106.0, 42.0, 1000.0, + -107.0, 42.0, 1000.0, -107.0, 43.0, 1000.0, ]), - }) + }), ); const positions = w.attributes.position.values; @@ -162,12 +124,12 @@ describe("Core/WallGeometry", function () { expect(w.indices.length).toEqual(numTriangles * 3); let cartographic = ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(positions, 0) + Cartesian3.fromArray(positions, 0), ); expect(cartographic.height).toEqualEpsilon(0.0, CesiumMath.EPSILON8); cartographic = ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(positions, 3) + Cartesian3.fromArray(positions, 3), ); expect(cartographic.height).toEqualEpsilon(1000.0, CesiumMath.EPSILON8); }); @@ -177,16 +139,11 @@ describe("Core/WallGeometry", function () { new WallGeometry({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArrayHeights([ - 49.0, - 18.0, - 1000.0, - 50.0, - 18.0, - 1000.0, + 49.0, 18.0, 1000.0, 50.0, 18.0, 1000.0, ]), minimumHeights: [1000.0, 2000.0], maximumHeights: [3000.0, 4000.0], - }) + }), ); const positions = w.attributes.position.values; @@ -196,22 +153,22 @@ describe("Core/WallGeometry", function () { expect(w.indices.length).toEqual(numTriangles * 3); let cartographic = ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(positions, 0) + Cartesian3.fromArray(positions, 0), ); expect(cartographic.height).toEqualEpsilon(1000.0, CesiumMath.EPSILON8); cartographic = ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(positions, 3) + Cartesian3.fromArray(positions, 3), ); expect(cartographic.height).toEqualEpsilon(3000.0, CesiumMath.EPSILON8); cartographic = ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(positions, 6) + Cartesian3.fromArray(positions, 6), ); expect(cartographic.height).toEqualEpsilon(2000.0, CesiumMath.EPSILON8); cartographic = ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(positions, 9) + Cartesian3.fromArray(positions, 9), ); expect(cartographic.height).toEqualEpsilon(4000.0, CesiumMath.EPSILON8); }); @@ -221,29 +178,11 @@ describe("Core/WallGeometry", function () { new WallGeometry({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArrayHeights([ - 49.0, - 18.0, - 1000.0, - 49.0, - 18.0, - 2000.0, - 50.0, - 18.0, - 1000.0, - 50.0, - 18.0, - 1000.0, - 50.0, - 18.0, - 1000.0, - 51.0, - 18.0, - 1000.0, - 51.0, - 18.0, + 49.0, 18.0, 1000.0, 49.0, 18.0, 2000.0, 50.0, 18.0, 1000.0, 50.0, + 18.0, 1000.0, 50.0, 18.0, 1000.0, 51.0, 18.0, 1000.0, 51.0, 18.0, 1000.0, ]), - }) + }), ); const numPositions = 8; @@ -253,12 +192,12 @@ describe("Core/WallGeometry", function () { expect(w.indices.length).toEqual(numTriangles * 3); let cartographic = ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(positions, 0) + Cartesian3.fromArray(positions, 0), ); expect(cartographic.height).toEqualEpsilon(0.0, CesiumMath.EPSILON8); cartographic = ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(positions, 3) + Cartesian3.fromArray(positions, 3), ); expect(cartographic.height).toEqualEpsilon(2000.0, CesiumMath.EPSILON8); }); @@ -271,25 +210,25 @@ describe("Core/WallGeometry", function () { new Cartesian3( 4347090.215457887, 1061403.4237998386, - 4538066.036525028 + 4538066.036525028, ), new Cartesian3( 4348147.589624987, 1043897.8776143644, - 4541092.234751661 + 4541092.234751661, ), new Cartesian3( 4348147.589882754, 1043897.8776762491, - 4541092.234492364 + 4541092.234492364, ), new Cartesian3( 4335659.882947743, 1047571.602084736, - 4552098.654605664 + 4552098.654605664, ), ], - }) + }), ); const numPositions = 8; @@ -319,7 +258,7 @@ describe("Core/WallGeometry", function () { new WallGeometry({ vertexFormat: VertexFormat.POSITION_ONLY, positions: inputPositions, - }) + }), ); expect(w).toBeDefined(); @@ -335,11 +274,11 @@ describe("Core/WallGeometry", function () { new WallGeometry({ vertexFormat: VertexFormat.POSITION_ONLY, positions: expectedPositions, - }) + }), ); const positions = w.attributes.position.values; expect(positions.length).toEqual( - expectedW.attributes.position.values.length + expectedW.attributes.position.values.length, ); }); @@ -348,23 +287,10 @@ describe("Core/WallGeometry", function () { new WallGeometry({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArrayHeights([ - 49.0, - 18.0, - 1000.0, - 50.0, - 18.0, - 1000.0, - 50.0, - 18.0, - 6000.0, - 50.0, - 18.0, - 10000.0, - 51.0, - 18.0, - 1000.0, + 49.0, 18.0, 1000.0, 50.0, 18.0, 1000.0, 50.0, 18.0, 6000.0, 50.0, + 18.0, 10000.0, 51.0, 18.0, 1000.0, ]), - }) + }), ); const numPositions = 8; @@ -374,12 +300,12 @@ describe("Core/WallGeometry", function () { expect(w.indices.length).toEqual(numTriangles * 3); let cartographic = ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(positions, 0) + Cartesian3.fromArray(positions, 0), ); expect(cartographic.height).toEqualEpsilon(0.0, CesiumMath.EPSILON8); cartographic = ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(positions, 9) + Cartesian3.fromArray(positions, 9), ); expect(cartographic.height).toEqualEpsilon(10000.0, CesiumMath.EPSILON8); }); @@ -389,17 +315,9 @@ describe("Core/WallGeometry", function () { new WallGeometry({ vertexFormat: VertexFormat.ALL, positions: Cartesian3.fromDegreesArrayHeights([ - 49.0, - 18.0, - 1000.0, - 50.0, - 18.0, - 1000.0, - 51.0, - 18.0, - 1000.0, + 49.0, 18.0, 1000.0, 50.0, 18.0, 1000.0, 51.0, 18.0, 1000.0, ]), - }) + }), ); const numPositions = 8; @@ -417,36 +335,14 @@ describe("Core/WallGeometry", function () { new WallGeometry({ vertexFormat: VertexFormat.ALL, positions: Cartesian3.fromDegreesArrayHeights([ - 49.0, - 18.0, - 1000.0, - 50.0, - 18.0, - 1000.0, - 51.0, - 18.0, - 1000.0, + 49.0, 18.0, 1000.0, 50.0, 18.0, 1000.0, 51.0, 18.0, 1000.0, ]), - }) + }), ); expect(w.attributes.st.values.length).toEqual(4 * 2 * 2); expect(w.attributes.st.values).toEqual([ - 0.0, - 0.0, - 0.0, - 1.0, - 0.5, - 0.0, - 0.5, - 1.0, - 0.5, - 0.0, - 0.5, - 1.0, - 1.0, - 0.0, - 1.0, + 0.0, 0.0, 0.0, 1.0, 0.5, 0.0, 0.5, 1.0, 0.5, 0.0, 0.5, 1.0, 1.0, 0.0, 1.0, 1.0, ]); }); @@ -456,39 +352,15 @@ describe("Core/WallGeometry", function () { new WallGeometry({ vertexFormat: VertexFormat.ALL, positions: Cartesian3.fromDegreesArrayHeights([ - 49.0, - 18.0, - 1000.0, - 50.0, - 18.0, - 1000.0, - 50.0, - 18.0, - 1000.0, - 51.0, - 18.0, - 1000.0, + 49.0, 18.0, 1000.0, 50.0, 18.0, 1000.0, 50.0, 18.0, 1000.0, 51.0, + 18.0, 1000.0, ]), - }) + }), ); expect(w.attributes.st.values.length).toEqual(4 * 2 * 2); expect(w.attributes.st.values).toEqual([ - 0.0, - 0.0, - 0.0, - 1.0, - 0.5, - 0.0, - 0.5, - 1.0, - 0.5, - 0.0, - 0.5, - 1.0, - 1.0, - 0.0, - 1.0, + 0.0, 0.0, 0.0, 1.0, 0.5, 0.0, 0.5, 1.0, 0.5, 0.0, 0.5, 1.0, 1.0, 0.0, 1.0, 1.0, ]); }); @@ -507,16 +379,11 @@ describe("Core/WallGeometry", function () { WallGeometry.fromConstantHeights({ vertexFormat: VertexFormat.POSITION_ONLY, positions: Cartesian3.fromDegreesArrayHeights([ - 49.0, - 18.0, - 1000.0, - 50.0, - 18.0, - 1000.0, + 49.0, 18.0, 1000.0, 50.0, 18.0, 1000.0, ]), minimumHeight: min, maximumHeight: max, - }) + }), ); const numPositions = 4; @@ -526,22 +393,22 @@ describe("Core/WallGeometry", function () { expect(w.indices.length).toEqual(numTriangles * 3); let cartographic = ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(positions, 0) + Cartesian3.fromArray(positions, 0), ); expect(cartographic.height).toEqualEpsilon(min, CesiumMath.EPSILON8); cartographic = ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(positions, 3) + Cartesian3.fromArray(positions, 3), ); expect(cartographic.height).toEqualEpsilon(max, CesiumMath.EPSILON8); cartographic = ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(positions, 6) + Cartesian3.fromArray(positions, 6), ); expect(cartographic.height).toEqualEpsilon(min, CesiumMath.EPSILON8); cartographic = ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(positions, 9) + Cartesian3.fromArray(positions, 9), ); expect(cartographic.height).toEqualEpsilon(max, CesiumMath.EPSILON8); }); @@ -558,28 +425,8 @@ describe("Core/WallGeometry", function () { ellipsoid: Ellipsoid.UNIT_SPHERE, }); const packedInstance = [ - 3.0, - 1.0, - 0.0, - 0.0, - 0.0, - 1.0, - 0.0, - 0.0, - 0.0, - 1.0, - 0.0, - 0.0, - 1.0, - 1.0, - 1.0, - 1.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.0, - 0.01, + 3.0, 1.0, 0.0, 0.0, 0.0, 1.0, 0.0, 0.0, 0.0, 1.0, 0.0, 0.0, 1.0, 1.0, 1.0, + 1.0, 0.0, 0.0, 0.0, 0.0, 0.0, 0.01, ]; createPackableSpecs(WallGeometry, wall, packedInstance); }); diff --git a/packages/engine/Specs/Core/WallOutlineGeometrySpec.js b/packages/engine/Specs/Core/WallOutlineGeometrySpec.js index 42435f0eb47c..7b4777cd3a29 100644 --- a/packages/engine/Specs/Core/WallOutlineGeometrySpec.js +++ b/packages/engine/Specs/Core/WallOutlineGeometrySpec.js @@ -38,17 +38,9 @@ describe("Core/WallOutlineGeometry", function () { const geometry = WallOutlineGeometry.createGeometry( new WallOutlineGeometry({ positions: Cartesian3.fromDegreesArrayHeights([ - 49.0, - 18.0, - 1000.0, - 49.0, - 18.0, - 5000.0, - 49.0, - 18.0, - 1000.0, + 49.0, 18.0, 1000.0, 49.0, 18.0, 5000.0, 49.0, 18.0, 1000.0, ]), - }) + }), ); expect(geometry).toBeUndefined(); }); @@ -57,29 +49,19 @@ describe("Core/WallOutlineGeometry", function () { let geometry = WallOutlineGeometry.createGeometry( new WallOutlineGeometry({ positions: Cartesian3.fromDegreesArray([ - 49.0, - 18.0, - 49.0, - 18.0, - 49.0, - 18.0, + 49.0, 18.0, 49.0, 18.0, 49.0, 18.0, ]), - }) + }), ); expect(geometry).toBeUndefined(); geometry = WallOutlineGeometry.createGeometry( new WallOutlineGeometry({ positions: Cartesian3.fromDegreesArray([ - 49.0, - 18.0, - 49.0, - 18.0, - 49.0, - 18.0, + 49.0, 18.0, 49.0, 18.0, 49.0, 18.0, ]), maximumHeights: [0, 0, 0], - }) + }), ); expect(geometry).toBeUndefined(); }); @@ -88,15 +70,10 @@ describe("Core/WallOutlineGeometry", function () { const w = WallOutlineGeometry.createGeometry( new WallOutlineGeometry({ positions: Cartesian3.fromDegreesArrayHeights([ - 49.0, - 18.0, - 1000.0, - 50.0, - 18.0, - 1000.0, + 49.0, 18.0, 1000.0, 50.0, 18.0, 1000.0, ]), granularity: Math.PI, - }) + }), ); const positions = w.attributes.position.values; @@ -104,12 +81,12 @@ describe("Core/WallOutlineGeometry", function () { expect(w.indices.length).toEqual(4 * 2); let cartographic = ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(positions, 0) + Cartesian3.fromArray(positions, 0), ); expect(cartographic.height).toEqualEpsilon(0.0, CesiumMath.EPSILON8); cartographic = ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(positions, 3) + Cartesian3.fromArray(positions, 3), ); expect(cartographic.height).toEqualEpsilon(1000.0, CesiumMath.EPSILON8); }); @@ -118,17 +95,12 @@ describe("Core/WallOutlineGeometry", function () { const w = WallOutlineGeometry.createGeometry( new WallOutlineGeometry({ positions: Cartesian3.fromDegreesArrayHeights([ - 49.0, - 18.0, - 1000.0, - 50.0, - 18.0, - 1000.0, + 49.0, 18.0, 1000.0, 50.0, 18.0, 1000.0, ]), minimumHeights: [1000.0, 2000.0], maximumHeights: [3000.0, 4000.0], granularity: Math.PI, - }) + }), ); const positions = w.attributes.position.values; @@ -136,22 +108,22 @@ describe("Core/WallOutlineGeometry", function () { expect(w.indices.length).toEqual(4 * 2); let cartographic = ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(positions, 0) + Cartesian3.fromArray(positions, 0), ); expect(cartographic.height).toEqualEpsilon(1000.0, CesiumMath.EPSILON8); cartographic = ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(positions, 3) + Cartesian3.fromArray(positions, 3), ); expect(cartographic.height).toEqualEpsilon(3000.0, CesiumMath.EPSILON8); cartographic = ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(positions, 6) + Cartesian3.fromArray(positions, 6), ); expect(cartographic.height).toEqualEpsilon(2000.0, CesiumMath.EPSILON8); cartographic = ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(positions, 9) + Cartesian3.fromArray(positions, 9), ); expect(cartographic.height).toEqualEpsilon(4000.0, CesiumMath.EPSILON8); }); @@ -160,29 +132,11 @@ describe("Core/WallOutlineGeometry", function () { const w = WallOutlineGeometry.createGeometry( new WallOutlineGeometry({ positions: Cartesian3.fromDegreesArrayHeights([ - 49.0, - 18.0, - 1000.0, - 49.0, - 18.0, - 2000.0, - 50.0, - 18.0, - 1000.0, - 50.0, - 18.0, - 1000.0, - 50.0, - 18.0, - 1000.0, - 51.0, - 18.0, - 1000.0, - 51.0, - 18.0, + 49.0, 18.0, 1000.0, 49.0, 18.0, 2000.0, 50.0, 18.0, 1000.0, 50.0, + 18.0, 1000.0, 50.0, 18.0, 1000.0, 51.0, 18.0, 1000.0, 51.0, 18.0, 1000.0, ]), - }) + }), ); const positions = w.attributes.position.values; @@ -190,12 +144,12 @@ describe("Core/WallOutlineGeometry", function () { expect(w.indices.length).toEqual(7 * 2); //3 vertical + 4 horizontal let cartographic = ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(positions, 0) + Cartesian3.fromArray(positions, 0), ); expect(cartographic.height).toEqualEpsilon(0.0, CesiumMath.EPSILON8); cartographic = ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(positions, 3) + Cartesian3.fromArray(positions, 3), ); expect(cartographic.height).toEqualEpsilon(2000.0, CesiumMath.EPSILON8); }); @@ -213,16 +167,11 @@ describe("Core/WallOutlineGeometry", function () { const w = WallOutlineGeometry.createGeometry( WallOutlineGeometry.fromConstantHeights({ positions: Cartesian3.fromDegreesArrayHeights([ - 49.0, - 18.0, - 1000.0, - 50.0, - 18.0, - 1000.0, + 49.0, 18.0, 1000.0, 50.0, 18.0, 1000.0, ]), minimumHeight: min, maximumHeight: max, - }) + }), ); const positions = w.attributes.position.values; @@ -230,22 +179,22 @@ describe("Core/WallOutlineGeometry", function () { expect(w.indices.length).toEqual(4 * 2); let cartographic = ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(positions, 0) + Cartesian3.fromArray(positions, 0), ); expect(cartographic.height).toEqualEpsilon(min, CesiumMath.EPSILON8); cartographic = ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(positions, 3) + Cartesian3.fromArray(positions, 3), ); expect(cartographic.height).toEqualEpsilon(max, CesiumMath.EPSILON8); cartographic = ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(positions, 6) + Cartesian3.fromArray(positions, 6), ); expect(cartographic.height).toEqualEpsilon(min, CesiumMath.EPSILON8); cartographic = ellipsoid.cartesianToCartographic( - Cartesian3.fromArray(positions, 9) + Cartesian3.fromArray(positions, 9), ); expect(cartographic.height).toEqualEpsilon(max, CesiumMath.EPSILON8); }); @@ -261,21 +210,7 @@ describe("Core/WallOutlineGeometry", function () { ellipsoid: Ellipsoid.UNIT_SPHERE, }); const packedInstance = [ - 3.0, - 1.0, - 0.0, - 0.0, - 0.0, - 1.0, - 0.0, - 0.0, - 0.0, - 1.0, - 0.0, - 0.0, - 1.0, - 1.0, - 1.0, + 3.0, 1.0, 0.0, 0.0, 0.0, 1.0, 0.0, 0.0, 0.0, 1.0, 0.0, 0.0, 1.0, 1.0, 1.0, 0.01, ]; createPackableSpecs(WallOutlineGeometry, wall, packedInstance); diff --git a/packages/engine/Specs/Core/WebMercatorProjectionSpec.js b/packages/engine/Specs/Core/WebMercatorProjectionSpec.js index e8c8d0eee172..5c0045d22f8c 100644 --- a/packages/engine/Specs/Core/WebMercatorProjectionSpec.js +++ b/packages/engine/Specs/Core/WebMercatorProjectionSpec.js @@ -24,7 +24,7 @@ describe("Core/WebMercatorProjection", function () { const cartographic = new Cartographic(0.0, 0.0, height); const projection = new WebMercatorProjection(); expect(projection.project(cartographic)).toEqual( - new Cartesian3(0.0, 0.0, height) + new Cartesian3(0.0, 0.0, height), ); }); @@ -33,7 +33,7 @@ describe("Core/WebMercatorProjection", function () { const cartographic = new Cartographic( Math.PI, CesiumMath.PI_OVER_FOUR, - 0.0 + 0.0, ); // expected equations from Wolfram MathWorld: @@ -42,13 +42,13 @@ describe("Core/WebMercatorProjection", function () { ellipsoid.maximumRadius * cartographic.longitude, ellipsoid.maximumRadius * Math.log(Math.tan(Math.PI / 4.0 + cartographic.latitude / 2.0)), - 0.0 + 0.0, ); const projection = new WebMercatorProjection(ellipsoid); expect(projection.project(cartographic)).toEqualEpsilon( expected, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); }); @@ -57,7 +57,7 @@ describe("Core/WebMercatorProjection", function () { const cartographic = new Cartographic( -Math.PI, CesiumMath.PI_OVER_FOUR, - 0.0 + 0.0, ); // expected equations from Wolfram MathWorld: @@ -66,13 +66,13 @@ describe("Core/WebMercatorProjection", function () { ellipsoid.maximumRadius * cartographic.longitude, ellipsoid.maximumRadius * Math.log(Math.tan(Math.PI / 4.0 + cartographic.latitude / 2.0)), - 0.0 + 0.0, ); const projection = new WebMercatorProjection(ellipsoid); expect(projection.project(cartographic)).toEqualEpsilon( expected, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -81,7 +81,7 @@ describe("Core/WebMercatorProjection", function () { const cartographic = new Cartographic( Math.PI, CesiumMath.PI_OVER_FOUR, - 0.0 + 0.0, ); // expected equations from Wolfram MathWorld: @@ -90,7 +90,7 @@ describe("Core/WebMercatorProjection", function () { ellipsoid.maximumRadius * cartographic.longitude, ellipsoid.maximumRadius * Math.log(Math.tan(Math.PI / 4.0 + cartographic.latitude / 2.0)), - 0.0 + 0.0, ); const projection = new WebMercatorProjection(ellipsoid); @@ -104,13 +104,13 @@ describe("Core/WebMercatorProjection", function () { const cartographic = new Cartographic( CesiumMath.PI_OVER_TWO, CesiumMath.PI_OVER_FOUR, - 12.0 + 12.0, ); const projection = new WebMercatorProjection(); const projected = projection.project(cartographic); expect(projection.unproject(projected)).toEqualEpsilon( cartographic, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); }); @@ -118,7 +118,7 @@ describe("Core/WebMercatorProjection", function () { const cartographic = new Cartographic( CesiumMath.PI_OVER_TWO, CesiumMath.PI_OVER_FOUR, - 12.0 + 12.0, ); const projection = new WebMercatorProjection(); const projected = projection.project(cartographic); @@ -131,39 +131,39 @@ describe("Core/WebMercatorProjection", function () { it("unproject is correct at corners", function () { const projection = new WebMercatorProjection(); const southwest = projection.unproject( - new Cartesian2(-20037508.342787, -20037508.342787) + new Cartesian2(-20037508.342787, -20037508.342787), ); expect(southwest.longitude).toEqualEpsilon(-Math.PI, CesiumMath.EPSILON12); expect(southwest.latitude).toEqualEpsilon( CesiumMath.toRadians(-85.05112878), - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); const southeast = projection.unproject( - new Cartesian2(20037508.342787, -20037508.342787) + new Cartesian2(20037508.342787, -20037508.342787), ); expect(southeast.longitude).toEqualEpsilon(Math.PI, CesiumMath.EPSILON12); expect(southeast.latitude).toEqualEpsilon( CesiumMath.toRadians(-85.05112878), - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); const northeast = projection.unproject( - new Cartesian2(20037508.342787, 20037508.342787) + new Cartesian2(20037508.342787, 20037508.342787), ); expect(northeast.longitude).toEqualEpsilon(Math.PI, CesiumMath.EPSILON12); expect(northeast.latitude).toEqualEpsilon( CesiumMath.toRadians(85.05112878), - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); const northwest = projection.unproject( - new Cartesian2(-20037508.342787, 20037508.342787) + new Cartesian2(-20037508.342787, 20037508.342787), ); expect(northwest.longitude).toEqualEpsilon(-Math.PI, CesiumMath.EPSILON12); expect(northwest.latitude).toEqualEpsilon( CesiumMath.toRadians(85.05112878), - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); }); @@ -173,25 +173,25 @@ describe("Core/WebMercatorProjection", function () { const projection = new WebMercatorProjection(); const southwest = projection.project( - new Cartographic(-Math.PI, -maxLatitude) + new Cartographic(-Math.PI, -maxLatitude), ); expect(southwest.x).toEqualEpsilon(-20037508.342787, CesiumMath.EPSILON3); expect(southwest.y).toEqualEpsilon(-20037508.342787, CesiumMath.EPSILON3); const southeast = projection.project( - new Cartographic(Math.PI, -maxLatitude) + new Cartographic(Math.PI, -maxLatitude), ); expect(southeast.x).toEqualEpsilon(20037508.342787, CesiumMath.EPSILON3); expect(southeast.y).toEqualEpsilon(-20037508.342787, CesiumMath.EPSILON3); const northeast = projection.project( - new Cartographic(Math.PI, maxLatitude) + new Cartographic(Math.PI, maxLatitude), ); expect(northeast.x).toEqualEpsilon(20037508.342787, CesiumMath.EPSILON3); expect(northeast.y).toEqualEpsilon(20037508.342787, CesiumMath.EPSILON3); const northwest = projection.project( - new Cartographic(-Math.PI, maxLatitude) + new Cartographic(-Math.PI, maxLatitude), ); expect(northwest.x).toEqualEpsilon(-20037508.342787, CesiumMath.EPSILON3); expect(northwest.y).toEqualEpsilon(20037508.342787, CesiumMath.EPSILON3); @@ -200,18 +200,18 @@ describe("Core/WebMercatorProjection", function () { it("projected y is clamped to valid latitude range.", function () { const projection = new WebMercatorProjection(); const southPole = projection.project( - new Cartographic(0.0, -CesiumMath.PI_OVER_TWO) + new Cartographic(0.0, -CesiumMath.PI_OVER_TWO), ); const southLimit = projection.project( - new Cartographic(0.0, -WebMercatorProjection.MaximumLatitude) + new Cartographic(0.0, -WebMercatorProjection.MaximumLatitude), ); expect(southPole.y).toEqual(southLimit.y); const northPole = projection.project( - new Cartographic(0.0, CesiumMath.PI_OVER_TWO) + new Cartographic(0.0, CesiumMath.PI_OVER_TWO), ); const northLimit = projection.project( - new Cartographic(0.0, WebMercatorProjection.MaximumLatitude) + new Cartographic(0.0, WebMercatorProjection.MaximumLatitude), ); expect(northPole.y).toEqual(northLimit.y); }); diff --git a/packages/engine/Specs/Core/WebMercatorTilingSchemeSpec.js b/packages/engine/Specs/Core/WebMercatorTilingSchemeSpec.js index 8c1b325fbeac..985be4a81ce8 100644 --- a/packages/engine/Specs/Core/WebMercatorTilingSchemeSpec.js +++ b/packages/engine/Specs/Core/WebMercatorTilingSchemeSpec.js @@ -37,19 +37,19 @@ describe("Core/WebMercatorTilingScheme", function () { const tilingSchemeRectangle = tilingScheme.rectangle; expect(rectangle.west).toEqualEpsilon( tilingSchemeRectangle.west, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(rectangle.south).toEqualEpsilon( tilingSchemeRectangle.south, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(rectangle.east).toEqualEpsilon( tilingSchemeRectangle.east, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(rectangle.north).toEqualEpsilon( tilingSchemeRectangle.north, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -60,19 +60,19 @@ describe("Core/WebMercatorTilingScheme", function () { expect(result).toEqual(rectangle); expect(rectangle.west).toEqualEpsilon( tilingSchemeRectangle.west, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(rectangle.south).toEqualEpsilon( tilingSchemeRectangle.south, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(rectangle.east).toEqualEpsilon( tilingSchemeRectangle.east, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(rectangle.north).toEqualEpsilon( tilingSchemeRectangle.north, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -111,20 +111,20 @@ describe("Core/WebMercatorTilingScheme", function () { expect(northeast.south).toEqualEpsilon( southeast.north, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(northwest.south).toEqualEpsilon( southwest.north, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(northeast.west).toEqualEpsilon( northwest.east, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(southeast.west).toEqualEpsilon( southwest.east, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); }); @@ -136,28 +136,28 @@ describe("Core/WebMercatorTilingScheme", function () { coordinates = tilingScheme.positionToTileXY( Rectangle.southwest(tilingSchemeRectangle), - 0 + 0, ); expect(coordinates.x).toEqual(0); expect(coordinates.y).toEqual(0); coordinates = tilingScheme.positionToTileXY( Rectangle.northwest(tilingSchemeRectangle), - 0 + 0, ); expect(coordinates.x).toEqual(0); expect(coordinates.y).toEqual(0); coordinates = tilingScheme.positionToTileXY( Rectangle.northeast(tilingSchemeRectangle), - 0 + 0, ); expect(coordinates.x).toEqual(0); expect(coordinates.y).toEqual(0); coordinates = tilingScheme.positionToTileXY( Rectangle.southeast(tilingSchemeRectangle), - 0 + 0, ); expect(coordinates.x).toEqual(0); expect(coordinates.y).toEqual(0); @@ -169,28 +169,28 @@ describe("Core/WebMercatorTilingScheme", function () { coordinates = tilingScheme.positionToTileXY( Rectangle.southwest(tilingSchemeRectangle), - 1 + 1, ); expect(coordinates.x).toEqual(0); expect(coordinates.y).toEqual(1); coordinates = tilingScheme.positionToTileXY( Rectangle.northwest(tilingSchemeRectangle), - 1 + 1, ); expect(coordinates.x).toEqual(0); expect(coordinates.y).toEqual(0); coordinates = tilingScheme.positionToTileXY( Rectangle.northeast(tilingSchemeRectangle), - 1 + 1, ); expect(coordinates.x).toEqual(1); expect(coordinates.y).toEqual(0); coordinates = tilingScheme.positionToTileXY( Rectangle.southeast(tilingSchemeRectangle), - 1 + 1, ); expect(coordinates.x).toEqual(1); expect(coordinates.y).toEqual(1); @@ -199,7 +199,7 @@ describe("Core/WebMercatorTilingScheme", function () { it("calculates correct tile indices for the center at level 1", function () { const coordinates = tilingScheme.positionToTileXY( new Cartographic(0, 0), - 1 + 1, ); expect(coordinates.x).toEqual(1); expect(coordinates.y).toEqual(1); @@ -208,7 +208,7 @@ describe("Core/WebMercatorTilingScheme", function () { it("calculates correct tile indices for the center at level 2", function () { const coordinates = tilingScheme.positionToTileXY( new Cartographic(0, 0), - 2 + 2, ); expect(coordinates.x).toEqual(2); expect(coordinates.y).toEqual(2); @@ -219,28 +219,28 @@ describe("Core/WebMercatorTilingScheme", function () { coordinates = tilingScheme.positionToTileXY( new Cartographic(-0.05, -0.05), - 2 + 2, ); expect(coordinates.x).toEqual(1); expect(coordinates.y).toEqual(2); coordinates = tilingScheme.positionToTileXY( new Cartographic(-0.05, 0.05), - 2 + 2, ); expect(coordinates.x).toEqual(1); expect(coordinates.y).toEqual(1); coordinates = tilingScheme.positionToTileXY( new Cartographic(0.05, 0.05), - 2 + 2, ); expect(coordinates.x).toEqual(2); expect(coordinates.y).toEqual(1); coordinates = tilingScheme.positionToTileXY( new Cartographic(0.05, -0.05), - 2 + 2, ); expect(coordinates.x).toEqual(2); expect(coordinates.y).toEqual(2); @@ -256,33 +256,32 @@ describe("Core/WebMercatorTilingScheme", function () { it("converts radians to web mercator meters", function () { const tilingScheme = new WebMercatorTilingScheme(); const rectangleInRadians = new Rectangle(0.1, 0.2, 0.3, 0.4); - const nativeRectangle = tilingScheme.rectangleToNativeRectangle( - rectangleInRadians - ); + const nativeRectangle = + tilingScheme.rectangleToNativeRectangle(rectangleInRadians); const projection = new WebMercatorProjection(); const expectedSouthwest = projection.project( - Rectangle.southwest(rectangleInRadians) + Rectangle.southwest(rectangleInRadians), ); const expectedNortheast = projection.project( - Rectangle.northeast(rectangleInRadians) + Rectangle.northeast(rectangleInRadians), ); expect(nativeRectangle.west).toEqualEpsilon( expectedSouthwest.x, - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); expect(nativeRectangle.south).toEqualEpsilon( expectedSouthwest.y, - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); expect(nativeRectangle.east).toEqualEpsilon( expectedNortheast.x, - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); expect(nativeRectangle.north).toEqualEpsilon( expectedNortheast.y, - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); }); @@ -292,34 +291,34 @@ describe("Core/WebMercatorTilingScheme", function () { const projection = new WebMercatorProjection(); const expectedSouthwest = projection.project( - Rectangle.southwest(rectangleInRadians) + Rectangle.southwest(rectangleInRadians), ); const expectedNortheast = projection.project( - Rectangle.northeast(rectangleInRadians) + Rectangle.northeast(rectangleInRadians), ); const resultRectangle = new Rectangle(0.0, 0.0, 0.0, 0.0); const outputRectangle = tilingScheme.rectangleToNativeRectangle( rectangleInRadians, - resultRectangle + resultRectangle, ); expect(outputRectangle).toEqual(resultRectangle); expect(resultRectangle.west).toEqualEpsilon( expectedSouthwest.x, - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); expect(resultRectangle.south).toEqualEpsilon( expectedSouthwest.y, - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); expect(resultRectangle.east).toEqualEpsilon( expectedNortheast.x, - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); expect(resultRectangle.north).toEqualEpsilon( expectedNortheast.y, - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); }); }); @@ -330,10 +329,10 @@ describe("Core/WebMercatorTilingScheme", function () { const rectangleInRadians = new Rectangle(0.1, 0.2, 0.3, 0.4); const tilingScheme = new WebMercatorTilingScheme({ rectangleSouthwestInMeters: projection.project( - Rectangle.southwest(rectangleInRadians) + Rectangle.southwest(rectangleInRadians), ), rectangleNortheastInMeters: projection.project( - Rectangle.northeast(rectangleInRadians) + Rectangle.northeast(rectangleInRadians), ), }); @@ -352,18 +351,18 @@ describe("Core/WebMercatorTilingScheme", function () { const centerOfSouthwesternChild = new Cartographic( -Math.PI / 2.0, - -Math.PI / 4.0 + -Math.PI / 4.0, ); expect( - tilingScheme.positionToTileXY(centerOfSouthwesternChild, 1) + tilingScheme.positionToTileXY(centerOfSouthwesternChild, 1), ).toEqual(new Cartesian2(0, 1)); const centerOfNortheasternChild = new Cartographic( Math.PI / 2.0, - Math.PI / 4.0 + Math.PI / 4.0, ); expect( - tilingScheme.positionToTileXY(centerOfNortheasternChild, 1) + tilingScheme.positionToTileXY(centerOfNortheasternChild, 1), ).toEqual(new Cartesian2(1, 0)); }); @@ -372,7 +371,7 @@ describe("Core/WebMercatorTilingScheme", function () { const centerOfMap = new Cartographic(0.0, 0.0); expect(tilingScheme.positionToTileXY(centerOfMap, 1)).toEqual( - new Cartesian2(1, 1) + new Cartesian2(1, 1), ); }); @@ -381,7 +380,7 @@ describe("Core/WebMercatorTilingScheme", function () { const southeastCorner = Rectangle.southeast(tilingScheme.rectangle); expect(tilingScheme.positionToTileXY(southeastCorner, 1)).toEqual( - new Cartesian2(1, 1) + new Cartesian2(1, 1), ); }); @@ -390,13 +389,13 @@ describe("Core/WebMercatorTilingScheme", function () { const centerOfNortheasternChild = new Cartographic( Math.PI / 2.0, - Math.PI / 4.0 + Math.PI / 4.0, ); const resultParameter = new Cartesian2(0, 0); const returnedResult = tilingScheme.positionToTileXY( centerOfNortheasternChild, 1, - resultParameter + resultParameter, ); expect(resultParameter).toEqual(returnedResult); expect(resultParameter).toEqual(new Cartesian2(1, 0)); diff --git a/packages/engine/Specs/Core/WireframeIndexGeneratorSpec.js b/packages/engine/Specs/Core/WireframeIndexGeneratorSpec.js index 1ece2697105e..ade29ffccce7 100644 --- a/packages/engine/Specs/Core/WireframeIndexGeneratorSpec.js +++ b/packages/engine/Specs/Core/WireframeIndexGeneratorSpec.js @@ -62,7 +62,7 @@ describe("Core/WireframeIndexGenerator", function () { const result = createWireframeIndices( PrimitiveType.TRIANGLE_STRIP, 6, - indices + indices, ); expect(result).toEqual(expected); }); @@ -91,7 +91,7 @@ describe("Core/WireframeIndexGenerator", function () { const result = createWireframeIndices( PrimitiveType.TRIANGLE_FAN, 6, - indices + indices, ); expect(result).toEqual(expected); }); @@ -115,19 +115,19 @@ describe("Core/WireframeIndexGenerator", function () { const originalCount = 6; let result = getWireframeIndicesCount( PrimitiveType.TRIANGLES, - originalCount + originalCount, ); expect(result).toEqual(12); result = getWireframeIndicesCount( PrimitiveType.TRIANGLE_STRIP, - originalCount + originalCount, ); expect(result).toEqual(18); result = getWireframeIndicesCount( PrimitiveType.TRIANGLE_FAN, - originalCount + originalCount, ); expect(result).toEqual(18); }); diff --git a/packages/engine/Specs/Core/appendForwardSlashSpec.js b/packages/engine/Specs/Core/appendForwardSlashSpec.js index c60d227362c3..2c6d52337fde 100644 --- a/packages/engine/Specs/Core/appendForwardSlashSpec.js +++ b/packages/engine/Specs/Core/appendForwardSlashSpec.js @@ -3,13 +3,13 @@ import { appendForwardSlash } from "../../index.js"; describe("Core/appendForwardSlash", function () { it("Appends to a url", function () { expect(appendForwardSlash("http://cesiumjs.org")).toEqual( - "http://cesiumjs.org/" + "http://cesiumjs.org/", ); }); it("Does not append to a url", function () { expect(appendForwardSlash("http://cesiumjs.org/")).toEqual( - "http://cesiumjs.org/" + "http://cesiumjs.org/", ); }); diff --git a/packages/engine/Specs/Core/arrayRemoveDuplicatesSpec.js b/packages/engine/Specs/Core/arrayRemoveDuplicatesSpec.js index fa7bd7714a78..8cb95a15b3a4 100644 --- a/packages/engine/Specs/Core/arrayRemoveDuplicatesSpec.js +++ b/packages/engine/Specs/Core/arrayRemoveDuplicatesSpec.js @@ -10,7 +10,7 @@ describe("Core/arrayRemoveDuplicates", function () { const positions = [Cartesian3.ZERO]; const noDuplicates = arrayRemoveDuplicates( positions, - Cartesian3.equalsEpsilon + Cartesian3.equalsEpsilon, ); expect(noDuplicates).toBe(positions); }); @@ -24,7 +24,7 @@ describe("Core/arrayRemoveDuplicates", function () { ]; const noDuplicates = arrayRemoveDuplicates( positions, - Cartesian3.equalsEpsilon + Cartesian3.equalsEpsilon, ); expect(noDuplicates).toBe(positions); }); @@ -39,7 +39,7 @@ describe("Core/arrayRemoveDuplicates", function () { const noDuplicates = arrayRemoveDuplicates( positions, Cartesian3.equalsEpsilon, - true + true, ); expect(noDuplicates).toBe(positions); }); @@ -61,7 +61,7 @@ describe("Core/arrayRemoveDuplicates", function () { ]; const noDuplicates = arrayRemoveDuplicates( positions, - Cartesian3.equalsEpsilon + Cartesian3.equalsEpsilon, ); expect(noDuplicates).toEqual(expectedPositions); }); @@ -85,7 +85,7 @@ describe("Core/arrayRemoveDuplicates", function () { ]; const noDuplicates = arrayRemoveDuplicates( positions, - Cartesian3.equalsEpsilon + Cartesian3.equalsEpsilon, ); expect(noDuplicates).toEqual(expectedPositions); }); @@ -107,7 +107,7 @@ describe("Core/arrayRemoveDuplicates", function () { ]; const noDuplicates = arrayRemoveDuplicates( positions, - Cartesian3.equalsEpsilon + Cartesian3.equalsEpsilon, ); expect(noDuplicates).toEqual(expectedPositions); }); @@ -130,7 +130,7 @@ describe("Core/arrayRemoveDuplicates", function () { ]; const noDuplicates = arrayRemoveDuplicates( positions, - Spherical.equalsEpsilon + Spherical.equalsEpsilon, ); expect(noDuplicates).toEqual(expectedPositions); }); @@ -139,7 +139,7 @@ describe("Core/arrayRemoveDuplicates", function () { const positions = []; const noDuplicates = arrayRemoveDuplicates( positions, - Cartesian3.equalsEpsilon + Cartesian3.equalsEpsilon, ); expect(noDuplicates).toEqual(positions); }); @@ -156,7 +156,7 @@ describe("Core/arrayRemoveDuplicates", function () { ]; const noDuplicates = arrayRemoveDuplicates( positions, - Cartesian3.equalsEpsilon + Cartesian3.equalsEpsilon, ); expect(noDuplicates).toEqual(expectedPositions); }); @@ -173,7 +173,7 @@ describe("Core/arrayRemoveDuplicates", function () { ]; const noDuplicates = arrayRemoveDuplicates( positions, - Cartesian3.equalsEpsilon + Cartesian3.equalsEpsilon, ); expect(noDuplicates).toEqual(expectedPositions); }); @@ -192,7 +192,7 @@ describe("Core/arrayRemoveDuplicates", function () { ]; const noDuplicates = arrayRemoveDuplicates( positions, - Cartesian3.equalsEpsilon + Cartesian3.equalsEpsilon, ); expect(noDuplicates).toEqual(expectedPositions); }); @@ -200,7 +200,7 @@ describe("Core/arrayRemoveDuplicates", function () { it("removeDuplicates returns undefined", function () { const noDuplicates = arrayRemoveDuplicates( undefined, - Cartesian3.equalsEpsilon + Cartesian3.equalsEpsilon, ); expect(noDuplicates).toBe(undefined); }); @@ -214,7 +214,7 @@ describe("Core/arrayRemoveDuplicates", function () { ]; const noDuplicates = arrayRemoveDuplicates( positions, - Cartesian3.equalsEpsilon + Cartesian3.equalsEpsilon, ); expect(noDuplicates).toBe(positions); @@ -237,7 +237,7 @@ describe("Core/arrayRemoveDuplicates", function () { const noDuplicates = arrayRemoveDuplicates( positions, Cartesian3.equalsEpsilon, - true + true, ); expect(noDuplicates).toEqual(expectedPositions); @@ -263,7 +263,7 @@ describe("Core/arrayRemoveDuplicates", function () { const noDuplicates = arrayRemoveDuplicates( positions, Cartesian3.equalsEpsilon, - true + true, ); expect(noDuplicates).toEqual(expectedPositions); @@ -291,7 +291,7 @@ describe("Core/arrayRemoveDuplicates", function () { const noDuplicates = arrayRemoveDuplicates( positions, Cartesian3.equalsEpsilon, - true + true, ); expect(noDuplicates).toEqual(expectedPositions); @@ -316,7 +316,7 @@ describe("Core/arrayRemoveDuplicates", function () { const noDuplicates = arrayRemoveDuplicates( positions, Cartesian3.equalsEpsilon, - true + true, ); expect(noDuplicates).toEqual(expectedPositions); @@ -331,7 +331,7 @@ describe("Core/arrayRemoveDuplicates", function () { positions, Cartesian3.equalsEpsilon, false, - removedIndices + removedIndices, ); expect(noDuplicates).toBe(positions); @@ -352,7 +352,7 @@ describe("Core/arrayRemoveDuplicates", function () { positions, Cartesian3.equalsEpsilon, false, - removedIndices + removedIndices, ); expect(noDuplicates).toBe(positions); @@ -382,7 +382,7 @@ describe("Core/arrayRemoveDuplicates", function () { positions, Cartesian3.equalsEpsilon, false, - removedIndices + removedIndices, ); expect(noDuplicates).toEqual(expectedPositions); @@ -403,7 +403,7 @@ describe("Core/arrayRemoveDuplicates", function () { positions, Cartesian3.equalsEpsilon, false, - removedIndices + removedIndices, ); expect(noDuplicates).toBe(positions); @@ -432,7 +432,7 @@ describe("Core/arrayRemoveDuplicates", function () { positions, Cartesian3.equalsEpsilon, true, - removedIndices + removedIndices, ); expect(noDuplicates).toEqual(expectedPositions); @@ -463,7 +463,7 @@ describe("Core/arrayRemoveDuplicates", function () { positions, Cartesian3.equalsEpsilon, true, - removedIndices + removedIndices, ); expect(noDuplicates).toEqual(expectedPositions); @@ -494,7 +494,7 @@ describe("Core/arrayRemoveDuplicates", function () { positions, Cartesian3.equalsEpsilon, true, - removedIndices + removedIndices, ); expect(noDuplicates).toEqual(expectedPositions); @@ -530,7 +530,7 @@ describe("Core/arrayRemoveDuplicates", function () { positions, Cartesian3.equalsEpsilon, true, - removedIndices + removedIndices, ); expect(noDuplicates).toEqual(expectedPositions); diff --git a/packages/engine/Specs/Core/barycentricCoordinatesSpec.js b/packages/engine/Specs/Core/barycentricCoordinatesSpec.js index 3b8f81d46388..767af70e54b7 100644 --- a/packages/engine/Specs/Core/barycentricCoordinatesSpec.js +++ b/packages/engine/Specs/Core/barycentricCoordinatesSpec.js @@ -12,21 +12,21 @@ describe("Core/barycentricCoordinates", function () { it("evaluates to p0", function () { const point = Cartesian3.clone(p0); expect(barycentricCoordinates(point, p0, p1, p2)).toEqual( - Cartesian3.UNIT_X + Cartesian3.UNIT_X, ); }); it("evaluates to p1", function () { const point = Cartesian3.clone(p1); expect(barycentricCoordinates(point, p0, p1, p2)).toEqual( - Cartesian3.UNIT_Y + Cartesian3.UNIT_Y, ); }); it("evaluates to p2", function () { const point = Cartesian3.clone(p2); expect(barycentricCoordinates(point, p0, p1, p2)).toEqual( - Cartesian3.UNIT_Z + Cartesian3.UNIT_Z, ); }); @@ -34,10 +34,10 @@ describe("Core/barycentricCoordinates", function () { const point = Cartesian3.multiplyByScalar( Cartesian3.add(p1, p0, new Cartesian3()), 0.5, - new Cartesian3() + new Cartesian3(), ); expect(barycentricCoordinates(point, p0, p1, p2)).toEqual( - new Cartesian3(0.5, 0.5, 0.0) + new Cartesian3(0.5, 0.5, 0.0), ); }); @@ -45,10 +45,10 @@ describe("Core/barycentricCoordinates", function () { const point = Cartesian3.multiplyByScalar( Cartesian3.add(p2, p0, new Cartesian3()), 0.5, - new Cartesian3() + new Cartesian3(), ); expect(barycentricCoordinates(point, p0, p1, p2)).toEqual( - new Cartesian3(0.5, 0.0, 0.5) + new Cartesian3(0.5, 0.0, 0.5), ); }); @@ -56,10 +56,10 @@ describe("Core/barycentricCoordinates", function () { const point = Cartesian3.multiplyByScalar( Cartesian3.add(p2, p1, new Cartesian3()), 0.5, - new Cartesian3() + new Cartesian3(), ); expect(barycentricCoordinates(point, p0, p1, p2)).toEqual( - new Cartesian3(0.0, 0.5, 0.5) + new Cartesian3(0.0, 0.5, 0.5), ); }); @@ -69,14 +69,14 @@ describe("Core/barycentricCoordinates", function () { Cartesian3.add( Cartesian3.add(p0, p1, new Cartesian3()), p2, - new Cartesian3() + new Cartesian3(), ), scalar, - new Cartesian3() + new Cartesian3(), ); expect(barycentricCoordinates(point, p0, p1, p2)).toEqualEpsilon( new Cartesian3(scalar, scalar, scalar), - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); }); @@ -93,17 +93,17 @@ describe("Core/barycentricCoordinates", function () { const p0 = new Cartesian3( 9635312487071484, 13827945400273020, - -16479219993905144 + -16479219993905144, ); const p1 = new Cartesian3( 12832234.180639317, -10455085.701705107, - 750010.7274386138 + 750010.7274386138, ); const p2 = new Cartesian3( -9689011.10628853, -13420063.892507521, - 750010.7274386119 + 750010.7274386119, ); expect(barycentricCoordinates(p0, p0, p1, p2)).toEqual(Cartesian3.UNIT_X); expect(barycentricCoordinates(p1, p0, p1, p2)).toEqual(Cartesian3.UNIT_Y); @@ -133,7 +133,7 @@ describe("Core/barycentricCoordinates", function () { barycentricCoordinates( new Cartesian3(), new Cartesian3(), - new Cartesian3() + new Cartesian3(), ); }).toThrowDeveloperError(); }); diff --git a/packages/engine/Specs/Core/buildModuleUrlSpec.js b/packages/engine/Specs/Core/buildModuleUrlSpec.js index c2ab34ca0b24..7013370154a2 100644 --- a/packages/engine/Specs/Core/buildModuleUrlSpec.js +++ b/packages/engine/Specs/Core/buildModuleUrlSpec.js @@ -22,10 +22,10 @@ describe("Core/buildModuleUrl", function () { expect(r.exec("assets/foo/Cesium.js")[1]).toEqual("assets/foo/"); expect(r.exec("assets/foo/Cesium.js?v=1.7")[1]).toEqual("assets/foo/"); expect( - r.exec("http://example.invalid/Cesium/assets/foo/Cesium.js")[1] + r.exec("http://example.invalid/Cesium/assets/foo/Cesium.js")[1], ).toEqual("http://example.invalid/Cesium/assets/foo/"); expect( - r.exec("http://example.invalid/Cesium/assets/foo/Cesium.js?v=1.7")[1] + r.exec("http://example.invalid/Cesium/assets/foo/Cesium.js?v=1.7")[1], ).toEqual("http://example.invalid/Cesium/assets/foo/"); expect(r.exec("cesium.js")).toBeNull(); diff --git a/packages/engine/Specs/Core/createGuidSpec.js b/packages/engine/Specs/Core/createGuidSpec.js index 3d5d6dd994b4..9339e3b28102 100644 --- a/packages/engine/Specs/Core/createGuidSpec.js +++ b/packages/engine/Specs/Core/createGuidSpec.js @@ -2,7 +2,8 @@ import { createGuid } from "../../index.js"; describe("Core/createGuid", function () { it("creates GUIDs", function () { - const isGuidRegex = /^(\{){0,1}[0-9a-fA-F]{8}\-[0-9a-fA-F]{4}\-[0-9a-fA-F]{4}\-[0-9a-fA-F]{4}\-[0-9a-fA-F]{12}(\}){0,1}$/; + const isGuidRegex = + /^(\{){0,1}[0-9a-fA-F]{8}\-[0-9a-fA-F]{4}\-[0-9a-fA-F]{4}\-[0-9a-fA-F]{4}\-[0-9a-fA-F]{12}(\}){0,1}$/; //Create three GUIDs const guid1 = createGuid(); diff --git a/packages/engine/Specs/Core/getAbsoluteUriSpec.js b/packages/engine/Specs/Core/getAbsoluteUriSpec.js index 9d4b1d11b575..0cfb50541ada 100644 --- a/packages/engine/Specs/Core/getAbsoluteUriSpec.js +++ b/packages/engine/Specs/Core/getAbsoluteUriSpec.js @@ -3,7 +3,7 @@ import { getAbsoluteUri, getBaseUri } from "../../index.js"; describe("Core/getAbsoluteUri", function () { it("works as expected", function () { let result = getAbsoluteUri( - "http://www.mysite.com/awesome?makeitawesome=true" + "http://www.mysite.com/awesome?makeitawesome=true", ); expect(result).toEqual("http://www.mysite.com/awesome?makeitawesome=true"); @@ -23,7 +23,7 @@ describe("Core/getAbsoluteUri", function () { const result = getAbsoluteUri._implementation( "awesome.png", undefined, - fakeDocument + fakeDocument, ); expect(result).toEqual("http://test.com/awesome.png"); }); diff --git a/packages/engine/Specs/Core/getBaseUriSpec.js b/packages/engine/Specs/Core/getBaseUriSpec.js index 909941e6dd8c..13c095001d77 100644 --- a/packages/engine/Specs/Core/getBaseUriSpec.js +++ b/packages/engine/Specs/Core/getBaseUriSpec.js @@ -6,7 +6,7 @@ describe("Core/getBaseUri", function () { expect(result).toEqual("http://www.mysite.com/"); result = getBaseUri( - "http://www.mysite.com/somefolder/awesome.png#makeitawesome" + "http://www.mysite.com/somefolder/awesome.png#makeitawesome", ); expect(result).toEqual("http://www.mysite.com/somefolder/"); }); @@ -14,13 +14,13 @@ describe("Core/getBaseUri", function () { it("works with includeQuery flag", function () { let result = getBaseUri( "http://www.mysite.com/awesome?makeitawesome=true", - true + true, ); expect(result).toEqual("http://www.mysite.com/?makeitawesome=true"); result = getBaseUri( "http://www.mysite.com/somefolder/awesome.png#makeitawesome", - true + true, ); expect(result).toEqual("http://www.mysite.com/somefolder/#makeitawesome"); }); diff --git a/packages/engine/Specs/Core/getExtensionFromUriSpec.js b/packages/engine/Specs/Core/getExtensionFromUriSpec.js index 7817f7493c79..3e19accb260b 100644 --- a/packages/engine/Specs/Core/getExtensionFromUriSpec.js +++ b/packages/engine/Specs/Core/getExtensionFromUriSpec.js @@ -3,12 +3,12 @@ import { getExtensionFromUri } from "../../index.js"; describe("Core/getExtensionFromUri", function () { it("works as expected", function () { let result = getExtensionFromUri( - "http://www.mysite.com/awesome?makeitawesome=true" + "http://www.mysite.com/awesome?makeitawesome=true", ); expect(result).toEqual(""); result = getExtensionFromUri( - "http://www.mysite.com/somefolder/awesome.png#makeitawesome" + "http://www.mysite.com/somefolder/awesome.png#makeitawesome", ); expect(result).toEqual("png"); diff --git a/packages/engine/Specs/Core/getFilenameFromUriSpec.js b/packages/engine/Specs/Core/getFilenameFromUriSpec.js index 71611a9ff752..7c7fa482a6e6 100644 --- a/packages/engine/Specs/Core/getFilenameFromUriSpec.js +++ b/packages/engine/Specs/Core/getFilenameFromUriSpec.js @@ -3,12 +3,12 @@ import { getFilenameFromUri } from "../../index.js"; describe("Core/getFilenameFromUri", function () { it("works as expected", function () { let result = getFilenameFromUri( - "http://www.mysite.com/awesome?makeitawesome=true" + "http://www.mysite.com/awesome?makeitawesome=true", ); expect(result).toEqual("awesome"); result = getFilenameFromUri( - "http://www.mysite.com/somefolder/awesome.png#makeitawesome" + "http://www.mysite.com/somefolder/awesome.png#makeitawesome", ); expect(result).toEqual("awesome.png"); }); diff --git a/packages/engine/Specs/Core/getStringFromTypedArraySpec.js b/packages/engine/Specs/Core/getStringFromTypedArraySpec.js index 0c348a6665d9..5ea515ebd778 100644 --- a/packages/engine/Specs/Core/getStringFromTypedArraySpec.js +++ b/packages/engine/Specs/Core/getStringFromTypedArraySpec.js @@ -17,7 +17,7 @@ describe("Core/getStringFromTypedArray", function () { it("converts a typed array to string when forced to use fromCharCode", function () { spyOn(getStringFromTypedArray, "decode").and.callFake( - getStringFromTypedArray.decodeWithFromCharCode + getStringFromTypedArray.decodeWithFromCharCode, ); verifyString(); @@ -63,7 +63,7 @@ describe("Core/getStringFromTypedArray", function () { it("Unicode 2-byte characters work with decodeWithFromCharCode forced", function () { spyOn(getStringFromTypedArray, "decode").and.callFake( - getStringFromTypedArray.decodeWithFromCharCode + getStringFromTypedArray.decodeWithFromCharCode, ); const arr = new Uint8Array([90, 195, 188, 114, 105, 99, 104]); @@ -77,7 +77,7 @@ describe("Core/getStringFromTypedArray", function () { it("Unicode 3-byte characters work with decodeWithFromCharCode forced", function () { spyOn(getStringFromTypedArray, "decode").and.callFake( - getStringFromTypedArray.decodeWithFromCharCode + getStringFromTypedArray.decodeWithFromCharCode, ); const arr = new Uint8Array([224, 162, 160]); @@ -91,7 +91,7 @@ describe("Core/getStringFromTypedArray", function () { it("Unicode 4-byte characters work with decodeWithFromCharCode forced", function () { spyOn(getStringFromTypedArray, "decode").and.callFake( - getStringFromTypedArray.decodeWithFromCharCode + getStringFromTypedArray.decodeWithFromCharCode, ); const arr = new Uint8Array([240, 144, 138, 129]); diff --git a/packages/engine/Specs/Core/isCrossOriginUrlSpec.js b/packages/engine/Specs/Core/isCrossOriginUrlSpec.js index f3a238635dea..9f6fb85bb7f3 100644 --- a/packages/engine/Specs/Core/isCrossOriginUrlSpec.js +++ b/packages/engine/Specs/Core/isCrossOriginUrlSpec.js @@ -17,7 +17,7 @@ describe("Core/isCrossOriginUrl", function () { it("returns true for absolute urls that are cross-origin", function () { expect(isCrossOriginUrl("http://example.invalid/some/url.jpg")).toEqual( - true + true, ); // a different scheme counts as cross-origin diff --git a/packages/engine/Specs/Core/loadImageFromTypedArraySpec.js b/packages/engine/Specs/Core/loadImageFromTypedArraySpec.js index bc73ccd2086c..698f6ef538ba 100644 --- a/packages/engine/Specs/Core/loadImageFromTypedArraySpec.js +++ b/packages/engine/Specs/Core/loadImageFromTypedArraySpec.js @@ -21,7 +21,7 @@ describe("Core/loadImageFromTypedArray", function () { expect(image.width).toEqual(10); expect(image.height).toEqual(10); }); - } + }, ); }); @@ -116,7 +116,7 @@ describe("Core/loadImageFromTypedArray", function () { } spyOn(Resource, "supportsImageBitmapOptions").and.returnValue( - Promise.resolve(false) + Promise.resolve(false), ); spyOn(window, "createImageBitmap").and.callThrough(); return Resource.fetchArrayBuffer("./Data/Images/Blue10x10.png").then( @@ -131,7 +131,7 @@ describe("Core/loadImageFromTypedArray", function () { expect(image.height).toEqual(10); expect(window.createImageBitmap).not.toHaveBeenCalled(); }); - } + }, ); }); diff --git a/packages/engine/Specs/Core/loadKTX2Spec.js b/packages/engine/Specs/Core/loadKTX2Spec.js index e046e41f28a1..56d9fb783f04 100644 --- a/packages/engine/Specs/Core/loadKTX2Spec.js +++ b/packages/engine/Specs/Core/loadKTX2Spec.js @@ -36,7 +36,7 @@ describe("Core/loadKTX2", function () { supportedFormats, width, height, - isCompressed + isCompressed, ) { const resource = Resource.createIfNeeded(url); const loadPromise = resource.fetchArrayBuffer(); @@ -47,7 +47,7 @@ describe("Core/loadKTX2", function () { expect(result.width).toEqual(width); expect(result.height).toEqual(height); expect(PixelFormat.isCompressedFormat(result.internalFormat)).toEqual( - isCompressed + isCompressed, ); expect(result.bufferView).toBeDefined(); }); @@ -60,7 +60,7 @@ describe("Core/loadKTX2", function () { { etc: true }, 4, 4, - true + true, ); }); @@ -70,7 +70,7 @@ describe("Core/loadKTX2", function () { { etc: true }, 32, 32, - true + true, ); }); @@ -80,7 +80,7 @@ describe("Core/loadKTX2", function () { { etc1: true }, 4, 4, - true + true, ); }); @@ -90,7 +90,7 @@ describe("Core/loadKTX2", function () { { etc1: true }, 32, 32, - true + true, ); }); @@ -100,7 +100,7 @@ describe("Core/loadKTX2", function () { { astc: true }, 4, 4, - true + true, ); }); @@ -110,7 +110,7 @@ describe("Core/loadKTX2", function () { { astc: true }, 32, 32, - true + true, ); }); @@ -120,7 +120,7 @@ describe("Core/loadKTX2", function () { { pvrtc: true }, 4, 4, - true + true, ); }); @@ -130,7 +130,7 @@ describe("Core/loadKTX2", function () { { pvrtc: true }, 32, 32, - true + true, ); }); @@ -140,7 +140,7 @@ describe("Core/loadKTX2", function () { { s3tc: true }, 4, 4, - true + true, ); }); @@ -150,7 +150,7 @@ describe("Core/loadKTX2", function () { { s3tc: true }, 32, 32, - true + true, ); }); @@ -160,7 +160,7 @@ describe("Core/loadKTX2", function () { { bc7: true }, 4, 4, - true + true, ); }); @@ -170,7 +170,7 @@ describe("Core/loadKTX2", function () { { bc7: true }, 32, 32, - true + true, ); }); @@ -180,13 +180,13 @@ describe("Core/loadKTX2", function () { { s3tc: true }, 4, 4, - false + false, ); }); it("returns a promise that resolves to an uncompressed texture containing all mip levels of the original texture", function () { const resource = Resource.createIfNeeded( - "./Data/Images/Green4x4Mipmap.ktx2" + "./Data/Images/Green4x4Mipmap.ktx2", ); const loadPromise = resource.fetchArrayBuffer(); return loadPromise.then(function (buffer) { @@ -199,7 +199,7 @@ describe("Core/loadKTX2", function () { expect(resolvedValue[i].width).toEqual(dims[i]); expect(resolvedValue[i].height).toEqual(dims[i]); expect( - PixelFormat.isCompressedFormat(resolvedValue[i].internalFormat) + PixelFormat.isCompressedFormat(resolvedValue[i].internalFormat), ).toEqual(false); expect(resolvedValue[i].bufferView).toBeDefined(); } @@ -209,7 +209,7 @@ describe("Core/loadKTX2", function () { it("returns a promise that resolves to a compressed texture containing all mip levels of the original texture", function () { const resource = Resource.createIfNeeded( - "./Data/Images/Green4x4Mipmap_ETC1S.ktx2" + "./Data/Images/Green4x4Mipmap_ETC1S.ktx2", ); const loadPromise = resource.fetchArrayBuffer(); return loadPromise.then(function (buffer) { @@ -222,7 +222,7 @@ describe("Core/loadKTX2", function () { expect(resolvedValue[i].width).toEqual(dims[i]); expect(resolvedValue[i].height).toEqual(dims[i]); expect( - PixelFormat.isCompressedFormat(resolvedValue[i].internalFormat) + PixelFormat.isCompressedFormat(resolvedValue[i].internalFormat), ).toEqual(true); expect(resolvedValue[i].bufferView).toBeDefined(); } @@ -266,7 +266,7 @@ describe("Core/loadKTX2", function () { expect(resolvedValue).toBeUndefined(); expect(rejectedError).toBeInstanceOf(RuntimeError); expect(rejectedError.message).toEqual( - "KTX2 3D textures are unsupported." + "KTX2 3D textures are unsupported.", ); }); }); @@ -291,7 +291,7 @@ describe("Core/loadKTX2", function () { expect(resolvedValue).toBeUndefined(); expect(rejectedError).toBeInstanceOf(RuntimeError); expect(rejectedError.message).toEqual( - "KTX2 texture arrays are not supported." + "KTX2 texture arrays are not supported.", ); }); }); diff --git a/packages/engine/Specs/Core/pointInsideTriangleSpec.js b/packages/engine/Specs/Core/pointInsideTriangleSpec.js index 931a7ff67fe7..77239352ab6f 100644 --- a/packages/engine/Specs/Core/pointInsideTriangleSpec.js +++ b/packages/engine/Specs/Core/pointInsideTriangleSpec.js @@ -7,8 +7,8 @@ describe("Core/pointInsideTriangle", function () { new Cartesian2(0.25, 0.25), Cartesian2.ZERO, new Cartesian2(1.0, 0.0), - new Cartesian2(0.0, 1.0) - ) + new Cartesian2(0.0, 1.0), + ), ).toEqual(true); }); @@ -18,8 +18,8 @@ describe("Core/pointInsideTriangle", function () { new Cartesian2(1.0, 1.0), Cartesian2.ZERO, new Cartesian2(1.0, 0.0), - new Cartesian2(0.0, 1.0) - ) + new Cartesian2(0.0, 1.0), + ), ).toEqual(false); }); @@ -29,8 +29,8 @@ describe("Core/pointInsideTriangle", function () { new Cartesian2(0.5, -0.5), Cartesian2.ZERO, new Cartesian2(1.0, 0.0), - new Cartesian2(0.0, 1.0) - ) + new Cartesian2(0.0, 1.0), + ), ).toEqual(false); }); @@ -40,8 +40,8 @@ describe("Core/pointInsideTriangle", function () { new Cartesian2(-0.5, 0.5), Cartesian2.ZERO, new Cartesian2(1.0, 0.0), - new Cartesian2(0.0, 1.0) - ) + new Cartesian2(0.0, 1.0), + ), ).toEqual(false); }); @@ -51,8 +51,8 @@ describe("Core/pointInsideTriangle", function () { Cartesian2.ZERO, Cartesian2.ZERO, new Cartesian2(1.0, 0.0), - new Cartesian2(0.0, 1.0) - ) + new Cartesian2(0.0, 1.0), + ), ).toEqual(false); }); @@ -62,8 +62,8 @@ describe("Core/pointInsideTriangle", function () { new Cartesian2(0.5, 0.0), Cartesian2.ZERO, new Cartesian2(1.0, 0.0), - new Cartesian2(0.0, 1.0) - ) + new Cartesian2(0.0, 1.0), + ), ).toEqual(false); }); diff --git a/packages/engine/Specs/Core/sampleTerrainMostDetailedSpec.js b/packages/engine/Specs/Core/sampleTerrainMostDetailedSpec.js index 77635449b0d9..9649ad6a11e0 100644 --- a/packages/engine/Specs/Core/sampleTerrainMostDetailedSpec.js +++ b/packages/engine/Specs/Core/sampleTerrainMostDetailedSpec.js @@ -19,7 +19,7 @@ describe("Core/sampleTerrainMostDetailed", function () { const passedPositions = await sampleTerrainMostDetailed( worldTerrain, - positions + positions, ); expect(passedPositions).toBe(positions); expect(positions[0].height).toBeGreaterThan(5000); @@ -30,7 +30,7 @@ describe("Core/sampleTerrainMostDetailed", function () { it("should throw querying heights from terrain without availability", async function () { const terrainProvider = await CesiumTerrainProvider.fromUrl( - "Data/CesiumTerrainTileJson/StandardHeightmap.tile.json" + "Data/CesiumTerrainTileJson/StandardHeightmap.tile.json", ); const positions = [ @@ -39,9 +39,9 @@ describe("Core/sampleTerrainMostDetailed", function () { ]; await expectAsync( - sampleTerrainMostDetailed(terrainProvider, positions) + sampleTerrainMostDetailed(terrainProvider, positions), ).toBeRejectedWithDeveloperError( - "sampleTerrainMostDetailed requires a terrain provider that has tile availability." + "sampleTerrainMostDetailed requires a terrain provider that has tile availability.", ); }); @@ -65,13 +65,13 @@ describe("Core/sampleTerrainMostDetailed", function () { ]; await expectAsync( - sampleTerrainMostDetailed(undefined, positions) + sampleTerrainMostDetailed(undefined, positions), ).toBeRejectedWithDeveloperError("terrainProvider is required."); }); it("throws without positions", async function () { await expectAsync( - sampleTerrainMostDetailed(worldTerrain, undefined) + sampleTerrainMostDetailed(worldTerrain, undefined), ).toBeRejectedWithDeveloperError("positions is required."); }); @@ -94,7 +94,7 @@ describe("Core/sampleTerrainMostDetailed", function () { const positions = [Cartographic.fromDegrees(0.0, 0.0, 0.0)]; return expectAsync( - sampleTerrainMostDetailed(terrainProvider, positions, true) + sampleTerrainMostDetailed(terrainProvider, positions, true), ).toBeRejected(); }); }); diff --git a/packages/engine/Specs/Core/sampleTerrainSpec.js b/packages/engine/Specs/Core/sampleTerrainSpec.js index ce265ee5ca58..1c7ef5b2f0cd 100644 --- a/packages/engine/Specs/Core/sampleTerrainSpec.js +++ b/packages/engine/Specs/Core/sampleTerrainSpec.js @@ -26,15 +26,15 @@ describe("Core/sampleTerrain", function () { Cartographic.fromDegrees(87.0, 28.0), ]; - return sampleTerrain(worldTerrain, 11, positions).then(function ( - passedPositions - ) { - expect(passedPositions).toBe(positions); - expect(positions[0].height).toBeGreaterThan(5000); - expect(positions[0].height).toBeLessThan(10000); - expect(positions[1].height).toBeGreaterThan(5000); - expect(positions[1].height).toBeLessThan(10000); - }); + return sampleTerrain(worldTerrain, 11, positions).then( + function (passedPositions) { + expect(passedPositions).toBe(positions); + expect(positions[0].height).toBeGreaterThan(5000); + expect(positions[0].height).toBeLessThan(10000); + expect(positions[1].height).toBeGreaterThan(5000); + expect(positions[1].height).toBeLessThan(10000); + }, + ); }); it("queries heights from terrain without availability", async function () { @@ -46,7 +46,7 @@ describe("Core/sampleTerrain", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { if (defined(url.match(/\/\d+\/\d+\/\d+\.terrain/))) { Resource._DefaultImplementations.loadWithXhr( @@ -55,7 +55,7 @@ describe("Core/sampleTerrain", function () { method, data, headers, - deferred + deferred, ); return; } @@ -67,12 +67,12 @@ describe("Core/sampleTerrain", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ); }; const terrainProvider = await CesiumTerrainProvider.fromUrl( - "Data/CesiumTerrainTileJson/StandardHeightmap.tile.json" + "Data/CesiumTerrainTileJson/StandardHeightmap.tile.json", ); const positions = [ @@ -100,7 +100,7 @@ describe("Core/sampleTerrain", function () { const positions = [Cartographic.fromDegrees(0.0, 0.0, 0.0)]; return expectAsync( - sampleTerrain(worldTerrain, 18, positions, true) + sampleTerrain(worldTerrain, 18, positions, true), ).toBeRejected(); }); @@ -110,7 +110,7 @@ describe("Core/sampleTerrain", function () { const positions = [positionWithData, positionWithoutData]; return expectAsync( - sampleTerrain(worldTerrain, 12, positions, true) + sampleTerrain(worldTerrain, 12, positions, true), ).toBeRejected(); }); @@ -138,15 +138,15 @@ describe("Core/sampleTerrain", function () { ]; await expectAsync( - sampleTerrain(undefined, 11, positions) + sampleTerrain(undefined, 11, positions), ).toBeRejectedWithDeveloperError(); await expectAsync( - sampleTerrain(worldTerrain, undefined, positions) + sampleTerrain(worldTerrain, undefined, positions), ).toBeRejectedWithDeveloperError(); await expectAsync( - sampleTerrain(worldTerrain, 11, undefined) + sampleTerrain(worldTerrain, 11, undefined), ).toBeRejectedWithDeveloperError(); }); @@ -173,31 +173,28 @@ describe("Core/sampleTerrain", function () { function spyOnTerrainDataCreateMesh(terrainProvider) { // do some sneaky spying, so we can check how many times createMesh is called const originalRequestTileGeometry = terrainProvider.requestTileGeometry; - spyOn(terrainProvider, "requestTileGeometry").and.callFake(function ( - x, - y, - level, - request - ) { - // Call the original function! - return originalRequestTileGeometry - .call(terrainProvider, x, y, level, request) - .then(function (tile) { - spyOn(tile, "createMesh").and.callThrough(); - // return the original tile - after we've spied on the createMesh method - return tile; - }); - }); + spyOn(terrainProvider, "requestTileGeometry").and.callFake( + function (x, y, level, request) { + // Call the original function! + return originalRequestTileGeometry + .call(terrainProvider, x, y, level, request) + .then(function (tile) { + spyOn(tile, "createMesh").and.callThrough(); + // return the original tile - after we've spied on the createMesh method + return tile; + }); + }, + ); } function expectTileAndMeshCounts( terrainProvider, numberOfTilesRequested, - wasFirstTileMeshCreated + wasFirstTileMeshCreated, ) { // assert how many tiles were requested expect(terrainProvider.requestTileGeometry.calls.count()).toEqual( - numberOfTilesRequested + numberOfTilesRequested, ); // get the first tile that was requested @@ -208,7 +205,7 @@ describe("Core/sampleTerrain", function () { .returnValue.then(function (terrainData) { // assert if the mesh was created or not for this tile expect(terrainData.createMesh.calls.count()).toEqual( - wasFirstTileMeshCreated ? 1 : 0 + wasFirstTileMeshCreated ? 1 : 0, ); }) ); @@ -226,7 +223,7 @@ describe("Core/sampleTerrain", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { // find a key (source path) path in the spec which matches (ends with) the requested url const availablePaths = Object.keys(proxySpec); @@ -244,8 +241,8 @@ describe("Core/sampleTerrain", function () { if (!defined(proxiedUrl)) { throw new Error( `Unexpected XHR load to url: ${url}; spec includes: ${availablePaths.join( - ", " - )}` + ", ", + )}`, ); } @@ -257,7 +254,7 @@ describe("Core/sampleTerrain", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ); }; } @@ -268,23 +265,22 @@ describe("Core/sampleTerrain", function () { "/9/759/335.terrain?v=1.2.0": "Data/CesiumTerrainTileJson/9_759_335/9_759_335.terrain", }); - const terrainProvider = await CesiumTerrainProvider.fromUrl( - "made/up/url" - ); + const terrainProvider = + await CesiumTerrainProvider.fromUrl("made/up/url"); spyOnTerrainDataCreateMesh(terrainProvider); const positionA = Cartographic.fromDegrees( 86.93666235421982, - 27.97989963555095 + 27.97989963555095, ); const positionB = Cartographic.fromDegrees( 86.9366623542198, - 27.9798996355509 + 27.9798996355509, ); const positionC = Cartographic.fromDegrees( 86.936662354213, - 27.979899635557 + 27.979899635557, ); const level = 9; @@ -311,23 +307,22 @@ describe("Core/sampleTerrain", function () { "/tile/9/214/379": "Data/ArcGIS/9_214_379/tile_9_214_379.tile", }); - const terrainProvider = await ArcGISTiledElevationTerrainProvider.fromUrl( - "made/up/url" - ); + const terrainProvider = + await ArcGISTiledElevationTerrainProvider.fromUrl("made/up/url"); spyOnTerrainDataCreateMesh(terrainProvider); const positionA = Cartographic.fromDegrees( 86.93666235421982, - 27.97989963555095 + 27.97989963555095, ); const positionB = Cartographic.fromDegrees( 86.9366623542198, - 27.9798996355509 + 27.9798996355509, ); const positionC = Cartographic.fromDegrees( 86.936662354213, - 27.979899635557 + 27.979899635557, ); const level = 9; @@ -356,33 +351,29 @@ describe("Core/sampleTerrain", function () { "/tile/9/214/376": "Data/ArcGIS/9_214_379/tile_9_214_379.tile", }); - const terrainProvider = await ArcGISTiledElevationTerrainProvider.fromUrl( - "made/up/url" - ); + const terrainProvider = + await ArcGISTiledElevationTerrainProvider.fromUrl("made/up/url"); let i = 0; const originalRequestTileGeometry = terrainProvider.requestTileGeometry; - spyOn(terrainProvider, "requestTileGeometry").and.callFake(function ( - x, - y, - level, - request - ) { - i++; - if (i === 2 || i === 3) { - // on the 2nd and 3rd requestTileGeometry call, return undefined - // to simulate RequestScheduler throttling the request - return undefined; - } - // otherwise, call the original method - return originalRequestTileGeometry.call( - terrainProvider, - x, - y, - level, - request - ); - }); + spyOn(terrainProvider, "requestTileGeometry").and.callFake( + function (x, y, level, request) { + i++; + if (i === 2 || i === 3) { + // on the 2nd and 3rd requestTileGeometry call, return undefined + // to simulate RequestScheduler throttling the request + return undefined; + } + // otherwise, call the original method + return originalRequestTileGeometry.call( + terrainProvider, + x, + y, + level, + request, + ); + }, + ); // 3 positions, quite far apart (requires multiple tile requests) const positionA = Cartographic.fromDegrees(85, 28); diff --git a/packages/engine/Specs/DataSources/BillboardGraphicsSpec.js b/packages/engine/Specs/DataSources/BillboardGraphicsSpec.js index 6da4f355301c..68fd9c52383c 100644 --- a/packages/engine/Specs/DataSources/BillboardGraphicsSpec.js +++ b/packages/engine/Specs/DataSources/BillboardGraphicsSpec.js @@ -53,7 +53,7 @@ describe("DataSources/BillboardGraphics", function () { expect(billboard.scaleByDistance).toBeInstanceOf(ConstantProperty); expect(billboard.translucencyByDistance).toBeInstanceOf(ConstantProperty); expect(billboard.pixelOffsetScaleByDistance).toBeInstanceOf( - ConstantProperty + ConstantProperty, ); expect(billboard.sizeInMeters).toBeInstanceOf(ConstantProperty); expect(billboard.distanceDisplayCondition).toBeInstanceOf(ConstantProperty); @@ -65,10 +65,10 @@ describe("DataSources/BillboardGraphics", function () { expect(billboard.alignedAxis.getValue()).toEqual(options.alignedAxis); expect(billboard.color.getValue()).toEqual(options.color); expect(billboard.heightReference.getValue()).toEqual( - options.heightReference + options.heightReference, ); expect(billboard.horizontalOrigin.getValue()).toEqual( - options.horizontalOrigin + options.horizontalOrigin, ); expect(billboard.verticalOrigin.getValue()).toEqual(options.verticalOrigin); expect(billboard.eyeOffset.getValue()).toEqual(options.eyeOffset); @@ -77,20 +77,20 @@ describe("DataSources/BillboardGraphics", function () { expect(billboard.width.getValue()).toEqual(options.width); expect(billboard.height.getValue()).toEqual(options.height); expect(billboard.scaleByDistance.getValue()).toEqual( - options.scaleByDistance + options.scaleByDistance, ); expect(billboard.translucencyByDistance.getValue()).toEqual( - options.translucencyByDistance + options.translucencyByDistance, ); expect(billboard.pixelOffsetScaleByDistance.getValue()).toEqual( - options.pixelOffsetScaleByDistance + options.pixelOffsetScaleByDistance, ); expect(billboard.sizeInMeters.getValue()).toEqual(options.sizeInMeters); expect(billboard.distanceDisplayCondition.getValue()).toEqual( - options.distanceDisplayCondition + options.distanceDisplayCondition, ); expect(billboard.disableDepthTestDistance.getValue()).toEqual( - options.disableDepthTestDistance + options.disableDepthTestDistance, ); expect(billboard.splitDirection.getValue()).toEqual(options.splitDirection); }); @@ -103,7 +103,7 @@ describe("DataSources/BillboardGraphics", function () { source.alignedAxis = new ConstantProperty(new Cartesian3()); source.color = new ConstantProperty(Color.BLACK); source.heightReference = new ConstantProperty( - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); source.horizontalOrigin = new ConstantProperty(HorizontalOrigin.LEFT); source.verticalOrigin = new ConstantProperty(VerticalOrigin.BOTTOM); @@ -116,11 +116,11 @@ describe("DataSources/BillboardGraphics", function () { source.scaleByDistance = new ConstantProperty(new NearFarScalar()); source.translucencyByDistance = new ConstantProperty(new NearFarScalar()); source.pixelOffsetScaleByDistance = new ConstantProperty( - new NearFarScalar(1.0, 0.0, 3.0e9, 0.0) + new NearFarScalar(1.0, 0.0, 3.0e9, 0.0), ); source.sizeInMeters = new ConstantProperty(true); source.distanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition(10.0, 100.0) + new DistanceDisplayCondition(10.0, 100.0), ); source.disableDepthTestDistance = new ConstantProperty(10.0); source.splitDirection = new ConstantProperty(SplitDirection.LEFT); @@ -145,14 +145,14 @@ describe("DataSources/BillboardGraphics", function () { expect(target.scaleByDistance).toBe(source.scaleByDistance); expect(target.translucencyByDistance).toBe(source.translucencyByDistance); expect(target.pixelOffsetScaleByDistance).toBe( - source.pixelOffsetScaleByDistance + source.pixelOffsetScaleByDistance, ); expect(target.sizeInMeters).toBe(source.sizeInMeters); expect(target.distanceDisplayCondition).toBe( - source.distanceDisplayCondition + source.distanceDisplayCondition, ); expect(target.disableDepthTestDistance).toBe( - source.disableDepthTestDistance + source.disableDepthTestDistance, ); expect(target.splitDirection).toBe(source.splitDirection); }); @@ -165,7 +165,7 @@ describe("DataSources/BillboardGraphics", function () { source.alignedAxis = new ConstantProperty(new Cartesian3()); source.color = new ConstantProperty(Color.BLACK); source.heightReference = new ConstantProperty( - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); source.horizontalOrigin = new ConstantProperty(HorizontalOrigin.LEFT); source.verticalOrigin = new ConstantProperty(VerticalOrigin.BOTTOM); @@ -178,11 +178,11 @@ describe("DataSources/BillboardGraphics", function () { source.scaleByDistance = new ConstantProperty(new NearFarScalar()); source.translucencyByDistance = new ConstantProperty(new NearFarScalar()); source.pixelOffsetScaleByDistance = new ConstantProperty( - new NearFarScalar(1.0, 0.0, 3.0e9, 0.0) + new NearFarScalar(1.0, 0.0, 3.0e9, 0.0), ); source.sizeInMeters = new ConstantProperty(true); source.distanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition(10.0, 100.0) + new DistanceDisplayCondition(10.0, 100.0), ); source.disableDepthTestDistance = new ConstantProperty(10.0); source.splitDirection = new ConstantProperty(SplitDirection.LEFT); @@ -193,7 +193,7 @@ describe("DataSources/BillboardGraphics", function () { const alignedAxis = new ConstantProperty(new Cartesian3()); const color = new ConstantProperty(Color.BLACK); const heightReference = new ConstantProperty( - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); const horizontalOrigin = new ConstantProperty(HorizontalOrigin.LEFT); const verticalOrigin = new ConstantProperty(VerticalOrigin.BOTTOM); @@ -206,11 +206,11 @@ describe("DataSources/BillboardGraphics", function () { const scaleByDistance = new ConstantProperty(new NearFarScalar()); const translucencyByDistance = new ConstantProperty(new NearFarScalar()); const pixelOffsetScaleByDistance = new ConstantProperty( - new NearFarScalar() + new NearFarScalar(), ); const sizeInMeters = new ConstantProperty(true); const distanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition() + new DistanceDisplayCondition(), ); const disableDepthTestDistance = new ConstantProperty(10.0); const splitDirection = new ConstantProperty(SplitDirection.LEFT); @@ -271,7 +271,7 @@ describe("DataSources/BillboardGraphics", function () { source.alignedAxis = new ConstantProperty(new Cartesian3()); source.color = new ConstantProperty(Color.BLACK); source.heightReference = new ConstantProperty( - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); source.horizontalOrigin = new ConstantProperty(HorizontalOrigin.LEFT); source.verticalOrigin = new ConstantProperty(VerticalOrigin.BOTTOM); @@ -284,11 +284,11 @@ describe("DataSources/BillboardGraphics", function () { source.scaleByDistance = new ConstantProperty(new NearFarScalar()); source.translucencyByDistance = new ConstantProperty(new NearFarScalar()); source.pixelOffsetScaleByDistance = new ConstantProperty( - new NearFarScalar(1.0, 0.0, 3.0e9, 0.0) + new NearFarScalar(1.0, 0.0, 3.0e9, 0.0), ); source.sizeInMeters = new ConstantProperty(true); source.distanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition(10.0, 100.0) + new DistanceDisplayCondition(10.0, 100.0), ); source.disableDepthTestDistance = new ConstantProperty(10.0); source.splitDirection = new ConstantProperty(SplitDirection.LEFT); @@ -311,14 +311,14 @@ describe("DataSources/BillboardGraphics", function () { expect(result.scaleByDistance).toBe(source.scaleByDistance); expect(result.translucencyByDistance).toBe(source.translucencyByDistance); expect(result.pixelOffsetScaleByDistance).toBe( - source.pixelOffsetScaleByDistance + source.pixelOffsetScaleByDistance, ); expect(result.sizeInMeters).toBe(source.sizeInMeters); expect(result.distanceDisplayCondition).toBe( - source.distanceDisplayCondition + source.distanceDisplayCondition, ); expect(result.disableDepthTestDistance).toBe( - source.disableDepthTestDistance + source.disableDepthTestDistance, ); expect(result.splitDirection).toBe(source.splitDirection); }); diff --git a/packages/engine/Specs/DataSources/BillboardVisualizerSpec.js b/packages/engine/Specs/DataSources/BillboardVisualizerSpec.js index a01bec49ccbf..b0b10a7ceebc 100644 --- a/packages/engine/Specs/DataSources/BillboardVisualizerSpec.js +++ b/packages/engine/Specs/DataSources/BillboardVisualizerSpec.js @@ -79,7 +79,7 @@ describe( const entityCollection = new EntityCollection(); const visualizer = new BillboardVisualizer( entityCluster, - entityCollection + entityCollection, ); expect(entityCollection.collectionChanged.numberOfListeners).toEqual(1); visualizer.destroy(); @@ -92,7 +92,7 @@ describe( const testObject = entityCollection.getOrCreateEntity("test"); testObject.position = new ConstantProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); visualizer.update(JulianDate.now()); expect(entityCluster._billboardCollection).not.toBeDefined(); @@ -117,7 +117,7 @@ describe( const testObject = entityCollection.getOrCreateEntity("test"); testObject.position = new ConstantProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); const billboard = (testObject.billboard = new BillboardGraphics()); billboard.show = new ConstantProperty(true); @@ -136,20 +136,20 @@ describe( const billboard = (testObject.billboard = new BillboardGraphics()); testObject.position = new ConstantProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); billboard.show = new ConstantProperty(true); billboard.color = new ConstantProperty(new Color(0.5, 0.5, 0.5, 0.5)); billboard.image = new ConstantProperty("Data/Images/Blue.png"); billboard.imageSubRegion = new ConstantProperty( - new BoundingRectangle(0, 0, 1, 1) + new BoundingRectangle(0, 0, 1, 1), ); billboard.eyeOffset = new ConstantProperty(new Cartesian3(1.0, 2.0, 3.0)); billboard.scale = new ConstantProperty(12.5); billboard.rotation = new ConstantProperty(1.5); billboard.alignedAxis = new ConstantProperty(Cartesian3.UNIT_Z); billboard.heightReference = new ConstantProperty( - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); billboard.horizontalOrigin = new ConstantProperty(HorizontalOrigin.RIGHT); billboard.verticalOrigin = new ConstantProperty(VerticalOrigin.TOP); @@ -158,14 +158,14 @@ describe( billboard.height = new ConstantProperty(5); billboard.scaleByDistance = new ConstantProperty(new NearFarScalar()); billboard.translucencyByDistance = new ConstantProperty( - new NearFarScalar() + new NearFarScalar(), ); billboard.pixelOffsetScaleByDistance = new ConstantProperty( - new NearFarScalar(1.0, 0.0, 3.0e9, 0.0) + new NearFarScalar(1.0, 0.0, 3.0e9, 0.0), ); billboard.sizeInMeters = new ConstantProperty(true); billboard.distanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition(10.0, 100.0) + new DistanceDisplayCondition(10.0, 100.0), ); billboard.disableDepthTestDistance = new ConstantProperty(10.0); billboard.splitDirection = new ConstantProperty(SplitDirection.LEFT); @@ -184,49 +184,49 @@ describe( expect(bb.position).toEqual(testObject.position.getValue(time)); expect(bb.color).toEqual(testObject.billboard.color.getValue(time)); expect(bb.eyeOffset).toEqual( - testObject.billboard.eyeOffset.getValue(time) + testObject.billboard.eyeOffset.getValue(time), ); expect(bb.scale).toEqual(testObject.billboard.scale.getValue(time)); expect(bb.rotation).toEqual( - testObject.billboard.rotation.getValue(time) + testObject.billboard.rotation.getValue(time), ); expect(bb.alignedAxis).toEqual( - testObject.billboard.alignedAxis.getValue(time) + testObject.billboard.alignedAxis.getValue(time), ); expect(bb.heightReference).toEqual( - testObject.billboard.heightReference.getValue(time) + testObject.billboard.heightReference.getValue(time), ); expect(bb.horizontalOrigin).toEqual( - testObject.billboard.horizontalOrigin.getValue(time) + testObject.billboard.horizontalOrigin.getValue(time), ); expect(bb.verticalOrigin).toEqual( - testObject.billboard.verticalOrigin.getValue(time) + testObject.billboard.verticalOrigin.getValue(time), ); expect(bb.width).toEqual(testObject.billboard.width.getValue(time)); expect(bb.height).toEqual(testObject.billboard.height.getValue(time)); expect(bb.scaleByDistance).toEqual( - testObject.billboard.scaleByDistance.getValue(time) + testObject.billboard.scaleByDistance.getValue(time), ); expect(bb.translucencyByDistance).toEqual( - testObject.billboard.translucencyByDistance.getValue(time) + testObject.billboard.translucencyByDistance.getValue(time), ); expect(bb.pixelOffsetScaleByDistance).toEqual( - testObject.billboard.pixelOffsetScaleByDistance.getValue(time) + testObject.billboard.pixelOffsetScaleByDistance.getValue(time), ); expect(bb.sizeInMeters).toEqual( - testObject.billboard.sizeInMeters.getValue(time) + testObject.billboard.sizeInMeters.getValue(time), ); expect(bb.distanceDisplayCondition).toEqual( - testObject.billboard.distanceDisplayCondition.getValue(time) + testObject.billboard.distanceDisplayCondition.getValue(time), ); expect(bb.disableDepthTestDistance).toEqual( - testObject.billboard.disableDepthTestDistance.getValue(time) + testObject.billboard.disableDepthTestDistance.getValue(time), ); expect(bb.splitDirection).toEqual( - testObject.billboard.splitDirection.getValue(time) + testObject.billboard.splitDirection.getValue(time), ); expect(bb._imageSubRegion).toEqual( - testObject.billboard.imageSubRegion.getValue(time) + testObject.billboard.imageSubRegion.getValue(time), ); billboard.show = new ConstantProperty(false); @@ -248,20 +248,20 @@ describe( const billboard = (testObject.billboard = new BillboardGraphics()); testObject.position = new ConstantProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); billboard.show = new ConstantProperty(true); billboard.color = new ConstantProperty(new Color(0.5, 0.5, 0.5, 0.5)); billboard.image = new ConstantProperty("Data/Images/Blue.png"); billboard.imageSubRegion = new ConstantProperty( - new BoundingRectangle(0, 0, 1, 1) + new BoundingRectangle(0, 0, 1, 1), ); billboard.eyeOffset = new ConstantProperty(new Cartesian3(1.0, 2.0, 3.0)); billboard.scale = new ConstantProperty(12.5); billboard.rotation = new ConstantProperty(1.5); billboard.alignedAxis = new ConstantProperty(Cartesian3.UNIT_Z); billboard.heightReference = new ConstantProperty( - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); billboard.horizontalOrigin = new ConstantProperty(HorizontalOrigin.RIGHT); billboard.verticalOrigin = new ConstantProperty(VerticalOrigin.TOP); @@ -270,14 +270,14 @@ describe( billboard.height = new ConstantProperty(5); billboard.scaleByDistance = new ConstantProperty(new NearFarScalar()); billboard.translucencyByDistance = new ConstantProperty( - new NearFarScalar() + new NearFarScalar(), ); billboard.pixelOffsetScaleByDistance = new ConstantProperty( - new NearFarScalar(1.0, 0.0, 3.0e9, 0.0) + new NearFarScalar(1.0, 0.0, 3.0e9, 0.0), ); billboard.sizeInMeters = new ConstantProperty(true); billboard.distanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition(10.0, 100.0) + new DistanceDisplayCondition(10.0, 100.0), ); billboard.disableDepthTestDistance = new ConstantProperty(10.0); billboard.splitDirection = new ConstantProperty(SplitDirection.LEFT); @@ -308,52 +308,52 @@ describe( expect(bb.position).toEqual(testObject.position.getValue(time)); expect(bb.color).toEqual(testObject.billboard.color.getValue(time)); expect(bb.eyeOffset).toEqual( - testObject.billboard.eyeOffset.getValue(time) + testObject.billboard.eyeOffset.getValue(time), ); expect(bb.scale).toEqual(testObject.billboard.scale.getValue(time)); expect(bb.rotation).toEqual( - testObject.billboard.rotation.getValue(time) + testObject.billboard.rotation.getValue(time), ); expect(bb.alignedAxis).toEqual( - testObject.billboard.alignedAxis.getValue(time) + testObject.billboard.alignedAxis.getValue(time), ); expect(bb.heightReference).toEqual( - testObject.billboard.heightReference.getValue(time) + testObject.billboard.heightReference.getValue(time), ); expect(bb.horizontalOrigin).toEqual( - testObject.billboard.horizontalOrigin.getValue(time) + testObject.billboard.horizontalOrigin.getValue(time), ); expect(bb.verticalOrigin).toEqual( - testObject.billboard.verticalOrigin.getValue(time) + testObject.billboard.verticalOrigin.getValue(time), ); expect(bb.width).toEqual(testObject.billboard.width.getValue(time)); expect(bb.height).toEqual( - testObject.billboard.height.getValue(time) + testObject.billboard.height.getValue(time), ); expect(bb.scaleByDistance).toEqual( - testObject.billboard.scaleByDistance.getValue(time) + testObject.billboard.scaleByDistance.getValue(time), ); expect(bb.translucencyByDistance).toEqual( - testObject.billboard.translucencyByDistance.getValue(time) + testObject.billboard.translucencyByDistance.getValue(time), ); expect(bb.pixelOffsetScaleByDistance).toEqual( - testObject.billboard.pixelOffsetScaleByDistance.getValue(time) + testObject.billboard.pixelOffsetScaleByDistance.getValue(time), ); expect(bb.sizeInMeters).toEqual( - testObject.billboard.sizeInMeters.getValue(time) + testObject.billboard.sizeInMeters.getValue(time), ); expect(bb.distanceDisplayCondition).toEqual( - testObject.billboard.distanceDisplayCondition.getValue(time) + testObject.billboard.distanceDisplayCondition.getValue(time), ); expect(bb.disableDepthTestDistance).toEqual( - testObject.billboard.disableDepthTestDistance.getValue(time) + testObject.billboard.disableDepthTestDistance.getValue(time), ); expect(bb.splitDirection).toEqual( - testObject.billboard.splitDirection.getValue(time) + testObject.billboard.splitDirection.getValue(time), ); expect(bb.image).toBeDefined(); expect(bb._imageSubRegion).toEqual( - testObject.billboard.imageSubRegion.getValue(time) + testObject.billboard.imageSubRegion.getValue(time), ); }); }); @@ -367,7 +367,7 @@ describe( const testObject = entityCollection.getOrCreateEntity("test"); testObject.position = new ConstantProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); testObject.billboard = new BillboardGraphics(); testObject.billboard.image = new ConstantProperty("Data/Images/Blue.png"); @@ -386,11 +386,11 @@ describe( const testObject2 = entityCollection.getOrCreateEntity("test2"); testObject2.position = new ConstantProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); testObject2.billboard = new BillboardGraphics(); testObject2.billboard.image = new ConstantProperty( - "Data/Images/Blue.png" + "Data/Images/Blue.png", ); testObject2.billboard.show = new ConstantProperty(true); @@ -408,7 +408,7 @@ describe( const billboard = (testObject.billboard = new BillboardGraphics()); testObject.position = new ConstantProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); billboard.show = new ConstantProperty(true); billboard.image = new ConstantProperty("Data/Images/Blue.png"); @@ -439,7 +439,7 @@ describe( const billboard = (testObject.billboard = new BillboardGraphics()); testObject.position = new ConstantProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); billboard.show = new ConstantProperty(true); billboard.image = new ConstantProperty("Data/Images/Blue.png"); @@ -460,7 +460,7 @@ describe( const billboard = (testObject.billboard = new BillboardGraphics()); testObject.position = new ConstantProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); billboard.show = new ConstantProperty(true); billboard.image = new ConstantProperty("Data/Images/Blue.png"); @@ -502,5 +502,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/DataSources/BoxGeometryUpdaterSpec.js b/packages/engine/Specs/DataSources/BoxGeometryUpdaterSpec.js index 55d6932c9fbd..5dd917aeb550 100644 --- a/packages/engine/Specs/DataSources/BoxGeometryUpdaterSpec.js +++ b/packages/engine/Specs/DataSources/BoxGeometryUpdaterSpec.js @@ -38,7 +38,7 @@ describe( box.dimensions = new ConstantProperty(new Cartesian3(1, 2, 3)); const entity = new Entity(); entity.position = new ConstantPositionProperty( - Cartesian3.fromDegrees(0, 0, 0) + Cartesian3.fromDegrees(0, 0, 0), ); entity.box = box; return entity; @@ -74,14 +74,14 @@ describe( instance = updater.createFillGeometryInstance(time); geometry = instance.geometry; expect(geometry._maximum).toEqual( - Cartesian3.multiplyByScalar(dimensions, 0.5, new Cartesian3()) + Cartesian3.multiplyByScalar(dimensions, 0.5, new Cartesian3()), ); expect(geometry._offsetAttribute).toBeUndefined(); instance = updater.createOutlineGeometryInstance(time); geometry = instance.geometry; expect(geometry._max).toEqual( - Cartesian3.multiplyByScalar(dimensions, 0.5, new Cartesian3()) + Cartesian3.multiplyByScalar(dimensions, 0.5, new Cartesian3()), ); expect(geometry._offsetAttribute).toBeUndefined(); }); @@ -111,29 +111,29 @@ describe( expect(instance.geometry._offsetAttribute).toBeUndefined(); graphics.heightReference = new ConstantProperty( - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); updater._onEntityPropertyChanged(entity, "box"); instance = updater.createFillGeometryInstance(time); expect(instance.geometry._offsetAttribute).toEqual( - GeometryOffsetAttribute.ALL + GeometryOffsetAttribute.ALL, ); instance = updater.createOutlineGeometryInstance(time); expect(instance.geometry._offsetAttribute).toEqual( - GeometryOffsetAttribute.ALL + GeometryOffsetAttribute.ALL, ); graphics.heightReference = new ConstantProperty( - HeightReference.RELATIVE_TO_GROUND + HeightReference.RELATIVE_TO_GROUND, ); updater._onEntityPropertyChanged(entity, "box"); instance = updater.createFillGeometryInstance(time); expect(instance.geometry._offsetAttribute).toEqual( - GeometryOffsetAttribute.ALL + GeometryOffsetAttribute.ALL, ); instance = updater.createOutlineGeometryInstance(time); expect(instance.geometry._offsetAttribute).toEqual( - GeometryOffsetAttribute.ALL + GeometryOffsetAttribute.ALL, ); }); @@ -143,12 +143,12 @@ describe( const updater = new BoxGeometryUpdater(entity, scene); const dynamicUpdater = updater.createDynamicUpdater( new PrimitiveCollection(), - new PrimitiveCollection() + new PrimitiveCollection(), ); dynamicUpdater.update(JulianDate.now()); expect(dynamicUpdater._options.dimensions).toEqual( - entity.box.dimensions.getValue() + entity.box.dimensions.getValue(), ); expect(dynamicUpdater._options.offsetAttribute).toBeUndefined(); }); @@ -186,7 +186,7 @@ describe( const updater = new BoxGeometryUpdater(entity, scene); expect(updater._computeCenter(time)).toEqual( - entity.position.getValue(time) + entity.position.getValue(time), ); }); @@ -198,15 +198,15 @@ describe( BoxGeometryUpdater, "box", createBasicBox, - getScene + getScene, ); createDynamicGeometryUpdaterSpecs( BoxGeometryUpdater, "box", createDynamicBox, - getScene + getScene, ); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/DataSources/BoxGraphicsSpec.js b/packages/engine/Specs/DataSources/BoxGraphicsSpec.js index 6c1a2080f629..aa71c78b741d 100644 --- a/packages/engine/Specs/DataSources/BoxGraphicsSpec.js +++ b/packages/engine/Specs/DataSources/BoxGraphicsSpec.js @@ -45,7 +45,7 @@ describe("DataSources/BoxGraphics", function () { expect(box.dimensions.getValue()).toEqual(options.dimensions); expect(box.shadows.getValue()).toEqual(options.shadows); expect(box.distanceDisplayCondition.getValue()).toEqual( - options.distanceDisplayCondition + options.distanceDisplayCondition, ); }); @@ -60,7 +60,7 @@ describe("DataSources/BoxGraphics", function () { source.dimensions = new ConstantProperty(); source.shadows = new ConstantProperty(ShadowMode.ENABLED); source.distanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition(10.0, 100.0) + new DistanceDisplayCondition(10.0, 100.0), ); const target = new BoxGraphics(); @@ -75,7 +75,7 @@ describe("DataSources/BoxGraphics", function () { expect(target.dimensions).toBe(source.dimensions); expect(target.shadows).toBe(source.shadows); expect(target.distanceDisplayCondition).toBe( - source.distanceDisplayCondition + source.distanceDisplayCondition, ); }); @@ -138,7 +138,7 @@ describe("DataSources/BoxGraphics", function () { expect(result.dimensions).toBe(source.dimensions); expect(result.shadows).toBe(source.shadows); expect(result.distanceDisplayCondition).toBe( - source.distanceDisplayCondition + source.distanceDisplayCondition, ); }); @@ -161,19 +161,19 @@ describe("DataSources/BoxGraphics", function () { property, "dimensions", new Cartesian3(0, 0, 0), - new Cartesian3(1, 1, 1) + new Cartesian3(1, 1, 1), ); testDefinitionChanged( property, "shadows", ShadowMode.ENABLED, - ShadowMode.DISABLED + ShadowMode.DISABLED, ); testDefinitionChanged( property, "distanceDisplayCondition", new DistanceDisplayCondition(), - new DistanceDisplayCondition(10.0, 100.0) + new DistanceDisplayCondition(10.0, 100.0), ); }); }); diff --git a/packages/engine/Specs/DataSources/CallbackPositionPropertySpec.js b/packages/engine/Specs/DataSources/CallbackPositionPropertySpec.js index c9c2470f89aa..8668e22daf7a 100644 --- a/packages/engine/Specs/DataSources/CallbackPositionPropertySpec.js +++ b/packages/engine/Specs/DataSources/CallbackPositionPropertySpec.js @@ -29,7 +29,7 @@ describe("DataSources/CallbackPositionProperty", function () { property = new CallbackPositionProperty( callback, true, - ReferenceFrame.INERTIAL + ReferenceFrame.INERTIAL, ); expect(property.referenceFrame).toBe(ReferenceFrame.INERTIAL); }); @@ -62,7 +62,7 @@ describe("DataSources/CallbackPositionProperty", function () { time, valueInertial, ReferenceFrame.INERTIAL, - ReferenceFrame.FIXED + ReferenceFrame.FIXED, ); const callback = function (_time, result) { return valueInertial.clone(result); @@ -70,7 +70,7 @@ describe("DataSources/CallbackPositionProperty", function () { const property = new CallbackPositionProperty( callback, true, - ReferenceFrame.INERTIAL + ReferenceFrame.INERTIAL, ); const result = property.getValue(time); @@ -99,7 +99,7 @@ describe("DataSources/CallbackPositionProperty", function () { const result = property.getValueInReferenceFrame( time, - ReferenceFrame.INERTIAL + ReferenceFrame.INERTIAL, ); expect(result).not.toBe(value); expect(result).toEqual( @@ -107,8 +107,8 @@ describe("DataSources/CallbackPositionProperty", function () { time, value, ReferenceFrame.FIXED, - ReferenceFrame.INERTIAL - ) + ReferenceFrame.INERTIAL, + ), ); }); @@ -120,14 +120,14 @@ describe("DataSources/CallbackPositionProperty", function () { const property = new CallbackPositionProperty( callback, true, - ReferenceFrame.INERTIAL + ReferenceFrame.INERTIAL, ); const expected = new Cartesian3(); const result = property.getValueInReferenceFrame( time, ReferenceFrame.FIXED, - expected + expected, ); expect(result).toBe(expected); expect(expected).toEqual( @@ -135,8 +135,8 @@ describe("DataSources/CallbackPositionProperty", function () { time, value, ReferenceFrame.INERTIAL, - ReferenceFrame.FIXED - ) + ReferenceFrame.FIXED, + ), ); }); @@ -189,7 +189,7 @@ describe("DataSources/CallbackPositionProperty", function () { right = new CallbackPositionProperty( callback, true, - ReferenceFrame.INERTIAL + ReferenceFrame.INERTIAL, ); expect(left.equals(right)).toEqual(false); }); diff --git a/packages/engine/Specs/DataSources/Cesium3DTilesetGraphicsSpec.js b/packages/engine/Specs/DataSources/Cesium3DTilesetGraphicsSpec.js index 37d85b422952..be59405ccd3c 100644 --- a/packages/engine/Specs/DataSources/Cesium3DTilesetGraphicsSpec.js +++ b/packages/engine/Specs/DataSources/Cesium3DTilesetGraphicsSpec.js @@ -16,7 +16,7 @@ describe("DataSources/Cesium3DTilesetGraphics", function () { expect(model.uri.getValue()).toEqual(options.uri); expect(model.show.getValue()).toEqual(options.show); expect(model.maximumScreenSpaceError.getValue()).toEqual( - options.maximumScreenSpaceError + options.maximumScreenSpaceError, ); }); diff --git a/packages/engine/Specs/DataSources/Cesium3DTilesetVisualizerSpec.js b/packages/engine/Specs/DataSources/Cesium3DTilesetVisualizerSpec.js index 95c9db6e8767..0c9ef09da4fe 100644 --- a/packages/engine/Specs/DataSources/Cesium3DTilesetVisualizerSpec.js +++ b/packages/engine/Specs/DataSources/Cesium3DTilesetVisualizerSpec.js @@ -80,7 +80,7 @@ describe( const testObject = entityCollection.getOrCreateEntity("test"); testObject.position = new ConstantProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); visualizer.update(JulianDate.now()); expect(scene.primitives.length).toEqual(0); @@ -116,7 +116,7 @@ describe( const testObject = entityCollection.getOrCreateEntity("test"); testObject.position = new ConstantPositionProperty( - Cartesian3.fromDegrees(1, 2, 3) + Cartesian3.fromDegrees(1, 2, 3), ); testObject.tileset = tileset; @@ -145,12 +145,12 @@ describe( tileset.uri = new ConstantProperty( new Resource({ url: tilesetUrl, - }) + }), ); const testObject = entityCollection.getOrCreateEntity("test"); testObject.position = new ConstantPositionProperty( - Cartesian3.fromDegrees(1, 2, 3) + Cartesian3.fromDegrees(1, 2, 3), ); testObject.tileset = tileset; @@ -174,7 +174,7 @@ describe( const time = JulianDate.now(); const testObject = entityCollection.getOrCreateEntity("test"); testObject.position = new ConstantProperty( - new Cartesian3(5678, 1234, 1101112) + new Cartesian3(5678, 1234, 1101112), ); testObject.tileset = tileset; visualizer.update(time); @@ -198,7 +198,7 @@ describe( testObject.tileset = tileset; testObject.position = new ConstantProperty( - new Cartesian3(5678, 1234, 1101112) + new Cartesian3(5678, 1234, 1101112), ); tileset.uri = new ConstantProperty(tilesetUrl); visualizer.update(time); @@ -221,12 +221,12 @@ describe( tileset.uri = new ConstantProperty( new Resource({ url: tilesetUrl, - }) + }), ); const testObject = entityCollection.getOrCreateEntity("test"); testObject.position = new ConstantPositionProperty( - Cartesian3.fromDegrees(1, 2, 3) + Cartesian3.fromDegrees(1, 2, 3), ); testObject.tileset = tileset; @@ -261,12 +261,12 @@ describe( tileset.uri = new ConstantProperty( new Resource({ url: tilesetUrl, - }) + }), ); const testObject = entityCollection.getOrCreateEntity("test"); testObject.position = new ConstantPositionProperty( - Cartesian3.fromDegrees(1, 2, 3) + Cartesian3.fromDegrees(1, 2, 3), ); testObject.tileset = tileset; @@ -288,7 +288,7 @@ describe( testObject.tileset = tileset; testObject.position = new ConstantProperty( - new Cartesian3(5678, 1234, 1101112) + new Cartesian3(5678, 1234, 1101112), ); tileset.uri = new ConstantProperty(tilesetUrl); visualizer.update(time); @@ -317,7 +317,7 @@ describe( testObject.tileset = tileset; testObject.position = new ConstantProperty( - new Cartesian3(5678, 1234, 1101112) + new Cartesian3(5678, 1234, 1101112), ); tileset.uri = new ConstantProperty(tilesetUrl); visualizer.update(time); @@ -356,7 +356,7 @@ describe( testObject.tileset = tileset; testObject.position = new ConstantProperty( - new Cartesian3(5678, 1234, 1101112) + new Cartesian3(5678, 1234, 1101112), ); tileset.uri = new ConstantProperty("/path/to/incorrect/file"); visualizer.update(time); @@ -392,5 +392,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/DataSources/CheckerboardMaterialPropertySpec.js b/packages/engine/Specs/DataSources/CheckerboardMaterialPropertySpec.js index 26a349ac869f..31f11faea7e0 100644 --- a/packages/engine/Specs/DataSources/CheckerboardMaterialPropertySpec.js +++ b/packages/engine/Specs/DataSources/CheckerboardMaterialPropertySpec.js @@ -67,21 +67,21 @@ describe("DataSources/CheckerboardMaterialProperty", function () { start: start, stop: stop, data: Color.RED, - }) + }), ); property.oddColor.intervals.addInterval( new TimeInterval({ start: start, stop: stop, data: Color.BLUE, - }) + }), ); property.repeat.intervals.addInterval( new TimeInterval({ start: start, stop: stop, data: new Cartesian2(5, 5), - }) + }), ); expect(property.isConstant).toBe(false); @@ -146,7 +146,7 @@ describe("DataSources/CheckerboardMaterialProperty", function () { property, "repeat", new Cartesian2(5, 5), - new Cartesian2(7, 7) + new Cartesian2(7, 7), ); }); }); diff --git a/packages/engine/Specs/DataSources/ColorMaterialPropertySpec.js b/packages/engine/Specs/DataSources/ColorMaterialPropertySpec.js index f3b6b0bd2f4f..47da83aea010 100644 --- a/packages/engine/Specs/DataSources/ColorMaterialPropertySpec.js +++ b/packages/engine/Specs/DataSources/ColorMaterialPropertySpec.js @@ -45,7 +45,7 @@ describe("DataSources/ColorMaterialProperty", function () { start: start, stop: stop, data: Color.BLUE, - }) + }), ); expect(property.isConstant).toBe(false); @@ -90,7 +90,7 @@ describe("DataSources/ColorMaterialProperty", function () { property, "color", property.color, - oldValue + oldValue, ); listener.calls.reset(); @@ -99,7 +99,7 @@ describe("DataSources/ColorMaterialProperty", function () { property, "color", property.color, - property.color + property.color, ); listener.calls.reset(); diff --git a/packages/engine/Specs/DataSources/CompositeEntityCollectionSpec.js b/packages/engine/Specs/DataSources/CompositeEntityCollectionSpec.js index bf0194423096..093e32c15d9d 100644 --- a/packages/engine/Specs/DataSources/CompositeEntityCollectionSpec.js +++ b/packages/engine/Specs/DataSources/CompositeEntityCollectionSpec.js @@ -21,7 +21,7 @@ describe("DataSources/CompositeEntityCollection", function () { CollectionListener.prototype.onCollectionChanged = function ( collection, added, - removed + removed, ) { this.timesCalled++; this.added = added.slice(0); @@ -207,7 +207,7 @@ describe("DataSources/CompositeEntityCollection", function () { const listener = new CollectionListener(); composite.collectionChanged.addEventListener( listener.onCollectionChanged, - listener + listener, ); entityCollection.add(entity); @@ -236,7 +236,7 @@ describe("DataSources/CompositeEntityCollection", function () { composite.collectionChanged.removeEventListener( listener.onCollectionChanged, - listener + listener, ); }); @@ -251,7 +251,7 @@ describe("DataSources/CompositeEntityCollection", function () { const listener = new CollectionListener(); composite.collectionChanged.addEventListener( listener.onCollectionChanged, - listener + listener, ); composite.suspendEvents(); @@ -284,7 +284,7 @@ describe("DataSources/CompositeEntityCollection", function () { composite.collectionChanged.removeEventListener( listener.onCollectionChanged, - listener + listener, ); }); @@ -314,7 +314,7 @@ describe("DataSources/CompositeEntityCollection", function () { composite.collectionChanged.addEventListener( listener.onCollectionChanged, - listener + listener, ); composite.removeAllCollections(); @@ -329,7 +329,7 @@ describe("DataSources/CompositeEntityCollection", function () { composite.collectionChanged.removeEventListener( listener.onCollectionChanged, - listener + listener, ); }); @@ -346,7 +346,7 @@ describe("DataSources/CompositeEntityCollection", function () { composite.collectionChanged.addEventListener( listener.onCollectionChanged, - listener + listener, ); composite.suspendEvents(); @@ -367,7 +367,7 @@ describe("DataSources/CompositeEntityCollection", function () { composite.collectionChanged.removeEventListener( listener.onCollectionChanged, - listener + listener, ); }); @@ -410,13 +410,13 @@ describe("DataSources/CompositeEntityCollection", function () { entity.availability.addInterval( TimeInterval.fromIso8601({ iso8601: "2012-08-01/2012-08-02", - }) + }), ); entity2.availability = new TimeIntervalCollection(); entity2.availability.addInterval( TimeInterval.fromIso8601({ iso8601: "2012-08-05/2012-08-06", - }) + }), ); entity3.availability = undefined; @@ -438,13 +438,13 @@ describe("DataSources/CompositeEntityCollection", function () { entity.availability.addInterval( TimeInterval.fromIso8601({ iso8601: "2012-08-01/9999-12-31T24:00:00Z", - }) + }), ); entity2.availability = new TimeIntervalCollection(); entity2.availability.addInterval( TimeInterval.fromIso8601({ iso8601: "0000-01-01T00:00:00Z/2012-08-06", - }) + }), ); entity3.availability = undefined; @@ -568,7 +568,7 @@ describe("DataSources/CompositeEntityCollection", function () { availability1.addInterval( TimeInterval.fromIso8601({ iso8601: "2019-01-01/2019-01-04", - }) + }), ); const entity1 = new Entity({ id: id, @@ -581,7 +581,7 @@ describe("DataSources/CompositeEntityCollection", function () { availability2.addInterval( TimeInterval.fromIso8601({ iso8601: "2019-01-02/2019-01-05", - }) + }), ); const entity2 = new Entity({ id: id, @@ -594,7 +594,7 @@ describe("DataSources/CompositeEntityCollection", function () { availability3.addInterval( TimeInterval.fromIso8601({ iso8601: "2019-01-03/2019-01-06", - }) + }), ); const entity3 = new Entity({ id: id, @@ -610,17 +610,17 @@ describe("DataSources/CompositeEntityCollection", function () { const compositeObject = composite.getById(id); expect(compositeObject.availability.start).toEqual( - JulianDate.fromIso8601("2019-01-01") + JulianDate.fromIso8601("2019-01-01"), ); composite.removeCollection(collection1); expect(compositeObject.availability.start).toEqual( - JulianDate.fromIso8601("2019-01-02") + JulianDate.fromIso8601("2019-01-02"), ); composite.removeCollection(collection2); expect(compositeObject.availability.start).toEqual( - JulianDate.fromIso8601("2019-01-03") + JulianDate.fromIso8601("2019-01-03"), ); }); @@ -663,7 +663,7 @@ describe("DataSources/CompositeEntityCollection", function () { e1Composite, propertyName, newValue, - oldValue + oldValue, ); }); @@ -691,7 +691,7 @@ describe("DataSources/CompositeEntityCollection", function () { e1Composite, propertyName, newValue, - oldValue + oldValue, ); }); @@ -727,17 +727,17 @@ describe("DataSources/CompositeEntityCollection", function () { composite2.addCollection(collection2); expect( - composite1.getById(id).billboard.show.getValue(JulianDate.now()) + composite1.getById(id).billboard.show.getValue(JulianDate.now()), ).toEqual(true); expect( - composite2.getById(id).billboard.show.getValue(JulianDate.now()) + composite2.getById(id).billboard.show.getValue(JulianDate.now()), ).toEqual(false); // switch the billboard show for the entity in collection2 to true, this should affect // composite2 but not composite1 entity2.billboard.show = new ConstantProperty(true); expect( - composite2.getById(id).billboard.show.getValue(JulianDate.now()) + composite2.getById(id).billboard.show.getValue(JulianDate.now()), ).toEqual(true); expect(composite1.getById(id).billboard.show).toBe(entity1.billboard.show); expect(composite2.getById(id).billboard.show).toBe(entity2.billboard.show); diff --git a/packages/engine/Specs/DataSources/CompositePositionPropertySpec.js b/packages/engine/Specs/DataSources/CompositePositionPropertySpec.js index 8d9263c0f7ba..703a67111cae 100644 --- a/packages/engine/Specs/DataSources/CompositePositionPropertySpec.js +++ b/packages/engine/Specs/DataSources/CompositePositionPropertySpec.js @@ -115,7 +115,7 @@ describe("DataSources/CompositePositionProperty", function () { stop: new JulianDate(12, 0), data: new ConstantPositionProperty( new Cartesian3(1, 2, 3), - ReferenceFrame.INERTIAL + ReferenceFrame.INERTIAL, ), }); const interval2 = new TimeInterval({ @@ -124,7 +124,7 @@ describe("DataSources/CompositePositionProperty", function () { isStartIncluded: false, data: new ConstantPositionProperty( new Cartesian3(4, 5, 6), - ReferenceFrame.FIXED + ReferenceFrame.FIXED, ), }); @@ -137,7 +137,7 @@ describe("DataSources/CompositePositionProperty", function () { interval1.start, valueInertial, ReferenceFrame.INERTIAL, - ReferenceFrame.FIXED + ReferenceFrame.FIXED, ); const result1 = property.getValue(interval1.start); @@ -153,7 +153,7 @@ describe("DataSources/CompositePositionProperty", function () { stop: new JulianDate(12, 0), data: new ConstantPositionProperty( new Cartesian3(1, 2, 3), - ReferenceFrame.INERTIAL + ReferenceFrame.INERTIAL, ), }); const interval2 = new TimeInterval({ @@ -162,7 +162,7 @@ describe("DataSources/CompositePositionProperty", function () { isStartIncluded: false, data: new ConstantPositionProperty( new Cartesian3(4, 5, 6), - ReferenceFrame.FIXED + ReferenceFrame.FIXED, ), }); @@ -174,27 +174,27 @@ describe("DataSources/CompositePositionProperty", function () { const result1 = property.getValueInReferenceFrame( interval1.start, ReferenceFrame.INERTIAL, - expected + expected, ); expect(result1).toBe(expected); expect(result1).toEqual( interval1.data.getValueInReferenceFrame( interval1.start, - ReferenceFrame.INERTIAL - ) + ReferenceFrame.INERTIAL, + ), ); const result2 = property.getValueInReferenceFrame( interval2.stop, ReferenceFrame.FIXED, - expected + expected, ); expect(result2).toBe(expected); expect(result2).toEqual( interval2.data.getValueInReferenceFrame( interval2.stop, - ReferenceFrame.FIXED - ) + ReferenceFrame.FIXED, + ), ); }); @@ -204,7 +204,7 @@ describe("DataSources/CompositePositionProperty", function () { stop: new JulianDate(12, 0), data: new ConstantPositionProperty( new Cartesian3(1, 2, 3), - ReferenceFrame.INERTIAL + ReferenceFrame.INERTIAL, ), }); const interval2 = new TimeInterval({ @@ -213,7 +213,7 @@ describe("DataSources/CompositePositionProperty", function () { isStartIncluded: false, data: new ConstantPositionProperty( new Cartesian3(4, 5, 6), - ReferenceFrame.FIXED + ReferenceFrame.FIXED, ), }); @@ -223,24 +223,24 @@ describe("DataSources/CompositePositionProperty", function () { const result1 = property.getValueInReferenceFrame( interval1.start, - ReferenceFrame.INERTIAL + ReferenceFrame.INERTIAL, ); expect(result1).toEqual( interval1.data.getValueInReferenceFrame( interval1.start, - ReferenceFrame.INERTIAL - ) + ReferenceFrame.INERTIAL, + ), ); const result2 = property.getValueInReferenceFrame( interval2.stop, - ReferenceFrame.FIXED + ReferenceFrame.FIXED, ); expect(result2).toEqual( interval2.data.getValueInReferenceFrame( interval2.stop, - ReferenceFrame.FIXED - ) + ReferenceFrame.FIXED, + ), ); }); diff --git a/packages/engine/Specs/DataSources/ConstantPositionPropertySpec.js b/packages/engine/Specs/DataSources/ConstantPositionPropertySpec.js index 0d4c734aeef8..4811bf078653 100644 --- a/packages/engine/Specs/DataSources/ConstantPositionPropertySpec.js +++ b/packages/engine/Specs/DataSources/ConstantPositionPropertySpec.js @@ -15,7 +15,7 @@ describe("DataSources/ConstantPositionProperty", function () { property = new ConstantPositionProperty( new Cartesian3(1, 2, 3), - ReferenceFrame.INERTIAL + ReferenceFrame.INERTIAL, ); expect(property.referenceFrame).toBe(ReferenceFrame.INERTIAL); }); @@ -45,11 +45,11 @@ describe("DataSources/ConstantPositionProperty", function () { time, valueInertial, ReferenceFrame.INERTIAL, - ReferenceFrame.FIXED + ReferenceFrame.FIXED, ); const property = new ConstantPositionProperty( valueInertial, - ReferenceFrame.INERTIAL + ReferenceFrame.INERTIAL, ); const result = property.getValue(time); @@ -64,7 +64,7 @@ describe("DataSources/ConstantPositionProperty", function () { it("getValue works with undefined inertial value", function () { const property = new ConstantPositionProperty( undefined, - ReferenceFrame.INERTIAL + ReferenceFrame.INERTIAL, ); expect(property.getValue(time)).toBeUndefined(); }); @@ -75,7 +75,7 @@ describe("DataSources/ConstantPositionProperty", function () { const result = property.getValueInReferenceFrame( time, - ReferenceFrame.INERTIAL + ReferenceFrame.INERTIAL, ); expect(result).not.toBe(value); expect(result).toEqual( @@ -83,8 +83,8 @@ describe("DataSources/ConstantPositionProperty", function () { time, value, ReferenceFrame.FIXED, - ReferenceFrame.INERTIAL - ) + ReferenceFrame.INERTIAL, + ), ); }); @@ -92,14 +92,14 @@ describe("DataSources/ConstantPositionProperty", function () { const value = new Cartesian3(1, 2, 3); const property = new ConstantPositionProperty( value, - ReferenceFrame.INERTIAL + ReferenceFrame.INERTIAL, ); const expected = new Cartesian3(); const result = property.getValueInReferenceFrame( time, ReferenceFrame.FIXED, - expected + expected, ); expect(result).toBe(expected); expect(expected).toEqual( @@ -107,8 +107,8 @@ describe("DataSources/ConstantPositionProperty", function () { time, value, ReferenceFrame.INERTIAL, - ReferenceFrame.FIXED - ) + ReferenceFrame.FIXED, + ), ); }); @@ -131,7 +131,7 @@ describe("DataSources/ConstantPositionProperty", function () { it("setValue raises definitionChanged when referenceFrame changes", function () { const property = new ConstantPositionProperty( new Cartesian3(0, 0, 0), - ReferenceFrame.FIXED + ReferenceFrame.FIXED, ); const listener = jasmine.createSpy("listener"); property.definitionChanged.addEventListener(listener); @@ -142,24 +142,24 @@ describe("DataSources/ConstantPositionProperty", function () { it("equals works", function () { const left = new ConstantPositionProperty( new Cartesian3(1, 2, 3), - ReferenceFrame.INERTIAL + ReferenceFrame.INERTIAL, ); let right = new ConstantPositionProperty( new Cartesian3(1, 2, 3), - ReferenceFrame.INERTIAL + ReferenceFrame.INERTIAL, ); expect(left.equals(right)).toEqual(true); right = new ConstantPositionProperty( new Cartesian3(1, 2, 3), - ReferenceFrame.FIXED + ReferenceFrame.FIXED, ); expect(left.equals(right)).toEqual(false); right = new ConstantPositionProperty( new Cartesian3(1, 2, 4), - ReferenceFrame.INERTIAL + ReferenceFrame.INERTIAL, ); expect(left.equals(right)).toEqual(false); }); diff --git a/packages/engine/Specs/DataSources/CorridorGeometryUpdaterSpec.js b/packages/engine/Specs/DataSources/CorridorGeometryUpdaterSpec.js index 6f455e96ce6e..599a4446c955 100644 --- a/packages/engine/Specs/DataSources/CorridorGeometryUpdaterSpec.js +++ b/packages/engine/Specs/DataSources/CorridorGeometryUpdaterSpec.js @@ -46,7 +46,7 @@ describe( function createBasicCorridor() { const corridor = new CorridorGraphics(); corridor.positions = new ConstantProperty( - Cartesian3.fromDegreesArray([0, 0, 1, 0, 1, 1, 0, 1]) + Cartesian3.fromDegreesArray([0, 0, 1, 0, 1, 1, 0, 1]), ); corridor.width = new ConstantProperty(1); corridor.height = new ConstantProperty(0); @@ -58,7 +58,7 @@ describe( function createDynamicCorridor() { const entity = createBasicCorridor(); entity.corridor.positions = createDynamicProperty( - Cartesian3.fromDegreesArray([0, 0, 1, 0, 1, 1, 0, 1]) + Cartesian3.fromDegreesArray([0, 0, 1, 0, 1, 1, 0, 1]), ); return entity; } @@ -66,7 +66,7 @@ describe( function createBasicCorridorWithoutHeight() { const corridor = new CorridorGraphics(); corridor.positions = new ConstantProperty( - Cartesian3.fromDegreesArray([0, 0, 1, 0, 1, 1, 0, 1]) + Cartesian3.fromDegreesArray([0, 0, 1, 0, 1, 1, 0, 1]), ); corridor.width = new ConstantProperty(1); const entity = new Entity(); @@ -77,7 +77,7 @@ describe( function createDynamicCorridorWithoutHeight() { const entity = createBasicCorridorWithoutHeight(); entity.corridor.positions = createDynamicProperty( - Cartesian3.fromDegreesArray([0, 0, 1, 0, 1, 1, 0, 1]) + Cartesian3.fromDegreesArray([0, 0, 1, 0, 1, 1, 0, 1]), ); return entity; } @@ -148,7 +148,7 @@ describe( start: JulianDate.now(), stop: JulianDate.now(), data: CornerType.ROUNDED, - }) + }), ); updater._onEntityPropertyChanged(entity, "corridor"); @@ -199,7 +199,7 @@ describe( it("dynamic updater sets properties", function () { const corridor = new CorridorGraphics(); corridor.positions = createDynamicProperty( - Cartesian3.fromDegreesArray([0, 0, 1, 0, 1, 1, 0, 1]) + Cartesian3.fromDegreesArray([0, 0, 1, 0, 1, 1, 0, 1]), ); corridor.show = createDynamicProperty(true); corridor.height = createDynamicProperty(3); @@ -216,7 +216,7 @@ describe( const updater = new CorridorGeometryUpdater(entity, scene); const dynamicUpdater = updater.createDynamicUpdater( new PrimitiveCollection(), - new PrimitiveCollection() + new PrimitiveCollection(), ); dynamicUpdater.update(time); @@ -224,7 +224,7 @@ describe( expect(options.positions).toEqual(corridor.positions.getValue()); expect(options.height).toEqual(corridor.height.getValue()); expect(options.extrudedHeight).toEqual( - corridor.extrudedHeight.getValue() + corridor.extrudedHeight.getValue(), ); expect(options.width).toEqual(corridor.width.getValue()); expect(options.granularity).toEqual(corridor.granularity.getValue()); @@ -270,7 +270,7 @@ describe( expect(updater._computeCenter(time)).toEqualEpsilon( Cartesian3.fromDegrees(1.0, 1.0), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -282,14 +282,14 @@ describe( CorridorGeometryUpdater, "corridor", createBasicCorridor, - getScene + getScene, ); createDynamicGeometryUpdaterSpecs( CorridorGeometryUpdater, "corridor", createDynamicCorridor, - getScene + getScene, ); createGeometryUpdaterGroundGeometrySpecs( @@ -297,8 +297,8 @@ describe( "corridor", createBasicCorridorWithoutHeight, createDynamicCorridorWithoutHeight, - getScene + getScene, ); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/DataSources/CorridorGraphicsSpec.js b/packages/engine/Specs/DataSources/CorridorGraphicsSpec.js index 1f311c4d7d0d..a18b4cc398ae 100644 --- a/packages/engine/Specs/DataSources/CorridorGraphicsSpec.js +++ b/packages/engine/Specs/DataSources/CorridorGraphicsSpec.js @@ -65,10 +65,10 @@ describe("DataSources/CorridorGraphics", function () { expect(corridor.cornerType.getValue()).toEqual(options.cornerType); expect(corridor.shadows.getValue()).toEqual(options.shadows); expect(corridor.distanceDisplayCondition.getValue()).toEqual( - options.distanceDisplayCondition + options.distanceDisplayCondition, ); expect(corridor.classificationType.getValue()).toEqual( - options.classificationType + options.classificationType, ); expect(corridor.zIndex.getValue()).toEqual(options.zIndex); }); @@ -89,10 +89,10 @@ describe("DataSources/CorridorGraphics", function () { source.cornerType = new ConstantProperty(); source.shadows = new ConstantProperty(ShadowMode.ENABLED); source.distanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition(10.0, 100.0) + new DistanceDisplayCondition(10.0, 100.0), ); source.classificationType = new ConstantProperty( - ClassificationType.TERRAIN + ClassificationType.TERRAIN, ); source.zIndex = new ConstantProperty(3); @@ -113,7 +113,7 @@ describe("DataSources/CorridorGraphics", function () { expect(target.cornerType).toBe(source.cornerType); expect(target.shadows).toBe(source.shadows); expect(target.distanceDisplayCondition).toBe( - source.distanceDisplayCondition + source.distanceDisplayCondition, ); expect(target.classificationType).toBe(source.classificationType); expect(target.zIndex).toBe(source.zIndex); @@ -211,7 +211,7 @@ describe("DataSources/CorridorGraphics", function () { expect(result.cornerType).toBe(source.cornerType); expect(result.shadows).toBe(source.shadows); expect(result.distanceDisplayCondition).toBe( - source.distanceDisplayCondition + source.distanceDisplayCondition, ); expect(result.classificationType).toBe(source.classificationType); expect(result.zIndex).toBe(source.zIndex); @@ -241,25 +241,25 @@ describe("DataSources/CorridorGraphics", function () { property, "cornerType", CornerType.BEVELED, - CornerType.MITERED + CornerType.MITERED, ); testDefinitionChanged( property, "shadows", ShadowMode.ENABLED, - ShadowMode.DISABLED + ShadowMode.DISABLED, ); testDefinitionChanged( property, "distanceDisplayCondition", new DistanceDisplayCondition(), - new DistanceDisplayCondition(10.0, 100.0) + new DistanceDisplayCondition(10.0, 100.0), ); testDefinitionChanged( property, "classificationType", ClassificationType.TERRAIN, - ClassificationType.BOTH + ClassificationType.BOTH, ); testDefinitionChanged(property, "zIndex", 3, 0); }); diff --git a/packages/engine/Specs/DataSources/CylinderGeometryUpdaterSpec.js b/packages/engine/Specs/DataSources/CylinderGeometryUpdaterSpec.js index ff00f1535d99..b6edf9c6f11c 100644 --- a/packages/engine/Specs/DataSources/CylinderGeometryUpdaterSpec.js +++ b/packages/engine/Specs/DataSources/CylinderGeometryUpdaterSpec.js @@ -44,7 +44,7 @@ describe( const entity = new Entity(); entity.position = new ConstantPositionProperty( - Cartesian3.fromDegrees(0, 0, 0) + Cartesian3.fromDegrees(0, 0, 0), ); entity.cylinder = cylinder; return entity; @@ -142,7 +142,7 @@ describe( const cylinder = new CylinderGraphics(); cylinder.outline = true; cylinder.numberOfVerticalLines = new ConstantProperty( - options.numberOfVerticalLines + options.numberOfVerticalLines, ); cylinder.length = new ConstantProperty(options.length); cylinder.topRadius = new ConstantProperty(options.topRadius); @@ -166,7 +166,7 @@ describe( expect(geometry._bottomRadius).toEqual(options.bottomRadius); expect(geometry._length).toEqual(options.length); expect(geometry._numberOfVerticalLines).toEqual( - options.numberOfVerticalLines + options.numberOfVerticalLines, ); expect(geometry._offsetAttribute).toBeUndefined(); }); @@ -196,29 +196,29 @@ describe( expect(instance.geometry._offsetAttribute).toBeUndefined(); graphics.heightReference = new ConstantProperty( - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); updater._onEntityPropertyChanged(entity, "cylinder"); instance = updater.createFillGeometryInstance(time); expect(instance.geometry._offsetAttribute).toEqual( - GeometryOffsetAttribute.ALL + GeometryOffsetAttribute.ALL, ); instance = updater.createOutlineGeometryInstance(time); expect(instance.geometry._offsetAttribute).toEqual( - GeometryOffsetAttribute.ALL + GeometryOffsetAttribute.ALL, ); graphics.heightReference = new ConstantProperty( - HeightReference.RELATIVE_TO_GROUND + HeightReference.RELATIVE_TO_GROUND, ); updater._onEntityPropertyChanged(entity, "cylinder"); instance = updater.createFillGeometryInstance(time); expect(instance.geometry._offsetAttribute).toEqual( - GeometryOffsetAttribute.ALL + GeometryOffsetAttribute.ALL, ); instance = updater.createOutlineGeometryInstance(time); expect(instance.geometry._offsetAttribute).toEqual( - GeometryOffsetAttribute.ALL + GeometryOffsetAttribute.ALL, ); }); @@ -236,7 +236,7 @@ describe( const updater = new CylinderGeometryUpdater(entity, scene); const dynamicUpdater = updater.createDynamicUpdater( new PrimitiveCollection(), - new PrimitiveCollection() + new PrimitiveCollection(), ); dynamicUpdater.update(JulianDate.now()); const options = dynamicUpdater._options; @@ -288,7 +288,7 @@ describe( const updater = new CylinderGeometryUpdater(entity, scene); expect(updater._computeCenter(time)).toEqual( - entity.position.getValue(time) + entity.position.getValue(time), ); }); @@ -300,15 +300,15 @@ describe( CylinderGeometryUpdater, "cylinder", createBasicCylinder, - getScene + getScene, ); createDynamicGeometryUpdaterSpecs( CylinderGeometryUpdater, "cylinder", createDynamicCylinder, - getScene + getScene, ); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/DataSources/CylinderGraphicsSpec.js b/packages/engine/Specs/DataSources/CylinderGraphicsSpec.js index acc20e7caa8e..75cc56d36cc8 100644 --- a/packages/engine/Specs/DataSources/CylinderGraphicsSpec.js +++ b/packages/engine/Specs/DataSources/CylinderGraphicsSpec.js @@ -49,7 +49,7 @@ describe("DataSources/CylinderGraphics", function () { expect(cylinder.topRadius.getValue()).toEqual(options.topRadius); expect(cylinder.bottomRadius.getValue()).toEqual(options.bottomRadius); expect(cylinder.numberOfVerticalLines.getValue()).toEqual( - options.numberOfVerticalLines + options.numberOfVerticalLines, ); expect(cylinder.slices.getValue()).toEqual(options.slices); expect(cylinder.fill.getValue()).toEqual(options.fill); @@ -58,7 +58,7 @@ describe("DataSources/CylinderGraphics", function () { expect(cylinder.outlineWidth.getValue()).toEqual(options.outlineWidth); expect(cylinder.shadows.getValue()).toEqual(options.shadows); expect(cylinder.distanceDisplayCondition.getValue()).toEqual( - options.distanceDisplayCondition + options.distanceDisplayCondition, ); }); @@ -92,7 +92,7 @@ describe("DataSources/CylinderGraphics", function () { expect(target.outlineWidth).toBe(source.outlineWidth); expect(target.shadows).toBe(source.shadows); expect(target.distanceDisplayCondition).toBe( - source.distanceDisplayCondition + source.distanceDisplayCondition, ); }); @@ -170,7 +170,7 @@ describe("DataSources/CylinderGraphics", function () { expect(result.outlineWidth).toBe(source.outlineWidth); expect(result.shadows).toBe(source.shadows); expect(result.distanceDisplayCondition).toBe( - source.distanceDisplayCondition + source.distanceDisplayCondition, ); }); @@ -197,13 +197,13 @@ describe("DataSources/CylinderGraphics", function () { property, "shadows", ShadowMode.ENABLED, - ShadowMode.DISABLED + ShadowMode.DISABLED, ); testDefinitionChanged( property, "distanceDisplayCondition", new DistanceDisplayCondition(), - new DistanceDisplayCondition(10.0, 100.0) + new DistanceDisplayCondition(10.0, 100.0), ); }); }); diff --git a/packages/engine/Specs/DataSources/CzmlDataSourceSpec.js b/packages/engine/Specs/DataSources/CzmlDataSourceSpec.js index 492d54fd31bc..735d0e24eb30 100644 --- a/packages/engine/Specs/DataSources/CzmlDataSourceSpec.js +++ b/packages/engine/Specs/DataSources/CzmlDataSourceSpec.js @@ -152,14 +152,14 @@ describe("DataSources/CzmlDataSource", function () { function cartesianFromArrayDegrees(array, startIndex, ellipsoid) { return Cartesian3.fromDegrees.apply( null, - [].concat(arraySubset(array, startIndex, 3), ellipsoid) + [].concat(arraySubset(array, startIndex, 3), ellipsoid), ); } function cartesianFromArrayRadians(array, startIndex, ellipsoid) { return Cartesian3.fromRadians.apply( null, - [].concat(arraySubset(array, startIndex, 3), ellipsoid) + [].concat(arraySubset(array, startIndex, 3), ellipsoid), ); } @@ -222,11 +222,11 @@ describe("DataSources/CzmlDataSource", function () { }); it("clock returns undefined for static CZML", function () { - return CzmlDataSource.load(makeDocument(staticCzml)).then(function ( - dataSource - ) { - expect(dataSource.clock).toBeUndefined(); - }); + return CzmlDataSource.load(makeDocument(staticCzml)).then( + function (dataSource) { + expect(dataSource.clock).toBeUndefined(); + }, + ); }); it("clock returns CZML defined clock", function () { @@ -260,20 +260,20 @@ describe("DataSources/CzmlDataSource", function () { iso8601: dynamicCzml.availability, }); - return CzmlDataSource.load(makeDocument(dynamicCzml)).then(function ( - dataSource - ) { - const clock = dataSource.clock; - expect(clock).toBeDefined(); - expect(clock.startTime).toEqual(interval.start); - expect(clock.stopTime).toEqual(interval.stop); - expect(clock.currentTime).toEqual(interval.start); - expect(clock.clockRange).toEqual(ClockRange.LOOP_STOP); - expect(clock.clockStep).toEqual(ClockStep.SYSTEM_CLOCK_MULTIPLIER); - expect(clock.multiplier).toEqual( - JulianDate.secondsDifference(interval.stop, interval.start) / 120.0 - ); - }); + return CzmlDataSource.load(makeDocument(dynamicCzml)).then( + function (dataSource) { + const clock = dataSource.clock; + expect(clock).toBeDefined(); + expect(clock.startTime).toEqual(interval.start); + expect(clock.stopTime).toEqual(interval.stop); + expect(clock.currentTime).toEqual(interval.start); + expect(clock.clockRange).toEqual(ClockRange.LOOP_STOP); + expect(clock.clockStep).toEqual(ClockStep.SYSTEM_CLOCK_MULTIPLIER); + expect(clock.multiplier).toEqual( + JulianDate.secondsDifference(interval.stop, interval.start) / 120.0, + ); + }, + ); }); it("process loads expected data", function () { @@ -289,7 +289,7 @@ describe("DataSources/CzmlDataSource", function () { .process( new Resource({ url: simpleUrl, - }) + }), ) .then(function (dataSource) { expect(dataSource.entities.values.length).toEqual(10); @@ -529,66 +529,66 @@ describe("DataSources/CzmlDataSource", function () { expect(entity.billboard).toBeDefined(); expect(entity.billboard.image.getValue(time).url).toEqual( - sourceUri + packet.billboard.image + sourceUri + packet.billboard.image, ); expect(entity.billboard.rotation.getValue(time)).toEqual( - packet.billboard.rotation + packet.billboard.rotation, ); expect(entity.billboard.scale.getValue(time)).toEqual( - packet.billboard.scale + packet.billboard.scale, ); expect(entity.billboard.heightReference.getValue(time)).toEqual( - HeightReference[packet.billboard.heightReference] + HeightReference[packet.billboard.heightReference], ); expect(entity.billboard.horizontalOrigin.getValue(time)).toEqual( - HorizontalOrigin[packet.billboard.horizontalOrigin] + HorizontalOrigin[packet.billboard.horizontalOrigin], ); expect(entity.billboard.verticalOrigin.getValue(time)).toEqual( - VerticalOrigin[packet.billboard.verticalOrigin] + VerticalOrigin[packet.billboard.verticalOrigin], ); expect(entity.billboard.color.getValue(time)).toEqual( - Color.unpack(packet.billboard.color.rgbaf) + Color.unpack(packet.billboard.color.rgbaf), ); expect(entity.billboard.eyeOffset.getValue(time)).toEqual( - Cartesian3.unpack(packet.billboard.eyeOffset.cartesian) + Cartesian3.unpack(packet.billboard.eyeOffset.cartesian), ); expect(entity.billboard.pixelOffset.getValue(time)).toEqual( - Cartesian2.unpack(packet.billboard.pixelOffset.cartesian2) + Cartesian2.unpack(packet.billboard.pixelOffset.cartesian2), ); expect(entity.billboard.alignedAxis.getValue(time)).toEqual( - Cartesian3.unpack(packet.billboard.alignedAxis.unitCartesian) + Cartesian3.unpack(packet.billboard.alignedAxis.unitCartesian), ); expect(entity.billboard.show.getValue(time)).toEqual( - packet.billboard.show + packet.billboard.show, ); expect(entity.billboard.sizeInMeters.getValue(time)).toEqual( - packet.billboard.sizeInMeters + packet.billboard.sizeInMeters, ); expect(entity.billboard.width.getValue(time)).toEqual( - packet.billboard.width + packet.billboard.width, ); expect(entity.billboard.height.getValue(time)).toEqual( - packet.billboard.height + packet.billboard.height, ); expect(entity.billboard.scaleByDistance.getValue(time)).toEqual( - NearFarScalar.unpack(packet.billboard.scaleByDistance.nearFarScalar) + NearFarScalar.unpack(packet.billboard.scaleByDistance.nearFarScalar), ); expect(entity.billboard.translucencyByDistance.getValue(time)).toEqual( NearFarScalar.unpack( - packet.billboard.translucencyByDistance.nearFarScalar - ) + packet.billboard.translucencyByDistance.nearFarScalar, + ), ); expect( - entity.billboard.pixelOffsetScaleByDistance.getValue(time) + entity.billboard.pixelOffsetScaleByDistance.getValue(time), ).toEqual( NearFarScalar.unpack( - packet.billboard.pixelOffsetScaleByDistance.nearFarScalar - ) + packet.billboard.pixelOffsetScaleByDistance.nearFarScalar, + ), ); expect(entity.billboard.imageSubRegion.getValue(time)).toEqual( BoundingRectangle.unpack( - packet.billboard.imageSubRegion.boundingRectangle - ) + packet.billboard.imageSubRegion.boundingRectangle, + ), ); }); }); @@ -607,16 +607,16 @@ describe("DataSources/CzmlDataSource", function () { const time = Iso8601.MINIMUM_VALUE; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.billboard).toBeDefined(); - expect(entity.billboard.alignedAxis.getValue(time)).toEqual( - Cartesian3.unpack(packet.billboard.alignedAxis.cartesian) - ); - }); + expect(entity.billboard).toBeDefined(); + expect(entity.billboard.alignedAxis.getValue(time)).toEqual( + Cartesian3.unpack(packet.billboard.alignedAxis.cartesian), + ); + }, + ); }); it("can handle aligned axis expressed as a velocity reference", function () { @@ -632,25 +632,25 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; - const property = entity.billboard.alignedAxis; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; + const property = entity.billboard.alignedAxis; - const expectedVelocity = new Cartesian3(1.0, 2.0, 3.0); - const expectedVelocityDirection = Cartesian3.normalize( - expectedVelocity, - new Cartesian3() - ); + const expectedVelocity = new Cartesian3(1.0, 2.0, 3.0); + const expectedVelocityDirection = Cartesian3.normalize( + expectedVelocity, + new Cartesian3(), + ); - expect( - property.getValue(JulianDate.fromIso8601("2016-06-17T12:00:00Z")) - ).toEqualEpsilon(expectedVelocityDirection, CesiumMath.EPSILON15); - expect( - property.getValue(JulianDate.fromIso8601("2016-06-17T12:00:30Z")) - ).toEqualEpsilon(expectedVelocityDirection, CesiumMath.EPSILON15); - }); + expect( + property.getValue(JulianDate.fromIso8601("2016-06-17T12:00:00Z")), + ).toEqualEpsilon(expectedVelocityDirection, CesiumMath.EPSILON15); + expect( + property.getValue(JulianDate.fromIso8601("2016-06-17T12:00:30Z")), + ).toEqualEpsilon(expectedVelocityDirection, CesiumMath.EPSILON15); + }, + ); }); it("can handle aligned axis expressed as a velocity reference within an interval", function () { @@ -673,33 +673,33 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; - const property = entity.billboard.alignedAxis; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; + const property = entity.billboard.alignedAxis; - const expected = new Cartesian3(0, 1, 0); - expect( - property.getValue(JulianDate.fromIso8601("2016-06-17T12:00:00Z")) - ).toEqual(expected); - expect( - property.getValue(JulianDate.fromIso8601("2016-06-17T12:00:29Z")) - ).toEqual(expected); + const expected = new Cartesian3(0, 1, 0); + expect( + property.getValue(JulianDate.fromIso8601("2016-06-17T12:00:00Z")), + ).toEqual(expected); + expect( + property.getValue(JulianDate.fromIso8601("2016-06-17T12:00:29Z")), + ).toEqual(expected); - const expectedVelocity = new Cartesian3(1.0, 2.0, 3.0); - const expectedVelocityDirection = Cartesian3.normalize( - expectedVelocity, - new Cartesian3() - ); + const expectedVelocity = new Cartesian3(1.0, 2.0, 3.0); + const expectedVelocityDirection = Cartesian3.normalize( + expectedVelocity, + new Cartesian3(), + ); - expect( - property.getValue(JulianDate.fromIso8601("2016-06-17T12:00:30Z")) - ).toEqualEpsilon(expectedVelocityDirection, CesiumMath.EPSILON15); - expect( - property.getValue(JulianDate.fromIso8601("2016-06-17T12:01:00Z")) - ).toEqualEpsilon(expectedVelocityDirection, CesiumMath.EPSILON12); - }); + expect( + property.getValue(JulianDate.fromIso8601("2016-06-17T12:00:30Z")), + ).toEqualEpsilon(expectedVelocityDirection, CesiumMath.EPSILON15); + expect( + property.getValue(JulianDate.fromIso8601("2016-06-17T12:01:00Z")), + ).toEqualEpsilon(expectedVelocityDirection, CesiumMath.EPSILON12); + }, + ); }); it("can handle image intervals both of type uri and image", function () { @@ -726,11 +726,11 @@ describe("DataSources/CzmlDataSource", function () { const imageProperty = entity.billboard.image; expect( imageProperty.getValue(JulianDate.fromIso8601("2013-01-01T00:00:00Z")) - .url + .url, ).toEqual(`${source}image.png`); expect( imageProperty.getValue(JulianDate.fromIso8601("2013-01-01T01:00:00Z")) - .url + .url, ).toEqual(`${source}image2.png`); }); }); @@ -761,53 +761,55 @@ describe("DataSources/CzmlDataSource", function () { }).start; const invalidTime = JulianDate.addSeconds(validTime, -1, new JulianDate()); - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.billboard).toBeDefined(); - expect(entity.billboard.image.getValue(validTime).url).toEqual( - packet.billboard.image - ); - expect(entity.billboard.scale.getValue(validTime)).toEqual( - packet.billboard.scale - ); - expect(entity.billboard.horizontalOrigin.getValue(validTime)).toEqual( - HorizontalOrigin[packet.billboard.horizontalOrigin] - ); - expect(entity.billboard.verticalOrigin.getValue(validTime)).toEqual( - VerticalOrigin[packet.billboard.verticalOrigin] - ); - expect(entity.billboard.color.getValue(validTime)).toEqual( - Color.unpack(packet.billboard.color.rgbaf) - ); - expect(entity.billboard.eyeOffset.getValue(validTime)).toEqual( - Cartesian3.unpack(packet.billboard.eyeOffset.cartesian) - ); - expect(entity.billboard.pixelOffset.getValue(validTime)).toEqual( - Cartesian2.unpack(packet.billboard.pixelOffset.cartesian2) - ); - expect(entity.billboard.show.getValue(validTime)).toEqual( - packet.billboard.show - ); + expect(entity.billboard).toBeDefined(); + expect(entity.billboard.image.getValue(validTime).url).toEqual( + packet.billboard.image, + ); + expect(entity.billboard.scale.getValue(validTime)).toEqual( + packet.billboard.scale, + ); + expect(entity.billboard.horizontalOrigin.getValue(validTime)).toEqual( + HorizontalOrigin[packet.billboard.horizontalOrigin], + ); + expect(entity.billboard.verticalOrigin.getValue(validTime)).toEqual( + VerticalOrigin[packet.billboard.verticalOrigin], + ); + expect(entity.billboard.color.getValue(validTime)).toEqual( + Color.unpack(packet.billboard.color.rgbaf), + ); + expect(entity.billboard.eyeOffset.getValue(validTime)).toEqual( + Cartesian3.unpack(packet.billboard.eyeOffset.cartesian), + ); + expect(entity.billboard.pixelOffset.getValue(validTime)).toEqual( + Cartesian2.unpack(packet.billboard.pixelOffset.cartesian2), + ); + expect(entity.billboard.show.getValue(validTime)).toEqual( + packet.billboard.show, + ); - expect(entity.billboard).toBeDefined(); - expect(entity.billboard.image.getValue(invalidTime)).toBeUndefined(); - expect(entity.billboard.scale.getValue(invalidTime)).toBeUndefined(); - expect( - entity.billboard.horizontalOrigin.getValue(invalidTime) - ).toBeUndefined(); - expect( - entity.billboard.verticalOrigin.getValue(invalidTime) - ).toBeUndefined(); - expect(entity.billboard.color.getValue(invalidTime)).toBeUndefined(); - expect(entity.billboard.eyeOffset.getValue(invalidTime)).toBeUndefined(); - expect( - entity.billboard.pixelOffset.getValue(invalidTime) - ).toBeUndefined(); - expect(entity.billboard.show.getValue(invalidTime)).toBeUndefined(); - }); + expect(entity.billboard).toBeDefined(); + expect(entity.billboard.image.getValue(invalidTime)).toBeUndefined(); + expect(entity.billboard.scale.getValue(invalidTime)).toBeUndefined(); + expect( + entity.billboard.horizontalOrigin.getValue(invalidTime), + ).toBeUndefined(); + expect( + entity.billboard.verticalOrigin.getValue(invalidTime), + ).toBeUndefined(); + expect(entity.billboard.color.getValue(invalidTime)).toBeUndefined(); + expect( + entity.billboard.eyeOffset.getValue(invalidTime), + ).toBeUndefined(); + expect( + entity.billboard.pixelOffset.getValue(invalidTime), + ).toBeUndefined(); + expect(entity.billboard.show.getValue(invalidTime)).toBeUndefined(); + }, + ); }); it("can load interval data with further constrained intervals in subproperties", function () { @@ -848,41 +850,45 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; - - expect(entity.billboard).toBeDefined(); - expect(entity.ellipsoid).toBeDefined(); - - // before billboard interval: not defined, even though the scaleByDistance includes the time in its intervals - let time = JulianDate.fromIso8601("2009-01-01T00:00:00Z"); - expect(entity.billboard.scaleByDistance.getValue(time)).toBeUndefined(); - expect(entity.ellipsoid.material.getValue(time)).toBeUndefined(); + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - // within both billboard and scaleByDistance intervals - time = JulianDate.fromIso8601("2010-01-01T00:05:00Z"); - expect(entity.billboard.scaleByDistance.getValue(time)).toEqual( - NearFarScalar.unpack(packet.billboard.scaleByDistance[0].nearFarScalar) - ); - expect(entity.ellipsoid.material.getValue(time).color).toEqual( - Color.unpack(packet.ellipsoid.material[0].solidColor.color.rgbaf) - ); + expect(entity.billboard).toBeDefined(); + expect(entity.ellipsoid).toBeDefined(); + + // before billboard interval: not defined, even though the scaleByDistance includes the time in its intervals + let time = JulianDate.fromIso8601("2009-01-01T00:00:00Z"); + expect(entity.billboard.scaleByDistance.getValue(time)).toBeUndefined(); + expect(entity.ellipsoid.material.getValue(time)).toBeUndefined(); + + // within both billboard and scaleByDistance intervals + time = JulianDate.fromIso8601("2010-01-01T00:05:00Z"); + expect(entity.billboard.scaleByDistance.getValue(time)).toEqual( + NearFarScalar.unpack( + packet.billboard.scaleByDistance[0].nearFarScalar, + ), + ); + expect(entity.ellipsoid.material.getValue(time).color).toEqual( + Color.unpack(packet.ellipsoid.material[0].solidColor.color.rgbaf), + ); - time = JulianDate.fromIso8601("2010-01-01T00:35:00Z"); - expect(entity.billboard.scaleByDistance.getValue(time)).toEqual( - NearFarScalar.unpack(packet.billboard.scaleByDistance[1].nearFarScalar) - ); - expect(entity.ellipsoid.material.getValue(time).color).toEqual( - Color.unpack(packet.ellipsoid.material[1].solidColor.color.rgbaf) - ); + time = JulianDate.fromIso8601("2010-01-01T00:35:00Z"); + expect(entity.billboard.scaleByDistance.getValue(time)).toEqual( + NearFarScalar.unpack( + packet.billboard.scaleByDistance[1].nearFarScalar, + ), + ); + expect(entity.ellipsoid.material.getValue(time).color).toEqual( + Color.unpack(packet.ellipsoid.material[1].solidColor.color.rgbaf), + ); - // after billboard interval: not defined, even though the scaleByDistance includes the time in its intervals - time = JulianDate.fromIso8601("2010-01-03T00:00:00Z"); - expect(entity.billboard.scaleByDistance.getValue(time)).toBeUndefined(); - expect(entity.ellipsoid.material.getValue(time)).toBeUndefined(); - }); + // after billboard interval: not defined, even though the scaleByDistance includes the time in its intervals + time = JulianDate.fromIso8601("2010-01-03T00:00:00Z"); + expect(entity.billboard.scaleByDistance.getValue(time)).toBeUndefined(); + expect(entity.ellipsoid.material.getValue(time)).toBeUndefined(); + }, + ); }); it("can constrain a constant property by sending an interval in a subsequent packet", function () { @@ -915,18 +921,18 @@ describe("DataSources/CzmlDataSource", function () { expect(entity.billboard.scale).toBeInstanceOf(CompositeProperty); expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2009-01-01T00:00:00Z") - ) + JulianDate.fromIso8601("2009-01-01T00:00:00Z"), + ), ).toEqual(constantPacket.billboard.scale); expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2010-01-01T00:00:00Z") - ) + JulianDate.fromIso8601("2010-01-01T00:00:00Z"), + ), ).toEqual(intervalPacket.billboard.scale.number); expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2011-01-01T00:00:00Z") - ) + JulianDate.fromIso8601("2011-01-01T00:00:00Z"), + ), ).toEqual(constantPacket.billboard.scale); }); }); @@ -958,24 +964,30 @@ describe("DataSources/CzmlDataSource", function () { expect(entity.position).toBeInstanceOf(CompositePositionProperty); expect( entity.position.getValue( - JulianDate.fromIso8601("2009-01-01T00:00:00Z") - ) + JulianDate.fromIso8601("2009-01-01T00:00:00Z"), + ), ).toEqual( - cartesianFromArrayDegrees(constantPacket.position.cartographicDegrees) + cartesianFromArrayDegrees( + constantPacket.position.cartographicDegrees, + ), ); expect( entity.position.getValue( - JulianDate.fromIso8601("2010-01-01T00:00:00Z") - ) + JulianDate.fromIso8601("2010-01-01T00:00:00Z"), + ), ).toEqual( - cartesianFromArrayDegrees(intervalPacket.position.cartographicDegrees) + cartesianFromArrayDegrees( + intervalPacket.position.cartographicDegrees, + ), ); expect( entity.position.getValue( - JulianDate.fromIso8601("2011-01-01T00:00:00Z") - ) + JulianDate.fromIso8601("2011-01-01T00:00:00Z"), + ), ).toEqual( - cartesianFromArrayDegrees(constantPacket.position.cartographicDegrees) + cartesianFromArrayDegrees( + constantPacket.position.cartographicDegrees, + ), ); }); }); @@ -1020,35 +1032,35 @@ describe("DataSources/CzmlDataSource", function () { expect(entity.billboard.scale).toBeInstanceOf(CompositeProperty); expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2010-01-01T00:00:00Z") - ) + JulianDate.fromIso8601("2010-01-01T00:00:00Z"), + ), ).toEqual(1.0); expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2010-01-01T00:20:00Z") - ) + JulianDate.fromIso8601("2010-01-01T00:20:00Z"), + ), ).toEqual(10.0); expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2010-01-01T00:21:00Z") - ) + JulianDate.fromIso8601("2010-01-01T00:21:00Z"), + ), ).toEqual(15.0); expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2010-01-01T00:22:00Z") - ) + JulianDate.fromIso8601("2010-01-01T00:22:00Z"), + ), ).toEqual(20.0); expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2010-01-01T01:00:00Z") - ) + JulianDate.fromIso8601("2010-01-01T01:00:00Z"), + ), ).toEqual(2.0); expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2010-01-01T02:00:00Z") - ) + JulianDate.fromIso8601("2010-01-01T02:00:00Z"), + ), ).toEqual(3.0); }); }); @@ -1100,33 +1112,33 @@ describe("DataSources/CzmlDataSource", function () { expect(entity.position).toBeInstanceOf(CompositePositionProperty); expect( entity.position.getValue( - JulianDate.fromIso8601("2010-01-01T00:00:00Z") - ) + JulianDate.fromIso8601("2010-01-01T00:00:00Z"), + ), ).toEqual(Cartesian3.fromDegrees(34, 117, 10000)); expect( entity.position.getValue( - JulianDate.fromIso8601("2010-01-01T00:20:00Z") - ) + JulianDate.fromIso8601("2010-01-01T00:20:00Z"), + ), ).toEqual(Cartesian3.fromDegrees(40, 100, 10000)); expect( entity.position.getValue( - JulianDate.fromIso8601("2010-01-01T00:21:00Z") - ) + JulianDate.fromIso8601("2010-01-01T00:21:00Z"), + ), ).toEqualEpsilon( Cartesian3.fromDegrees(40, 100, 15000), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect( entity.position.getValue( - JulianDate.fromIso8601("2010-01-01T00:22:00Z") - ) + JulianDate.fromIso8601("2010-01-01T00:22:00Z"), + ), ).toEqual(Cartesian3.fromDegrees(40, 100, 20000)); expect( entity.position.getValue( - JulianDate.fromIso8601("2010-01-01T01:00:00Z") - ) + JulianDate.fromIso8601("2010-01-01T01:00:00Z"), + ), ).toEqual(Cartesian3.fromDegrees(34, 117, 20000)); }); }); @@ -1143,25 +1155,25 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.billboard).toBeDefined(); - const date1 = epoch; - const date2 = JulianDate.addSeconds(epoch, 0.5, new JulianDate()); - const date3 = JulianDate.addSeconds(epoch, 1.0, new JulianDate()); - expect(entity.billboard.pixelOffset.getValue(date1)).toEqual( - new Cartesian2(1.0, 2.0) - ); - expect(entity.billboard.pixelOffset.getValue(date2)).toEqual( - new Cartesian2(2.0, 3.0) - ); - expect(entity.billboard.pixelOffset.getValue(date3)).toEqual( - new Cartesian2(3.0, 4.0) - ); - }); + expect(entity.billboard).toBeDefined(); + const date1 = epoch; + const date2 = JulianDate.addSeconds(epoch, 0.5, new JulianDate()); + const date3 = JulianDate.addSeconds(epoch, 1.0, new JulianDate()); + expect(entity.billboard.pixelOffset.getValue(date1)).toEqual( + new Cartesian2(1.0, 2.0), + ); + expect(entity.billboard.pixelOffset.getValue(date2)).toEqual( + new Cartesian2(2.0, 3.0), + ); + expect(entity.billboard.pixelOffset.getValue(date3)).toEqual( + new Cartesian2(3.0, 4.0), + ); + }, + ); }); it("can handle interval billboard scaleByDistance", function () { @@ -1180,27 +1192,31 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.billboard).toBeDefined(); - expect( - entity.billboard.scaleByDistance.getValue( - JulianDate.fromIso8601("2013-01-01T00:00:00Z") - ) - ).toEqual( - NearFarScalar.unpack(packet.billboard.scaleByDistance[0].nearFarScalar) - ); - expect( - entity.billboard.scaleByDistance.getValue( - JulianDate.fromIso8601("2013-01-01T01:00:00Z") - ) - ).toEqual( - NearFarScalar.unpack(packet.billboard.scaleByDistance[1].nearFarScalar) - ); - }); + expect(entity.billboard).toBeDefined(); + expect( + entity.billboard.scaleByDistance.getValue( + JulianDate.fromIso8601("2013-01-01T00:00:00Z"), + ), + ).toEqual( + NearFarScalar.unpack( + packet.billboard.scaleByDistance[0].nearFarScalar, + ), + ); + expect( + entity.billboard.scaleByDistance.getValue( + JulianDate.fromIso8601("2013-01-01T01:00:00Z"), + ), + ).toEqual( + NearFarScalar.unpack( + packet.billboard.scaleByDistance[1].nearFarScalar, + ), + ); + }, + ); }); it("can handle sampled billboard scaleByDistance", function () { @@ -1215,25 +1231,25 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.billboard).toBeDefined(); - const date1 = epoch; - const date2 = JulianDate.addSeconds(epoch, 1.0, new JulianDate()); - const date3 = JulianDate.addSeconds(epoch, 2.0, new JulianDate()); - expect(entity.billboard.scaleByDistance.getValue(date1)).toEqual( - new NearFarScalar(1.0, 2.0, 10000.0, 3.0) - ); - expect(entity.billboard.scaleByDistance.getValue(date2)).toEqual( - new NearFarScalar(1.5, 2.5, 15000.0, 3.5) - ); - expect(entity.billboard.scaleByDistance.getValue(date3)).toEqual( - new NearFarScalar(2.0, 3.0, 20000.0, 4.0) - ); - }); + expect(entity.billboard).toBeDefined(); + const date1 = epoch; + const date2 = JulianDate.addSeconds(epoch, 1.0, new JulianDate()); + const date3 = JulianDate.addSeconds(epoch, 2.0, new JulianDate()); + expect(entity.billboard.scaleByDistance.getValue(date1)).toEqual( + new NearFarScalar(1.0, 2.0, 10000.0, 3.0), + ); + expect(entity.billboard.scaleByDistance.getValue(date2)).toEqual( + new NearFarScalar(1.5, 2.5, 15000.0, 3.5), + ); + expect(entity.billboard.scaleByDistance.getValue(date3)).toEqual( + new NearFarScalar(2.0, 3.0, 20000.0, 4.0), + ); + }, + ); }); it("can handle sampled billboard color rgba.", function () { @@ -1248,25 +1264,25 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.billboard).toBeDefined(); - const date1 = epoch; - const date2 = JulianDate.addSeconds(epoch, 1.0, new JulianDate()); - const date3 = JulianDate.addSeconds(epoch, 2.0, new JulianDate()); - expect(entity.billboard.color.getValue(date1)).toEqual( - Color.fromBytes(200, 202, 204, 206) - ); - expect(entity.billboard.color.getValue(date2)).toEqual( - Color.fromBytes(100, 101, 102, 103) - ); - expect(entity.billboard.color.getValue(date3)).toEqual( - Color.fromBytes(0, 0, 0, 0) - ); - }); + expect(entity.billboard).toBeDefined(); + const date1 = epoch; + const date2 = JulianDate.addSeconds(epoch, 1.0, new JulianDate()); + const date3 = JulianDate.addSeconds(epoch, 2.0, new JulianDate()); + expect(entity.billboard.color.getValue(date1)).toEqual( + Color.fromBytes(200, 202, 204, 206), + ); + expect(entity.billboard.color.getValue(date2)).toEqual( + Color.fromBytes(100, 101, 102, 103), + ); + expect(entity.billboard.color.getValue(date3)).toEqual( + Color.fromBytes(0, 0, 0, 0), + ); + }, + ); }); it("can handle clock data.", function () { @@ -1292,7 +1308,7 @@ describe("DataSources/CzmlDataSource", function () { expect(clock.startTime).toEqual(interval.start); expect(clock.stopTime).toEqual(interval.stop); expect(clock.currentTime).toEqual( - JulianDate.fromIso8601(documentPacket.clock.currentTime) + JulianDate.fromIso8601(documentPacket.clock.currentTime), ); expect(clock.clockRange).toEqual(ClockRange[documentPacket.clock.range]); expect(clock.clockStep).toEqual(ClockStep[documentPacket.clock.step]); @@ -1307,15 +1323,15 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; - const resultCartesian = entity.position.getValue(JulianDate.now()); - expect(resultCartesian).toEqual( - cartesianFromArrayDegrees(packet.position.cartographicDegrees) - ); - }); + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; + const resultCartesian = entity.position.getValue(JulianDate.now()); + expect(resultCartesian).toEqual( + cartesianFromArrayDegrees(packet.position.cartographicDegrees), + ); + }, + ); }); it("can handle position specified as constant cartographicsDegrees with non-standard ellipsoid", async function () { @@ -1333,8 +1349,8 @@ describe("DataSources/CzmlDataSource", function () { cartesianFromArrayDegrees( packet.position.cartographicDegrees, 0, - Ellipsoid.default - ) + Ellipsoid.default, + ), ); Ellipsoid.default = Ellipsoid.WGS84; }); @@ -1353,14 +1369,14 @@ describe("DataSources/CzmlDataSource", function () { const entity = dataSource.entities.values[0]; let resultCartesian = entity.position.getValue(epoch); expect(resultCartesian).toEqual( - cartesianFromArrayDegrees(packet.position.cartographicDegrees, 1) + cartesianFromArrayDegrees(packet.position.cartographicDegrees, 1), ); resultCartesian = entity.position.getValue( - JulianDate.addSeconds(epoch, 1, new JulianDate()) + JulianDate.addSeconds(epoch, 1, new JulianDate()), ); expect(resultCartesian).toEqual( - cartesianFromArrayDegrees(packet.position.cartographicDegrees, 5) + cartesianFromArrayDegrees(packet.position.cartographicDegrees, 5), ); }); @@ -1387,12 +1403,12 @@ describe("DataSources/CzmlDataSource", function () { const entity = dataSource.entities.values[0]; let resultCartesian = entity.position.getValue(firstDate); expect(resultCartesian).toEqual( - cartesianFromArrayDegrees(packet.position.cartographicDegrees, 1) + cartesianFromArrayDegrees(packet.position.cartographicDegrees, 1), ); resultCartesian = entity.position.getValue(lastDate); expect(resultCartesian).toEqual( - cartesianFromArrayDegrees(packet.position.cartographicDegrees, 5) + cartesianFromArrayDegrees(packet.position.cartographicDegrees, 5), ); }); @@ -1407,7 +1423,7 @@ describe("DataSources/CzmlDataSource", function () { const entity = dataSource.entities.values[0]; const resultCartesian = entity.position.getValue(JulianDate.now()); expect(resultCartesian).toEqual( - cartesianFromArrayRadians(packet.position.cartographicRadians) + cartesianFromArrayRadians(packet.position.cartographicRadians), ); }); @@ -1426,8 +1442,8 @@ describe("DataSources/CzmlDataSource", function () { cartesianFromArrayRadians( packet.position.cartographicRadians, 0, - Ellipsoid.default - ) + Ellipsoid.default, + ), ); Ellipsoid.default = Ellipsoid.WGS84; }); @@ -1442,22 +1458,22 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; - let resultCartesian = entity.position.getValue(epoch); - expect(resultCartesian).toEqual( - cartesianFromArrayRadians(packet.position.cartographicRadians, 1) - ); + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; + let resultCartesian = entity.position.getValue(epoch); + expect(resultCartesian).toEqual( + cartesianFromArrayRadians(packet.position.cartographicRadians, 1), + ); - resultCartesian = entity.position.getValue( - JulianDate.addSeconds(epoch, 1, new JulianDate()) - ); - expect(resultCartesian).toEqual( - cartesianFromArrayRadians(packet.position.cartographicRadians, 5) - ); - }); + resultCartesian = entity.position.getValue( + JulianDate.addSeconds(epoch, 1, new JulianDate()), + ); + expect(resultCartesian).toEqual( + cartesianFromArrayRadians(packet.position.cartographicRadians, 5), + ); + }, + ); }); it("can set position reference frame", function () { @@ -1504,12 +1520,12 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; - expect(entity.position.referenceFrame).toEqual(ReferenceFrame.FIXED); - }); + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; + expect(entity.position.referenceFrame).toEqual(ReferenceFrame.FIXED); + }, + ); }); it("does not reset value to FIXED when omitting reference frame on subsequent packet", function () { @@ -1562,16 +1578,16 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.ellipse).toBeDefined(); - expect(entity.ellipse.semiMajorAxis.getValue(firstDate)).toEqual(0); - expect(entity.ellipse.semiMajorAxis.getValue(midDate)).toEqual(5); - expect(entity.ellipse.semiMajorAxis.getValue(lastDate)).toEqual(10); - }); + expect(entity.ellipse).toBeDefined(); + expect(entity.ellipse.semiMajorAxis.getValue(firstDate)).toEqual(0); + expect(entity.ellipse.semiMajorAxis.getValue(midDate)).toEqual(5); + expect(entity.ellipse.semiMajorAxis.getValue(lastDate)).toEqual(10); + }, + ); }); it("can load a direction specified as constant unitSpherical", function () { @@ -1583,17 +1599,17 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; - const resultCartesian = entity.billboard.alignedAxis.getValue( - JulianDate.now() - ); - expect(resultCartesian).toEqual( - Cartesian3.fromSpherical(new Spherical(1.0, 2.0)) - ); - }); + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; + const resultCartesian = entity.billboard.alignedAxis.getValue( + JulianDate.now(), + ); + expect(resultCartesian).toEqual( + Cartesian3.fromSpherical(new Spherical(1.0, 2.0)), + ); + }, + ); }); it("can load a direction specified as sampled unitSpherical", function () { @@ -1608,22 +1624,22 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; - let resultCartesian = entity.billboard.alignedAxis.getValue(epoch); - expect(resultCartesian).toEqual( - Cartesian3.fromSpherical(new Spherical(1.0, 2.0)) - ); + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; + let resultCartesian = entity.billboard.alignedAxis.getValue(epoch); + expect(resultCartesian).toEqual( + Cartesian3.fromSpherical(new Spherical(1.0, 2.0)), + ); - resultCartesian = entity.billboard.alignedAxis.getValue( - JulianDate.addSeconds(epoch, 1, new JulianDate()) - ); - expect(resultCartesian).toEqual( - Cartesian3.fromSpherical(new Spherical(-1.0, -2.0)) - ); - }); + resultCartesian = entity.billboard.alignedAxis.getValue( + JulianDate.addSeconds(epoch, 1, new JulianDate()), + ); + expect(resultCartesian).toEqual( + Cartesian3.fromSpherical(new Spherical(-1.0, -2.0)), + ); + }, + ); }); it("can load a direction specified as constant spherical", function () { @@ -1635,17 +1651,19 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; - const resultCartesian = entity.billboard.alignedAxis.getValue( - JulianDate.now() - ); - const expected = Cartesian3.fromSpherical(new Spherical(1.0, 2.0, 30.0)); - Cartesian3.normalize(expected, expected); - expect(resultCartesian).toEqual(expected); - }); + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; + const resultCartesian = entity.billboard.alignedAxis.getValue( + JulianDate.now(), + ); + const expected = Cartesian3.fromSpherical( + new Spherical(1.0, 2.0, 30.0), + ); + Cartesian3.normalize(expected, expected); + expect(resultCartesian).toEqual(expected); + }, + ); }); it("can load a direction specified as sampled spherical", function () { @@ -1660,22 +1678,22 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; - let resultCartesian = entity.billboard.alignedAxis.getValue(epoch); - let expected = Cartesian3.fromSpherical(new Spherical(1.0, 2.0, 30.0)); - Cartesian3.normalize(expected, expected); - expect(resultCartesian).toEqual(expected); + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; + let resultCartesian = entity.billboard.alignedAxis.getValue(epoch); + let expected = Cartesian3.fromSpherical(new Spherical(1.0, 2.0, 30.0)); + Cartesian3.normalize(expected, expected); + expect(resultCartesian).toEqual(expected); - resultCartesian = entity.billboard.alignedAxis.getValue( - JulianDate.addSeconds(epoch, 1, new JulianDate()) - ); - expected = Cartesian3.fromSpherical(new Spherical(-1.0, -2.0, 40.0)); - Cartesian3.normalize(expected, expected); - expect(resultCartesian).toEqual(expected); - }); + resultCartesian = entity.billboard.alignedAxis.getValue( + JulianDate.addSeconds(epoch, 1, new JulianDate()), + ); + expected = Cartesian3.fromSpherical(new Spherical(-1.0, -2.0, 40.0)); + Cartesian3.normalize(expected, expected); + expect(resultCartesian).toEqual(expected); + }, + ); }); it("can load constant data for ellipse", function () { @@ -1697,40 +1715,40 @@ describe("DataSources/CzmlDataSource", function () { const time = Iso8601.MINIMUM_VALUE; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.ellipse).toBeDefined(); - expect(entity.ellipse.semiMajorAxis.getValue(time)).toEqual( - packet.ellipse.semiMajorAxis - ); - expect(entity.ellipse.semiMinorAxis.getValue(time)).toEqual( - packet.ellipse.semiMinorAxis - ); - expect(entity.ellipse.rotation.getValue(time)).toEqual( - packet.ellipse.rotation - ); - expect(entity.ellipse.outline.getValue(time)).toEqual( - packet.ellipse.outline - ); - expect(entity.ellipse.outlineColor.getValue(time)).toEqual( - Color.unpack(packet.ellipse.outlineColor.rgbaf) - ); - expect(entity.ellipse.outlineWidth.getValue(time)).toEqual( - packet.ellipse.outlineWidth - ); - expect(entity.ellipse.shadows.getValue(time)).toEqual( - ShadowMode[packet.ellipse.shadows] - ); - expect(entity.ellipse.zIndex.getValue(time)).toEqual( - packet.ellipse.zIndex - ); - expect(entity.ellipse.classificationType.getValue(time)).toEqual( - ClassificationType[packet.ellipse.classificationType] - ); - }); + expect(entity.ellipse).toBeDefined(); + expect(entity.ellipse.semiMajorAxis.getValue(time)).toEqual( + packet.ellipse.semiMajorAxis, + ); + expect(entity.ellipse.semiMinorAxis.getValue(time)).toEqual( + packet.ellipse.semiMinorAxis, + ); + expect(entity.ellipse.rotation.getValue(time)).toEqual( + packet.ellipse.rotation, + ); + expect(entity.ellipse.outline.getValue(time)).toEqual( + packet.ellipse.outline, + ); + expect(entity.ellipse.outlineColor.getValue(time)).toEqual( + Color.unpack(packet.ellipse.outlineColor.rgbaf), + ); + expect(entity.ellipse.outlineWidth.getValue(time)).toEqual( + packet.ellipse.outlineWidth, + ); + expect(entity.ellipse.shadows.getValue(time)).toEqual( + ShadowMode[packet.ellipse.shadows], + ); + expect(entity.ellipse.zIndex.getValue(time)).toEqual( + packet.ellipse.zIndex, + ); + expect(entity.ellipse.classificationType.getValue(time)).toEqual( + ClassificationType[packet.ellipse.classificationType], + ); + }, + ); }); it("can load interval data for ellipse", function () { @@ -1744,43 +1762,43 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - const validTime = TimeInterval.fromIso8601({ - iso8601: packet.ellipse.interval, - }).start; - const invalidTime = JulianDate.addSeconds( - validTime, - -1, - new JulianDate() - ); + const validTime = TimeInterval.fromIso8601({ + iso8601: packet.ellipse.interval, + }).start; + const invalidTime = JulianDate.addSeconds( + validTime, + -1, + new JulianDate(), + ); - expect(entity.ellipse).toBeDefined(); - expect(entity.ellipse.semiMajorAxis.getValue(validTime)).toEqual( - packet.ellipse.semiMajorAxis - ); - expect(entity.ellipse.semiMinorAxis.getValue(validTime)).toEqual( - packet.ellipse.semiMinorAxis - ); - expect(entity.ellipse.rotation.getValue(validTime)).toEqual( - packet.ellipse.rotation - ); - expect(entity.ellipse.shadows.getValue(validTime)).toEqual( - ShadowMode[packet.ellipse.shadows] - ); + expect(entity.ellipse).toBeDefined(); + expect(entity.ellipse.semiMajorAxis.getValue(validTime)).toEqual( + packet.ellipse.semiMajorAxis, + ); + expect(entity.ellipse.semiMinorAxis.getValue(validTime)).toEqual( + packet.ellipse.semiMinorAxis, + ); + expect(entity.ellipse.rotation.getValue(validTime)).toEqual( + packet.ellipse.rotation, + ); + expect(entity.ellipse.shadows.getValue(validTime)).toEqual( + ShadowMode[packet.ellipse.shadows], + ); - expect( - entity.ellipse.semiMajorAxis.getValue(invalidTime) - ).toBeUndefined(); - expect( - entity.ellipse.semiMinorAxis.getValue(invalidTime) - ).toBeUndefined(); - expect(entity.ellipse.rotation.getValue(invalidTime)).toBeUndefined(); - expect(entity.ellipse.shadows.getValue(invalidTime)).toBeUndefined(); - }); + expect( + entity.ellipse.semiMajorAxis.getValue(invalidTime), + ).toBeUndefined(); + expect( + entity.ellipse.semiMinorAxis.getValue(invalidTime), + ).toBeUndefined(); + expect(entity.ellipse.rotation.getValue(invalidTime)).toBeUndefined(); + expect(entity.ellipse.shadows.getValue(invalidTime)).toBeUndefined(); + }, + ); }); it("can load constant data for ellipsoid", function () { @@ -1811,43 +1829,43 @@ describe("DataSources/CzmlDataSource", function () { const time = Iso8601.MINIMUM_VALUE; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.ellipsoid).toBeDefined(); - expect(entity.ellipsoid.radii.getValue(time)).toEqual( - Cartesian3.unpack(packet.ellipsoid.radii.cartesian) - ); - expect(entity.ellipsoid.show.getValue(time)).toEqual( - packet.ellipsoid.show - ); - expect(entity.ellipsoid.material.getValue(time).color).toEqual( - Color.unpack(packet.ellipsoid.material.solidColor.color.rgbaf) - ); - expect(entity.ellipsoid.outline.getValue(time)).toEqual( - packet.ellipsoid.outline - ); - expect(entity.ellipsoid.outlineColor.getValue(time)).toEqual( - Color.unpack(packet.ellipsoid.outlineColor.rgbaf) - ); - expect(entity.ellipsoid.outlineWidth.getValue(time)).toEqual( - packet.ellipsoid.outlineWidth - ); - expect(entity.ellipsoid.stackPartitions.getValue(time)).toEqual( - packet.ellipsoid.stackPartitions - ); - expect(entity.ellipsoid.slicePartitions.getValue(time)).toEqual( - packet.ellipsoid.slicePartitions - ); - expect(entity.ellipsoid.subdivisions.getValue(time)).toEqual( - packet.ellipsoid.subdivisions - ); - expect(entity.ellipsoid.shadows.getValue(time)).toEqual( - ShadowMode[packet.ellipsoid.shadows] - ); - }); + expect(entity.ellipsoid).toBeDefined(); + expect(entity.ellipsoid.radii.getValue(time)).toEqual( + Cartesian3.unpack(packet.ellipsoid.radii.cartesian), + ); + expect(entity.ellipsoid.show.getValue(time)).toEqual( + packet.ellipsoid.show, + ); + expect(entity.ellipsoid.material.getValue(time).color).toEqual( + Color.unpack(packet.ellipsoid.material.solidColor.color.rgbaf), + ); + expect(entity.ellipsoid.outline.getValue(time)).toEqual( + packet.ellipsoid.outline, + ); + expect(entity.ellipsoid.outlineColor.getValue(time)).toEqual( + Color.unpack(packet.ellipsoid.outlineColor.rgbaf), + ); + expect(entity.ellipsoid.outlineWidth.getValue(time)).toEqual( + packet.ellipsoid.outlineWidth, + ); + expect(entity.ellipsoid.stackPartitions.getValue(time)).toEqual( + packet.ellipsoid.stackPartitions, + ); + expect(entity.ellipsoid.slicePartitions.getValue(time)).toEqual( + packet.ellipsoid.slicePartitions, + ); + expect(entity.ellipsoid.subdivisions.getValue(time)).toEqual( + packet.ellipsoid.subdivisions, + ); + expect(entity.ellipsoid.shadows.getValue(time)).toEqual( + ShadowMode[packet.ellipsoid.shadows], + ); + }, + ); }); it("can load interval data for ellipsoid", function () { @@ -1874,30 +1892,30 @@ describe("DataSources/CzmlDataSource", function () { }).start; const invalidTime = JulianDate.addSeconds(validTime, -1, new JulianDate()); - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.ellipsoid).toBeDefined(); - expect(entity.ellipsoid.radii.getValue(validTime)).toEqual( - Cartesian3.unpack(packet.ellipsoid.radii.cartesian) - ); - expect(entity.ellipsoid.show.getValue(validTime)).toEqual( - packet.ellipsoid.show - ); - expect(entity.ellipsoid.material.getValue(validTime).color).toEqual( - Color.unpack(packet.ellipsoid.material.solidColor.color.rgbaf) - ); - expect(entity.ellipsoid.shadows.getValue(validTime)).toEqual( - ShadowMode[packet.ellipsoid.shadows] - ); + expect(entity.ellipsoid).toBeDefined(); + expect(entity.ellipsoid.radii.getValue(validTime)).toEqual( + Cartesian3.unpack(packet.ellipsoid.radii.cartesian), + ); + expect(entity.ellipsoid.show.getValue(validTime)).toEqual( + packet.ellipsoid.show, + ); + expect(entity.ellipsoid.material.getValue(validTime).color).toEqual( + Color.unpack(packet.ellipsoid.material.solidColor.color.rgbaf), + ); + expect(entity.ellipsoid.shadows.getValue(validTime)).toEqual( + ShadowMode[packet.ellipsoid.shadows], + ); - expect(entity.ellipsoid.radii.getValue(invalidTime)).toBeUndefined(); - expect(entity.ellipsoid.show.getValue(invalidTime)).toBeUndefined(); - expect(entity.ellipsoid.material.getValue(invalidTime)).toBeUndefined(); - expect(entity.ellipsoid.shadows.getValue(invalidTime)).toBeUndefined(); - }); + expect(entity.ellipsoid.radii.getValue(invalidTime)).toBeUndefined(); + expect(entity.ellipsoid.show.getValue(invalidTime)).toBeUndefined(); + expect(entity.ellipsoid.material.getValue(invalidTime)).toBeUndefined(); + expect(entity.ellipsoid.shadows.getValue(invalidTime)).toBeUndefined(); + }, + ); }); it("can load constant data for label", function () { @@ -1934,49 +1952,51 @@ describe("DataSources/CzmlDataSource", function () { const time = Iso8601.MINIMUM_VALUE; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.label).toBeDefined(); - expect(entity.label.text.getValue(time)).toEqual(packet.label.text); - expect(entity.label.font.getValue(time)).toEqual(packet.label.font); - expect(entity.label.style.getValue(time)).toEqual( - LabelStyle[packet.label.style] - ); - expect(entity.label.fillColor.getValue(time)).toEqual( - Color.unpack(packet.label.fillColor.rgbaf) - ); - expect(entity.label.outlineColor.getValue(time)).toEqual( - Color.unpack(packet.label.outlineColor.rgbaf) - ); - expect(entity.label.outlineWidth.getValue(time)).toEqual( - packet.label.outlineWidth - ); - expect(entity.label.horizontalOrigin.getValue(time)).toEqual( - HorizontalOrigin[packet.label.horizontalOrigin] - ); - expect(entity.label.verticalOrigin.getValue(time)).toEqual( - VerticalOrigin[packet.label.verticalOrigin] - ); - expect(entity.label.eyeOffset.getValue(time)).toEqual( - Cartesian3.unpack(packet.label.eyeOffset.cartesian) - ); - expect(entity.label.pixelOffset.getValue(time)).toEqual( - Cartesian2.unpack(packet.label.pixelOffset.cartesian2) - ); - expect(entity.label.scale.getValue(time)).toEqual(packet.label.scale); - expect(entity.label.show.getValue(time)).toEqual(packet.label.show); - expect(entity.label.translucencyByDistance.getValue(time)).toEqual( - NearFarScalar.unpack(packet.label.translucencyByDistance.nearFarScalar) - ); - expect(entity.label.pixelOffsetScaleByDistance.getValue(time)).toEqual( - NearFarScalar.unpack( - packet.label.pixelOffsetScaleByDistance.nearFarScalar - ) - ); - }); + expect(entity.label).toBeDefined(); + expect(entity.label.text.getValue(time)).toEqual(packet.label.text); + expect(entity.label.font.getValue(time)).toEqual(packet.label.font); + expect(entity.label.style.getValue(time)).toEqual( + LabelStyle[packet.label.style], + ); + expect(entity.label.fillColor.getValue(time)).toEqual( + Color.unpack(packet.label.fillColor.rgbaf), + ); + expect(entity.label.outlineColor.getValue(time)).toEqual( + Color.unpack(packet.label.outlineColor.rgbaf), + ); + expect(entity.label.outlineWidth.getValue(time)).toEqual( + packet.label.outlineWidth, + ); + expect(entity.label.horizontalOrigin.getValue(time)).toEqual( + HorizontalOrigin[packet.label.horizontalOrigin], + ); + expect(entity.label.verticalOrigin.getValue(time)).toEqual( + VerticalOrigin[packet.label.verticalOrigin], + ); + expect(entity.label.eyeOffset.getValue(time)).toEqual( + Cartesian3.unpack(packet.label.eyeOffset.cartesian), + ); + expect(entity.label.pixelOffset.getValue(time)).toEqual( + Cartesian2.unpack(packet.label.pixelOffset.cartesian2), + ); + expect(entity.label.scale.getValue(time)).toEqual(packet.label.scale); + expect(entity.label.show.getValue(time)).toEqual(packet.label.show); + expect(entity.label.translucencyByDistance.getValue(time)).toEqual( + NearFarScalar.unpack( + packet.label.translucencyByDistance.nearFarScalar, + ), + ); + expect(entity.label.pixelOffsetScaleByDistance.getValue(time)).toEqual( + NearFarScalar.unpack( + packet.label.pixelOffsetScaleByDistance.nearFarScalar, + ), + ); + }, + ); }); it("can load interval data for label", function () { @@ -2011,58 +2031,66 @@ describe("DataSources/CzmlDataSource", function () { }).start; const invalidTime = JulianDate.addSeconds(validTime, -1, new JulianDate()); - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.label).toBeDefined(); - expect(entity.label.text.getValue(validTime)).toEqual(packet.label.text); - expect(entity.label.font.getValue(validTime)).toEqual(packet.label.font); - expect(entity.label.style.getValue(validTime)).toEqual( - LabelStyle[packet.label.style] - ); - expect(entity.label.fillColor.getValue(validTime)).toEqual( - Color.unpack(packet.label.fillColor.rgbaf) - ); - expect(entity.label.outlineColor.getValue(validTime)).toEqual( - Color.unpack(packet.label.outlineColor.rgbaf) - ); - expect(entity.label.outlineWidth.getValue(validTime)).toEqual( - packet.label.outlineWidth - ); - expect(entity.label.horizontalOrigin.getValue(validTime)).toEqual( - HorizontalOrigin[packet.label.horizontalOrigin] - ); - expect(entity.label.verticalOrigin.getValue(validTime)).toEqual( - VerticalOrigin[packet.label.verticalOrigin] - ); - expect(entity.label.eyeOffset.getValue(validTime)).toEqual( - Cartesian3.unpack(packet.label.eyeOffset.cartesian) - ); - expect(entity.label.pixelOffset.getValue(validTime)).toEqual( - Cartesian2.unpack(packet.label.pixelOffset.cartesian2) - ); - expect(entity.label.scale.getValue(validTime)).toEqual( - packet.label.scale - ); - expect(entity.label.show.getValue(validTime)).toEqual(packet.label.show); - - expect(entity.label.text.getValue(invalidTime)).toBeUndefined(); - expect(entity.label.font.getValue(invalidTime)).toBeUndefined(); - expect(entity.label.style.getValue(invalidTime)).toBeUndefined(); - expect(entity.label.fillColor.getValue(invalidTime)).toBeUndefined(); - expect(entity.label.outlineColor.getValue(invalidTime)).toBeUndefined(); - expect(entity.label.outlineWidth.getValue(invalidTime)).toBeUndefined(); - expect( - entity.label.horizontalOrigin.getValue(invalidTime) - ).toBeUndefined(); - expect(entity.label.verticalOrigin.getValue(invalidTime)).toBeUndefined(); - expect(entity.label.eyeOffset.getValue(invalidTime)).toBeUndefined(); - expect(entity.label.pixelOffset.getValue(invalidTime)).toBeUndefined(); - expect(entity.label.scale.getValue(invalidTime)).toBeUndefined(); - expect(entity.label.show.getValue(invalidTime)).toBeUndefined(); - }); + expect(entity.label).toBeDefined(); + expect(entity.label.text.getValue(validTime)).toEqual( + packet.label.text, + ); + expect(entity.label.font.getValue(validTime)).toEqual( + packet.label.font, + ); + expect(entity.label.style.getValue(validTime)).toEqual( + LabelStyle[packet.label.style], + ); + expect(entity.label.fillColor.getValue(validTime)).toEqual( + Color.unpack(packet.label.fillColor.rgbaf), + ); + expect(entity.label.outlineColor.getValue(validTime)).toEqual( + Color.unpack(packet.label.outlineColor.rgbaf), + ); + expect(entity.label.outlineWidth.getValue(validTime)).toEqual( + packet.label.outlineWidth, + ); + expect(entity.label.horizontalOrigin.getValue(validTime)).toEqual( + HorizontalOrigin[packet.label.horizontalOrigin], + ); + expect(entity.label.verticalOrigin.getValue(validTime)).toEqual( + VerticalOrigin[packet.label.verticalOrigin], + ); + expect(entity.label.eyeOffset.getValue(validTime)).toEqual( + Cartesian3.unpack(packet.label.eyeOffset.cartesian), + ); + expect(entity.label.pixelOffset.getValue(validTime)).toEqual( + Cartesian2.unpack(packet.label.pixelOffset.cartesian2), + ); + expect(entity.label.scale.getValue(validTime)).toEqual( + packet.label.scale, + ); + expect(entity.label.show.getValue(validTime)).toEqual( + packet.label.show, + ); + + expect(entity.label.text.getValue(invalidTime)).toBeUndefined(); + expect(entity.label.font.getValue(invalidTime)).toBeUndefined(); + expect(entity.label.style.getValue(invalidTime)).toBeUndefined(); + expect(entity.label.fillColor.getValue(invalidTime)).toBeUndefined(); + expect(entity.label.outlineColor.getValue(invalidTime)).toBeUndefined(); + expect(entity.label.outlineWidth.getValue(invalidTime)).toBeUndefined(); + expect( + entity.label.horizontalOrigin.getValue(invalidTime), + ).toBeUndefined(); + expect( + entity.label.verticalOrigin.getValue(invalidTime), + ).toBeUndefined(); + expect(entity.label.eyeOffset.getValue(invalidTime)).toBeUndefined(); + expect(entity.label.pixelOffset.getValue(invalidTime)).toBeUndefined(); + expect(entity.label.scale.getValue(invalidTime)).toBeUndefined(); + expect(entity.label.show.getValue(invalidTime)).toBeUndefined(); + }, + ); }); it("can handle sampled label pixelOffset.", function () { @@ -2077,21 +2105,21 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.label).toBeDefined(); - const date1 = epoch; - const date2 = JulianDate.addSeconds(epoch, 1.0, new JulianDate()); - expect(entity.label.pixelOffset.getValue(date1)).toEqual( - new Cartesian2(1.0, 2.0) - ); - expect(entity.label.pixelOffset.getValue(date2)).toEqual( - new Cartesian2(3.0, 4.0) - ); - }); + expect(entity.label).toBeDefined(); + const date1 = epoch; + const date2 = JulianDate.addSeconds(epoch, 1.0, new JulianDate()); + expect(entity.label.pixelOffset.getValue(date1)).toEqual( + new Cartesian2(1.0, 2.0), + ); + expect(entity.label.pixelOffset.getValue(date2)).toEqual( + new Cartesian2(3.0, 4.0), + ); + }, + ); }); it("can load position", function () { @@ -2103,14 +2131,14 @@ describe("DataSources/CzmlDataSource", function () { const time = Iso8601.MINIMUM_VALUE; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; - expect(entity.position.getValue(time)).toEqual( - Cartesian3.unpack(packet.position.cartesian) - ); - }); + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; + expect(entity.position.getValue(time)).toEqual( + Cartesian3.unpack(packet.position.cartesian), + ); + }, + ); }); it("can load orientation", function () { @@ -2122,14 +2150,14 @@ describe("DataSources/CzmlDataSource", function () { const time = Iso8601.MINIMUM_VALUE; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; - expect(entity.orientation.getValue(time)).toEqual( - Quaternion.unpack(packet.orientation.unitQuaternion) - ); - }); + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; + expect(entity.orientation.getValue(time)).toEqual( + Quaternion.unpack(packet.orientation.unitQuaternion), + ); + }, + ); }); it("normalizes constant orientation on load", function () { @@ -2144,12 +2172,12 @@ describe("DataSources/CzmlDataSource", function () { const time = Iso8601.MINIMUM_VALUE; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; - expect(entity.orientation.getValue(time)).toEqual(expected); - }); + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; + expect(entity.orientation.getValue(time)).toEqual(expected); + }, + ); }); it("normalizes sampled orientation on load", function () { @@ -2178,17 +2206,17 @@ describe("DataSources/CzmlDataSource", function () { const expected2 = Quaternion.unpack(packet.orientation.unitQuaternion, 6); Quaternion.normalize(expected2, expected2); - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; - expect( - entity.orientation.getValue(JulianDate.fromIso8601(time1)) - ).toEqual(expected1); - expect( - entity.orientation.getValue(JulianDate.fromIso8601(time2)) - ).toEqual(expected2); - }); + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; + expect( + entity.orientation.getValue(JulianDate.fromIso8601(time1)), + ).toEqual(expected1); + expect( + entity.orientation.getValue(JulianDate.fromIso8601(time2)), + ).toEqual(expected2); + }, + ); }); it("can handle orientation expressed as a velocity reference", function () { @@ -2202,34 +2230,33 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; - const property = entity.orientation; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; + const property = entity.orientation; - const expectedVelocity = new Cartesian3(1.0, 2.0, 3.0); - const expectedVelocityDirection = Cartesian3.normalize( - expectedVelocity, - new Cartesian3() - ); + const expectedVelocity = new Cartesian3(1.0, 2.0, 3.0); + const expectedVelocityDirection = Cartesian3.normalize( + expectedVelocity, + new Cartesian3(), + ); - const expectedPosition = new Cartesian3(1, 2, 3); - const expectedRotation = Transforms.rotationMatrixFromPositionVelocity( - expectedPosition, - expectedVelocityDirection - ); - const expectedOrientation = Quaternion.fromRotationMatrix( - expectedRotation - ); + const expectedPosition = new Cartesian3(1, 2, 3); + const expectedRotation = Transforms.rotationMatrixFromPositionVelocity( + expectedPosition, + expectedVelocityDirection, + ); + const expectedOrientation = + Quaternion.fromRotationMatrix(expectedRotation); - expect( - property.getValue(JulianDate.fromIso8601("2016-06-17T12:00:00Z")) - ).toEqualEpsilon(expectedOrientation, CesiumMath.EPSILON15); - expect( - property.getValue(JulianDate.fromIso8601("2016-06-17T12:00:30Z")) - ).toEqualEpsilon(expectedOrientation, CesiumMath.EPSILON15); - }); + expect( + property.getValue(JulianDate.fromIso8601("2016-06-17T12:00:00Z")), + ).toEqualEpsilon(expectedOrientation, CesiumMath.EPSILON15); + expect( + property.getValue(JulianDate.fromIso8601("2016-06-17T12:00:30Z")), + ).toEqualEpsilon(expectedOrientation, CesiumMath.EPSILON15); + }, + ); }); it("can load positions expressed as cartesians", function () { @@ -2243,14 +2270,14 @@ describe("DataSources/CzmlDataSource", function () { const time = Iso8601.MINIMUM_VALUE; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; - expect(entity.polyline.positions.getValue(time)).toEqual( - Cartesian3.unpackArray(packet.polyline.positions.cartesian) - ); - }); + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; + expect(entity.polyline.positions.getValue(time)).toEqual( + Cartesian3.unpackArray(packet.polyline.positions.cartesian), + ); + }, + ); }); it("can load positions expressed as cartographicRadians", function () { @@ -2264,16 +2291,16 @@ describe("DataSources/CzmlDataSource", function () { const time = Iso8601.MINIMUM_VALUE; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; - expect(entity.polyline.positions.getValue(time)).toEqual( - Cartesian3.fromRadiansArrayHeights( - packet.polyline.positions.cartographicRadians - ) - ); - }); + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; + expect(entity.polyline.positions.getValue(time)).toEqual( + Cartesian3.fromRadiansArrayHeights( + packet.polyline.positions.cartographicRadians, + ), + ); + }, + ); }); it("can load positions expressed as cartographicDegrees", function () { @@ -2287,16 +2314,16 @@ describe("DataSources/CzmlDataSource", function () { const time = Iso8601.MINIMUM_VALUE; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; - expect(entity.polyline.positions.getValue(time)).toEqual( - Cartesian3.fromDegreesArrayHeights( - packet.polyline.positions.cartographicDegrees - ) - ); - }); + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; + expect(entity.polyline.positions.getValue(time)).toEqual( + Cartesian3.fromDegreesArrayHeights( + packet.polyline.positions.cartographicDegrees, + ), + ); + }, + ); }); it("can load viewFrom", function () { @@ -2308,14 +2335,14 @@ describe("DataSources/CzmlDataSource", function () { const time = Iso8601.MINIMUM_VALUE; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; - expect(entity.viewFrom.getValue(time)).toEqual( - Cartesian3.unpack(packet.viewFrom.cartesian) - ); - }); + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; + expect(entity.viewFrom.getValue(time)).toEqual( + Cartesian3.unpack(packet.viewFrom.cartesian), + ); + }, + ); }); it("can load description", function () { @@ -2325,12 +2352,12 @@ describe("DataSources/CzmlDataSource", function () { const time = Iso8601.MINIMUM_VALUE; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; - expect(entity.description.getValue(time)).toEqual(packet.description); - }); + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; + expect(entity.description.getValue(time)).toEqual(packet.description); + }, + ); }); it("can load constant custom properties", function () { @@ -2357,23 +2384,23 @@ describe("DataSources/CzmlDataSource", function () { const time = Iso8601.MINIMUM_VALUE; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; - expect(entity.properties.constant_name.getValue(time)).toEqual( - packet.properties.constant_name - ); - expect(entity.properties.constant_height.getValue(time)).toEqual( - packet.properties.constant_height - ); - expect(entity.properties.constant_object.getValue(time)).toEqual( - testObject - ); - expect(entity.properties.constant_array.getValue(time)).toEqual( - testArray - ); - }); + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; + expect(entity.properties.constant_name.getValue(time)).toEqual( + packet.properties.constant_name, + ); + expect(entity.properties.constant_height.getValue(time)).toEqual( + packet.properties.constant_height, + ); + expect(entity.properties.constant_object.getValue(time)).toEqual( + testObject, + ); + expect(entity.properties.constant_array.getValue(time)).toEqual( + testArray, + ); + }, + ); }); it("can load custom properties which are constant with specified type", function () { @@ -2403,23 +2430,23 @@ describe("DataSources/CzmlDataSource", function () { const time = Iso8601.MINIMUM_VALUE; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; - expect(entity.properties.constant_name.getValue(time)).toEqual( - packet.properties.constant_name.string - ); - expect(entity.properties.constant_height.getValue(time)).toEqual( - packet.properties.constant_height.number - ); - expect(entity.properties.constant_object.getValue(time)).toEqual( - testObject - ); - expect(entity.properties.constant_array.getValue(time)).toEqual( - testArray - ); - }); + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; + expect(entity.properties.constant_name.getValue(time)).toEqual( + packet.properties.constant_name.string, + ); + expect(entity.properties.constant_height.getValue(time)).toEqual( + packet.properties.constant_height.number, + ); + expect(entity.properties.constant_object.getValue(time)).toEqual( + testObject, + ); + expect(entity.properties.constant_array.getValue(time)).toEqual( + testArray, + ); + }, + ); }); it("can load custom properties with one interval", function () { @@ -2432,18 +2459,22 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect( - entity.properties.changing_name.getValue(JulianDate.fromIso8601("2013")) - ).toEqual(packet.properties.changing_name.value); - expect( - entity.properties.changing_name.getValue(JulianDate.fromIso8601("2015")) - ).toBeUndefined(); - }); + expect( + entity.properties.changing_name.getValue( + JulianDate.fromIso8601("2013"), + ), + ).toEqual(packet.properties.changing_name.value); + expect( + entity.properties.changing_name.getValue( + JulianDate.fromIso8601("2015"), + ), + ).toBeUndefined(); + }, + ); }); it("can load custom properties with one interval with specified type", function () { @@ -2456,18 +2487,22 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect( - entity.properties.changing_name.getValue(JulianDate.fromIso8601("2013")) - ).toEqual(packet.properties.changing_name.string); - expect( - entity.properties.changing_name.getValue(JulianDate.fromIso8601("2015")) - ).toBeUndefined(); - }); + expect( + entity.properties.changing_name.getValue( + JulianDate.fromIso8601("2013"), + ), + ).toEqual(packet.properties.changing_name.string); + expect( + entity.properties.changing_name.getValue( + JulianDate.fromIso8601("2015"), + ), + ).toBeUndefined(); + }, + ); }); it("can load custom properties with multiple intervals", function () { @@ -2488,22 +2523,22 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect( - entity.properties.changing_array.getValue( - JulianDate.fromIso8601("2012-06-01") - ) - ).toEqual(array1); - expect( - entity.properties.changing_array.getValue( - JulianDate.fromIso8601("2013-06-01") - ) - ).toEqual(array2); - }); + expect( + entity.properties.changing_array.getValue( + JulianDate.fromIso8601("2012-06-01"), + ), + ).toEqual(array1); + expect( + entity.properties.changing_array.getValue( + JulianDate.fromIso8601("2013-06-01"), + ), + ).toEqual(array2); + }, + ); }); it("can load boolean custom properties with multiple intervals", function () { @@ -2527,30 +2562,30 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.getById("MyID"); - expect(entity).toBeDefined(); - expect(entity.properties).toBeDefined(); - expect(entity.properties.custom_boolean).toBeDefined(); + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.getById("MyID"); + expect(entity).toBeDefined(); + expect(entity.properties).toBeDefined(); + expect(entity.properties.custom_boolean).toBeDefined(); - expect( - entity.properties.custom_boolean.getValue( - JulianDate.fromIso8601("2012-04-02T12:00:00Z") - ) - ).toEqual(true); - expect( - entity.properties.custom_boolean.getValue( - JulianDate.fromIso8601("2012-04-02T12:00:01Z") - ) - ).toEqual(false); - expect( - entity.properties.custom_boolean.getValue( - JulianDate.fromIso8601("2012-04-02T12:00:02Z") - ) - ).toEqual(true); - }); + expect( + entity.properties.custom_boolean.getValue( + JulianDate.fromIso8601("2012-04-02T12:00:00Z"), + ), + ).toEqual(true); + expect( + entity.properties.custom_boolean.getValue( + JulianDate.fromIso8601("2012-04-02T12:00:01Z"), + ), + ).toEqual(false); + expect( + entity.properties.custom_boolean.getValue( + JulianDate.fromIso8601("2012-04-02T12:00:02Z"), + ), + ).toEqual(true); + }, + ); }); it("can load custom properties with multiple intervals with specified type", function () { @@ -2571,22 +2606,22 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect( - entity.properties.changing_array.getValue( - JulianDate.fromIso8601("2012-06-01") - ) - ).toEqual(array1); - expect( - entity.properties.changing_array.getValue( - JulianDate.fromIso8601("2013-06-01") - ) - ).toEqual(array2); - }); + expect( + entity.properties.changing_array.getValue( + JulianDate.fromIso8601("2012-06-01"), + ), + ).toEqual(array1); + expect( + entity.properties.changing_array.getValue( + JulianDate.fromIso8601("2013-06-01"), + ), + ).toEqual(array2); + }, + ); }); it("can load sampled custom properties", function () { @@ -2600,42 +2635,42 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.getById("MyID"); + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.getById("MyID"); - expect(entity).toBeDefined(); - expect(entity.properties).toBeDefined(); - expect(entity.properties.custom_cartesian).toBeDefined(); + expect(entity).toBeDefined(); + expect(entity.properties).toBeDefined(); + expect(entity.properties.custom_cartesian).toBeDefined(); - expect( - entity.properties.custom_cartesian.getValue( - JulianDate.fromIso8601("2012-04-02T12:00:00Z") - ) - ).toBeInstanceOf(Cartesian3); - expect( - entity.properties.custom_cartesian.getValue( - JulianDate.fromIso8601("2012-04-02T12:00:00Z") - ) - ).toEqual(new Cartesian3(1, 2, 3)); - // halfway between two samples, linearly interpolated - expect( - entity.properties.custom_cartesian.getValue( - JulianDate.fromIso8601("2012-04-02T12:00:30Z") - ) - ).toEqual(new Cartesian3((1 + 4) / 2, (2 + 5) / 2, (3 + 6) / 2)); - expect( - entity.properties.custom_cartesian.getValue( - JulianDate.fromIso8601("2012-04-02T12:01:00Z") - ) - ).toEqual(new Cartesian3(4, 5, 6)); - expect( - entity.properties.custom_cartesian.getValue( - JulianDate.fromIso8601("2012-04-02T12:02:00Z") - ) - ).toEqual(new Cartesian3(7, 8, 9)); - }); + expect( + entity.properties.custom_cartesian.getValue( + JulianDate.fromIso8601("2012-04-02T12:00:00Z"), + ), + ).toBeInstanceOf(Cartesian3); + expect( + entity.properties.custom_cartesian.getValue( + JulianDate.fromIso8601("2012-04-02T12:00:00Z"), + ), + ).toEqual(new Cartesian3(1, 2, 3)); + // halfway between two samples, linearly interpolated + expect( + entity.properties.custom_cartesian.getValue( + JulianDate.fromIso8601("2012-04-02T12:00:30Z"), + ), + ).toEqual(new Cartesian3((1 + 4) / 2, (2 + 5) / 2, (3 + 6) / 2)); + expect( + entity.properties.custom_cartesian.getValue( + JulianDate.fromIso8601("2012-04-02T12:01:00Z"), + ), + ).toEqual(new Cartesian3(4, 5, 6)); + expect( + entity.properties.custom_cartesian.getValue( + JulianDate.fromIso8601("2012-04-02T12:02:00Z"), + ), + ).toEqual(new Cartesian3(7, 8, 9)); + }, + ); }); it("can load various types of custom properties", function () { @@ -2754,264 +2789,279 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.getById("MyID"); + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.getById("MyID"); - expect(entity).toBeDefined(); - expect(entity.properties).toBeDefined(); + expect(entity).toBeDefined(); + expect(entity.properties).toBeDefined(); - const time1 = JulianDate.fromIso8601("2012-06-01"); - const time2 = JulianDate.fromIso8601("2013-06-01"); + const time1 = JulianDate.fromIso8601("2012-06-01"); + const time2 = JulianDate.fromIso8601("2013-06-01"); - expect(entity.properties.custom_array_constant).toBeDefined(); - expect( - entity.properties.custom_array_constant.getValue(time1) - ).toBeInstanceOf(Array); - expect(entity.properties.custom_array_constant.getValue(time1)).toEqual( - packet.properties.custom_array_constant.array - ); + expect(entity.properties.custom_array_constant).toBeDefined(); + expect( + entity.properties.custom_array_constant.getValue(time1), + ).toBeInstanceOf(Array); + expect(entity.properties.custom_array_constant.getValue(time1)).toEqual( + packet.properties.custom_array_constant.array, + ); - expect(entity.properties.custom_array_interval).toBeDefined(); - expect( - entity.properties.custom_array_interval.getValue(time1) - ).toBeInstanceOf(Array); - expect(entity.properties.custom_array_interval.getValue(time1)).toEqual( - packet.properties.custom_array_interval[0].array - ); - expect(entity.properties.custom_array_interval.getValue(time2)).toEqual( - packet.properties.custom_array_interval[1].array - ); + expect(entity.properties.custom_array_interval).toBeDefined(); + expect( + entity.properties.custom_array_interval.getValue(time1), + ).toBeInstanceOf(Array); + expect(entity.properties.custom_array_interval.getValue(time1)).toEqual( + packet.properties.custom_array_interval[0].array, + ); + expect(entity.properties.custom_array_interval.getValue(time2)).toEqual( + packet.properties.custom_array_interval[1].array, + ); - expect(entity.properties.custom_boolean_constant).toBeDefined(); - expect(entity.properties.custom_boolean_constant.getValue(time1)).toEqual( - packet.properties.custom_boolean_constant.boolean - ); + expect(entity.properties.custom_boolean_constant).toBeDefined(); + expect( + entity.properties.custom_boolean_constant.getValue(time1), + ).toEqual(packet.properties.custom_boolean_constant.boolean); - expect(entity.properties.custom_boolean_interval).toBeDefined(); - expect(entity.properties.custom_boolean_interval.getValue(time1)).toEqual( - packet.properties.custom_boolean_interval[0].boolean - ); - expect(entity.properties.custom_boolean_interval.getValue(time2)).toEqual( - packet.properties.custom_boolean_interval[1].boolean - ); + expect(entity.properties.custom_boolean_interval).toBeDefined(); + expect( + entity.properties.custom_boolean_interval.getValue(time1), + ).toEqual(packet.properties.custom_boolean_interval[0].boolean); + expect( + entity.properties.custom_boolean_interval.getValue(time2), + ).toEqual(packet.properties.custom_boolean_interval[1].boolean); - expect(entity.properties.custom_boundingRectangle_constant).toBeDefined(); - expect( - entity.properties.custom_boundingRectangle_constant.getValue(time1) - ).toBeInstanceOf(BoundingRectangle); - expect( - entity.properties.custom_boundingRectangle_constant.getValue(time1) - ).toEqual( - BoundingRectangle.unpack( - packet.properties.custom_boundingRectangle_constant.boundingRectangle - ) - ); + expect( + entity.properties.custom_boundingRectangle_constant, + ).toBeDefined(); + expect( + entity.properties.custom_boundingRectangle_constant.getValue(time1), + ).toBeInstanceOf(BoundingRectangle); + expect( + entity.properties.custom_boundingRectangle_constant.getValue(time1), + ).toEqual( + BoundingRectangle.unpack( + packet.properties.custom_boundingRectangle_constant + .boundingRectangle, + ), + ); - expect(entity.properties.custom_boundingRectangle_interval).toBeDefined(); - expect( - entity.properties.custom_boundingRectangle_interval.getValue(time1) - ).toBeInstanceOf(BoundingRectangle); - expect( - entity.properties.custom_boundingRectangle_interval.getValue(time1) - ).toEqual( - BoundingRectangle.unpack( - packet.properties.custom_boundingRectangle_interval[0] - .boundingRectangle - ) - ); - expect( - entity.properties.custom_boundingRectangle_interval.getValue(time2) - ).toEqual( - BoundingRectangle.unpack( - packet.properties.custom_boundingRectangle_interval[1] - .boundingRectangle - ) - ); + expect( + entity.properties.custom_boundingRectangle_interval, + ).toBeDefined(); + expect( + entity.properties.custom_boundingRectangle_interval.getValue(time1), + ).toBeInstanceOf(BoundingRectangle); + expect( + entity.properties.custom_boundingRectangle_interval.getValue(time1), + ).toEqual( + BoundingRectangle.unpack( + packet.properties.custom_boundingRectangle_interval[0] + .boundingRectangle, + ), + ); + expect( + entity.properties.custom_boundingRectangle_interval.getValue(time2), + ).toEqual( + BoundingRectangle.unpack( + packet.properties.custom_boundingRectangle_interval[1] + .boundingRectangle, + ), + ); - expect(entity.properties.custom_boundingRectangle_sampled).toBeDefined(); - expect( - entity.properties.custom_boundingRectangle_sampled.getValue(time1) - ).toBeInstanceOf(BoundingRectangle); - expect( - entity.properties.custom_boundingRectangle_sampled.getValue(time1) - ).toEqual( - BoundingRectangle.unpack( - packet.properties.custom_boundingRectangle_sampled.boundingRectangle, - 0 + 1 - ) - ); - expect( - entity.properties.custom_boundingRectangle_sampled.getValue( - JulianDate.addSeconds(time1, 60, new JulianDate()) - ) - ).toEqual( - BoundingRectangle.unpack( - packet.properties.custom_boundingRectangle_sampled.boundingRectangle, - 4 + 2 - ) - ); + expect( + entity.properties.custom_boundingRectangle_sampled, + ).toBeDefined(); + expect( + entity.properties.custom_boundingRectangle_sampled.getValue(time1), + ).toBeInstanceOf(BoundingRectangle); + expect( + entity.properties.custom_boundingRectangle_sampled.getValue(time1), + ).toEqual( + BoundingRectangle.unpack( + packet.properties.custom_boundingRectangle_sampled + .boundingRectangle, + 0 + 1, + ), + ); + expect( + entity.properties.custom_boundingRectangle_sampled.getValue( + JulianDate.addSeconds(time1, 60, new JulianDate()), + ), + ).toEqual( + BoundingRectangle.unpack( + packet.properties.custom_boundingRectangle_sampled + .boundingRectangle, + 4 + 2, + ), + ); - expect(entity.properties.custom_cartesian2_constant).toBeDefined(); - expect( - entity.properties.custom_cartesian2_constant.getValue(time1) - ).toBeInstanceOf(Cartesian2); - expect( - entity.properties.custom_cartesian2_constant.getValue(time1) - ).toEqual( - Cartesian2.unpack( - packet.properties.custom_cartesian2_constant.cartesian2 - ) - ); + expect(entity.properties.custom_cartesian2_constant).toBeDefined(); + expect( + entity.properties.custom_cartesian2_constant.getValue(time1), + ).toBeInstanceOf(Cartesian2); + expect( + entity.properties.custom_cartesian2_constant.getValue(time1), + ).toEqual( + Cartesian2.unpack( + packet.properties.custom_cartesian2_constant.cartesian2, + ), + ); - expect(entity.properties.custom_cartesian2_interval).toBeDefined(); - expect( - entity.properties.custom_cartesian2_interval.getValue(time1) - ).toBeInstanceOf(Cartesian2); - expect( - entity.properties.custom_cartesian2_interval.getValue(time1) - ).toEqual( - Cartesian2.unpack( - packet.properties.custom_cartesian2_interval[0].cartesian2 - ) - ); - expect( - entity.properties.custom_cartesian2_interval.getValue(time2) - ).toEqual( - Cartesian2.unpack( - packet.properties.custom_cartesian2_interval[1].cartesian2 - ) - ); + expect(entity.properties.custom_cartesian2_interval).toBeDefined(); + expect( + entity.properties.custom_cartesian2_interval.getValue(time1), + ).toBeInstanceOf(Cartesian2); + expect( + entity.properties.custom_cartesian2_interval.getValue(time1), + ).toEqual( + Cartesian2.unpack( + packet.properties.custom_cartesian2_interval[0].cartesian2, + ), + ); + expect( + entity.properties.custom_cartesian2_interval.getValue(time2), + ).toEqual( + Cartesian2.unpack( + packet.properties.custom_cartesian2_interval[1].cartesian2, + ), + ); - expect(entity.properties.custom_cartesian2_sampled).toBeDefined(); - expect( - entity.properties.custom_cartesian2_sampled.getValue(time1) - ).toBeInstanceOf(Cartesian2); - expect( - entity.properties.custom_cartesian2_sampled.getValue(time1) - ).toEqual( - Cartesian2.unpack( - packet.properties.custom_cartesian2_sampled.cartesian2, - 0 + 1 - ) - ); - expect( - entity.properties.custom_cartesian2_sampled.getValue( - JulianDate.addSeconds(time1, 60, new JulianDate()) - ) - ).toEqual( - Cartesian2.unpack( - packet.properties.custom_cartesian2_sampled.cartesian2, - 2 + 2 - ) - ); + expect(entity.properties.custom_cartesian2_sampled).toBeDefined(); + expect( + entity.properties.custom_cartesian2_sampled.getValue(time1), + ).toBeInstanceOf(Cartesian2); + expect( + entity.properties.custom_cartesian2_sampled.getValue(time1), + ).toEqual( + Cartesian2.unpack( + packet.properties.custom_cartesian2_sampled.cartesian2, + 0 + 1, + ), + ); + expect( + entity.properties.custom_cartesian2_sampled.getValue( + JulianDate.addSeconds(time1, 60, new JulianDate()), + ), + ).toEqual( + Cartesian2.unpack( + packet.properties.custom_cartesian2_sampled.cartesian2, + 2 + 2, + ), + ); - expect(entity.properties.custom_cartesian_constant).toBeDefined(); - expect( - entity.properties.custom_cartesian_constant.getValue(time1) - ).toBeInstanceOf(Cartesian3); - expect( - entity.properties.custom_cartesian_constant.getValue(time1) - ).toEqual( - Cartesian3.unpack(packet.properties.custom_cartesian_constant.cartesian) - ); + expect(entity.properties.custom_cartesian_constant).toBeDefined(); + expect( + entity.properties.custom_cartesian_constant.getValue(time1), + ).toBeInstanceOf(Cartesian3); + expect( + entity.properties.custom_cartesian_constant.getValue(time1), + ).toEqual( + Cartesian3.unpack( + packet.properties.custom_cartesian_constant.cartesian, + ), + ); - expect(entity.properties.custom_cartesian_interval).toBeDefined(); - expect( - entity.properties.custom_cartesian_interval.getValue(time1) - ).toBeInstanceOf(Cartesian3); - expect( - entity.properties.custom_cartesian_interval.getValue(time1) - ).toEqual( - Cartesian3.unpack( - packet.properties.custom_cartesian_interval[0].cartesian - ) - ); - expect( - entity.properties.custom_cartesian_interval.getValue(time2) - ).toEqual( - Cartesian3.unpack( - packet.properties.custom_cartesian_interval[1].cartesian - ) - ); + expect(entity.properties.custom_cartesian_interval).toBeDefined(); + expect( + entity.properties.custom_cartesian_interval.getValue(time1), + ).toBeInstanceOf(Cartesian3); + expect( + entity.properties.custom_cartesian_interval.getValue(time1), + ).toEqual( + Cartesian3.unpack( + packet.properties.custom_cartesian_interval[0].cartesian, + ), + ); + expect( + entity.properties.custom_cartesian_interval.getValue(time2), + ).toEqual( + Cartesian3.unpack( + packet.properties.custom_cartesian_interval[1].cartesian, + ), + ); - expect(entity.properties.custom_cartesian_sampled).toBeDefined(); - expect( - entity.properties.custom_cartesian_sampled.getValue(time1) - ).toBeInstanceOf(Cartesian3); - expect( - entity.properties.custom_cartesian_sampled.getValue(time1) - ).toEqual( - Cartesian3.unpack( - packet.properties.custom_cartesian_sampled.cartesian, - 0 + 1 - ) - ); - expect( - entity.properties.custom_cartesian_sampled.getValue( - JulianDate.addSeconds(time1, 60, new JulianDate()) - ) - ).toEqual( - Cartesian3.unpack( - packet.properties.custom_cartesian_sampled.cartesian, - 3 + 2 - ) - ); + expect(entity.properties.custom_cartesian_sampled).toBeDefined(); + expect( + entity.properties.custom_cartesian_sampled.getValue(time1), + ).toBeInstanceOf(Cartesian3); + expect( + entity.properties.custom_cartesian_sampled.getValue(time1), + ).toEqual( + Cartesian3.unpack( + packet.properties.custom_cartesian_sampled.cartesian, + 0 + 1, + ), + ); + expect( + entity.properties.custom_cartesian_sampled.getValue( + JulianDate.addSeconds(time1, 60, new JulianDate()), + ), + ).toEqual( + Cartesian3.unpack( + packet.properties.custom_cartesian_sampled.cartesian, + 3 + 2, + ), + ); - expect(entity.properties.custom_color_constant).toBeDefined(); - expect( - entity.properties.custom_color_constant.getValue(time1) - ).toBeInstanceOf(Color); - expect(entity.properties.custom_color_constant.getValue(time1)).toEqual( - Color.unpack(packet.properties.custom_color_constant.rgbaf) - ); + expect(entity.properties.custom_color_constant).toBeDefined(); + expect( + entity.properties.custom_color_constant.getValue(time1), + ).toBeInstanceOf(Color); + expect(entity.properties.custom_color_constant.getValue(time1)).toEqual( + Color.unpack(packet.properties.custom_color_constant.rgbaf), + ); - expect(entity.properties.custom_color_interval).toBeDefined(); - expect( - entity.properties.custom_color_interval.getValue(time1) - ).toBeInstanceOf(Color); - expect(entity.properties.custom_color_interval.getValue(time1)).toEqual( - Color.unpack(packet.properties.custom_color_interval[0].rgbaf) - ); - expect(entity.properties.custom_color_interval.getValue(time2)).toEqual( - Color.unpack(packet.properties.custom_color_interval[1].rgbaf) - ); + expect(entity.properties.custom_color_interval).toBeDefined(); + expect( + entity.properties.custom_color_interval.getValue(time1), + ).toBeInstanceOf(Color); + expect(entity.properties.custom_color_interval.getValue(time1)).toEqual( + Color.unpack(packet.properties.custom_color_interval[0].rgbaf), + ); + expect(entity.properties.custom_color_interval.getValue(time2)).toEqual( + Color.unpack(packet.properties.custom_color_interval[1].rgbaf), + ); - expect(entity.properties.custom_color_sampled).toBeDefined(); - expect( - entity.properties.custom_color_sampled.getValue(time1) - ).toBeInstanceOf(Color); - expect(entity.properties.custom_color_sampled.getValue(time1)).toEqual( - Color.unpack(packet.properties.custom_color_sampled.rgbaf, 0 + 1) - ); - expect( - entity.properties.custom_color_sampled.getValue( - JulianDate.addSeconds(time1, 60, new JulianDate()) - ) - ).toEqual( - Color.unpack(packet.properties.custom_color_sampled.rgbaf, 4 + 2) - ); + expect(entity.properties.custom_color_sampled).toBeDefined(); + expect( + entity.properties.custom_color_sampled.getValue(time1), + ).toBeInstanceOf(Color); + expect(entity.properties.custom_color_sampled.getValue(time1)).toEqual( + Color.unpack(packet.properties.custom_color_sampled.rgbaf, 0 + 1), + ); + expect( + entity.properties.custom_color_sampled.getValue( + JulianDate.addSeconds(time1, 60, new JulianDate()), + ), + ).toEqual( + Color.unpack(packet.properties.custom_color_sampled.rgbaf, 4 + 2), + ); - expect(entity.properties.custom_date_constant).toBeDefined(); - expect( - entity.properties.custom_date_constant.getValue(time1) - ).toBeInstanceOf(JulianDate); - expect(entity.properties.custom_date_constant.getValue(time1)).toEqual( - JulianDate.fromIso8601(packet.properties.custom_date_constant.date) - ); + expect(entity.properties.custom_date_constant).toBeDefined(); + expect( + entity.properties.custom_date_constant.getValue(time1), + ).toBeInstanceOf(JulianDate); + expect(entity.properties.custom_date_constant.getValue(time1)).toEqual( + JulianDate.fromIso8601(packet.properties.custom_date_constant.date), + ); - expect(entity.properties.custom_date_interval).toBeDefined(); - expect( - entity.properties.custom_date_interval.getValue(time1) - ).toBeInstanceOf(JulianDate); - expect(entity.properties.custom_date_interval.getValue(time1)).toEqual( - JulianDate.fromIso8601(packet.properties.custom_date_interval[0].date) - ); - expect(entity.properties.custom_date_interval.getValue(time2)).toEqual( - JulianDate.fromIso8601(packet.properties.custom_date_interval[1].date) - ); - }); + expect(entity.properties.custom_date_interval).toBeDefined(); + expect( + entity.properties.custom_date_interval.getValue(time1), + ).toBeInstanceOf(JulianDate); + expect(entity.properties.custom_date_interval.getValue(time1)).toEqual( + JulianDate.fromIso8601( + packet.properties.custom_date_interval[0].date, + ), + ); + expect(entity.properties.custom_date_interval.getValue(time2)).toEqual( + JulianDate.fromIso8601( + packet.properties.custom_date_interval[1].date, + ), + ); + }, + ); }); it("can delete an entire property", function () { @@ -3111,7 +3161,7 @@ describe("DataSources/CzmlDataSource", function () { expect(entity.billboard.scale).toBeInstanceOf(ConstantProperty); entity = dataSource.entities.getById("test-interval"); expect(entity.billboard.scale).toBeInstanceOf( - TimeIntervalCollectionProperty + TimeIntervalCollectionProperty, ); entity = dataSource.entities.getById("test-sampled"); expect(entity.billboard.scale).toBeInstanceOf(SampledProperty); @@ -3289,7 +3339,7 @@ describe("DataSources/CzmlDataSource", function () { expect(entity.position).toBeInstanceOf(ConstantPositionProperty); entity = dataSource.entities.getById("test-interval"); expect(entity.position).toBeInstanceOf( - TimeIntervalCollectionPositionProperty + TimeIntervalCollectionPositionProperty, ); entity = dataSource.entities.getById("test-sampled"); expect(entity.position).toBeInstanceOf(SampledPositionProperty); @@ -3355,18 +3405,18 @@ describe("DataSources/CzmlDataSource", function () { expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2012-03-15T10:00:00Z") - ) + JulianDate.fromIso8601("2012-03-15T10:00:00Z"), + ), ).toEqual(1); expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2012-03-15T11:00:00Z") - ) + JulianDate.fromIso8601("2012-03-15T11:00:00Z"), + ), ).toEqual(5); expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2012-03-15T12:00:00Z") - ) + JulianDate.fromIso8601("2012-03-15T12:00:00Z"), + ), ).toEqual(3); return dataSource; @@ -3389,19 +3439,19 @@ describe("DataSources/CzmlDataSource", function () { expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2012-03-15T10:00:00Z") - ) + JulianDate.fromIso8601("2012-03-15T10:00:00Z"), + ), ).toEqual(1); // deleting sample will cause the property to interpolate from remaining samples expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2012-03-15T11:00:00Z") - ) + JulianDate.fromIso8601("2012-03-15T11:00:00Z"), + ), ).toEqual(2); expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2012-03-15T12:00:00Z") - ) + JulianDate.fromIso8601("2012-03-15T12:00:00Z"), + ), ).toEqual(3); }); }); @@ -3430,18 +3480,18 @@ describe("DataSources/CzmlDataSource", function () { expect( entity.properties.custom.getValue( - JulianDate.fromIso8601("2012-03-15T10:00:00Z") - ) + JulianDate.fromIso8601("2012-03-15T10:00:00Z"), + ), ).toEqual(1); expect( entity.properties.custom.getValue( - JulianDate.fromIso8601("2012-03-15T11:00:00Z") - ) + JulianDate.fromIso8601("2012-03-15T11:00:00Z"), + ), ).toEqual(5); expect( entity.properties.custom.getValue( - JulianDate.fromIso8601("2012-03-15T12:00:00Z") - ) + JulianDate.fromIso8601("2012-03-15T12:00:00Z"), + ), ).toEqual(3); return dataSource; @@ -3464,19 +3514,19 @@ describe("DataSources/CzmlDataSource", function () { expect( entity.properties.custom.getValue( - JulianDate.fromIso8601("2012-03-15T10:00:00Z") - ) + JulianDate.fromIso8601("2012-03-15T10:00:00Z"), + ), ).toEqual(1); // deleting sample will cause the property to interpolate from remaining samples expect( entity.properties.custom.getValue( - JulianDate.fromIso8601("2012-03-15T11:00:00Z") - ) + JulianDate.fromIso8601("2012-03-15T11:00:00Z"), + ), ).toEqual(2); expect( entity.properties.custom.getValue( - JulianDate.fromIso8601("2012-03-15T12:00:00Z") - ) + JulianDate.fromIso8601("2012-03-15T12:00:00Z"), + ), ).toEqual(3); }); }); @@ -3497,18 +3547,18 @@ describe("DataSources/CzmlDataSource", function () { expect( entity.position.getValue( - JulianDate.fromIso8601("2016-06-17T12:00:00Z") - ) + JulianDate.fromIso8601("2016-06-17T12:00:00Z"), + ), ).toEqual(new Cartesian3(1, 2, 3)); expect( entity.position.getValue( - JulianDate.fromIso8601("2016-06-17T12:01:00Z") - ) + JulianDate.fromIso8601("2016-06-17T12:01:00Z"), + ), ).toEqual(new Cartesian3(61, 122, 183)); expect( entity.position.getValue( - JulianDate.fromIso8601("2016-06-17T12:02:00Z") - ) + JulianDate.fromIso8601("2016-06-17T12:02:00Z"), + ), ).toEqual(new Cartesian3(3, 4, 5)); return dataSource; @@ -3529,19 +3579,19 @@ describe("DataSources/CzmlDataSource", function () { expect( entity.position.getValue( - JulianDate.fromIso8601("2016-06-17T12:00:00Z") - ) + JulianDate.fromIso8601("2016-06-17T12:00:00Z"), + ), ).toEqual(new Cartesian3(1, 2, 3)); // deleting sample will cause the property to interpolate from remaining samples expect( entity.position.getValue( - JulianDate.fromIso8601("2016-06-17T12:01:00Z") - ) + JulianDate.fromIso8601("2016-06-17T12:01:00Z"), + ), ).toEqual(new Cartesian3(2, 3, 4)); expect( entity.position.getValue( - JulianDate.fromIso8601("2016-06-17T12:02:00Z") - ) + JulianDate.fromIso8601("2016-06-17T12:02:00Z"), + ), ).toEqual(new Cartesian3(3, 4, 5)); }); }); @@ -3567,18 +3617,18 @@ describe("DataSources/CzmlDataSource", function () { .then(function (dataSource) { const entity = dataSource.entities.getById("id"); expect(entity.billboard.scale).toBeInstanceOf( - TimeIntervalCollectionProperty + TimeIntervalCollectionProperty, ); expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2013-01-01T00:30:00Z") - ) + JulianDate.fromIso8601("2013-01-01T00:30:00Z"), + ), ).toEqual(2); expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2013-01-01T01:30:00Z") - ) + JulianDate.fromIso8601("2013-01-01T01:30:00Z"), + ), ).toEqual(6); return dataSource; @@ -3598,28 +3648,28 @@ describe("DataSources/CzmlDataSource", function () { .then(function (dataSource) { const entity = dataSource.entities.getById("id"); expect(entity.billboard.scale).toBeInstanceOf( - TimeIntervalCollectionProperty + TimeIntervalCollectionProperty, ); expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2013-01-01T00:30:00Z") - ) + JulianDate.fromIso8601("2013-01-01T00:30:00Z"), + ), ).toBeUndefined(); expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2013-01-01T01:30:00Z") - ) + JulianDate.fromIso8601("2013-01-01T01:30:00Z"), + ), ).toBeUndefined(); expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2013-01-01T00:29:00Z") - ) + JulianDate.fromIso8601("2013-01-01T00:29:00Z"), + ), ).toEqual(2); expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2013-01-01T01:31:00Z") - ) + JulianDate.fromIso8601("2013-01-01T01:31:00Z"), + ), ).toEqual(6); }); }); @@ -3643,18 +3693,18 @@ describe("DataSources/CzmlDataSource", function () { .then(function (dataSource) { const entity = dataSource.entities.getById("id"); expect(entity.position).toBeInstanceOf( - TimeIntervalCollectionPositionProperty + TimeIntervalCollectionPositionProperty, ); expect( entity.position.getValue( - JulianDate.fromIso8601("2013-01-01T00:30:00Z") - ) + JulianDate.fromIso8601("2013-01-01T00:30:00Z"), + ), ).toEqual(new Cartesian3(1, 2, 3)); expect( entity.position.getValue( - JulianDate.fromIso8601("2013-01-01T01:30:00Z") - ) + JulianDate.fromIso8601("2013-01-01T01:30:00Z"), + ), ).toEqual(new Cartesian3(4, 5, 6)); return dataSource; @@ -3672,28 +3722,28 @@ describe("DataSources/CzmlDataSource", function () { .then(function (dataSource) { const entity = dataSource.entities.getById("id"); expect(entity.position).toBeInstanceOf( - TimeIntervalCollectionPositionProperty + TimeIntervalCollectionPositionProperty, ); expect( entity.position.getValue( - JulianDate.fromIso8601("2013-01-01T00:30:00Z") - ) + JulianDate.fromIso8601("2013-01-01T00:30:00Z"), + ), ).toBeUndefined(); expect( entity.position.getValue( - JulianDate.fromIso8601("2013-01-01T01:30:00Z") - ) + JulianDate.fromIso8601("2013-01-01T01:30:00Z"), + ), ).toBeUndefined(); expect( entity.position.getValue( - JulianDate.fromIso8601("2013-01-01T00:29:00Z") - ) + JulianDate.fromIso8601("2013-01-01T00:29:00Z"), + ), ).toEqual(new Cartesian3(1, 2, 3)); expect( entity.position.getValue( - JulianDate.fromIso8601("2013-01-01T01:31:00Z") - ) + JulianDate.fromIso8601("2013-01-01T01:31:00Z"), + ), ).toEqual(new Cartesian3(4, 5, 6)); }); }); @@ -3734,38 +3784,38 @@ describe("DataSources/CzmlDataSource", function () { expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2013-01-01T00:00:00Z") - ) + JulianDate.fromIso8601("2013-01-01T00:00:00Z"), + ), ).toEqual(1); expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2013-01-01T00:00:30Z") - ) + JulianDate.fromIso8601("2013-01-01T00:00:30Z"), + ), ).toEqual(6); expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2013-01-01T00:01:00Z") - ) + JulianDate.fromIso8601("2013-01-01T00:01:00Z"), + ), ).toEqual(3); expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2013-01-01T00:02:00Z") - ) + JulianDate.fromIso8601("2013-01-01T00:02:00Z"), + ), ).toEqual(33); expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2013-01-01T01:00:00Z") - ) + JulianDate.fromIso8601("2013-01-01T01:00:00Z"), + ), ).toEqual(9); expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2013-01-01T01:00:30Z") - ) + JulianDate.fromIso8601("2013-01-01T01:00:30Z"), + ), ).toEqual(19); expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2013-01-01T01:01:00Z") - ) + JulianDate.fromIso8601("2013-01-01T01:01:00Z"), + ), ).toEqual(11); return dataSource; @@ -3788,38 +3838,38 @@ describe("DataSources/CzmlDataSource", function () { expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2013-01-01T00:00:00Z") - ) + JulianDate.fromIso8601("2013-01-01T00:00:00Z"), + ), ).toEqual(1); expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2013-01-01T00:00:30Z") - ) + JulianDate.fromIso8601("2013-01-01T00:00:30Z"), + ), ).toEqual(6); expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2013-01-01T00:01:00Z") - ) + JulianDate.fromIso8601("2013-01-01T00:01:00Z"), + ), ).toBeUndefined(); expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2013-01-01T00:02:00Z") - ) + JulianDate.fromIso8601("2013-01-01T00:02:00Z"), + ), ).toBeUndefined(); expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2013-01-01T01:00:00Z") - ) + JulianDate.fromIso8601("2013-01-01T01:00:00Z"), + ), ).toBeUndefined(); expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2013-01-01T01:00:30Z") - ) + JulianDate.fromIso8601("2013-01-01T01:00:30Z"), + ), ).toEqual(19); expect( entity.billboard.scale.getValue( - JulianDate.fromIso8601("2013-01-01T01:01:00Z") - ) + JulianDate.fromIso8601("2013-01-01T01:01:00Z"), + ), ).toEqual(11); }); }); @@ -3864,38 +3914,38 @@ describe("DataSources/CzmlDataSource", function () { expect( entity.position.getValue( - JulianDate.fromIso8601("2013-01-01T00:00:00Z") - ) + JulianDate.fromIso8601("2013-01-01T00:00:00Z"), + ), ).toEqual(new Cartesian3(1, 2, 3)); expect( entity.position.getValue( - JulianDate.fromIso8601("2013-01-01T00:00:30Z") - ) + JulianDate.fromIso8601("2013-01-01T00:00:30Z"), + ), ).toEqual(new Cartesian3(6, 7, 8)); expect( entity.position.getValue( - JulianDate.fromIso8601("2013-01-01T00:01:00Z") - ) + JulianDate.fromIso8601("2013-01-01T00:01:00Z"), + ), ).toEqual(new Cartesian3(3, 4, 5)); expect( entity.position.getValue( - JulianDate.fromIso8601("2013-01-01T00:02:00Z") - ) + JulianDate.fromIso8601("2013-01-01T00:02:00Z"), + ), ).toEqual(new Cartesian3(15, 16, 17)); expect( entity.position.getValue( - JulianDate.fromIso8601("2013-01-01T01:00:00Z") - ) + JulianDate.fromIso8601("2013-01-01T01:00:00Z"), + ), ).toEqual(new Cartesian3(9, 15, 10)); expect( entity.position.getValue( - JulianDate.fromIso8601("2013-01-01T01:00:30Z") - ) + JulianDate.fromIso8601("2013-01-01T01:00:30Z"), + ), ).toEqual(new Cartesian3(19, 16, 11)); expect( entity.position.getValue( - JulianDate.fromIso8601("2013-01-01T01:01:00Z") - ) + JulianDate.fromIso8601("2013-01-01T01:01:00Z"), + ), ).toEqual(new Cartesian3(11, 17, 12)); return dataSource; @@ -3916,38 +3966,38 @@ describe("DataSources/CzmlDataSource", function () { expect( entity.position.getValue( - JulianDate.fromIso8601("2013-01-01T00:00:00Z") - ) + JulianDate.fromIso8601("2013-01-01T00:00:00Z"), + ), ).toEqual(new Cartesian3(1, 2, 3)); expect( entity.position.getValue( - JulianDate.fromIso8601("2013-01-01T00:00:30Z") - ) + JulianDate.fromIso8601("2013-01-01T00:00:30Z"), + ), ).toEqual(new Cartesian3(6, 7, 8)); expect( entity.position.getValue( - JulianDate.fromIso8601("2013-01-01T00:01:00Z") - ) + JulianDate.fromIso8601("2013-01-01T00:01:00Z"), + ), ).toBeUndefined(); expect( entity.position.getValue( - JulianDate.fromIso8601("2013-01-01T00:02:00Z") - ) + JulianDate.fromIso8601("2013-01-01T00:02:00Z"), + ), ).toBeUndefined(); expect( entity.position.getValue( - JulianDate.fromIso8601("2013-01-01T01:00:00Z") - ) + JulianDate.fromIso8601("2013-01-01T01:00:00Z"), + ), ).toBeUndefined(); expect( entity.position.getValue( - JulianDate.fromIso8601("2013-01-01T01:00:30Z") - ) + JulianDate.fromIso8601("2013-01-01T01:00:30Z"), + ), ).toEqual(new Cartesian3(19, 16, 11)); expect( entity.position.getValue( - JulianDate.fromIso8601("2013-01-01T01:01:00Z") - ) + JulianDate.fromIso8601("2013-01-01T01:01:00Z"), + ), ).toEqual(new Cartesian3(11, 17, 12)); }); }); @@ -4012,16 +4062,16 @@ describe("DataSources/CzmlDataSource", function () { // Initially we use all the properties from dataSource1. entity = composite.values[0]; expect(entity.properties.constant_name.getValue(time)).toEqual( - packet1.properties.constant_name + packet1.properties.constant_name, ); expect(entity.properties.constant_height.getValue(time)).toEqual( - packet1.properties.constant_height + packet1.properties.constant_height, ); expect(entity.properties.constant_object.getValue(time)).toEqual( - testObject1 + testObject1, ); expect(entity.properties.constant_array.getValue(time)).toEqual( - testArray1 + testArray1, ); // Load a new packet into dataSource2 and it should take precedence in the composite. @@ -4030,16 +4080,16 @@ describe("DataSources/CzmlDataSource", function () { .then(function () { entity = composite.values[0]; expect(entity.properties.constant_name.getValue(time)).toEqual( - packet2.properties.constant_name + packet2.properties.constant_name, ); expect(entity.properties.constant_height.getValue(time)).toEqual( - packet1.properties.constant_height + packet1.properties.constant_height, ); expect(entity.properties.constant_object.getValue(time)).toEqual( - testObject1 + testObject1, ); expect(entity.properties.constant_array.getValue(time)).toEqual( - testArray1 + testArray1, ); // Changed values should be mirrored in the composite, too. @@ -4048,16 +4098,16 @@ describe("DataSources/CzmlDataSource", function () { .then(function () { entity = composite.values[0]; expect(entity.properties.constant_name.getValue(time)).toEqual( - packet2.properties.constant_name + packet2.properties.constant_name, ); expect(entity.properties.constant_height.getValue(time)).toEqual( - packet3.properties.constant_height + packet3.properties.constant_height, ); expect(entity.properties.constant_object.getValue(time)).toEqual( - testObject3 + testObject3, ); expect(entity.properties.constant_array.getValue(time)).toEqual( - testArray3 + testArray3, ); }); }); @@ -4162,31 +4212,33 @@ describe("DataSources/CzmlDataSource", function () { const time = Iso8601.MINIMUM_VALUE; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.path).toBeDefined(); - expect(entity.path.material.color.getValue(time)).toEqual( - Color.unpack(packet.path.material.polylineOutline.color.rgbaf) - ); - expect(entity.path.material.outlineColor.getValue(time)).toEqual( - Color.unpack(packet.path.material.polylineOutline.outlineColor.rgbaf) - ); - expect(entity.path.material.outlineWidth.getValue(time)).toEqual( - packet.path.material.polylineOutline.outlineWidth - ); - expect(entity.path.width.getValue(time)).toEqual(packet.path.width); - expect(entity.path.resolution.getValue(time)).toEqual( - packet.path.resolution - ); - expect(entity.path.leadTime.getValue(time)).toEqual(packet.path.leadTime); - expect(entity.path.trailTime.getValue(time)).toEqual( - packet.path.trailTime - ); - expect(entity.path.show.getValue(time)).toEqual(packet.path.show); - }); + expect(entity.path).toBeDefined(); + expect(entity.path.material.color.getValue(time)).toEqual( + Color.unpack(packet.path.material.polylineOutline.color.rgbaf), + ); + expect(entity.path.material.outlineColor.getValue(time)).toEqual( + Color.unpack(packet.path.material.polylineOutline.outlineColor.rgbaf), + ); + expect(entity.path.material.outlineWidth.getValue(time)).toEqual( + packet.path.material.polylineOutline.outlineWidth, + ); + expect(entity.path.width.getValue(time)).toEqual(packet.path.width); + expect(entity.path.resolution.getValue(time)).toEqual( + packet.path.resolution, + ); + expect(entity.path.leadTime.getValue(time)).toEqual( + packet.path.leadTime, + ); + expect(entity.path.trailTime.getValue(time)).toEqual( + packet.path.trailTime, + ); + expect(entity.path.show.getValue(time)).toEqual(packet.path.show); + }, + ); }); it("can load interval data for path", function () { @@ -4217,39 +4269,41 @@ describe("DataSources/CzmlDataSource", function () { }).start; const invalidTime = JulianDate.addSeconds(validTime, -1, new JulianDate()); - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.path).toBeDefined(); - expect(entity.path.width.getValue(validTime)).toEqual(packet.path.width); - expect(entity.path.resolution.getValue(validTime)).toEqual( - packet.path.resolution - ); - expect(entity.path.leadTime.getValue(validTime)).toEqual( - packet.path.leadTime - ); - expect(entity.path.trailTime.getValue(validTime)).toEqual( - packet.path.trailTime - ); - expect(entity.path.show.getValue(validTime)).toEqual(packet.path.show); - expect(entity.path.material.getValue(validTime).color).toEqual( - Color.unpack(packet.path.material.polylineOutline.color.rgbaf) - ); - expect(entity.path.material.getValue(validTime).outlineColor).toEqual( - Color.unpack(packet.path.material.polylineOutline.outlineColor.rgbaf) - ); - expect(entity.path.material.getValue(validTime).outlineWidth).toEqual( - packet.path.material.polylineOutline.outlineWidth - ); + expect(entity.path).toBeDefined(); + expect(entity.path.width.getValue(validTime)).toEqual( + packet.path.width, + ); + expect(entity.path.resolution.getValue(validTime)).toEqual( + packet.path.resolution, + ); + expect(entity.path.leadTime.getValue(validTime)).toEqual( + packet.path.leadTime, + ); + expect(entity.path.trailTime.getValue(validTime)).toEqual( + packet.path.trailTime, + ); + expect(entity.path.show.getValue(validTime)).toEqual(packet.path.show); + expect(entity.path.material.getValue(validTime).color).toEqual( + Color.unpack(packet.path.material.polylineOutline.color.rgbaf), + ); + expect(entity.path.material.getValue(validTime).outlineColor).toEqual( + Color.unpack(packet.path.material.polylineOutline.outlineColor.rgbaf), + ); + expect(entity.path.material.getValue(validTime).outlineWidth).toEqual( + packet.path.material.polylineOutline.outlineWidth, + ); - expect(entity.path.material.getValue(invalidTime)).toBeUndefined(); - expect(entity.path.width.getValue(invalidTime)).toBeUndefined(); - expect(entity.path.leadTime.getValue(invalidTime)).toBeUndefined(); - expect(entity.path.trailTime.getValue(invalidTime)).toBeUndefined(); - expect(entity.path.show.getValue(invalidTime)).toBeUndefined(); - }); + expect(entity.path.material.getValue(invalidTime)).toBeUndefined(); + expect(entity.path.width.getValue(invalidTime)).toBeUndefined(); + expect(entity.path.leadTime.getValue(invalidTime)).toBeUndefined(); + expect(entity.path.trailTime.getValue(invalidTime)).toBeUndefined(); + expect(entity.path.show.getValue(invalidTime)).toBeUndefined(); + }, + ); }); it("can load constant data for point", function () { @@ -4276,35 +4330,37 @@ describe("DataSources/CzmlDataSource", function () { const time = Iso8601.MINIMUM_VALUE; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.point).toBeDefined(); - expect(entity.point.color.getValue(time)).toEqual( - Color.unpack(packet.point.color.rgbaf) - ); - expect(entity.point.pixelSize.getValue(time)).toEqual( - packet.point.pixelSize - ); - expect(entity.point.outlineColor.getValue(time)).toEqual( - Color.unpack(packet.point.outlineColor.rgbaf) - ); - expect(entity.point.outlineWidth.getValue(time)).toEqual( - packet.point.outlineWidth - ); - expect(entity.point.show.getValue(time)).toEqual(packet.point.show); - expect(entity.point.scaleByDistance.getValue(time)).toEqual( - NearFarScalar.unpack(packet.point.scaleByDistance.nearFarScalar) - ); - expect(entity.point.translucencyByDistance.getValue(time)).toEqual( - NearFarScalar.unpack(packet.point.translucencyByDistance.nearFarScalar) - ); - expect(entity.point.heightReference.getValue(time)).toEqual( - HeightReference[packet.point.heightReference] - ); - }); + expect(entity.point).toBeDefined(); + expect(entity.point.color.getValue(time)).toEqual( + Color.unpack(packet.point.color.rgbaf), + ); + expect(entity.point.pixelSize.getValue(time)).toEqual( + packet.point.pixelSize, + ); + expect(entity.point.outlineColor.getValue(time)).toEqual( + Color.unpack(packet.point.outlineColor.rgbaf), + ); + expect(entity.point.outlineWidth.getValue(time)).toEqual( + packet.point.outlineWidth, + ); + expect(entity.point.show.getValue(time)).toEqual(packet.point.show); + expect(entity.point.scaleByDistance.getValue(time)).toEqual( + NearFarScalar.unpack(packet.point.scaleByDistance.nearFarScalar), + ); + expect(entity.point.translucencyByDistance.getValue(time)).toEqual( + NearFarScalar.unpack( + packet.point.translucencyByDistance.nearFarScalar, + ), + ); + expect(entity.point.heightReference.getValue(time)).toEqual( + HeightReference[packet.point.heightReference], + ); + }, + ); }); it("can load interval data for point", function () { @@ -4328,32 +4384,34 @@ describe("DataSources/CzmlDataSource", function () { }).start; const invalidTime = JulianDate.addSeconds(validTime, -1, new JulianDate()); - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.point).toBeDefined(); - expect(entity.point.color.getValue(validTime)).toEqual( - Color.unpack(packet.point.color.rgbaf) - ); - expect(entity.point.pixelSize.getValue(validTime)).toEqual( - packet.point.pixelSize - ); - expect(entity.point.outlineColor.getValue(validTime)).toEqual( - Color.unpack(packet.point.outlineColor.rgbaf) - ); - expect(entity.point.outlineWidth.getValue(validTime)).toEqual( - packet.point.outlineWidth - ); - expect(entity.point.show.getValue(validTime)).toEqual(packet.point.show); + expect(entity.point).toBeDefined(); + expect(entity.point.color.getValue(validTime)).toEqual( + Color.unpack(packet.point.color.rgbaf), + ); + expect(entity.point.pixelSize.getValue(validTime)).toEqual( + packet.point.pixelSize, + ); + expect(entity.point.outlineColor.getValue(validTime)).toEqual( + Color.unpack(packet.point.outlineColor.rgbaf), + ); + expect(entity.point.outlineWidth.getValue(validTime)).toEqual( + packet.point.outlineWidth, + ); + expect(entity.point.show.getValue(validTime)).toEqual( + packet.point.show, + ); - expect(entity.point.color.getValue(invalidTime)).toBeUndefined(); - expect(entity.point.pixelSize.getValue(invalidTime)).toBeUndefined(); - expect(entity.point.outlineColor.getValue(invalidTime)).toBeUndefined(); - expect(entity.point.outlineWidth.getValue(invalidTime)).toBeUndefined(); - expect(entity.point.show.getValue(invalidTime)).toBeUndefined(); - }); + expect(entity.point.color.getValue(invalidTime)).toBeUndefined(); + expect(entity.point.pixelSize.getValue(invalidTime)).toBeUndefined(); + expect(entity.point.outlineColor.getValue(invalidTime)).toBeUndefined(); + expect(entity.point.outlineWidth.getValue(invalidTime)).toBeUndefined(); + expect(entity.point.show.getValue(invalidTime)).toBeUndefined(); + }, + ); }); it("can load constant data for polygon", function () { @@ -4386,53 +4444,53 @@ describe("DataSources/CzmlDataSource", function () { const time = Iso8601.MINIMUM_VALUE; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.polygon).toBeDefined(); - expect(entity.polygon.material.getValue(time).color).toEqual( - Color.unpack(packet.polygon.material.solidColor.color.rgbaf) - ); - expect(entity.polygon.show.getValue(time)).toEqual(packet.polygon.show); - expect(entity.polygon.height.getValue(time)).toEqual( - packet.polygon.height - ); - expect(entity.polygon.extrudedHeight.getValue(time)).toEqual( - packet.polygon.extrudedHeight - ); - expect(entity.polygon.granularity.getValue(time)).toEqual( - packet.polygon.granularity - ); - expect(entity.polygon.stRotation.getValue(time)).toEqual( - packet.polygon.stRotation - ); - expect(entity.polygon.outline.getValue(time)).toEqual( - packet.polygon.outline - ); - expect(entity.polygon.outlineColor.getValue(time)).toEqual( - Color.unpack(packet.polygon.outlineColor.rgbaf) - ); - expect(entity.polygon.outlineWidth.getValue(time)).toEqual( - packet.polygon.outlineWidth - ); - expect(entity.polygon.closeTop.getValue(time)).toEqual( - packet.polygon.closeTop - ); - expect(entity.polygon.closeBottom.getValue(time)).toEqual( - packet.polygon.closeBottom - ); - expect(entity.polygon.shadows.getValue(time)).toEqual( - ShadowMode[packet.polygon.shadows] - ); - expect(entity.polygon.zIndex.getValue(time)).toEqual( - packet.polygon.zIndex - ); - expect(entity.polygon.classificationType.getValue(time)).toEqual( - ClassificationType[packet.polygon.classificationType] - ); - }); + expect(entity.polygon).toBeDefined(); + expect(entity.polygon.material.getValue(time).color).toEqual( + Color.unpack(packet.polygon.material.solidColor.color.rgbaf), + ); + expect(entity.polygon.show.getValue(time)).toEqual(packet.polygon.show); + expect(entity.polygon.height.getValue(time)).toEqual( + packet.polygon.height, + ); + expect(entity.polygon.extrudedHeight.getValue(time)).toEqual( + packet.polygon.extrudedHeight, + ); + expect(entity.polygon.granularity.getValue(time)).toEqual( + packet.polygon.granularity, + ); + expect(entity.polygon.stRotation.getValue(time)).toEqual( + packet.polygon.stRotation, + ); + expect(entity.polygon.outline.getValue(time)).toEqual( + packet.polygon.outline, + ); + expect(entity.polygon.outlineColor.getValue(time)).toEqual( + Color.unpack(packet.polygon.outlineColor.rgbaf), + ); + expect(entity.polygon.outlineWidth.getValue(time)).toEqual( + packet.polygon.outlineWidth, + ); + expect(entity.polygon.closeTop.getValue(time)).toEqual( + packet.polygon.closeTop, + ); + expect(entity.polygon.closeBottom.getValue(time)).toEqual( + packet.polygon.closeBottom, + ); + expect(entity.polygon.shadows.getValue(time)).toEqual( + ShadowMode[packet.polygon.shadows], + ); + expect(entity.polygon.zIndex.getValue(time)).toEqual( + packet.polygon.zIndex, + ); + expect(entity.polygon.classificationType.getValue(time)).toEqual( + ClassificationType[packet.polygon.classificationType], + ); + }, + ); }); it("can load interval data for polygon", function () { @@ -4456,26 +4514,26 @@ describe("DataSources/CzmlDataSource", function () { }).start; const invalidTime = JulianDate.addSeconds(validTime, -1, new JulianDate()); - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.polygon).toBeDefined(); - expect(entity.polygon.material.getValue(validTime).color).toEqual( - Color.unpack(packet.polygon.material.solidColor.color.rgbaf) - ); - expect(entity.polygon.show.getValue(validTime)).toEqual( - packet.polygon.show - ); - expect(entity.polygon.shadows.getValue(validTime)).toEqual( - ShadowMode[packet.polygon.shadows] - ); + expect(entity.polygon).toBeDefined(); + expect(entity.polygon.material.getValue(validTime).color).toEqual( + Color.unpack(packet.polygon.material.solidColor.color.rgbaf), + ); + expect(entity.polygon.show.getValue(validTime)).toEqual( + packet.polygon.show, + ); + expect(entity.polygon.shadows.getValue(validTime)).toEqual( + ShadowMode[packet.polygon.shadows], + ); - expect(entity.polygon.material.getValue(invalidTime)).toBeUndefined(); - expect(entity.polygon.show.getValue(invalidTime)).toBeUndefined(); - expect(entity.polygon.shadows.getValue(invalidTime)).toBeUndefined(); - }); + expect(entity.polygon.material.getValue(invalidTime)).toBeUndefined(); + expect(entity.polygon.show.getValue(invalidTime)).toBeUndefined(); + expect(entity.polygon.shadows.getValue(invalidTime)).toBeUndefined(); + }, + ); }); it("can load constant polygon positions", function () { @@ -4489,39 +4547,39 @@ describe("DataSources/CzmlDataSource", function () { const time = Iso8601.MINIMUM_VALUE; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.polygon).toBeDefined(); - expect(entity.polygon.hierarchy).toBeDefined(); - expect(entity.polygon.hierarchy.isConstant).toEqual(true); + expect(entity.polygon).toBeDefined(); + expect(entity.polygon.hierarchy).toBeDefined(); + expect(entity.polygon.hierarchy.isConstant).toEqual(true); - let hierarchy = entity.polygon.hierarchy.getValue(time); - expect(hierarchy).toBeInstanceOf(PolygonHierarchy); - expect(hierarchy.positions).toEqual( - Cartesian3.fromDegreesArrayHeights( - packet.polygon.positions.cartographicDegrees - ) - ); + let hierarchy = entity.polygon.hierarchy.getValue(time); + expect(hierarchy).toBeInstanceOf(PolygonHierarchy); + expect(hierarchy.positions).toEqual( + Cartesian3.fromDegreesArrayHeights( + packet.polygon.positions.cartographicDegrees, + ), + ); - hierarchy = entity.polygon.hierarchy.getValue( - time, - new PolygonHierarchy() - ); - expect(hierarchy).toBeInstanceOf(PolygonHierarchy); - expect(hierarchy.positions).toEqual( - Cartesian3.fromDegreesArrayHeights( - packet.polygon.positions.cartographicDegrees - ) - ); - }); + hierarchy = entity.polygon.hierarchy.getValue( + time, + new PolygonHierarchy(), + ); + expect(hierarchy).toBeInstanceOf(PolygonHierarchy); + expect(hierarchy.positions).toEqual( + Cartesian3.fromDegreesArrayHeights( + packet.polygon.positions.cartographicDegrees, + ), + ); + }, + ); }); function unpackPolygonHoleFromCartographicDegrees(holePositions) { return new PolygonHierarchy( - Cartesian3.fromDegreesArrayHeights(holePositions) + Cartesian3.fromDegreesArrayHeights(holePositions), ); } @@ -4542,28 +4600,28 @@ describe("DataSources/CzmlDataSource", function () { const time = Iso8601.MINIMUM_VALUE; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.polygon).toBeDefined(); - expect(entity.polygon.hierarchy).toBeDefined(); - expect(entity.polygon.hierarchy.isConstant).toEqual(true); + expect(entity.polygon).toBeDefined(); + expect(entity.polygon.hierarchy).toBeDefined(); + expect(entity.polygon.hierarchy.isConstant).toEqual(true); - const hierarchy = entity.polygon.hierarchy.getValue(time); - expect(hierarchy).toBeInstanceOf(PolygonHierarchy); - expect(hierarchy.positions).toEqual( - Cartesian3.fromDegreesArrayHeights( - packet.polygon.positions.cartographicDegrees - ) - ); - expect(hierarchy.holes).toEqual( - packet.polygon.holes.cartographicDegrees.map( - unpackPolygonHoleFromCartographicDegrees - ) - ); - }); + const hierarchy = entity.polygon.hierarchy.getValue(time); + expect(hierarchy).toBeInstanceOf(PolygonHierarchy); + expect(hierarchy.positions).toEqual( + Cartesian3.fromDegreesArrayHeights( + packet.polygon.positions.cartographicDegrees, + ), + ); + expect(hierarchy.holes).toEqual( + packet.polygon.holes.cartographicDegrees.map( + unpackPolygonHoleFromCartographicDegrees, + ), + ); + }, + ); }); it("can load interval polygon positions", function () { @@ -4573,18 +4631,7 @@ describe("DataSources/CzmlDataSource", function () { { interval: "2012-08-04T16:00:00Z/2012-08-04T16:20:00Z", cartographicDegrees: [ - -50, - 20, - 0, - -50, - 40, - 0, - -40, - 40, - 0, - -40, - 20, - 0, + -50, 20, 0, -50, 40, 0, -40, 40, 0, -40, 20, 0, ], }, { @@ -4595,35 +4642,35 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.polygon).toBeDefined(); - expect(entity.polygon.hierarchy).toBeDefined(); - expect(entity.polygon.hierarchy.isConstant).toEqual(false); + expect(entity.polygon).toBeDefined(); + expect(entity.polygon.hierarchy).toBeDefined(); + expect(entity.polygon.hierarchy.isConstant).toEqual(false); - let hierarchy = entity.polygon.hierarchy.getValue( - JulianDate.fromIso8601("2012-08-04T16:10:00Z") - ); - expect(hierarchy).toBeInstanceOf(PolygonHierarchy); - expect(hierarchy.positions).toEqual( - Cartesian3.fromDegreesArrayHeights( - packet.polygon.positions[0].cartographicDegrees - ) - ); + let hierarchy = entity.polygon.hierarchy.getValue( + JulianDate.fromIso8601("2012-08-04T16:10:00Z"), + ); + expect(hierarchy).toBeInstanceOf(PolygonHierarchy); + expect(hierarchy.positions).toEqual( + Cartesian3.fromDegreesArrayHeights( + packet.polygon.positions[0].cartographicDegrees, + ), + ); - hierarchy = entity.polygon.hierarchy.getValue( - JulianDate.fromIso8601("2012-08-04T16:20:00Z") - ); - expect(hierarchy).toBeInstanceOf(PolygonHierarchy); - expect(hierarchy.positions).toEqual( - Cartesian3.fromDegreesArrayHeights( - packet.polygon.positions[1].cartographicDegrees - ) - ); - }); + hierarchy = entity.polygon.hierarchy.getValue( + JulianDate.fromIso8601("2012-08-04T16:20:00Z"), + ); + expect(hierarchy).toBeInstanceOf(PolygonHierarchy); + expect(hierarchy.positions).toEqual( + Cartesian3.fromDegreesArrayHeights( + packet.polygon.positions[1].cartographicDegrees, + ), + ); + }, + ); }); it("can load interval polygon positions with holes expressed as degrees", function () { @@ -4633,18 +4680,7 @@ describe("DataSources/CzmlDataSource", function () { { interval: "2012-08-04T16:00:00Z/2012-08-04T16:20:00Z", cartographicDegrees: [ - -50, - 20, - 0, - -50, - 40, - 0, - -40, - 40, - 0, - -40, - 20, - 0, + -50, 20, 0, -50, 40, 0, -40, 40, 0, -40, 20, 0, ], }, { @@ -4668,50 +4704,50 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.polygon).toBeDefined(); - expect(entity.polygon.hierarchy).toBeDefined(); - expect(entity.polygon.hierarchy.isConstant).toEqual(false); + expect(entity.polygon).toBeDefined(); + expect(entity.polygon.hierarchy).toBeDefined(); + expect(entity.polygon.hierarchy.isConstant).toEqual(false); - let hierarchy = entity.polygon.hierarchy.getValue( - JulianDate.fromIso8601("2012-08-04T16:10:00Z") - ); - expect(hierarchy).toBeInstanceOf(PolygonHierarchy); - expect(hierarchy.positions).toEqual( - Cartesian3.fromDegreesArrayHeights( - packet.polygon.positions[0].cartographicDegrees - ) - ); - expect(hierarchy.holes).toEqual( - packet.polygon.holes[0].cartographicDegrees.map( - unpackPolygonHoleFromCartographicDegrees - ) - ); + let hierarchy = entity.polygon.hierarchy.getValue( + JulianDate.fromIso8601("2012-08-04T16:10:00Z"), + ); + expect(hierarchy).toBeInstanceOf(PolygonHierarchy); + expect(hierarchy.positions).toEqual( + Cartesian3.fromDegreesArrayHeights( + packet.polygon.positions[0].cartographicDegrees, + ), + ); + expect(hierarchy.holes).toEqual( + packet.polygon.holes[0].cartographicDegrees.map( + unpackPolygonHoleFromCartographicDegrees, + ), + ); - hierarchy = entity.polygon.hierarchy.getValue( - JulianDate.fromIso8601("2012-08-04T16:20:00Z") - ); - expect(hierarchy).toBeInstanceOf(PolygonHierarchy); - expect(hierarchy.positions).toEqual( - Cartesian3.fromDegreesArrayHeights( - packet.polygon.positions[1].cartographicDegrees - ) - ); - expect(hierarchy.holes).toEqual( - packet.polygon.holes[1].cartographicDegrees.map( - unpackPolygonHoleFromCartographicDegrees - ) - ); - }); + hierarchy = entity.polygon.hierarchy.getValue( + JulianDate.fromIso8601("2012-08-04T16:20:00Z"), + ); + expect(hierarchy).toBeInstanceOf(PolygonHierarchy); + expect(hierarchy.positions).toEqual( + Cartesian3.fromDegreesArrayHeights( + packet.polygon.positions[1].cartographicDegrees, + ), + ); + expect(hierarchy.holes).toEqual( + packet.polygon.holes[1].cartographicDegrees.map( + unpackPolygonHoleFromCartographicDegrees, + ), + ); + }, + ); }); function unpackPolygonHoleFromCartographicRadians(holePositions) { return new PolygonHierarchy( - Cartesian3.fromRadiansArrayHeights(holePositions) + Cartesian3.fromRadiansArrayHeights(holePositions), ); } @@ -4722,18 +4758,9 @@ describe("DataSources/CzmlDataSource", function () { { interval: "2012-08-04T16:00:00Z/2012-08-04T16:20:00Z", cartographicRadians: [ - -0.8726646259971648, - 0.3490658503988659, - 0, - -0.8726646259971648, - 0.6981317007977318, - 0, - -0.6981317007977318, - 0.6981317007977318, - 0, - -0.6981317007977318, - 0.3490658503988659, - 0, + -0.8726646259971648, 0.3490658503988659, 0, -0.8726646259971648, + 0.6981317007977318, 0, -0.6981317007977318, 0.6981317007977318, 0, + -0.6981317007977318, 0.3490658503988659, 0, ], }, ], @@ -4742,18 +4769,9 @@ describe("DataSources/CzmlDataSource", function () { interval: "2012-08-04T16:00:00Z/2012-08-04T16:20:00Z", cartographicRadians: [ [ - -0.8412486994612669, - 0.6806784082777885, - 0, - -0.7766715171374766, - 0.6457718232379019, - 0, - -0.8534660042252271, - 0.5777039824101231, - 0, - -0.8552113334772214, - 0.6387905062299246, - 0, + -0.8412486994612669, 0.6806784082777885, 0, -0.7766715171374766, + 0.6457718232379019, 0, -0.8534660042252271, 0.5777039824101231, + 0, -0.8552113334772214, 0.6387905062299246, 0, ], ], }, @@ -4761,30 +4779,30 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.polygon).toBeDefined(); - expect(entity.polygon.hierarchy).toBeDefined(); - expect(entity.polygon.hierarchy.isConstant).toEqual(false); + expect(entity.polygon).toBeDefined(); + expect(entity.polygon.hierarchy).toBeDefined(); + expect(entity.polygon.hierarchy.isConstant).toEqual(false); - const hierarchy = entity.polygon.hierarchy.getValue( - JulianDate.fromIso8601("2012-08-04T16:10:00Z") - ); - expect(hierarchy).toBeInstanceOf(PolygonHierarchy); - expect(hierarchy.positions).toEqual( - Cartesian3.fromRadiansArrayHeights( - packet.polygon.positions[0].cartographicRadians - ) - ); - expect(hierarchy.holes).toEqual( - packet.polygon.holes[0].cartographicRadians.map( - unpackPolygonHoleFromCartographicRadians - ) - ); - }); + const hierarchy = entity.polygon.hierarchy.getValue( + JulianDate.fromIso8601("2012-08-04T16:10:00Z"), + ); + expect(hierarchy).toBeInstanceOf(PolygonHierarchy); + expect(hierarchy.positions).toEqual( + Cartesian3.fromRadiansArrayHeights( + packet.polygon.positions[0].cartographicRadians, + ), + ); + expect(hierarchy.holes).toEqual( + packet.polygon.holes[0].cartographicRadians.map( + unpackPolygonHoleFromCartographicRadians, + ), + ); + }, + ); }); function unpackPolygonHoleFromCartesian(holePositions) { @@ -4809,26 +4827,26 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.polygon).toBeDefined(); - expect(entity.polygon.hierarchy).toBeDefined(); - expect(entity.polygon.hierarchy.isConstant).toEqual(false); + expect(entity.polygon).toBeDefined(); + expect(entity.polygon.hierarchy).toBeDefined(); + expect(entity.polygon.hierarchy.isConstant).toEqual(false); - const hierarchy = entity.polygon.hierarchy.getValue( - JulianDate.fromIso8601("2012-08-04T16:10:00Z") - ); - expect(hierarchy).toBeInstanceOf(PolygonHierarchy); - expect(hierarchy.positions).toEqual( - Cartesian3.unpackArray(packet.polygon.positions[0].cartesian) - ); - expect(hierarchy.holes).toEqual( - packet.polygon.holes[0].cartesian.map(unpackPolygonHoleFromCartesian) - ); - }); + const hierarchy = entity.polygon.hierarchy.getValue( + JulianDate.fromIso8601("2012-08-04T16:10:00Z"), + ); + expect(hierarchy).toBeInstanceOf(PolygonHierarchy); + expect(hierarchy.positions).toEqual( + Cartesian3.unpackArray(packet.polygon.positions[0].cartesian), + ); + expect(hierarchy.holes).toEqual( + packet.polygon.holes[0].cartesian.map(unpackPolygonHoleFromCartesian), + ); + }, + ); }); it("can load reference polygon positions with holes", function () { @@ -4928,18 +4946,7 @@ describe("DataSources/CzmlDataSource", function () { polygon: { positions: { cartographicDegrees: [ - -50, - 20, - 0, - -50, - 40, - 0, - -40, - 40, - 0, - -40, - 20, - 0, + -50, 20, 0, -50, 40, 0, -40, 40, 0, -40, 20, 0, ], }, holes: [ @@ -4963,18 +4970,7 @@ describe("DataSources/CzmlDataSource", function () { { interval: "2012-08-04T16:00:00Z/2012-08-04T16:20:00Z", cartographicDegrees: [ - -50, - 20, - 0, - -50, - 40, - 0, - -40, - 40, - 0, - -40, - 20, - 0, + -50, 20, 0, -50, 40, 0, -40, 40, 0, -40, 20, 0, ], }, { @@ -4991,7 +4987,7 @@ describe("DataSources/CzmlDataSource", function () { return CzmlDataSource.load(document).then(function (dataSource) { let entity = dataSource.entities.getById( - "constantPositionsTimeVaryingHoles" + "constantPositionsTimeVaryingHoles", ); expect(entity.polygon).toBeDefined(); @@ -5029,34 +5025,36 @@ describe("DataSources/CzmlDataSource", function () { const time = Iso8601.MINIMUM_VALUE; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.polyline).toBeDefined(); - expect(entity.polyline.material.color.getValue(time)).toEqual( - Color.unpack(packet.polyline.material.polylineOutline.color.rgbaf) - ); - expect(entity.polyline.material.outlineColor.getValue(time)).toEqual( - Color.unpack( - packet.polyline.material.polylineOutline.outlineColor.rgbaf - ) - ); - expect(entity.polyline.material.outlineWidth.getValue(time)).toEqual( - packet.polyline.material.polylineOutline.outlineWidth - ); - expect(entity.polyline.width.getValue(time)).toEqual( - packet.polyline.width - ); - expect(entity.polyline.show.getValue(time)).toEqual(packet.polyline.show); - expect(entity.polyline.shadows.getValue(time)).toEqual( - ShadowMode[packet.polyline.shadows] - ); - expect(entity.polyline.classificationType.getValue(time)).toEqual( - ClassificationType[packet.polyline.classificationType] - ); - }); + expect(entity.polyline).toBeDefined(); + expect(entity.polyline.material.color.getValue(time)).toEqual( + Color.unpack(packet.polyline.material.polylineOutline.color.rgbaf), + ); + expect(entity.polyline.material.outlineColor.getValue(time)).toEqual( + Color.unpack( + packet.polyline.material.polylineOutline.outlineColor.rgbaf, + ), + ); + expect(entity.polyline.material.outlineWidth.getValue(time)).toEqual( + packet.polyline.material.polylineOutline.outlineWidth, + ); + expect(entity.polyline.width.getValue(time)).toEqual( + packet.polyline.width, + ); + expect(entity.polyline.show.getValue(time)).toEqual( + packet.polyline.show, + ); + expect(entity.polyline.shadows.getValue(time)).toEqual( + ShadowMode[packet.polyline.shadows], + ); + expect(entity.polyline.classificationType.getValue(time)).toEqual( + ClassificationType[packet.polyline.classificationType], + ); + }, + ); }); it("can load interval data for polyline", function () { @@ -5085,38 +5083,40 @@ describe("DataSources/CzmlDataSource", function () { }).start; const invalidTime = JulianDate.addSeconds(validTime, -1, new JulianDate()); - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.polyline).toBeDefined(); - expect(entity.polyline.material.getValue(validTime).color).toEqual( - Color.unpack(packet.polyline.material.polylineOutline.color.rgbaf) - ); - expect(entity.polyline.material.getValue(validTime).outlineColor).toEqual( - Color.unpack( - packet.polyline.material.polylineOutline.outlineColor.rgbaf - ) - ); - expect(entity.polyline.material.getValue(validTime).outlineWidth).toEqual( - packet.polyline.material.polylineOutline.outlineWidth - ); - expect(entity.polyline.width.getValue(validTime)).toEqual( - packet.polyline.width - ); - expect(entity.polyline.show.getValue(validTime)).toEqual( - packet.polyline.show - ); - expect(entity.polyline.shadows.getValue(validTime)).toEqual( - ShadowMode[packet.polyline.shadows] - ); + expect(entity.polyline).toBeDefined(); + expect(entity.polyline.material.getValue(validTime).color).toEqual( + Color.unpack(packet.polyline.material.polylineOutline.color.rgbaf), + ); + expect( + entity.polyline.material.getValue(validTime).outlineColor, + ).toEqual( + Color.unpack( + packet.polyline.material.polylineOutline.outlineColor.rgbaf, + ), + ); + expect( + entity.polyline.material.getValue(validTime).outlineWidth, + ).toEqual(packet.polyline.material.polylineOutline.outlineWidth); + expect(entity.polyline.width.getValue(validTime)).toEqual( + packet.polyline.width, + ); + expect(entity.polyline.show.getValue(validTime)).toEqual( + packet.polyline.show, + ); + expect(entity.polyline.shadows.getValue(validTime)).toEqual( + ShadowMode[packet.polyline.shadows], + ); - expect(entity.polyline.material.getValue(invalidTime)).toBeUndefined(); - expect(entity.polyline.width.getValue(invalidTime)).toBeUndefined(); - expect(entity.polyline.show.getValue(invalidTime)).toBeUndefined(); - expect(entity.polyline.shadows.getValue(invalidTime)).toBeUndefined(); - }); + expect(entity.polyline.material.getValue(invalidTime)).toBeUndefined(); + expect(entity.polyline.width.getValue(invalidTime)).toBeUndefined(); + expect(entity.polyline.show.getValue(invalidTime)).toBeUndefined(); + expect(entity.polyline.shadows.getValue(invalidTime)).toBeUndefined(); + }, + ); }); it("can load constant data for polyline clamped to terrain.", function () { @@ -5142,34 +5142,36 @@ describe("DataSources/CzmlDataSource", function () { const time = Iso8601.MINIMUM_VALUE; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.polyline).toBeDefined(); - expect(entity.polyline.material.color.getValue(time)).toEqual( - Color.unpack(packet.polyline.material.polylineOutline.color.rgbaf) - ); - expect(entity.polyline.material.outlineColor.getValue(time)).toEqual( - Color.unpack( - packet.polyline.material.polylineOutline.outlineColor.rgbaf - ) - ); - expect(entity.polyline.material.outlineWidth.getValue(time)).toEqual( - packet.polyline.material.polylineOutline.outlineWidth - ); - expect(entity.polyline.width.getValue(time)).toEqual( - packet.polyline.width - ); - expect(entity.polyline.show.getValue(time)).toEqual(packet.polyline.show); - expect(entity.polyline.clampToGround.getValue(time)).toEqual( - packet.polyline.clampToGround - ); - expect(entity.polyline.zIndex.getValue(time)).toEqual( - packet.polyline.zIndex - ); - }); + expect(entity.polyline).toBeDefined(); + expect(entity.polyline.material.color.getValue(time)).toEqual( + Color.unpack(packet.polyline.material.polylineOutline.color.rgbaf), + ); + expect(entity.polyline.material.outlineColor.getValue(time)).toEqual( + Color.unpack( + packet.polyline.material.polylineOutline.outlineColor.rgbaf, + ), + ); + expect(entity.polyline.material.outlineWidth.getValue(time)).toEqual( + packet.polyline.material.polylineOutline.outlineWidth, + ); + expect(entity.polyline.width.getValue(time)).toEqual( + packet.polyline.width, + ); + expect(entity.polyline.show.getValue(time)).toEqual( + packet.polyline.show, + ); + expect(entity.polyline.clampToGround.getValue(time)).toEqual( + packet.polyline.clampToGround, + ); + expect(entity.polyline.zIndex.getValue(time)).toEqual( + packet.polyline.zIndex, + ); + }, + ); }); it("can load constant data for polylineVolume", function () { @@ -5213,33 +5215,33 @@ describe("DataSources/CzmlDataSource", function () { expect(entity.polylineVolume).toBeDefined(); expect(entity.polylineVolume.positions.getValue(time)).toEqual( - Cartesian3.unpackArray(packet.polylineVolume.positions.cartesian) + Cartesian3.unpackArray(packet.polylineVolume.positions.cartesian), ); expect(entity.polylineVolume.shape.getValue(time)).toEqual( - Cartesian2.unpackArray(packet.polylineVolume.shape.cartesian2) + Cartesian2.unpackArray(packet.polylineVolume.shape.cartesian2), ); expect(entity.polylineVolume.cornerType.getValue(time)).toEqual( - CornerType[packet.polylineVolume.cornerType] + CornerType[packet.polylineVolume.cornerType], ); expect(entity.polylineVolume.show.getValue(time)).toEqual( - packet.polylineVolume.show + packet.polylineVolume.show, ); expect(entity.polylineVolume.fill.getValue(time)).toEqual( - packet.polylineVolume.fill + packet.polylineVolume.fill, ); expect(entity.polylineVolume.material.getValue(time).color).toEqual( - Color.unpack(packet.polylineVolume.material.solidColor.color.rgbaf) + Color.unpack(packet.polylineVolume.material.solidColor.color.rgbaf), ); expect(entity.polylineVolume.outline.getValue(time)).toEqual(true); expect(entity.polylineVolume.outlineColor.getValue(time)).toEqual( - Color.unpack(packet.polylineVolume.outlineColor.rgbaf) + Color.unpack(packet.polylineVolume.outlineColor.rgbaf), ); expect(entity.polylineVolume.outlineWidth.getValue(time)).toEqual(6); expect(entity.polylineVolume.granularity.getValue(time)).toEqual( - packet.polylineVolume.granularity + packet.polylineVolume.granularity, ); expect(entity.polylineVolume.shadows.getValue(time)).toEqual( - ShadowMode[packet.polylineVolume.shadows] + ShadowMode[packet.polylineVolume.shadows], ); // for backwards compatibility, also accept `shape` specified as `cartesian` @@ -5255,7 +5257,7 @@ describe("DataSources/CzmlDataSource", function () { expect(entity.id).toEqual("id"); expect(entity.polylineVolume.shape.getValue(time)).toEqual( - Cartesian2.unpackArray(packet.polylineVolume.shape.cartesian) + Cartesian2.unpackArray(packet.polylineVolume.shape.cartesian), ); }); }); @@ -5267,8 +5269,7 @@ describe("DataSources/CzmlDataSource", function () { scale: 3.0, minimumPixelSize: 5.0, maximumScale: 4.0, - gltf: - "./Data/Models/glTF-2.0/BoxArticulations/glTF/BoxArticulations.gltf", + gltf: "./Data/Models/glTF-2.0/BoxArticulations/glTF/BoxArticulations.gltf", incrementallyLoadTextures: true, shadows: "ENABLED", heightReference: "CLAMP_TO_GROUND", @@ -5304,92 +5305,96 @@ describe("DataSources/CzmlDataSource", function () { const time = Iso8601.MINIMUM_VALUE; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.model).toBeDefined(); - expect(entity.model.show.getValue(time)).toEqual(packet.model.show); - expect(entity.model.scale.getValue(time)).toEqual(packet.model.scale); - expect(entity.model.minimumPixelSize.getValue(time)).toEqual( - packet.model.minimumPixelSize - ); - expect(entity.model.maximumScale.getValue(time)).toEqual( - packet.model.maximumScale - ); - expect(entity.model.uri.getValue(time).url).toEqual(packet.model.gltf); - expect(entity.model.incrementallyLoadTextures.getValue(time)).toEqual( - packet.model.incrementallyLoadTextures - ); - expect(entity.model.shadows.getValue(time)).toEqual( - ShadowMode[packet.model.shadows] - ); - expect(entity.model.heightReference.getValue(time)).toEqual( - HeightReference[packet.model.heightReference] - ); - expect(entity.model.silhouetteColor.getValue(time)).toEqual( - Color.unpack(packet.model.silhouetteColor.rgbaf) - ); - expect(entity.model.silhouetteSize.getValue(time)).toEqual( - packet.model.silhouetteSize - ); - expect(entity.model.color.getValue(time)).toEqual( - Color.unpack(packet.model.color.rgbaf) - ); - expect(entity.model.colorBlendMode.getValue(time)).toEqual( - ColorBlendMode[packet.model.colorBlendMode] - ); - expect(entity.model.colorBlendAmount.getValue(time)).toEqual( - packet.model.colorBlendAmount - ); + expect(entity.model).toBeDefined(); + expect(entity.model.show.getValue(time)).toEqual(packet.model.show); + expect(entity.model.scale.getValue(time)).toEqual(packet.model.scale); + expect(entity.model.minimumPixelSize.getValue(time)).toEqual( + packet.model.minimumPixelSize, + ); + expect(entity.model.maximumScale.getValue(time)).toEqual( + packet.model.maximumScale, + ); + expect(entity.model.uri.getValue(time).url).toEqual(packet.model.gltf); + expect(entity.model.incrementallyLoadTextures.getValue(time)).toEqual( + packet.model.incrementallyLoadTextures, + ); + expect(entity.model.shadows.getValue(time)).toEqual( + ShadowMode[packet.model.shadows], + ); + expect(entity.model.heightReference.getValue(time)).toEqual( + HeightReference[packet.model.heightReference], + ); + expect(entity.model.silhouetteColor.getValue(time)).toEqual( + Color.unpack(packet.model.silhouetteColor.rgbaf), + ); + expect(entity.model.silhouetteSize.getValue(time)).toEqual( + packet.model.silhouetteSize, + ); + expect(entity.model.color.getValue(time)).toEqual( + Color.unpack(packet.model.color.rgbaf), + ); + expect(entity.model.colorBlendMode.getValue(time)).toEqual( + ColorBlendMode[packet.model.colorBlendMode], + ); + expect(entity.model.colorBlendAmount.getValue(time)).toEqual( + packet.model.colorBlendAmount, + ); - const nodeTransform = entity.model.nodeTransformations.getValue(time) - .Mesh; - expect(nodeTransform).toBeDefined(); - expect(nodeTransform.scale).toEqual( - Cartesian3.unpack(packet.model.nodeTransformations.Mesh.scale.cartesian) - ); - expect(nodeTransform.translation).toEqual( - Cartesian3.unpack( - packet.model.nodeTransformations.Mesh.translation.cartesian - ) - ); + const nodeTransform = + entity.model.nodeTransformations.getValue(time).Mesh; + expect(nodeTransform).toBeDefined(); + expect(nodeTransform.scale).toEqual( + Cartesian3.unpack( + packet.model.nodeTransformations.Mesh.scale.cartesian, + ), + ); + expect(nodeTransform.translation).toEqual( + Cartesian3.unpack( + packet.model.nodeTransformations.Mesh.translation.cartesian, + ), + ); - const expectedRotation = Quaternion.unpack( - packet.model.nodeTransformations.Mesh.rotation.unitQuaternion - ); - Quaternion.normalize(expectedRotation, expectedRotation); - expect(nodeTransform.rotation).toEqual(expectedRotation); + const expectedRotation = Quaternion.unpack( + packet.model.nodeTransformations.Mesh.rotation.unitQuaternion, + ); + Quaternion.normalize(expectedRotation, expectedRotation); + expect(nodeTransform.rotation).toEqual(expectedRotation); - expect( - entity.model.nodeTransformations.Mesh.scale.getValue(time) - ).toEqual( - Cartesian3.unpack(packet.model.nodeTransformations.Mesh.scale.cartesian) - ); - expect( - entity.model.nodeTransformations.Mesh.translation.getValue(time) - ).toEqual( - Cartesian3.unpack( - packet.model.nodeTransformations.Mesh.translation.cartesian - ) - ); - expect( - entity.model.nodeTransformations.Mesh.rotation.getValue(time) - ).toEqual(expectedRotation); + expect( + entity.model.nodeTransformations.Mesh.scale.getValue(time), + ).toEqual( + Cartesian3.unpack( + packet.model.nodeTransformations.Mesh.scale.cartesian, + ), + ); + expect( + entity.model.nodeTransformations.Mesh.translation.getValue(time), + ).toEqual( + Cartesian3.unpack( + packet.model.nodeTransformations.Mesh.translation.cartesian, + ), + ); + expect( + entity.model.nodeTransformations.Mesh.rotation.getValue(time), + ).toEqual(expectedRotation); - const articulations = entity.model.articulations.getValue(time); - expect(articulations).toBeDefined(); - expect(articulations["SampleArticulation Yaw"]).toEqual( - packet.model.articulations["SampleArticulation Yaw"] - ); - expect(articulations["SampleArticulation Pitch"]).toEqual( - packet.model.articulations["SampleArticulation Pitch"] - ); - expect(articulations["SampleArticulation Roll"]).toEqual( - packet.model.articulations["SampleArticulation Roll"] - ); - }); + const articulations = entity.model.articulations.getValue(time); + expect(articulations).toBeDefined(); + expect(articulations["SampleArticulation Yaw"]).toEqual( + packet.model.articulations["SampleArticulation Yaw"], + ); + expect(articulations["SampleArticulation Pitch"]).toEqual( + packet.model.articulations["SampleArticulation Pitch"], + ); + expect(articulations["SampleArticulation Roll"]).toEqual( + packet.model.articulations["SampleArticulation Roll"], + ); + }, + ); }); it("can load interval data for model", function () { @@ -5399,8 +5404,7 @@ describe("DataSources/CzmlDataSource", function () { show: true, scale: 3.0, minimumPixelSize: 5.0, - gltf: - "./Data/Models/glTF-2.0/BoxArticulations/glTF/BoxArticulations.gltf", + gltf: "./Data/Models/glTF-2.0/BoxArticulations/glTF/BoxArticulations.gltf", incrementallyLoadTextures: true, shadows: "ENABLED", heightReference: "CLAMP_TO_GROUND", @@ -5439,137 +5443,150 @@ describe("DataSources/CzmlDataSource", function () { }).start; const invalidTime = JulianDate.addSeconds(validTime, -1, new JulianDate()); - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.model).toBeDefined(); - expect(entity.model.show.getValue(validTime)).toEqual(packet.model.show); - expect(entity.model.scale.getValue(validTime)).toEqual( - packet.model.scale - ); - expect(entity.model.minimumPixelSize.getValue(validTime)).toEqual( - packet.model.minimumPixelSize - ); - expect(entity.model.uri.getValue(validTime).url).toEqual( - packet.model.gltf - ); - expect( - entity.model.incrementallyLoadTextures.getValue(validTime) - ).toEqual(packet.model.incrementallyLoadTextures); - expect(entity.model.shadows.getValue(validTime)).toEqual( - ShadowMode[packet.model.shadows] - ); - expect(entity.model.heightReference.getValue(validTime)).toEqual( - HeightReference[packet.model.heightReference] - ); - expect(entity.model.silhouetteColor.getValue(validTime)).toEqual( - Color.unpack(packet.model.silhouetteColor.rgbaf) - ); - expect(entity.model.silhouetteSize.getValue(validTime)).toEqual( - packet.model.silhouetteSize - ); - expect(entity.model.color.getValue(validTime)).toEqual( - Color.unpack(packet.model.color.rgbaf) - ); - expect(entity.model.colorBlendMode.getValue(validTime)).toEqual( - ColorBlendMode[packet.model.colorBlendMode] - ); - expect(entity.model.colorBlendAmount.getValue(validTime)).toEqual( - packet.model.colorBlendAmount - ); + expect(entity.model).toBeDefined(); + expect(entity.model.show.getValue(validTime)).toEqual( + packet.model.show, + ); + expect(entity.model.scale.getValue(validTime)).toEqual( + packet.model.scale, + ); + expect(entity.model.minimumPixelSize.getValue(validTime)).toEqual( + packet.model.minimumPixelSize, + ); + expect(entity.model.uri.getValue(validTime).url).toEqual( + packet.model.gltf, + ); + expect( + entity.model.incrementallyLoadTextures.getValue(validTime), + ).toEqual(packet.model.incrementallyLoadTextures); + expect(entity.model.shadows.getValue(validTime)).toEqual( + ShadowMode[packet.model.shadows], + ); + expect(entity.model.heightReference.getValue(validTime)).toEqual( + HeightReference[packet.model.heightReference], + ); + expect(entity.model.silhouetteColor.getValue(validTime)).toEqual( + Color.unpack(packet.model.silhouetteColor.rgbaf), + ); + expect(entity.model.silhouetteSize.getValue(validTime)).toEqual( + packet.model.silhouetteSize, + ); + expect(entity.model.color.getValue(validTime)).toEqual( + Color.unpack(packet.model.color.rgbaf), + ); + expect(entity.model.colorBlendMode.getValue(validTime)).toEqual( + ColorBlendMode[packet.model.colorBlendMode], + ); + expect(entity.model.colorBlendAmount.getValue(validTime)).toEqual( + packet.model.colorBlendAmount, + ); - const nodeTransform = entity.model.nodeTransformations.getValue(validTime) - .Mesh; - expect(nodeTransform).toBeDefined(); - expect(nodeTransform.scale).toEqual( - Cartesian3.unpack(packet.model.nodeTransformations.Mesh.scale.cartesian) - ); - expect(nodeTransform.translation).toEqual( - Cartesian3.unpack( - packet.model.nodeTransformations.Mesh.translation.cartesian - ) - ); + const nodeTransform = + entity.model.nodeTransformations.getValue(validTime).Mesh; + expect(nodeTransform).toBeDefined(); + expect(nodeTransform.scale).toEqual( + Cartesian3.unpack( + packet.model.nodeTransformations.Mesh.scale.cartesian, + ), + ); + expect(nodeTransform.translation).toEqual( + Cartesian3.unpack( + packet.model.nodeTransformations.Mesh.translation.cartesian, + ), + ); - const expectedRotation = Quaternion.unpack( - packet.model.nodeTransformations.Mesh.rotation.unitQuaternion - ); - Quaternion.normalize(expectedRotation, expectedRotation); - expect(nodeTransform.rotation).toEqual(expectedRotation); + const expectedRotation = Quaternion.unpack( + packet.model.nodeTransformations.Mesh.rotation.unitQuaternion, + ); + Quaternion.normalize(expectedRotation, expectedRotation); + expect(nodeTransform.rotation).toEqual(expectedRotation); - expect( - entity.model.nodeTransformations.Mesh.scale.getValue(validTime) - ).toEqual( - Cartesian3.unpack(packet.model.nodeTransformations.Mesh.scale.cartesian) - ); - expect( - entity.model.nodeTransformations.Mesh.translation.getValue(validTime) - ).toEqual( - Cartesian3.unpack( - packet.model.nodeTransformations.Mesh.translation.cartesian - ) - ); - expect( - entity.model.nodeTransformations.Mesh.rotation.getValue(validTime) - ).toEqual(expectedRotation); + expect( + entity.model.nodeTransformations.Mesh.scale.getValue(validTime), + ).toEqual( + Cartesian3.unpack( + packet.model.nodeTransformations.Mesh.scale.cartesian, + ), + ); + expect( + entity.model.nodeTransformations.Mesh.translation.getValue(validTime), + ).toEqual( + Cartesian3.unpack( + packet.model.nodeTransformations.Mesh.translation.cartesian, + ), + ); + expect( + entity.model.nodeTransformations.Mesh.rotation.getValue(validTime), + ).toEqual(expectedRotation); - const articulations = entity.model.articulations.getValue(validTime); - expect(articulations).toBeDefined(); - expect(articulations["SampleArticulation Yaw"]).toEqual( - packet.model.articulations["SampleArticulation Yaw"] - ); - expect(articulations["SampleArticulation Pitch"]).toEqual( - packet.model.articulations["SampleArticulation Pitch"] - ); - expect(articulations["SampleArticulation Roll"]).toEqual( - packet.model.articulations["SampleArticulation Roll"] - ); + const articulations = entity.model.articulations.getValue(validTime); + expect(articulations).toBeDefined(); + expect(articulations["SampleArticulation Yaw"]).toEqual( + packet.model.articulations["SampleArticulation Yaw"], + ); + expect(articulations["SampleArticulation Pitch"]).toEqual( + packet.model.articulations["SampleArticulation Pitch"], + ); + expect(articulations["SampleArticulation Roll"]).toEqual( + packet.model.articulations["SampleArticulation Roll"], + ); - expect(entity.model.show.getValue(invalidTime)).toBeUndefined(); - expect(entity.model.scale.getValue(invalidTime)).toBeUndefined(); - expect( - entity.model.minimumPixelSize.getValue(invalidTime) - ).toBeUndefined(); - expect(entity.model.uri.getValue(invalidTime)).toBeUndefined(); - expect( - entity.model.incrementallyLoadTextures.getValue(invalidTime) - ).toBeUndefined(); - expect(entity.model.shadows.getValue(invalidTime)).toBeUndefined(); - expect( - entity.model.heightReference.getValue(invalidTime) - ).toBeUndefined(); - expect(entity.model.color.getValue(invalidTime)).toBeUndefined(); - expect( - entity.model.silhouetteColor.getValue(invalidTime) - ).toBeUndefined(); - expect(entity.model.silhouetteSize.getValue(invalidTime)).toBeUndefined(); - expect(entity.model.colorBlendMode.getValue(invalidTime)).toBeUndefined(); - expect( - entity.model.colorBlendAmount.getValue(invalidTime) - ).toBeUndefined(); + expect(entity.model.show.getValue(invalidTime)).toBeUndefined(); + expect(entity.model.scale.getValue(invalidTime)).toBeUndefined(); + expect( + entity.model.minimumPixelSize.getValue(invalidTime), + ).toBeUndefined(); + expect(entity.model.uri.getValue(invalidTime)).toBeUndefined(); + expect( + entity.model.incrementallyLoadTextures.getValue(invalidTime), + ).toBeUndefined(); + expect(entity.model.shadows.getValue(invalidTime)).toBeUndefined(); + expect( + entity.model.heightReference.getValue(invalidTime), + ).toBeUndefined(); + expect(entity.model.color.getValue(invalidTime)).toBeUndefined(); + expect( + entity.model.silhouetteColor.getValue(invalidTime), + ).toBeUndefined(); + expect( + entity.model.silhouetteSize.getValue(invalidTime), + ).toBeUndefined(); + expect( + entity.model.colorBlendMode.getValue(invalidTime), + ).toBeUndefined(); + expect( + entity.model.colorBlendAmount.getValue(invalidTime), + ).toBeUndefined(); - expect( - entity.model.nodeTransformations.Mesh.getValue(invalidTime) - ).toEqual(new TranslationRotationScale()); - expect( - entity.model.nodeTransformations.Mesh.scale.getValue(invalidTime) - ).toBeUndefined(); - expect( - entity.model.nodeTransformations.Mesh.translation.getValue(invalidTime) - ).toBeUndefined(); - expect( - entity.model.nodeTransformations.Mesh.rotation.getValue(invalidTime) - ).toBeUndefined(); + expect( + entity.model.nodeTransformations.Mesh.getValue(invalidTime), + ).toEqual(new TranslationRotationScale()); + expect( + entity.model.nodeTransformations.Mesh.scale.getValue(invalidTime), + ).toBeUndefined(); + expect( + entity.model.nodeTransformations.Mesh.translation.getValue( + invalidTime, + ), + ).toBeUndefined(); + expect( + entity.model.nodeTransformations.Mesh.rotation.getValue(invalidTime), + ).toBeUndefined(); - const invalidArticulations = entity.model.articulations.getValue( - invalidTime - ); - expect(invalidArticulations).toBeDefined(); - expect(invalidArticulations["SampleArticulation Yaw"]).toBeUndefined(); - expect(invalidArticulations["SampleArticulation Pitch"]).toBeUndefined(); - expect(invalidArticulations["SampleArticulation Roll"]).toBeUndefined(); - }); + const invalidArticulations = + entity.model.articulations.getValue(invalidTime); + expect(invalidArticulations).toBeDefined(); + expect(invalidArticulations["SampleArticulation Yaw"]).toBeUndefined(); + expect( + invalidArticulations["SampleArticulation Pitch"], + ).toBeUndefined(); + expect(invalidArticulations["SampleArticulation Roll"]).toBeUndefined(); + }, + ); }); it("can load node transformations expressed as intervals", function () { @@ -5609,53 +5626,54 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.model).toBeDefined(); + expect(entity.model).toBeDefined(); - let time = JulianDate.fromIso8601("2012-04-02T12:00:00Z"); - let nodeTransform = entity.model.nodeTransformations.getValue(time).Mesh; - expect(nodeTransform).toBeDefined(); - expect(nodeTransform.scale).toEqual( - Cartesian3.unpack( - packet.model.nodeTransformations[0].Mesh.scale.cartesian - ) - ); - expect(nodeTransform.translation).toEqual( - Cartesian3.unpack( - packet.model.nodeTransformations[0].Mesh.translation.cartesian - ) - ); + let time = JulianDate.fromIso8601("2012-04-02T12:00:00Z"); + let nodeTransform = + entity.model.nodeTransformations.getValue(time).Mesh; + expect(nodeTransform).toBeDefined(); + expect(nodeTransform.scale).toEqual( + Cartesian3.unpack( + packet.model.nodeTransformations[0].Mesh.scale.cartesian, + ), + ); + expect(nodeTransform.translation).toEqual( + Cartesian3.unpack( + packet.model.nodeTransformations[0].Mesh.translation.cartesian, + ), + ); - let expectedRotation = Quaternion.unpack( - packet.model.nodeTransformations[0].Mesh.rotation.unitQuaternion - ); - Quaternion.normalize(expectedRotation, expectedRotation); - expect(nodeTransform.rotation).toEqual(expectedRotation); - - time = JulianDate.fromIso8601("2012-04-02T12:00:01Z"); - nodeTransform = entity.model.nodeTransformations.getValue(time).Mesh; - expect(nodeTransform).toBeDefined(); - expect(nodeTransform.scale).toEqual( - Cartesian3.unpack( - packet.model.nodeTransformations[1].Mesh.scale.cartesian - ) - ); - expect(nodeTransform.translation).toEqual( - Cartesian3.unpack( - packet.model.nodeTransformations[1].Mesh.translation.cartesian - ) - ); + let expectedRotation = Quaternion.unpack( + packet.model.nodeTransformations[0].Mesh.rotation.unitQuaternion, + ); + Quaternion.normalize(expectedRotation, expectedRotation); + expect(nodeTransform.rotation).toEqual(expectedRotation); + + time = JulianDate.fromIso8601("2012-04-02T12:00:01Z"); + nodeTransform = entity.model.nodeTransformations.getValue(time).Mesh; + expect(nodeTransform).toBeDefined(); + expect(nodeTransform.scale).toEqual( + Cartesian3.unpack( + packet.model.nodeTransformations[1].Mesh.scale.cartesian, + ), + ); + expect(nodeTransform.translation).toEqual( + Cartesian3.unpack( + packet.model.nodeTransformations[1].Mesh.translation.cartesian, + ), + ); - expectedRotation = Quaternion.unpack( - packet.model.nodeTransformations[1].Mesh.rotation.unitQuaternion - ); - Quaternion.normalize(expectedRotation, expectedRotation); - expect(nodeTransform.rotation).toEqual(expectedRotation); - }); + expectedRotation = Quaternion.unpack( + packet.model.nodeTransformations[1].Mesh.rotation.unitQuaternion, + ); + Quaternion.normalize(expectedRotation, expectedRotation); + expect(nodeTransform.rotation).toEqual(expectedRotation); + }, + ); }); it("can load articulations expressed as intervals", function () { @@ -5679,39 +5697,39 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.model).toBeDefined(); + expect(entity.model).toBeDefined(); - let time = JulianDate.fromIso8601("2012-04-02T12:00:00Z"); - let articulations = entity.model.articulations.getValue(time); - expect(articulations).toBeDefined(); - expect(articulations["SampleArticulation Yaw"]).toEqual( - packet.model.articulations[0]["SampleArticulation Yaw"] - ); - expect(articulations["SampleArticulation Pitch"]).toEqual( - packet.model.articulations[0]["SampleArticulation Pitch"] - ); - expect(articulations["SampleArticulation Roll"]).toEqual( - packet.model.articulations[0]["SampleArticulation Roll"] - ); + let time = JulianDate.fromIso8601("2012-04-02T12:00:00Z"); + let articulations = entity.model.articulations.getValue(time); + expect(articulations).toBeDefined(); + expect(articulations["SampleArticulation Yaw"]).toEqual( + packet.model.articulations[0]["SampleArticulation Yaw"], + ); + expect(articulations["SampleArticulation Pitch"]).toEqual( + packet.model.articulations[0]["SampleArticulation Pitch"], + ); + expect(articulations["SampleArticulation Roll"]).toEqual( + packet.model.articulations[0]["SampleArticulation Roll"], + ); - time = JulianDate.fromIso8601("2012-04-02T12:00:01Z"); - articulations = entity.model.articulations.getValue(time); - expect(articulations).toBeDefined(); - expect(articulations["SampleArticulation Yaw"]).toEqual( - packet.model.articulations[1]["SampleArticulation Yaw"] - ); - expect(articulations["SampleArticulation Pitch"]).toEqual( - packet.model.articulations[1]["SampleArticulation Pitch"] - ); - expect(articulations["SampleArticulation Roll"]).toEqual( - packet.model.articulations[1]["SampleArticulation Roll"] - ); - }); + time = JulianDate.fromIso8601("2012-04-02T12:00:01Z"); + articulations = entity.model.articulations.getValue(time); + expect(articulations).toBeDefined(); + expect(articulations["SampleArticulation Yaw"]).toEqual( + packet.model.articulations[1]["SampleArticulation Yaw"], + ); + expect(articulations["SampleArticulation Pitch"]).toEqual( + packet.model.articulations[1]["SampleArticulation Pitch"], + ); + expect(articulations["SampleArticulation Roll"]).toEqual( + packet.model.articulations[1]["SampleArticulation Roll"], + ); + }, + ); }); it("can delete an existing object", function () { @@ -5810,7 +5828,7 @@ describe("DataSources/CzmlDataSource", function () { JulianDate, object, "simpleDate", - JulianDate.toIso8601(date) + JulianDate.toIso8601(date), ); expect(object.simpleDate).toBeDefined(); @@ -5874,7 +5892,7 @@ describe("DataSources/CzmlDataSource", function () { new TimeInterval({ start: startTime, stop: stopTime, - }) + }), ); } @@ -5925,41 +5943,41 @@ describe("DataSources/CzmlDataSource", function () { const entity = dataSource.entities.getById("obj"); expect(entity.polygon.material).toBeInstanceOf( - CompositeMaterialProperty + CompositeMaterialProperty, ); expect(entity.polygon.material.getType(solidTime)).toEqual("Color"); expect(entity.polygon.material.getValue(solidTime).color).toEqual( Color.unpack( packet.polygon.material[0].solidColor.color.rgba.map( - Color.byteToFloat - ) - ) + Color.byteToFloat, + ), + ), ); function assertValuesForGridMaterial(time) { expect(entity.polygon.material.getValue(time).color).toEqual( Color.unpack( - packet.polygon.material[1].grid.color.rgba.map(Color.byteToFloat) - ) + packet.polygon.material[1].grid.color.rgba.map(Color.byteToFloat), + ), ); expect(entity.polygon.material.getValue(time).cellAlpha).toEqual( - packet.polygon.material[1].grid.cellAlpha + packet.polygon.material[1].grid.cellAlpha, ); expect(entity.polygon.material.getValue(time).lineCount).toEqual( Cartesian2.unpack( - packet.polygon.material[1].grid.lineCount.cartesian2 - ) + packet.polygon.material[1].grid.lineCount.cartesian2, + ), ); expect(entity.polygon.material.getValue(time).lineThickness).toEqual( Cartesian2.unpack( - packet.polygon.material[1].grid.lineThickness.cartesian2 - ) + packet.polygon.material[1].grid.lineThickness.cartesian2, + ), ); expect(entity.polygon.material.getValue(time).lineOffset).toEqual( Cartesian2.unpack( - packet.polygon.material[1].grid.lineOffset.cartesian2 - ) + packet.polygon.material[1].grid.lineOffset.cartesian2, + ), ); } @@ -5996,15 +6014,15 @@ describe("DataSources/CzmlDataSource", function () { const entity = dataSource.entities.getById("obj"); expect(entity.polygon.material).toBeInstanceOf( - CompositeMaterialProperty + CompositeMaterialProperty, ); expect(entity.polygon.material.getType(solidTime)).toEqual("Color"); expect(entity.polygon.material.getValue(solidTime).color).toEqual( Color.unpack( secondPacket.polygon.material[0].solidColor.color.rgba.map( - Color.byteToFloat - ) - ) + Color.byteToFloat, + ), + ), ); }); }); @@ -6041,55 +6059,55 @@ describe("DataSources/CzmlDataSource", function () { const time = Iso8601.MINIMUM_VALUE; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.rectangle).toBeDefined(); - expect(entity.rectangle.coordinates.getValue(time)).toEqual( - Rectangle.unpack(packet.rectangle.coordinates.wsen) - ); - expect(entity.rectangle.material.getValue(time).color).toEqual( - Color.unpack(packet.rectangle.material.solidColor.color.rgbaf) - ); - expect(entity.rectangle.show.getValue(time)).toEqual( - packet.rectangle.show - ); - expect(entity.rectangle.height.getValue(time)).toEqual( - packet.rectangle.height - ); - expect(entity.rectangle.extrudedHeight.getValue(time)).toEqual( - packet.rectangle.extrudedHeight - ); - expect(entity.rectangle.granularity.getValue(time)).toEqual( - packet.rectangle.granularity - ); - expect(entity.rectangle.rotation.getValue(time)).toEqual( - packet.rectangle.rotation - ); - expect(entity.rectangle.stRotation.getValue(time)).toEqual( - packet.rectangle.stRotation - ); - expect(entity.rectangle.outline.getValue(time)).toEqual( - packet.rectangle.outline - ); - expect(entity.rectangle.outlineColor.getValue(time)).toEqual( - Color.unpack(packet.rectangle.outlineColor.rgbaf) - ); - expect(entity.rectangle.outlineWidth.getValue(time)).toEqual( - packet.rectangle.outlineWidth - ); - expect(entity.rectangle.shadows.getValue(time)).toEqual( - ShadowMode[packet.rectangle.shadows] - ); - expect(entity.rectangle.zIndex.getValue(time)).toEqual( - packet.rectangle.zIndex - ); - expect(entity.rectangle.classificationType.getValue(time)).toEqual( - ClassificationType[packet.rectangle.classificationType] - ); - }); + expect(entity.rectangle).toBeDefined(); + expect(entity.rectangle.coordinates.getValue(time)).toEqual( + Rectangle.unpack(packet.rectangle.coordinates.wsen), + ); + expect(entity.rectangle.material.getValue(time).color).toEqual( + Color.unpack(packet.rectangle.material.solidColor.color.rgbaf), + ); + expect(entity.rectangle.show.getValue(time)).toEqual( + packet.rectangle.show, + ); + expect(entity.rectangle.height.getValue(time)).toEqual( + packet.rectangle.height, + ); + expect(entity.rectangle.extrudedHeight.getValue(time)).toEqual( + packet.rectangle.extrudedHeight, + ); + expect(entity.rectangle.granularity.getValue(time)).toEqual( + packet.rectangle.granularity, + ); + expect(entity.rectangle.rotation.getValue(time)).toEqual( + packet.rectangle.rotation, + ); + expect(entity.rectangle.stRotation.getValue(time)).toEqual( + packet.rectangle.stRotation, + ); + expect(entity.rectangle.outline.getValue(time)).toEqual( + packet.rectangle.outline, + ); + expect(entity.rectangle.outlineColor.getValue(time)).toEqual( + Color.unpack(packet.rectangle.outlineColor.rgbaf), + ); + expect(entity.rectangle.outlineWidth.getValue(time)).toEqual( + packet.rectangle.outlineWidth, + ); + expect(entity.rectangle.shadows.getValue(time)).toEqual( + ShadowMode[packet.rectangle.shadows], + ); + expect(entity.rectangle.zIndex.getValue(time)).toEqual( + packet.rectangle.zIndex, + ); + expect(entity.rectangle.classificationType.getValue(time)).toEqual( + ClassificationType[packet.rectangle.classificationType], + ); + }, + ); }); it("can handle constant rectangle coordinates in degrees.", function () { @@ -6103,14 +6121,14 @@ describe("DataSources/CzmlDataSource", function () { const time = Iso8601.MINIMUM_VALUE; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; - expect(entity.rectangle.coordinates.getValue(time)).toEqual( - Rectangle.fromDegrees(0, 1, 2, 3) - ); - }); + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; + expect(entity.rectangle.coordinates.getValue(time)).toEqual( + Rectangle.fromDegrees(0, 1, 2, 3), + ); + }, + ); }); it("can handle sampled rectangle coordinates.", function () { @@ -6125,25 +6143,25 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.rectangle).toBeDefined(); - const date1 = epoch; - const date2 = JulianDate.addSeconds(epoch, 0.5, new JulianDate()); - const date3 = JulianDate.addSeconds(epoch, 1.0, new JulianDate()); - expect(entity.rectangle.coordinates.getValue(date1)).toEqual( - new Rectangle(1.0, 2.0, 3.0, 4.0) - ); - expect(entity.rectangle.coordinates.getValue(date2)).toEqual( - new Rectangle(2.0, 3.0, 4.0, 5.0) - ); - expect(entity.rectangle.coordinates.getValue(date3)).toEqual( - new Rectangle(3.0, 4.0, 5.0, 6.0) - ); - }); + expect(entity.rectangle).toBeDefined(); + const date1 = epoch; + const date2 = JulianDate.addSeconds(epoch, 0.5, new JulianDate()); + const date3 = JulianDate.addSeconds(epoch, 1.0, new JulianDate()); + expect(entity.rectangle.coordinates.getValue(date1)).toEqual( + new Rectangle(1.0, 2.0, 3.0, 4.0), + ); + expect(entity.rectangle.coordinates.getValue(date2)).toEqual( + new Rectangle(2.0, 3.0, 4.0, 5.0), + ); + expect(entity.rectangle.coordinates.getValue(date3)).toEqual( + new Rectangle(3.0, 4.0, 5.0, 6.0), + ); + }, + ); }); it("can handle sampled rectangle coordinates in degrees.", function () { @@ -6158,26 +6176,26 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.rectangle).toBeDefined(); - const date1 = epoch; - const date2 = JulianDate.addSeconds(epoch, 0.5, new JulianDate()); - const date3 = JulianDate.addSeconds(epoch, 1.0, new JulianDate()); - expect(entity.rectangle.coordinates.getValue(date1)).toEqual( - Rectangle.fromDegrees(1.0, 2.0, 3.0, 4.0) - ); - expect(entity.rectangle.coordinates.getValue(date2)).toEqualEpsilon( - Rectangle.fromDegrees(2.0, 3.0, 4.0, 5.0), - CesiumMath.EPSILON15 - ); - expect(entity.rectangle.coordinates.getValue(date3)).toEqual( - Rectangle.fromDegrees(3.0, 4.0, 5.0, 6.0) - ); - }); + expect(entity.rectangle).toBeDefined(); + const date1 = epoch; + const date2 = JulianDate.addSeconds(epoch, 0.5, new JulianDate()); + const date3 = JulianDate.addSeconds(epoch, 1.0, new JulianDate()); + expect(entity.rectangle.coordinates.getValue(date1)).toEqual( + Rectangle.fromDegrees(1.0, 2.0, 3.0, 4.0), + ); + expect(entity.rectangle.coordinates.getValue(date2)).toEqualEpsilon( + Rectangle.fromDegrees(2.0, 3.0, 4.0, 5.0), + CesiumMath.EPSILON15, + ); + expect(entity.rectangle.coordinates.getValue(date3)).toEqual( + Rectangle.fromDegrees(3.0, 4.0, 5.0, 6.0), + ); + }, + ); }); it("can load constant data for wall", function () { @@ -6209,36 +6227,36 @@ describe("DataSources/CzmlDataSource", function () { const time = Iso8601.MINIMUM_VALUE; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.wall).toBeDefined(); - expect(entity.wall.material.getValue(time).color).toEqual( - Color.unpack(packet.wall.material.solidColor.color.rgbaf) - ); - expect(entity.wall.show.getValue(time)).toEqual(packet.wall.show); - expect(entity.wall.granularity.getValue(time)).toEqual( - packet.wall.granularity - ); - expect(entity.wall.minimumHeights.getValue(time)).toEqual( - packet.wall.minimumHeights.array - ); - expect(entity.wall.maximumHeights.getValue(time)).toEqual( - packet.wall.maximumHeights.array - ); - expect(entity.wall.outline.getValue(time)).toEqual(packet.wall.outline); - expect(entity.wall.outlineColor.getValue(time)).toEqual( - new Color(0.2, 0.2, 0.2, 0.2) - ); - expect(entity.wall.outlineWidth.getValue(time)).toEqual( - packet.wall.outlineWidth - ); - expect(entity.wall.shadows.getValue(time)).toEqual( - ShadowMode[packet.wall.shadows] - ); - }); + expect(entity.wall).toBeDefined(); + expect(entity.wall.material.getValue(time).color).toEqual( + Color.unpack(packet.wall.material.solidColor.color.rgbaf), + ); + expect(entity.wall.show.getValue(time)).toEqual(packet.wall.show); + expect(entity.wall.granularity.getValue(time)).toEqual( + packet.wall.granularity, + ); + expect(entity.wall.minimumHeights.getValue(time)).toEqual( + packet.wall.minimumHeights.array, + ); + expect(entity.wall.maximumHeights.getValue(time)).toEqual( + packet.wall.maximumHeights.array, + ); + expect(entity.wall.outline.getValue(time)).toEqual(packet.wall.outline); + expect(entity.wall.outlineColor.getValue(time)).toEqual( + new Color(0.2, 0.2, 0.2, 0.2), + ); + expect(entity.wall.outlineWidth.getValue(time)).toEqual( + packet.wall.outlineWidth, + ); + expect(entity.wall.shadows.getValue(time)).toEqual( + ShadowMode[packet.wall.shadows], + ); + }, + ); }); it("can load data for wall with minimumHeights as references.", function () { @@ -6337,18 +6355,18 @@ describe("DataSources/CzmlDataSource", function () { expect(entity.wall.minimumHeights).toBeInstanceOf(CompositeProperty); expect( entity.wall.minimumHeights.getValue( - JulianDate.fromIso8601("2009-01-01T00:00:00Z") - ) + JulianDate.fromIso8601("2009-01-01T00:00:00Z"), + ), ).toBeUndefined(); expect( entity.wall.minimumHeights.getValue( - JulianDate.fromIso8601("2010-01-01T00:00:00Z") - ) + JulianDate.fromIso8601("2010-01-01T00:00:00Z"), + ), ).toEqual([packets[1].billboard.scale, packets[2].billboard.scale]); expect( entity.wall.minimumHeights.getValue( - JulianDate.fromIso8601("2010-01-01T01:00:00Z") - ) + JulianDate.fromIso8601("2010-01-01T01:00:00Z"), + ), ).toEqual([packets[2].billboard.scale, packets[3].billboard.scale]); }); }); @@ -6378,30 +6396,30 @@ describe("DataSources/CzmlDataSource", function () { const time = Iso8601.MINIMUM_VALUE; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.box).toBeDefined(); - expect(entity.box.dimensions.getValue(time)).toEqual( - Cartesian3.unpack(packet.box.dimensions.cartesian) - ); - expect(entity.box.material.getValue(time).color).toEqual( - Color.unpack(packet.box.material.solidColor.color.rgbaf) - ); - expect(entity.box.show.getValue(time)).toEqual(packet.box.show); - expect(entity.box.outline.getValue(time)).toEqual(packet.box.outline); - expect(entity.box.outlineColor.getValue(time)).toEqual( - Color.unpack(packet.box.outlineColor.rgbaf) - ); - expect(entity.box.outlineWidth.getValue(time)).toEqual( - packet.box.outlineWidth - ); - expect(entity.box.shadows.getValue(time)).toEqual( - ShadowMode[packet.box.shadows] - ); - }); + expect(entity.box).toBeDefined(); + expect(entity.box.dimensions.getValue(time)).toEqual( + Cartesian3.unpack(packet.box.dimensions.cartesian), + ); + expect(entity.box.material.getValue(time).color).toEqual( + Color.unpack(packet.box.material.solidColor.color.rgbaf), + ); + expect(entity.box.show.getValue(time)).toEqual(packet.box.show); + expect(entity.box.outline.getValue(time)).toEqual(packet.box.outline); + expect(entity.box.outlineColor.getValue(time)).toEqual( + Color.unpack(packet.box.outlineColor.rgbaf), + ); + expect(entity.box.outlineWidth.getValue(time)).toEqual( + packet.box.outlineWidth, + ); + expect(entity.box.shadows.getValue(time)).toEqual( + ShadowMode[packet.box.shadows], + ); + }, + ); }); it("can load constant data for cylinder", function () { @@ -6431,44 +6449,46 @@ describe("DataSources/CzmlDataSource", function () { const time = Iso8601.MINIMUM_VALUE; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.cylinder).toBeDefined(); - expect(entity.cylinder.length.getValue(time)).toEqual( - packet.cylinder.length - ); - expect(entity.cylinder.topRadius.getValue(time)).toEqual( - packet.cylinder.topRadius - ); - expect(entity.cylinder.bottomRadius.getValue(time)).toEqual( - packet.cylinder.bottomRadius - ); - expect(entity.cylinder.material.getValue(time).color).toEqual( - Color.unpack(packet.cylinder.material.solidColor.color.rgbaf) - ); - expect(entity.cylinder.show.getValue(time)).toEqual(packet.cylinder.show); - expect(entity.cylinder.outline.getValue(time)).toEqual( - packet.cylinder.outline - ); - expect(entity.cylinder.outlineColor.getValue(time)).toEqual( - Color.unpack(packet.cylinder.outlineColor.rgbaf) - ); - expect(entity.cylinder.outlineWidth.getValue(time)).toEqual( - packet.cylinder.outlineWidth - ); - expect(entity.cylinder.numberOfVerticalLines.getValue(time)).toEqual( - packet.cylinder.numberOfVerticalLines - ); - expect(entity.cylinder.slices.getValue(time)).toEqual( - packet.cylinder.slices - ); - expect(entity.cylinder.shadows.getValue(time)).toEqual( - ShadowMode[packet.cylinder.shadows] - ); - }); + expect(entity.cylinder).toBeDefined(); + expect(entity.cylinder.length.getValue(time)).toEqual( + packet.cylinder.length, + ); + expect(entity.cylinder.topRadius.getValue(time)).toEqual( + packet.cylinder.topRadius, + ); + expect(entity.cylinder.bottomRadius.getValue(time)).toEqual( + packet.cylinder.bottomRadius, + ); + expect(entity.cylinder.material.getValue(time).color).toEqual( + Color.unpack(packet.cylinder.material.solidColor.color.rgbaf), + ); + expect(entity.cylinder.show.getValue(time)).toEqual( + packet.cylinder.show, + ); + expect(entity.cylinder.outline.getValue(time)).toEqual( + packet.cylinder.outline, + ); + expect(entity.cylinder.outlineColor.getValue(time)).toEqual( + Color.unpack(packet.cylinder.outlineColor.rgbaf), + ); + expect(entity.cylinder.outlineWidth.getValue(time)).toEqual( + packet.cylinder.outlineWidth, + ); + expect(entity.cylinder.numberOfVerticalLines.getValue(time)).toEqual( + packet.cylinder.numberOfVerticalLines, + ); + expect(entity.cylinder.slices.getValue(time)).toEqual( + packet.cylinder.slices, + ); + expect(entity.cylinder.shadows.getValue(time)).toEqual( + ShadowMode[packet.cylinder.shadows], + ); + }, + ); }); it("can load constant data for corridor", function () { @@ -6503,53 +6523,55 @@ describe("DataSources/CzmlDataSource", function () { const time = Iso8601.MINIMUM_VALUE; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.corridor).toBeDefined(); - expect(entity.corridor.positions.getValue(time)).toEqual( - Cartesian3.unpackArray(packet.corridor.positions.cartesian) - ); - expect(entity.corridor.material.getValue(time).color).toEqual( - Color.unpack(packet.corridor.material.solidColor.color.rgbaf) - ); - expect(entity.corridor.show.getValue(time)).toEqual(packet.corridor.show); - expect(entity.corridor.height.getValue(time)).toEqual( - packet.corridor.height - ); - expect(entity.corridor.width.getValue(time)).toEqual( - packet.corridor.width - ); - expect(entity.corridor.cornerType.getValue(time)).toEqual( - CornerType[packet.corridor.cornerType] - ); - expect(entity.corridor.extrudedHeight.getValue(time)).toEqual( - packet.corridor.extrudedHeight - ); - expect(entity.corridor.granularity.getValue(time)).toEqual( - packet.corridor.granularity - ); - expect(entity.corridor.outline.getValue(time)).toEqual( - packet.corridor.outline - ); - expect(entity.corridor.outlineColor.getValue(time)).toEqual( - Color.unpack(packet.corridor.outlineColor.rgbaf) - ); - expect(entity.corridor.outlineWidth.getValue(time)).toEqual( - packet.corridor.outlineWidth - ); - expect(entity.corridor.shadows.getValue(time)).toEqual( - ShadowMode[packet.corridor.shadows] - ); - expect(entity.corridor.zIndex.getValue(time)).toEqual( - packet.corridor.zIndex - ); - expect(entity.corridor.classificationType.getValue(time)).toEqual( - ClassificationType[packet.corridor.classificationType] - ); - }); + expect(entity.corridor).toBeDefined(); + expect(entity.corridor.positions.getValue(time)).toEqual( + Cartesian3.unpackArray(packet.corridor.positions.cartesian), + ); + expect(entity.corridor.material.getValue(time).color).toEqual( + Color.unpack(packet.corridor.material.solidColor.color.rgbaf), + ); + expect(entity.corridor.show.getValue(time)).toEqual( + packet.corridor.show, + ); + expect(entity.corridor.height.getValue(time)).toEqual( + packet.corridor.height, + ); + expect(entity.corridor.width.getValue(time)).toEqual( + packet.corridor.width, + ); + expect(entity.corridor.cornerType.getValue(time)).toEqual( + CornerType[packet.corridor.cornerType], + ); + expect(entity.corridor.extrudedHeight.getValue(time)).toEqual( + packet.corridor.extrudedHeight, + ); + expect(entity.corridor.granularity.getValue(time)).toEqual( + packet.corridor.granularity, + ); + expect(entity.corridor.outline.getValue(time)).toEqual( + packet.corridor.outline, + ); + expect(entity.corridor.outlineColor.getValue(time)).toEqual( + Color.unpack(packet.corridor.outlineColor.rgbaf), + ); + expect(entity.corridor.outlineWidth.getValue(time)).toEqual( + packet.corridor.outlineWidth, + ); + expect(entity.corridor.shadows.getValue(time)).toEqual( + ShadowMode[packet.corridor.shadows], + ); + expect(entity.corridor.zIndex.getValue(time)).toEqual( + packet.corridor.zIndex, + ); + expect(entity.corridor.classificationType.getValue(time)).toEqual( + ClassificationType[packet.corridor.classificationType], + ); + }, + ); }); it("has entity collection with link to data source", function () { @@ -6559,12 +6581,12 @@ describe("DataSources/CzmlDataSource", function () { }); it("has entity with link to entity collection", function () { - return CzmlDataSource.load(makeDocument(staticCzml)).then(function ( - dataSource - ) { - const entities = dataSource.entities; - expect(entities.values[0].entityCollection).toEqual(entities); - }); + return CzmlDataSource.load(makeDocument(staticCzml)).then( + function (dataSource) { + const entities = dataSource.entities; + expect(entities.values[0].entityCollection).toEqual(entities); + }, + ); }); it("can use constant reference properties", function () { @@ -6596,7 +6618,7 @@ describe("DataSources/CzmlDataSource", function () { expect(referenceObject.point.pixelSize).toBeInstanceOf(ReferenceProperty); expect(targetEntity.point.pixelSize.getValue(time)).toEqual( - referenceObject.point.pixelSize.getValue(time) + referenceObject.point.pixelSize.getValue(time), ); }); }); @@ -6645,10 +6667,10 @@ describe("DataSources/CzmlDataSource", function () { const referenceObject = dataSource.entities.getById("referenceId"); expect(targetEntity.point.pixelSize.getValue(time1)).toEqual( - referenceObject.point.pixelSize.getValue(time1) + referenceObject.point.pixelSize.getValue(time1), ); expect(targetEntity2.point.pixelSize.getValue(time2)).toEqual( - referenceObject.point.pixelSize.getValue(time2) + referenceObject.point.pixelSize.getValue(time2), ); }); }); @@ -6681,7 +6703,7 @@ describe("DataSources/CzmlDataSource", function () { expect(referenceObject.position).toBeInstanceOf(ReferenceProperty); expect(targetEntity.position.getValue(time)).toEqual( - referenceObject.position.getValue(time) + referenceObject.position.getValue(time), ); }); }); @@ -6728,10 +6750,10 @@ describe("DataSources/CzmlDataSource", function () { const referenceObject = dataSource.entities.getById("referenceId"); expect(targetEntity.position.getValue(time1)).toEqual( - referenceObject.position.getValue(time1) + referenceObject.position.getValue(time1), ); expect(targetEntity2.position.getValue(time2)).toEqual( - referenceObject.position.getValue(time2) + referenceObject.position.getValue(time2), ); }); }); @@ -6765,7 +6787,7 @@ describe("DataSources/CzmlDataSource", function () { expect(referenceObject.point.pixelSize).toBeInstanceOf(ReferenceProperty); expect(targetEntity.point.pixelSize.getValue(time)).toEqual( - referenceObject.point.pixelSize.getValue(time) + referenceObject.point.pixelSize.getValue(time), ); }); }); @@ -6782,15 +6804,17 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const targetEntity = dataSource.entities.getById("testObject"); - expect(targetEntity.point.outlineWidth).toBeInstanceOf(ReferenceProperty); - expect(targetEntity.point.outlineWidth.getValue(time)).toEqual( - targetEntity.point.pixelSize.getValue(time) - ); - }); + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const targetEntity = dataSource.entities.getById("testObject"); + expect(targetEntity.point.outlineWidth).toBeInstanceOf( + ReferenceProperty, + ); + expect(targetEntity.point.outlineWidth.getValue(time)).toEqual( + targetEntity.point.pixelSize.getValue(time), + ); + }, + ); }); it("can load a polyline with polyline glow material", function () { @@ -6809,21 +6833,21 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.getById("polylineGlow"); + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.getById("polylineGlow"); - expect(entity.polyline.material.color.getValue()).toEqual( - Color.unpack(packet.polyline.material.polylineGlow.color.rgbaf) - ); - expect(entity.polyline.material.glowPower.getValue()).toEqual( - packet.polyline.material.polylineGlow.glowPower - ); - expect(entity.polyline.material.taperPower.getValue()).toEqual( - packet.polyline.material.polylineGlow.taperPower - ); - }); + expect(entity.polyline.material.color.getValue()).toEqual( + Color.unpack(packet.polyline.material.polylineGlow.color.rgbaf), + ); + expect(entity.polyline.material.glowPower.getValue()).toEqual( + packet.polyline.material.polylineGlow.glowPower, + ); + expect(entity.polyline.material.taperPower.getValue()).toEqual( + packet.polyline.material.polylineGlow.taperPower, + ); + }, + ); }); it("can load a polyline with polyline arrow material", function () { @@ -6840,15 +6864,15 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.getById("polylineArrow"); + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.getById("polylineArrow"); - expect(entity.polyline.material.color.getValue()).toEqual( - Color.unpack(packet.polyline.material.polylineArrow.color.rgbaf) - ); - }); + expect(entity.polyline.material.color.getValue()).toEqual( + Color.unpack(packet.polyline.material.polylineArrow.color.rgbaf), + ); + }, + ); }); it("can load a polyline with polyline dash material", function () { @@ -6867,21 +6891,21 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.getById("polylineDash"); + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.getById("polylineDash"); - expect(entity.polyline.material.color.getValue()).toEqual( - Color.unpack(packet.polyline.material.polylineDash.color.rgbaf) - ); - expect(entity.polyline.material.dashLength.getValue()).toEqual( - packet.polyline.material.polylineDash.dashLength - ); - expect(entity.polyline.material.dashPattern.getValue()).toEqual( - packet.polyline.material.polylineDash.dashPattern - ); - }); + expect(entity.polyline.material.color.getValue()).toEqual( + Color.unpack(packet.polyline.material.polylineDash.color.rgbaf), + ); + expect(entity.polyline.material.dashLength.getValue()).toEqual( + packet.polyline.material.polylineDash.dashLength, + ); + expect(entity.polyline.material.dashPattern.getValue()).toEqual( + packet.polyline.material.polylineDash.dashPattern, + ); + }, + ); }); it("loads extrapolation options", function () { @@ -6905,38 +6929,38 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.getById("point"); - const color = entity.point.color; - expect(color.forwardExtrapolationType).toEqual( - ExtrapolationType[packet.point.color.forwardExtrapolationType] - ); - expect(color.forwardExtrapolationDuration).toEqual( - packet.point.color.forwardExtrapolationDuration - ); - expect(color.backwardExtrapolationType).toEqual( - ExtrapolationType[packet.point.color.backwardExtrapolationType] - ); - expect(color.backwardExtrapolationDuration).toEqual( - packet.point.color.backwardExtrapolationDuration - ); + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.getById("point"); + const color = entity.point.color; + expect(color.forwardExtrapolationType).toEqual( + ExtrapolationType[packet.point.color.forwardExtrapolationType], + ); + expect(color.forwardExtrapolationDuration).toEqual( + packet.point.color.forwardExtrapolationDuration, + ); + expect(color.backwardExtrapolationType).toEqual( + ExtrapolationType[packet.point.color.backwardExtrapolationType], + ); + expect(color.backwardExtrapolationDuration).toEqual( + packet.point.color.backwardExtrapolationDuration, + ); - const position = entity.position; - expect(position.forwardExtrapolationType).toEqual( - ExtrapolationType[packet.position.forwardExtrapolationType] - ); - expect(position.forwardExtrapolationDuration).toEqual( - packet.position.forwardExtrapolationDuration - ); - expect(position.backwardExtrapolationType).toEqual( - ExtrapolationType[packet.position.backwardExtrapolationType] - ); - expect(position.backwardExtrapolationDuration).toEqual( - packet.position.backwardExtrapolationDuration - ); - }); + const position = entity.position; + expect(position.forwardExtrapolationType).toEqual( + ExtrapolationType[packet.position.forwardExtrapolationType], + ); + expect(position.forwardExtrapolationDuration).toEqual( + packet.position.forwardExtrapolationDuration, + ); + expect(position.backwardExtrapolationType).toEqual( + ExtrapolationType[packet.position.backwardExtrapolationType], + ); + expect(position.backwardExtrapolationDuration).toEqual( + packet.position.backwardExtrapolationDuration, + ); + }, + ); }); it("rejects if first document packet lacks version information", function () { @@ -6949,7 +6973,7 @@ describe("DataSources/CzmlDataSource", function () { .catch(function (error) { expect(error).toBeInstanceOf(RuntimeError); expect(error.message).toEqual( - "CZML version information invalid. It is expected to be a property on the document object in the <Major>.<Minor> version format." + "CZML version information invalid. It is expected to be a property on the document object in the <Major>.<Minor> version format.", ); }); }); @@ -6964,7 +6988,7 @@ describe("DataSources/CzmlDataSource", function () { .catch(function (error) { expect(error).toBeInstanceOf(RuntimeError); expect(error.message).toEqual( - "The first CZML packet is required to be the document object." + "The first CZML packet is required to be the document object.", ); }); }); @@ -6979,7 +7003,7 @@ describe("DataSources/CzmlDataSource", function () { .catch(function (error) { expect(error).toBeInstanceOf(RuntimeError); expect(error.message).toContain( - "CZML version information invalid. It is expected to be a property on the document object in the <Major>.<Minor> version format." + "CZML version information invalid. It is expected to be a property on the document object in the <Major>.<Minor> version format.", ); }); }); @@ -6993,14 +7017,14 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.billboard).toBeDefined(); - expect(entity.billboard.color).toBeUndefined(); - }); + expect(entity.billboard).toBeDefined(); + expect(entity.billboard.color).toBeUndefined(); + }, + ); }); it("ignores rectangle values not expressed as a known type", function () { @@ -7012,14 +7036,14 @@ describe("DataSources/CzmlDataSource", function () { }, }; - return CzmlDataSource.load(makeDocument(packet)).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; + return CzmlDataSource.load(makeDocument(packet)).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; - expect(entity.rectangle).toBeDefined(); - expect(entity.rectangle.coordinates).toBeUndefined(); - }); + expect(entity.rectangle).toBeDefined(); + expect(entity.rectangle.coordinates).toBeUndefined(); + }, + ); }); it("converts followSurface to arcType for backwards compatibility", function () { @@ -7079,13 +7103,13 @@ describe("DataSources/CzmlDataSource", function () { expect(entity.polyline.arcType.isConstant).toEqual(false); expect( entity.polyline.arcType.getValue( - JulianDate.fromIso8601("2013-01-01T00:00:00Z") - ) + JulianDate.fromIso8601("2013-01-01T00:00:00Z"), + ), ).toEqual(ArcType.GEODESIC); expect( entity.polyline.arcType.getValue( - JulianDate.fromIso8601("2013-01-01T01:00:00Z") - ) + JulianDate.fromIso8601("2013-01-01T01:00:00Z"), + ), ).toEqual(ArcType.NONE); entity = dataSource.entities.getById("arcType-overrides-followSurface"); diff --git a/packages/engine/Specs/DataSources/DataSourceCollectionSpec.js b/packages/engine/Specs/DataSources/DataSourceCollectionSpec.js index 566532c75a79..3bb3a4208104 100644 --- a/packages/engine/Specs/DataSources/DataSourceCollectionSpec.js +++ b/packages/engine/Specs/DataSources/DataSourceCollectionSpec.js @@ -154,14 +154,13 @@ describe("DataSources/DataSourceCollection", function () { const collection = new DataSourceCollection(); let removeCalled = 0; - collection.dataSourceRemoved.addEventListener(function ( - sender, - dataSource - ) { - expect(sender).toBe(collection); - expect(sources.indexOf(dataSource)).not.toEqual(-1); - removeCalled++; - }); + collection.dataSourceRemoved.addEventListener( + function (sender, dataSource) { + expect(sender).toBe(collection); + expect(sources.indexOf(dataSource)).not.toEqual(-1); + removeCalled++; + }, + ); return Promise.all([ collection.add(sources[0]), @@ -184,14 +183,13 @@ describe("DataSources/DataSourceCollection", function () { const collection = new DataSourceCollection(); let removeCalled = 0; - collection.dataSourceRemoved.addEventListener(function ( - sender, - dataSource - ) { - expect(sender).toBe(collection); - expect(sources.indexOf(dataSource)).not.toEqual(-1); - removeCalled++; - }); + collection.dataSourceRemoved.addEventListener( + function (sender, dataSource) { + expect(sender).toBe(collection); + expect(sources.indexOf(dataSource)).not.toEqual(-1); + removeCalled++; + }, + ); return Promise.all([ collection.add(sources[0]), diff --git a/packages/engine/Specs/DataSources/DataSourceDisplaySpec.js b/packages/engine/Specs/DataSources/DataSourceDisplaySpec.js index fea15067b3e5..7169eb3414ee 100644 --- a/packages/engine/Specs/DataSources/DataSourceDisplaySpec.js +++ b/packages/engine/Specs/DataSources/DataSourceDisplaySpec.js @@ -105,14 +105,14 @@ describe( const visualizer1 = new MockVisualizer(); visualizer1.getBoundingSphereResult = new BoundingSphere( new Cartesian3(1, 2, 3), - 456 + 456, ); visualizer1.getBoundingSphereState = BoundingSphereState.DONE; const visualizer2 = new MockVisualizer(); visualizer2.getBoundingSphereResult = new BoundingSphere( new Cartesian3(7, 8, 9), - 1011 + 1011, ); visualizer2.getBoundingSphereState = BoundingSphereState.DONE; @@ -135,7 +135,7 @@ describe( const expected = BoundingSphere.union( visualizer1.getBoundingSphereResult, - visualizer2.getBoundingSphereResult + visualizer2.getBoundingSphereResult, ); expect(state).toBe(BoundingSphereState.DONE); @@ -147,14 +147,14 @@ describe( const visualizer1 = new MockVisualizer(); visualizer1.getBoundingSphereResult = new BoundingSphere( new Cartesian3(1, 2, 3), - 456 + 456, ); visualizer1.getBoundingSphereState = BoundingSphereState.PENDING; const visualizer2 = new MockVisualizer(); visualizer2.getBoundingSphereResult = new BoundingSphere( new Cartesian3(7, 8, 9), - 1011 + 1011, ); visualizer2.getBoundingSphereState = BoundingSphereState.DONE; @@ -184,14 +184,14 @@ describe( const visualizer1 = new MockVisualizer(); visualizer1.getBoundingSphereResult = new BoundingSphere( new Cartesian3(1, 2, 3), - 456 + 456, ); visualizer1.getBoundingSphereState = BoundingSphereState.PENDING; const visualizer2 = new MockVisualizer(); visualizer2.getBoundingSphereResult = new BoundingSphere( new Cartesian3(7, 8, 9), - 1011 + 1011, ); visualizer2.getBoundingSphereState = BoundingSphereState.DONE; @@ -470,7 +470,7 @@ describe( expect(display._primitives.contains(source._primitives)).toBe(true); expect( - display._groundPrimitives.contains(source._groundPrimitives) + display._groundPrimitives.contains(source._groundPrimitives), ).toBe(true); }); }); @@ -487,7 +487,7 @@ describe( return dataSourceCollection.add(source).then(function () { expect(display._primitives.contains(source._primitives)).toBe(true); expect( - display._groundPrimitives.contains(source._groundPrimitives) + display._groundPrimitives.contains(source._groundPrimitives), ).toBe(true); dataSourceCollection.remove(source); @@ -601,13 +601,13 @@ describe( }); expect(scene.primitives.contains(display._primitives)).toBe(false); expect(scene.groundPrimitives.contains(display._groundPrimitives)).toBe( - false + false, ); return dataSourceCollection.add(new MockDataSource()).then(function () { expect(scene.primitives.contains(display._primitives)).toBe(true); expect(scene.groundPrimitives.contains(display._groundPrimitives)).toBe( - true + true, ); }); }); @@ -622,7 +622,7 @@ describe( expect(scene.primitives.contains(display._primitives)).toBe(true); expect(scene.groundPrimitives.contains(display._groundPrimitives)).toBe( - true + true, ); }); }); @@ -635,14 +635,14 @@ describe( }); expect(scene.primitives.contains(display._primitives)).toBe(false); expect(scene.groundPrimitives.contains(display._groundPrimitives)).toBe( - false + false, ); display.defaultDataSource.entities.add(new Entity()); expect(scene.primitives.contains(display._primitives)).toBe(true); expect(scene.groundPrimitives.contains(display._groundPrimitives)).toBe( - true + true, ); }); @@ -652,7 +652,7 @@ describe( const callback = DataSourceDisplay.defaultVisualizersCallback( scene, entityCluster, - dataSource + dataSource, ); expect(callback.length).toEqual(8); expect(callback[0]).toBeInstanceOf(BillboardVisualizer); @@ -673,7 +673,7 @@ describe( const callback = DataSourceDisplay.defaultVisualizersCallback( scene, entityCluster, - dataSource + dataSource, ); expect(callback.length).withContext("length before register").toEqual(8); @@ -681,7 +681,7 @@ describe( const callback2 = DataSourceDisplay.defaultVisualizersCallback( scene, entityCluster, - dataSource + dataSource, ); expect(callback2.length).withContext("length after register").toEqual(9); expect(callback2[8]).toBeInstanceOf(FakeVisualizer); @@ -690,12 +690,12 @@ describe( const callback3 = DataSourceDisplay.defaultVisualizersCallback( scene, entityCluster, - dataSource + dataSource, ); expect(callback3.length) .withContext("length after unregister") .toEqual(8); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/DataSources/DynamicGeometryUpdaterSpec.js b/packages/engine/Specs/DataSources/DynamicGeometryUpdaterSpec.js index 3d908213f4be..9b4533d52a31 100644 --- a/packages/engine/Specs/DataSources/DynamicGeometryUpdaterSpec.js +++ b/packages/engine/Specs/DataSources/DynamicGeometryUpdaterSpec.js @@ -23,7 +23,7 @@ describe("DataSources/DynamicGeometryUpdater", function () { return new DynamicGeometryUpdater( undefined, new PrimitiveCollection(), - new PrimitiveCollection() + new PrimitiveCollection(), ); }).toThrowDeveloperError(); }); @@ -40,7 +40,7 @@ describe("DataSources/DynamicGeometryUpdater", function () { return new DynamicGeometryUpdater( updater, undefined, - new PrimitiveCollection() + new PrimitiveCollection(), ); }).toThrowDeveloperError(); }); @@ -57,7 +57,7 @@ describe("DataSources/DynamicGeometryUpdater", function () { return new DynamicGeometryUpdater( updater, undefined, - new PrimitiveCollection() + new PrimitiveCollection(), ); }).toThrowDeveloperError(); }); @@ -73,7 +73,7 @@ describe("DataSources/DynamicGeometryUpdater", function () { const dynamicUpdater = new DynamicGeometryUpdater( updater, new PrimitiveCollection(), - new PrimitiveCollection() + new PrimitiveCollection(), ); expect(function () { return dynamicUpdater.update(); diff --git a/packages/engine/Specs/DataSources/EllipseGeometryUpdaterSpec.js b/packages/engine/Specs/DataSources/EllipseGeometryUpdaterSpec.js index 75ac52a43e41..d2549e89b0ca 100644 --- a/packages/engine/Specs/DataSources/EllipseGeometryUpdaterSpec.js +++ b/packages/engine/Specs/DataSources/EllipseGeometryUpdaterSpec.js @@ -47,7 +47,7 @@ describe( const entity = new Entity(); entity.position = new ConstantPositionProperty( - Cartesian3.fromDegrees(0, 0, 0) + Cartesian3.fromDegrees(0, 0, 0), ); entity.ellipse = ellipse; return entity; @@ -66,7 +66,7 @@ describe( const entity = new Entity(); entity.position = new ConstantPositionProperty( - Cartesian3.fromDegrees(0, 0, 0) + Cartesian3.fromDegrees(0, 0, 0), ); entity.ellipse = ellipse; return entity; @@ -208,7 +208,7 @@ describe( const ellipse = new EllipseGraphics(); ellipse.outline = true; ellipse.numberOfVerticalLines = new ConstantProperty( - options.numberOfVerticalLines + options.numberOfVerticalLines, ); ellipse.semiMajorAxis = new ConstantProperty(options.semiMajorAxis); ellipse.semiMinorAxis = new ConstantProperty(options.semiMinorAxis); @@ -245,7 +245,7 @@ describe( expect(geometry._granularity).toEqual(options.granularity); expect(geometry._extrudedHeight).toEqual(options.extrudedHeight); expect(geometry._numberOfVerticalLines).toEqual( - options.numberOfVerticalLines + options.numberOfVerticalLines, ); expect(geometry._offsetAttribute).toBeUndefined(); }); @@ -263,7 +263,7 @@ describe( const updater = new EllipseGeometryUpdater(entity, scene); const dynamicUpdater = updater.createDynamicUpdater( new PrimitiveCollection(), - new PrimitiveCollection() + new PrimitiveCollection(), ); dynamicUpdater.update(JulianDate.now()); @@ -316,7 +316,7 @@ describe( const updater = new EllipseGeometryUpdater(entity, scene); expect(updater._computeCenter(time)).toEqual( - entity.position.getValue(time) + entity.position.getValue(time), ); }); @@ -328,14 +328,14 @@ describe( EllipseGeometryUpdater, "ellipse", createBasicEllipse, - getScene + getScene, ); createDynamicGeometryUpdaterSpecs( EllipseGeometryUpdater, "ellipse", createDynamicEllipse, - getScene + getScene, ); createGeometryUpdaterGroundGeometrySpecs( @@ -343,8 +343,8 @@ describe( "ellipse", createBasicEllipseWithoutHeight, createDynamicEllipseWithoutHeight, - getScene + getScene, ); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/DataSources/EllipseGraphicsSpec.js b/packages/engine/Specs/DataSources/EllipseGraphicsSpec.js index 587e63e4517e..bd88399496ff 100644 --- a/packages/engine/Specs/DataSources/EllipseGraphicsSpec.js +++ b/packages/engine/Specs/DataSources/EllipseGraphicsSpec.js @@ -64,7 +64,7 @@ describe("DataSources/EllipseGraphics", function () { expect(ellipse.rotation.getValue()).toEqual(options.rotation); expect(ellipse.stRotation.getValue()).toEqual(options.stRotation); expect(ellipse.numberOfVerticalLines.getValue()).toEqual( - options.numberOfVerticalLines + options.numberOfVerticalLines, ); expect(ellipse.fill.getValue()).toEqual(options.fill); expect(ellipse.outline.getValue()).toEqual(options.outline); @@ -72,10 +72,10 @@ describe("DataSources/EllipseGraphics", function () { expect(ellipse.outlineWidth.getValue()).toEqual(options.outlineWidth); expect(ellipse.shadows.getValue()).toEqual(options.shadows); expect(ellipse.distanceDisplayCondition.getValue()).toEqual( - options.distanceDisplayCondition + options.distanceDisplayCondition, ); expect(ellipse.classificationType.getValue()).toEqual( - options.classificationType + options.classificationType, ); expect(ellipse.zIndex.getValue()).toEqual(options.zIndex); }); @@ -98,10 +98,10 @@ describe("DataSources/EllipseGraphics", function () { source.numberOfVerticalLines = new ConstantProperty(); source.shadows = new ConstantProperty(ShadowMode.ENABLED); source.distanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition(10.0, 100.0) + new DistanceDisplayCondition(10.0, 100.0), ); source.classificationType = new ConstantProperty( - ClassificationType.TERRAIN + ClassificationType.TERRAIN, ); source.zIndex = new ConstantProperty(3); @@ -124,7 +124,7 @@ describe("DataSources/EllipseGraphics", function () { expect(target.numberOfVerticalLines).toBe(source.numberOfVerticalLines); expect(target.shadows).toBe(source.shadows); expect(target.distanceDisplayCondition).toBe( - source.distanceDisplayCondition + source.distanceDisplayCondition, ); expect(target.classificationType).toBe(source.classificationType); expect(target.zIndex).toBe(source.zIndex); @@ -232,7 +232,7 @@ describe("DataSources/EllipseGraphics", function () { expect(result.numberOfVerticalLines).toBe(source.numberOfVerticalLines); expect(result.shadows).toBe(source.shadows); expect(result.distanceDisplayCondition).toBe( - source.distanceDisplayCondition + source.distanceDisplayCondition, ); expect(result.classificationType).toBe(source.classificationType); expect(result.zIndex).toBe(source.zIndex); @@ -265,19 +265,19 @@ describe("DataSources/EllipseGraphics", function () { property, "shadows", ShadowMode.ENABLED, - ShadowMode.DISABLED + ShadowMode.DISABLED, ); testDefinitionChanged( property, "distanceDisplayCondition", new DistanceDisplayCondition(), - new DistanceDisplayCondition(10.0, 100.0) + new DistanceDisplayCondition(10.0, 100.0), ); testDefinitionChanged( property, "classificationType", ClassificationType.TERRAIN, - ClassificationType.BOTH + ClassificationType.BOTH, ); testDefinitionChanged(property, "zIndex", 4, 0); }); diff --git a/packages/engine/Specs/DataSources/EllipsoidGeometryUpdaterSpec.js b/packages/engine/Specs/DataSources/EllipsoidGeometryUpdaterSpec.js index 15429632c911..767061d8bbba 100644 --- a/packages/engine/Specs/DataSources/EllipsoidGeometryUpdaterSpec.js +++ b/packages/engine/Specs/DataSources/EllipsoidGeometryUpdaterSpec.js @@ -44,7 +44,7 @@ describe( const entity = new Entity(); entity.position = new ConstantPositionProperty( - Cartesian3.fromDegrees(0, 0, 0) + Cartesian3.fromDegrees(0, 0, 0), ); entity.ellipsoid = ellipsoid; return entity; @@ -254,29 +254,29 @@ describe( expect(instance.geometry._offsetAttribute).toBeUndefined(); graphics.heightReference = new ConstantProperty( - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); updater._onEntityPropertyChanged(entity, "ellipsoid"); instance = updater.createFillGeometryInstance(time); expect(instance.geometry._offsetAttribute).toEqual( - GeometryOffsetAttribute.ALL + GeometryOffsetAttribute.ALL, ); instance = updater.createOutlineGeometryInstance(time); expect(instance.geometry._offsetAttribute).toEqual( - GeometryOffsetAttribute.ALL + GeometryOffsetAttribute.ALL, ); graphics.heightReference = new ConstantProperty( - HeightReference.RELATIVE_TO_GROUND + HeightReference.RELATIVE_TO_GROUND, ); updater._onEntityPropertyChanged(entity, "ellipsoid"); instance = updater.createFillGeometryInstance(time); expect(instance.geometry._offsetAttribute).toEqual( - GeometryOffsetAttribute.ALL + GeometryOffsetAttribute.ALL, ); instance = updater.createOutlineGeometryInstance(time); expect(instance.geometry._offsetAttribute).toEqual( - GeometryOffsetAttribute.ALL + GeometryOffsetAttribute.ALL, ); }); @@ -285,7 +285,7 @@ describe( const updater = new EllipsoidGeometryUpdater(entity, scene); expect(updater._computeCenter(time)).toEqual( - entity.position.getValue(time) + entity.position.getValue(time), ); }); @@ -306,7 +306,7 @@ describe( const dynamicUpdater = updater.createDynamicUpdater( primitives, - new PrimitiveCollection() + new PrimitiveCollection(), ); expect(dynamicUpdater.isDestroyed()).toBe(false); expect(primitives.length).toBe(0); @@ -344,7 +344,7 @@ describe( const dynamicUpdater = updater.createDynamicUpdater( primitives, - new PrimitiveCollection() + new PrimitiveCollection(), ); dynamicUpdater.update(time); expect(primitives.length).toBe(2); //Ellipsoid always has both fill and outline primitives regardless of setting @@ -389,7 +389,7 @@ describe( ellipsoid.innerRadii = createDynamicProperty(new Cartesian3(0.5, 1, 1.5)); // Turns 3d mode path off ellipsoid.heightReference = new ConstantProperty( - HeightReference.RELATIVE_TO_GROUND + HeightReference.RELATIVE_TO_GROUND, ); ellipsoid.material = new ColorMaterialProperty(Color.RED); @@ -403,7 +403,7 @@ describe( const dynamicUpdater = updater.createDynamicUpdater( primitives, - new PrimitiveCollection() + new PrimitiveCollection(), ); dynamicUpdater.update(time); @@ -411,7 +411,7 @@ describe( scene.render(); expect(dynamicUpdater._options.innerRadii).toEqual( - ellipsoid.innerRadii.getValue() + ellipsoid.innerRadii.getValue(), ); }); @@ -430,7 +430,7 @@ describe( const dynamicUpdater = updater.createDynamicUpdater( primitives, - new PrimitiveCollection() + new PrimitiveCollection(), ); dynamicUpdater.update(time); @@ -461,7 +461,7 @@ describe( const dynamicUpdater = updater.createDynamicUpdater( primitives, - new PrimitiveCollection() + new PrimitiveCollection(), ); dynamicUpdater.update(time); expect(primitives.length).toBe(2); //Ellipsoid always has both fill and outline primitives regardless of setting @@ -479,15 +479,15 @@ describe( let attributes = primitives.get(0).getGeometryInstanceAttributes(entity); expect(attributes.show[0]).toEqual(0); expect(primitives.get(0).appearance.material.uniforms.color).toEqual( - ellipsoid.material.color.getValue() + ellipsoid.material.color.getValue(), ); attributes = primitives.get(1).getGeometryInstanceAttributes(entity); expect(attributes.show[0]).toEqual(0); expect(attributes.color).toEqual( ColorGeometryInstanceAttribute.toValue( - ellipsoid.outlineColor.getValue() - ) + ellipsoid.outlineColor.getValue(), + ), ); }); @@ -532,15 +532,15 @@ describe( EllipsoidGeometryUpdater, "ellipsoid", createBasicEllipsoid, - getScene + getScene, ); createDynamicGeometryUpdaterSpecs( EllipsoidGeometryUpdater, "ellipsoid", createDynamicEllipsoid, - getScene + getScene, ); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/DataSources/EllipsoidGraphicsSpec.js b/packages/engine/Specs/DataSources/EllipsoidGraphicsSpec.js index adb4edd3efc0..3a31b4213f7e 100644 --- a/packages/engine/Specs/DataSources/EllipsoidGraphicsSpec.js +++ b/packages/engine/Specs/DataSources/EllipsoidGraphicsSpec.js @@ -43,10 +43,10 @@ describe("DataSources/EllipsoidGraphics", function () { expect(ellipsoid.material.color.getValue()).toEqual(options.material); expect(ellipsoid.show.getValue()).toEqual(options.show); expect(ellipsoid.stackPartitions.getValue()).toEqual( - options.stackPartitions + options.stackPartitions, ); expect(ellipsoid.slicePartitions.getValue()).toEqual( - options.slicePartitions + options.slicePartitions, ); expect(ellipsoid.subdivisions.getValue()).toEqual(options.subdivisions); expect(ellipsoid.fill.getValue()).toEqual(options.fill); @@ -55,7 +55,7 @@ describe("DataSources/EllipsoidGraphics", function () { expect(ellipsoid.outlineWidth.getValue()).toEqual(options.outlineWidth); expect(ellipsoid.shadows.getValue()).toEqual(options.shadows); expect(ellipsoid.distanceDisplayCondition.getValue()).toEqual( - options.distanceDisplayCondition + options.distanceDisplayCondition, ); }); @@ -78,7 +78,7 @@ describe("DataSources/EllipsoidGraphics", function () { source.outlineWidth = new ConstantProperty(); source.shadows = new ConstantProperty(ShadowMode.ENABLED); source.distanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition() + new DistanceDisplayCondition(), ); const target = new EllipsoidGraphics(); @@ -101,7 +101,7 @@ describe("DataSources/EllipsoidGraphics", function () { expect(target.outlineWidth).toBe(source.outlineWidth); expect(target.shadows).toBe(source.shadows); expect(target.distanceDisplayCondition).toBe( - source.distanceDisplayCondition + source.distanceDisplayCondition, ); }); @@ -195,7 +195,7 @@ describe("DataSources/EllipsoidGraphics", function () { expect(result.outlineWidth).toBe(source.outlineWidth); expect(result.shadows).toBe(source.shadows); expect(result.distanceDisplayCondition).toBe( - source.distanceDisplayCondition + source.distanceDisplayCondition, ); // Clone with source passed @@ -212,7 +212,7 @@ describe("DataSources/EllipsoidGraphics", function () { expect(result.outlineWidth).toBe(source.outlineWidth); expect(result.shadows).toBe(source.shadows); expect(result.distanceDisplayCondition).toBe( - source.distanceDisplayCondition + source.distanceDisplayCondition, ); }); @@ -230,7 +230,7 @@ describe("DataSources/EllipsoidGraphics", function () { property, "radii", new Cartesian3(1, 2, 3), - new Cartesian3(4, 5, 6) + new Cartesian3(4, 5, 6), ); testDefinitionChanged(property, "show", true, false); testDefinitionChanged(property, "stackPartitions", 1, 2); @@ -244,13 +244,13 @@ describe("DataSources/EllipsoidGraphics", function () { property, "shadows", ShadowMode.ENABLED, - ShadowMode.DISABLED + ShadowMode.DISABLED, ); testDefinitionChanged( property, "distanceDisplayCondition", new DistanceDisplayCondition(), - new DistanceDisplayCondition(10.0, 100.0) + new DistanceDisplayCondition(10.0, 100.0), ); }); }); diff --git a/packages/engine/Specs/DataSources/EntityClusterSpec.js b/packages/engine/Specs/DataSources/EntityClusterSpec.js index 2d4aa8beb9ce..e1c70e5e3fd3 100644 --- a/packages/engine/Specs/DataSources/EntityClusterSpec.js +++ b/packages/engine/Specs/DataSources/EntityClusterSpec.js @@ -158,7 +158,7 @@ describe( billboard.position = SceneTransforms.drawingBufferToWorldCoordinates( scene, new Cartesian2(0.0, 0.0), - depth + depth, ); entity = new Entity(); @@ -168,7 +168,7 @@ describe( billboard.position = SceneTransforms.drawingBufferToWorldCoordinates( scene, new Cartesian2(scene.canvas.clientWidth, scene.canvas.clientHeight), - depth + depth, ); const frameState = scene.frameState; @@ -200,7 +200,7 @@ describe( label.position = SceneTransforms.drawingBufferToWorldCoordinates( scene, new Cartesian2(0.0, 0.0), - depth + depth, ); entity = new Entity(); @@ -210,7 +210,7 @@ describe( label.position = SceneTransforms.drawingBufferToWorldCoordinates( scene, new Cartesian2(scene.canvas.clientWidth, scene.canvas.clientHeight), - depth + depth, ); const frameState = scene.frameState; @@ -241,7 +241,7 @@ describe( point.position = SceneTransforms.drawingBufferToWorldCoordinates( scene, new Cartesian2(0.0, 0.0), - depth + depth, ); entity = new Entity(); @@ -251,7 +251,7 @@ describe( point.position = SceneTransforms.drawingBufferToWorldCoordinates( scene, new Cartesian2(scene.canvas.clientWidth, scene.canvas.clientHeight), - depth + depth, ); const frameState = scene.frameState; @@ -282,7 +282,7 @@ describe( point.position = SceneTransforms.drawingBufferToWorldCoordinates( scene, new Cartesian2(0.0, 0.0), - depth + depth, ); entity = new Entity(); @@ -292,7 +292,7 @@ describe( point.position = SceneTransforms.drawingBufferToWorldCoordinates( scene, new Cartesian2(scene.canvas.clientWidth, scene.canvas.clientHeight), - depth + depth, ); cluster.enabled = true; @@ -313,7 +313,7 @@ describe( point.position = SceneTransforms.drawingBufferToWorldCoordinates( scene, new Cartesian2(0.0, 0.0), - depth + depth, ); entity = new Entity(); @@ -323,7 +323,7 @@ describe( point.position = SceneTransforms.drawingBufferToWorldCoordinates( scene, new Cartesian2(scene.canvas.clientWidth, scene.canvas.clientHeight), - depth + depth, ); const frameState = scene.frameState; @@ -355,13 +355,13 @@ describe( cluster.getPoint(entity); expect( - cluster._collectionIndicesByEntity[entity.id].billboardIndex + cluster._collectionIndicesByEntity[entity.id].billboardIndex, ).toBeDefined(); expect( - cluster._collectionIndicesByEntity[entity.id].labelIndex + cluster._collectionIndicesByEntity[entity.id].labelIndex, ).toBeDefined(); expect( - cluster._collectionIndicesByEntity[entity.id].pointIndex + cluster._collectionIndicesByEntity[entity.id].pointIndex, ).toBeDefined(); }); @@ -436,7 +436,7 @@ describe( billboard.position = SceneTransforms.drawingBufferToWorldCoordinates( scene, new Cartesian2(0.0, 0.0), - depth + depth, ); entity = new Entity(); @@ -446,7 +446,7 @@ describe( billboard.position = SceneTransforms.drawingBufferToWorldCoordinates( scene, new Cartesian2(scene.canvas.clientWidth, scene.canvas.clientHeight), - depth + depth, ); const frameState = scene.frameState; @@ -477,7 +477,7 @@ describe( billboard.position = SceneTransforms.drawingBufferToWorldCoordinates( scene, new Cartesian2(0.0, 0.0), - depth + depth, ); entity = new Entity(); @@ -487,7 +487,7 @@ describe( billboard.position = SceneTransforms.drawingBufferToWorldCoordinates( scene, new Cartesian2(scene.canvas.clientWidth, 0), - depth + depth, ); entity = new Entity(); @@ -497,7 +497,7 @@ describe( billboard.position = SceneTransforms.drawingBufferToWorldCoordinates( scene, new Cartesian2(0, scene.canvas.clientHeight), - depth + depth, ); entity = new Entity(); @@ -507,7 +507,7 @@ describe( billboard.position = SceneTransforms.drawingBufferToWorldCoordinates( scene, new Cartesian2(scene.canvas.clientWidth, scene.canvas.clientHeight), - depth + depth, ); const frameState = scene.frameState; @@ -538,7 +538,7 @@ describe( billboard.position = SceneTransforms.drawingBufferToWorldCoordinates( scene, new Cartesian2(0.0, 0.0), - farDepth + farDepth, ); entity = new Entity(); @@ -548,7 +548,7 @@ describe( billboard.position = SceneTransforms.drawingBufferToWorldCoordinates( scene, new Cartesian2(scene.canvas.clientWidth, scene.canvas.clientHeight), - farDepth + farDepth, ); const frameState = scene.frameState; @@ -562,7 +562,7 @@ describe( expect(cluster._clusterLabelCollection.length).toEqual(1); const position = Cartesian3.clone( - cluster._clusterLabelCollection.get(0).position + cluster._clusterLabelCollection.get(0).position, ); scene.camera.moveForward(1.0e-6); @@ -572,7 +572,7 @@ describe( expect(cluster._clusterLabelCollection).toBeDefined(); expect(cluster._clusterLabelCollection.length).toEqual(1); expect(cluster._clusterLabelCollection.get(0).position).toEqual( - position + position, ); }); }); @@ -581,14 +581,13 @@ describe( cluster = new EntityCluster(); cluster._initialize(scene); - cluster.clusterEvent.addEventListener(function ( - clusteredEntities, - cluster - ) { - cluster.billboard.show = true; - cluster.billboard.image = createBillboardImage(); - cluster.label.text = "cluster"; - }); + cluster.clusterEvent.addEventListener( + function (clusteredEntities, cluster) { + cluster.billboard.show = true; + cluster.billboard.image = createBillboardImage(); + cluster.label.text = "cluster"; + }, + ); let entity = new Entity(); let point = cluster.getPoint(entity); @@ -597,7 +596,7 @@ describe( point.position = SceneTransforms.drawingBufferToWorldCoordinates( scene, new Cartesian2(0.0, 0.0), - farDepth + farDepth, ); entity = new Entity(); @@ -607,7 +606,7 @@ describe( point.position = SceneTransforms.drawingBufferToWorldCoordinates( scene, new Cartesian2(scene.canvas.clientWidth, scene.canvas.clientHeight), - farDepth + farDepth, ); const frameState = scene.frameState; @@ -637,7 +636,7 @@ describe( dataSource._visualizers = DataSourceDisplay.defaultVisualizersCallback( scene, cluster, - dataSource + dataSource, ); const entityCollection = dataSource.entities; @@ -646,7 +645,7 @@ describe( position: SceneTransforms.drawingBufferToWorldCoordinates( scene, new Cartesian2(0.0, 0.0), - depth + depth, ), billboard: { image: createBillboardImage(), @@ -660,7 +659,7 @@ describe( position: SceneTransforms.drawingBufferToWorldCoordinates( scene, new Cartesian2(scene.canvas.clientWidth, scene.canvas.clientHeight), - depth + depth, ), billboard: { image: createBillboardImage(), @@ -693,5 +692,5 @@ describe( expect(cluster._billboardCollection.length).toEqual(2); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/DataSources/EntityCollectionSpec.js b/packages/engine/Specs/DataSources/EntityCollectionSpec.js index a8b94f763355..a00f5d431079 100644 --- a/packages/engine/Specs/DataSources/EntityCollectionSpec.js +++ b/packages/engine/Specs/DataSources/EntityCollectionSpec.js @@ -18,7 +18,7 @@ describe("DataSources/EntityCollection", function () { collection, added, removed, - changed + changed, ) { this.timesCalled++; this.added = added.slice(0); @@ -101,7 +101,7 @@ describe("DataSources/EntityCollection", function () { const listener = new CollectionListener(); entityCollection.collectionChanged.addEventListener( listener.onCollectionChanged, - listener + listener, ); entityCollection.add(entity); @@ -140,7 +140,7 @@ describe("DataSources/EntityCollection", function () { entityCollection.collectionChanged.removeEventListener( listener.onCollectionChanged, - listener + listener, ); }); @@ -213,7 +213,7 @@ describe("DataSources/EntityCollection", function () { const listener = new CollectionListener(); entityCollection.collectionChanged.addEventListener( listener.onCollectionChanged, - listener + listener, ); entityCollection.suspendEvents(); @@ -260,7 +260,7 @@ describe("DataSources/EntityCollection", function () { entityCollection.collectionChanged.removeEventListener( listener.onCollectionChanged, - listener + listener, ); }); @@ -287,7 +287,7 @@ describe("DataSources/EntityCollection", function () { entityCollection.collectionChanged.addEventListener( listener.onCollectionChanged, - listener + listener, ); entityCollection.removeAll(); @@ -302,7 +302,7 @@ describe("DataSources/EntityCollection", function () { entityCollection.collectionChanged.removeEventListener( listener.onCollectionChanged, - listener + listener, ); }); @@ -318,7 +318,7 @@ describe("DataSources/EntityCollection", function () { entityCollection.collectionChanged.addEventListener( listener.onCollectionChanged, - listener + listener, ); entityCollection.suspendEvents(); @@ -342,7 +342,7 @@ describe("DataSources/EntityCollection", function () { entityCollection.collectionChanged.removeEventListener( listener.onCollectionChanged, - listener + listener, ); }); @@ -406,13 +406,13 @@ describe("DataSources/EntityCollection", function () { entity.availability.addInterval( TimeInterval.fromIso8601({ iso8601: "2012-08-01/2012-08-02", - }) + }), ); entity2.availability = new TimeIntervalCollection(); entity2.availability.addInterval( TimeInterval.fromIso8601({ iso8601: "2012-08-05/2012-08-06", - }) + }), ); entity3.availability = undefined; @@ -432,13 +432,13 @@ describe("DataSources/EntityCollection", function () { entity.availability.addInterval( TimeInterval.fromIso8601({ iso8601: "2012-08-01/9999-12-31T24:00:00Z", - }) + }), ); entity2.availability = new TimeIntervalCollection(); entity2.availability.addInterval( TimeInterval.fromIso8601({ iso8601: "0000-01-01T00:00:00Z/2012-08-06", - }) + }), ); entity3.availability = undefined; diff --git a/packages/engine/Specs/DataSources/EntitySpec.js b/packages/engine/Specs/DataSources/EntitySpec.js index f38163f0d0e3..4edfdbffc4da 100644 --- a/packages/engine/Specs/DataSources/EntitySpec.js +++ b/packages/engine/Specs/DataSources/EntitySpec.js @@ -145,15 +145,15 @@ describe("DataSources/Entity", function () { entity.availability = intervals; expect( entity.isAvailable( - JulianDate.addSeconds(interval.start, -1, new JulianDate()) - ) + JulianDate.addSeconds(interval.start, -1, new JulianDate()), + ), ).toEqual(false); expect(entity.isAvailable(interval.start)).toEqual(true); expect(entity.isAvailable(interval.stop)).toEqual(true); expect( entity.isAvailable( - JulianDate.addSeconds(interval.stop, 1, new JulianDate()) - ) + JulianDate.addSeconds(interval.stop, 1, new JulianDate()), + ), ).toEqual(false); }); @@ -198,7 +198,7 @@ describe("DataSources/Entity", function () { entity, propertyName, newValue, - oldValue + oldValue, ); }); @@ -298,7 +298,7 @@ describe("DataSources/Entity", function () { const modelMatrix = entity.computeModelMatrix(new JulianDate()); const expected = Matrix4.fromRotationTranslation( Matrix3.fromQuaternion(orientation), - position + position, ); expect(modelMatrix).toEqual(expected); }); diff --git a/packages/engine/Specs/DataSources/EntityViewSpec.js b/packages/engine/Specs/DataSources/EntityViewSpec.js index 5cba71e6ca8b..1406cf8207d3 100644 --- a/packages/engine/Specs/DataSources/EntityViewSpec.js +++ b/packages/engine/Specs/DataSources/EntityViewSpec.js @@ -56,7 +56,7 @@ describe( EntityView.defaultOffset3D = sampleOffset; const entity = new Entity(); entity.position = new ConstantPositionProperty( - Cartesian3.fromDegrees(0.0, 0.0) + Cartesian3.fromDegrees(0.0, 0.0), ); const view = new EntityView(entity, scene); view.update(JulianDate.now()); @@ -68,7 +68,7 @@ describe( const sampleOffset = new Cartesian3(1, 2, 3); const entity = new Entity(); entity.position = new ConstantPositionProperty( - Cartesian3.fromDegrees(0.0, 0.0) + Cartesian3.fromDegrees(0.0, 0.0), ); entity.viewFrom = sampleOffset; const view = new EntityView(entity, scene); @@ -80,16 +80,16 @@ describe( const sampleOffset = new Cartesian3( -1.3322676295501878e-15, -7.348469228349534, - 7.3484692283495345 + 7.3484692283495345, ); const entity = new Entity(); entity.position = new ConstantPositionProperty( - Cartesian3.fromDegrees(0.0, 0.0) + Cartesian3.fromDegrees(0.0, 0.0), ); const view = new EntityView(entity, scene, undefined); view.update( JulianDate.now(), - new BoundingSphere(new Cartesian3(3, 4, 5), 6) + new BoundingSphere(new Cartesian3(3, 4, 5), 6), ); expect(view.scene.camera.position).toEqualEpsilon(sampleOffset, 1e-10); }); @@ -98,14 +98,14 @@ describe( const sampleOffset = new Cartesian3(1, 2, 3); const entity = new Entity(); entity.position = new ConstantPositionProperty( - Cartesian3.fromDegrees(0.0, 0.0) + Cartesian3.fromDegrees(0.0, 0.0), ); entity.viewFrom = sampleOffset; const view = new EntityView( entity, scene, undefined, - new BoundingSphere(new Cartesian3(3, 4, 5), 6) + new BoundingSphere(new Cartesian3(3, 4, 5), 6), ); view.update(JulianDate.now()); expect(view.scene.camera.position).toEqualEpsilon(sampleOffset, 1e-10); @@ -126,5 +126,5 @@ describe( view.update(JulianDate.now()); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/DataSources/GeoJsonDataSourceSpec.js b/packages/engine/Specs/DataSources/GeoJsonDataSourceSpec.js index aff11fe035c4..c702bea93968 100644 --- a/packages/engine/Specs/DataSources/GeoJsonDataSourceSpec.js +++ b/packages/engine/Specs/DataSources/GeoJsonDataSourceSpec.js @@ -48,7 +48,7 @@ describe("DataSources/GeoJsonDataSource", function () { return Cartesian3.fromDegrees( coordinates[0], coordinates[1], - coordinates[2] + coordinates[2], ); } @@ -414,7 +414,7 @@ describe("DataSources/GeoJsonDataSource", function () { const entity = entityCollection.values[0]; expect(entity.properties).toBe(feature.properties); expect(entity.position.getValue(time)).toEqual( - coordinatesToCartesian(feature.geometry.coordinates) + coordinatesToCartesian(feature.geometry.coordinates), ); expect(entity.billboard).toBeDefined(); }); @@ -523,7 +523,7 @@ describe("DataSources/GeoJsonDataSource", function () { function testDescribeProperty(properties, nameProperty) { return new CallbackProperty( createDescriptionCallback(testDescribe, properties, nameProperty), - true + true, ); } @@ -559,7 +559,7 @@ describe("DataSources/GeoJsonDataSource", function () { const entity = entityCollection.values[0]; expect(entity.description).toBeDefined(); expect(entity.description.getValue(time)).toEqual( - featureWithDescription.properties.description + featureWithDescription.properties.description, ); }); }); @@ -588,13 +588,13 @@ describe("DataSources/GeoJsonDataSource", function () { const entity = entityCollection.values[0]; expect(entity.name).toBeUndefined(); expect(entity.properties.name.getValue()).toBe( - featureWithNullName.properties.name + featureWithNullName.properties.name, ); expect(entity.properties.getValue(time)).toEqual( - featureWithNullName.properties + featureWithNullName.properties, ); expect(entity.position.getValue(time)).toEqual( - coordinatesToCartesian(featureWithNullName.geometry.coordinates) + coordinatesToCartesian(featureWithNullName.geometry.coordinates), ); expect(entity.billboard).toBeDefined(); }); @@ -665,7 +665,7 @@ describe("DataSources/GeoJsonDataSource", function () { const entity = entityCollection.values[0]; expect(entity.properties).toBe(point.properties); expect(entity.position.getValue(time)).toEqual( - coordinatesToCartesian(point.coordinates) + coordinatesToCartesian(point.coordinates), ); expect(entity.billboard).toBeDefined(); expect(entity.billboard.image).toBeDefined(); @@ -683,12 +683,12 @@ describe("DataSources/GeoJsonDataSource", function () { const entity = entityCollection.values[0]; expect(entity.properties).toBe(point.properties); expect(entity.position.getValue(time)).toEqual( - coordinatesToCartesian(point.coordinates) + coordinatesToCartesian(point.coordinates), ); expect(entity.billboard).toBeDefined(); expect(entity.billboard.image).toBeDefined(); expect(entity.billboard.heightReference.getValue(time)).toBe( - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); }); }); @@ -710,7 +710,7 @@ describe("DataSources/GeoJsonDataSource", function () { const entity = entityCollection.values[0]; expect(entity.billboard).toBeDefined(); return Promise.resolve( - dataSource._pinBuilder.fromMakiIconId("bus", Color.WHITE, 64) + dataSource._pinBuilder.fromMakiIconId("bus", Color.WHITE, 64), ).then(function (image) { expect(entity.billboard.image.getValue()).toBe(image); }); @@ -732,7 +732,7 @@ describe("DataSources/GeoJsonDataSource", function () { return dataSource.load(geojson).then(function () { const image = dataSource._pinBuilder.fromColor( GeoJsonDataSource.markerColor, - GeoJsonDataSource.markerSize + GeoJsonDataSource.markerSize, ); const entityCollection = dataSource.entities; const entity = entityCollection.values[0]; @@ -758,7 +758,7 @@ describe("DataSources/GeoJsonDataSource", function () { const entity = entityCollection.values[0]; expect(entity.billboard).toBeDefined(); return Promise.resolve( - dataSource._pinBuilder.fromColor(Color.WHITE, 64) + dataSource._pinBuilder.fromColor(Color.WHITE, 64), ).then(function (image) { expect(entity.billboard.image.getValue()).toBe(image); }); @@ -771,7 +771,7 @@ describe("DataSources/GeoJsonDataSource", function () { const entityCollection = dataSource.entities; const entities = entityCollection.values; const expectedPositions = coordinatesArrayToCartesian( - multiPoint.coordinates + multiPoint.coordinates, ); for (let i = 0; i < multiPoint.coordinates.length; i++) { const entity = entities[i]; @@ -790,7 +790,7 @@ describe("DataSources/GeoJsonDataSource", function () { const entityCollection = dataSource.entities; const entities = entityCollection.values; const expectedPositions = coordinatesArrayToCartesian( - multiPoint.coordinates + multiPoint.coordinates, ); for (let i = 0; i < multiPoint.coordinates.length; i++) { const entity = entities[i]; @@ -799,7 +799,7 @@ describe("DataSources/GeoJsonDataSource", function () { expect(entity.billboard).toBeDefined(); expect(entity.billboard.image).toBeDefined(); expect(entity.billboard.heightReference.getValue()).toBe( - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); } }); @@ -812,10 +812,10 @@ describe("DataSources/GeoJsonDataSource", function () { const entity = entityCollection.values[0]; expect(entity.properties).toBe(lineString.properties); expect(entity.polyline.positions.getValue(time)).toEqual( - coordinatesArrayToCartesian(lineString.coordinates) + coordinatesArrayToCartesian(lineString.coordinates), ); expect(entity.polyline.material.color.getValue(time)).toEqual( - GeoJsonDataSource.stroke + GeoJsonDataSource.stroke, ); expect(entity.polyline.width.getValue(time)).toEqual(2); }); @@ -832,10 +832,10 @@ describe("DataSources/GeoJsonDataSource", function () { const entity = entityCollection.values[0]; expect(entity.properties).toBe(lineString.properties); expect(entity.polyline.positions.getValue(time)).toEqual( - coordinatesArrayToCartesian(lineString.coordinates) + coordinatesArrayToCartesian(lineString.coordinates), ); expect(entity.polyline.material.color.getValue(time)).toEqual( - GeoJsonDataSource.stroke + GeoJsonDataSource.stroke, ); expect(entity.polyline.width.getValue(time)).toEqual(2); expect(entity.polyline.clampToGround.getValue(time)).toEqual(true); @@ -853,7 +853,7 @@ describe("DataSources/GeoJsonDataSource", function () { expect(entity.properties).toBe(multiLineString.properties); expect(entity.polyline.positions.getValue(time)).toEqual(lines[i]); expect(entity.polyline.material.color.getValue(time)).toEqual( - Color.YELLOW + Color.YELLOW, ); expect(entity.polyline.width.getValue(time)).toEqual(2); } @@ -875,7 +875,7 @@ describe("DataSources/GeoJsonDataSource", function () { expect(entity.properties).toBe(multiLineString.properties); expect(entity.polyline.positions.getValue(time)).toEqual(lines[i]); expect(entity.polyline.material.color.getValue(time)).toEqual( - Color.YELLOW + Color.YELLOW, ); expect(entity.polyline.width.getValue(time)).toEqual(2); expect(entity.polyline.clampToGround.getValue(time)).toEqual(true); @@ -891,19 +891,19 @@ describe("DataSources/GeoJsonDataSource", function () { expect(entity.properties).toBe(polygon.properties); expect(entity.polygon.hierarchy.getValue(time)).toEqual( new PolygonHierarchy( - polygonCoordinatesToCartesian(polygon.coordinates[0]) - ) + polygonCoordinatesToCartesian(polygon.coordinates[0]), + ), ); expect(entity.polygon.perPositionHeight).toBeUndefined(); expect(entity.polygon.material.color.getValue(time)).toEqual( - GeoJsonDataSource.fill + GeoJsonDataSource.fill, ); expect(entity.polygon.outline.getValue(time)).toEqual(true); expect(entity.polygon.outlineWidth.getValue(time)).toEqual( - GeoJsonDataSource.strokeWidth + GeoJsonDataSource.strokeWidth, ); expect(entity.polygon.outlineColor.getValue(time)).toEqual( - GeoJsonDataSource.stroke + GeoJsonDataSource.stroke, ); expect(entity.polygon.height).toBeInstanceOf(ConstantProperty); }); @@ -921,19 +921,19 @@ describe("DataSources/GeoJsonDataSource", function () { expect(entity.properties).toBe(polygon.properties); expect(entity.polygon.hierarchy.getValue(time)).toEqual( new PolygonHierarchy( - polygonCoordinatesToCartesian(polygon.coordinates[0]) - ) + polygonCoordinatesToCartesian(polygon.coordinates[0]), + ), ); expect(entity.polygon.perPositionHeight).toBeUndefined(); expect(entity.polygon.material.color.getValue(time)).toEqual( - GeoJsonDataSource.fill + GeoJsonDataSource.fill, ); expect(entity.polygon.outline.getValue(time)).toEqual(true); expect(entity.polygon.outlineWidth.getValue(time)).toEqual( - GeoJsonDataSource.strokeWidth + GeoJsonDataSource.strokeWidth, ); expect(entity.polygon.outlineColor.getValue(time)).toEqual( - GeoJsonDataSource.stroke + GeoJsonDataSource.stroke, ); expect(entity.polygon.height).toBeUndefined(); }); @@ -947,19 +947,19 @@ describe("DataSources/GeoJsonDataSource", function () { expect(entity.properties).toBe(polygonWithHeights.properties); expect(entity.polygon.hierarchy.getValue(time)).toEqual( new PolygonHierarchy( - polygonCoordinatesToCartesian(polygonWithHeights.coordinates[0]) - ) + polygonCoordinatesToCartesian(polygonWithHeights.coordinates[0]), + ), ); expect(entity.polygon.perPositionHeight.getValue(time)).toBe(true); expect(entity.polygon.material.color.getValue(time)).toEqual( - GeoJsonDataSource.fill + GeoJsonDataSource.fill, ); expect(entity.polygon.outline.getValue(time)).toEqual(true); expect(entity.polygon.outlineWidth.getValue(time)).toEqual( - GeoJsonDataSource.strokeWidth + GeoJsonDataSource.strokeWidth, ); expect(entity.polygon.outlineColor.getValue(time)).toEqual( - GeoJsonDataSource.stroke + GeoJsonDataSource.stroke, ); }); }); @@ -975,10 +975,10 @@ describe("DataSources/GeoJsonDataSource", function () { polygonCoordinatesToCartesian(polygonWithHoles.coordinates[0]), [ new PolygonHierarchy( - polygonCoordinatesToCartesian(polygonWithHoles.coordinates[1]) + polygonCoordinatesToCartesian(polygonWithHoles.coordinates[1]), ), - ] - ) + ], + ), ); }); }); @@ -989,13 +989,13 @@ describe("DataSources/GeoJsonDataSource", function () { const entityCollection = dataSource.entities; const entities = entityCollection.values; const positions = multiPolygonCoordinatesToCartesian( - multiPolygon.coordinates + multiPolygon.coordinates, ); for (let i = 0; i < multiPolygon.coordinates.length; i++) { const entity = entities[i]; expect(entity.properties).toBe(multiPolygon.properties); expect(entity.polygon.hierarchy.getValue(time)).toEqual( - new PolygonHierarchy(positions[i]) + new PolygonHierarchy(positions[i]), ); } }); @@ -1009,20 +1009,20 @@ describe("DataSources/GeoJsonDataSource", function () { const polygon = entities[0]; expect(polygon.properties.myProps.getValue()).toBe( - topoJson.objects.polygon.properties.myProps + topoJson.objects.polygon.properties.myProps, ); expect(polygon.properties.getValue(time)).toEqual( - topoJson.objects.polygon.properties + topoJson.objects.polygon.properties, ); expect(polygon.polygon.hierarchy).toBeDefined(); const lineString = entities[1]; expect(lineString.properties.myProps.getValue()).toBe( - topoJson.objects.lineString.properties.myProps + topoJson.objects.lineString.properties.myProps, ); expect(lineString.properties.getValue(time)).toEqual( - topoJson.objects.lineString.properties + topoJson.objects.lineString.properties, ); expect(lineString.polyline).toBeDefined(); @@ -1052,14 +1052,14 @@ describe("DataSources/GeoJsonDataSource", function () { expect(entity.polygon.material.color.getValue()).toEqual(options.fill); expect(entity.polygon.outlineColor.getValue()).toEqual(options.stroke); expect(entity.polygon.outlineWidth.getValue()).toEqual( - options.strokeWidth + options.strokeWidth, ); entity = entities[2]; const expectedImage = dataSource._pinBuilder.fromMakiIconId( options.markerSymbol, options.markerColor, - options.markerSize + options.markerSize, ); expect(entity.billboard.image.getValue()).toEqual(expectedImage); }); @@ -1080,28 +1080,28 @@ describe("DataSources/GeoJsonDataSource", function () { let entity = entities[0]; expect(entity.polyline.material.color.getValue()).toEqual( - GeoJsonDataSource.stroke + GeoJsonDataSource.stroke, ); expect(entity.polyline.width.getValue()).toEqual( - GeoJsonDataSource.strokeWidth + GeoJsonDataSource.strokeWidth, ); entity = entities[1]; expect(entity.polygon.material.color.getValue()).toEqual( - GeoJsonDataSource.fill + GeoJsonDataSource.fill, ); expect(entity.polygon.outlineColor.getValue()).toEqual( - GeoJsonDataSource.stroke + GeoJsonDataSource.stroke, ); expect(entity.polygon.outlineWidth.getValue()).toEqual( - GeoJsonDataSource.strokeWidth + GeoJsonDataSource.strokeWidth, ); entity = entities[2]; const expectedImage = dataSource._pinBuilder.fromMakiIconId( GeoJsonDataSource.markerSymbol, GeoJsonDataSource.markerColor, - GeoJsonDataSource.markerSize + GeoJsonDataSource.markerSize, ); expect(entity.billboard.image.getValue()).toEqual(expectedImage); }); @@ -1124,7 +1124,7 @@ describe("DataSources/GeoJsonDataSource", function () { let entity = entityCollection.values[0]; expect(entity.properties).toBe(geometryCollection.properties); expect(entity.position.getValue(time)).toEqual( - coordinatesToCartesian(geometryCollection.geometries[0].coordinates) + coordinatesToCartesian(geometryCollection.geometries[0].coordinates), ); expect(entity.billboard).toBeDefined(); @@ -1132,8 +1132,8 @@ describe("DataSources/GeoJsonDataSource", function () { expect(entity.properties).toBe(geometryCollection.properties); expect(entity.polyline.positions.getValue(time)).toEqual( coordinatesArrayToCartesian( - geometryCollection.geometries[1].coordinates - ) + geometryCollection.geometries[1].coordinates, + ), ); }); }); @@ -1144,7 +1144,7 @@ describe("DataSources/GeoJsonDataSource", function () { const entityCollection = dataSource.entities; const entity = entityCollection.values[0]; expect(entity.position.getValue(time)).toEqual( - coordinatesToCartesian(point.coordinates) + coordinatesToCartesian(point.coordinates), ); }); }); @@ -1155,7 +1155,7 @@ describe("DataSources/GeoJsonDataSource", function () { const entityCollection = dataSource.entities; const entity = entityCollection.values[0]; expect(entity.position.getValue(time)).toEqual( - coordinatesToCartesian(point.coordinates) + coordinatesToCartesian(point.coordinates), ); }); }); @@ -1166,24 +1166,23 @@ describe("DataSources/GeoJsonDataSource", function () { const entityCollection = dataSource.entities; const entity = entityCollection.values[0]; expect(entity.position.getValue(time)).toEqual( - coordinatesToCartesian(point.coordinates) + coordinatesToCartesian(point.coordinates), ); }); }); it("Works with link crs href", function () { const projectedPosition = new Cartesian3(1, 2, 3); - GeoJsonDataSource.crsLinkHrefs[ - pointCrsLinkHref.crs.properties.href - ] = function (properties) { - expect(properties).toBe(pointCrsLinkHref.crs.properties); - return Promise.resolve(properties.href).then(function (href) { - return function (coordinate) { - expect(coordinate).toBe(pointCrsLinkHref.coordinates); - return projectedPosition; - }; - }); - }; + GeoJsonDataSource.crsLinkHrefs[pointCrsLinkHref.crs.properties.href] = + function (properties) { + expect(properties).toBe(pointCrsLinkHref.crs.properties); + return Promise.resolve(properties.href).then(function (href) { + return function (coordinate) { + expect(coordinate).toBe(pointCrsLinkHref.coordinates); + return projectedPosition; + }; + }); + }; const dataSource = new GeoJsonDataSource(); return dataSource.load(pointCrsLinkHref).then(function () { @@ -1200,7 +1199,7 @@ describe("DataSources/GeoJsonDataSource", function () { const entityCollection = dataSource.entities; const entity = entityCollection.values[0]; expect(entity.position.getValue(time)).toEqual( - coordinatesToCartesian(point.coordinates) + coordinatesToCartesian(point.coordinates), ); }); }); @@ -1230,16 +1229,16 @@ describe("DataSources/GeoJsonDataSource", function () { const entity = entityCollection.values[0]; expect(entity.name).toEqual(geoJson.properties.title); expect(entity.description.getValue(time)).toEqual( - geoJson.properties.description + geoJson.properties.description, ); const expectedColor = Color.fromCssColorString(geoJson.properties.stroke); expectedColor.alpha = geoJson.properties["stroke-opacity"]; expect(entity.polyline.material.color.getValue(time)).toEqual( - expectedColor + expectedColor, ); expect(entity.polyline.width.getValue(time)).toEqual( - geoJson.properties["stroke-width"] + geoJson.properties["stroke-width"], ); }); }); @@ -1270,10 +1269,10 @@ describe("DataSources/GeoJsonDataSource", function () { expect(entity.name).toBeUndefined(); expect(entity.description).toBeUndefined(); expect(entity.polyline.material.color.getValue(time)).toEqual( - GeoJsonDataSource.stroke + GeoJsonDataSource.stroke, ); expect(entity.polyline.width.getValue(time)).toEqual( - GeoJsonDataSource.strokeWidth + GeoJsonDataSource.strokeWidth, ); }); }); @@ -1307,10 +1306,10 @@ describe("DataSources/GeoJsonDataSource", function () { const expectedMaterialColor = GeoJsonDataSource.stroke.clone(); expectedMaterialColor.alpha = 0.42; expect(entity.polyline.material.color.getValue(time)).toEqual( - expectedMaterialColor + expectedMaterialColor, ); expect(entity.polyline.width.getValue(time)).toEqual( - GeoJsonDataSource.strokeWidth + GeoJsonDataSource.strokeWidth, ); }); }); @@ -1347,24 +1346,24 @@ describe("DataSources/GeoJsonDataSource", function () { const entity = entityCollection.values[0]; expect(entity.name).toEqual(geoJson.properties.title); expect(entity.description.getValue(time)).toEqual( - geoJson.properties.description + geoJson.properties.description, ); const expectedFill = Color.fromCssColorString(geoJson.properties.fill); expectedFill.alpha = geoJson.properties["fill-opacity"]; const expectedOutlineColor = Color.fromCssColorString( - geoJson.properties.stroke + geoJson.properties.stroke, ); expectedOutlineColor.alpha = geoJson.properties["stroke-opacity"]; expect(entity.polygon.material.color.getValue(time)).toEqual( - expectedFill + expectedFill, ); expect(entity.polygon.outline.getValue(time)).toEqual(true); expect(entity.polygon.outlineWidth.getValue(time)).toEqual(5); expect(entity.polygon.outlineColor.getValue(time)).toEqual( - expectedOutlineColor + expectedOutlineColor, ); }); }); @@ -1402,14 +1401,14 @@ describe("DataSources/GeoJsonDataSource", function () { expect(entity.name).toBeUndefined(); expect(entity.description).toBeUndefined(); expect(entity.polygon.material.color.getValue(time)).toEqual( - GeoJsonDataSource.fill + GeoJsonDataSource.fill, ); expect(entity.polygon.outline.getValue(time)).toEqual(true); expect(entity.polygon.outlineWidth.getValue(time)).toEqual( - GeoJsonDataSource.strokeWidth + GeoJsonDataSource.strokeWidth, ); expect(entity.polygon.outlineColor.getValue(time)).toEqual( - GeoJsonDataSource.stroke + GeoJsonDataSource.stroke, ); }); }); @@ -1450,17 +1449,17 @@ describe("DataSources/GeoJsonDataSource", function () { const expectedFill = GeoJsonDataSource.fill.clone(); expectedFill.alpha = geoJson.properties["fill-opacity"]; expect(entity.polygon.material.color.getValue(time)).toEqual( - expectedFill + expectedFill, ); const expectedOutlineColor = GeoJsonDataSource.stroke.clone(); expectedOutlineColor.alpha = 0.42; expect(entity.polygon.outline.getValue(time)).toEqual(true); expect(entity.polygon.outlineWidth.getValue(time)).toEqual( - GeoJsonDataSource.strokeWidth + GeoJsonDataSource.strokeWidth, ); expect(entity.polygon.outlineColor.getValue(time)).toEqual( - expectedOutlineColor + expectedOutlineColor, ); }); }); @@ -1517,7 +1516,7 @@ describe("DataSources/GeoJsonDataSource", function () { .catch(function (error) { expect(error).toBeInstanceOf(RuntimeError); expect(error.message).toContain( - "Unsupported GeoJSON object type: TimeyWimey" + "Unsupported GeoJSON object type: TimeyWimey", ); }); }); @@ -1539,11 +1538,11 @@ describe("DataSources/GeoJsonDataSource", function () { crs: null, }; - return GeoJsonDataSource.load(featureWithNullCrs).then(function ( - dataSource - ) { - expect(dataSource.entities.values.length).toBe(0); - }); + return GeoJsonDataSource.load(featureWithNullCrs).then( + function (dataSource) { + expect(dataSource.entities.values.length).toBe(0); + }, + ); }); it("rejects unknown CRS", function () { @@ -1627,7 +1626,7 @@ describe("DataSources/GeoJsonDataSource", function () { .catch(function (error) { expect(error).toBeInstanceOf(RuntimeError); expect(error.message).toContain( - 'Unable to resolve crs link: {"href":"failMe","type":"failMeTwice"}' + 'Unable to resolve crs link: {"href":"failMe","type":"failMeTwice"}', ); }); }); diff --git a/packages/engine/Specs/DataSources/GeometryUpdaterSetSpec.js b/packages/engine/Specs/DataSources/GeometryUpdaterSetSpec.js index 79da7d2f5a34..4aec38f38203 100644 --- a/packages/engine/Specs/DataSources/GeometryUpdaterSetSpec.js +++ b/packages/engine/Specs/DataSources/GeometryUpdaterSetSpec.js @@ -37,7 +37,7 @@ describe("GeometryUpdaterSet", () => { expect(updaterSet.updaters[5]).toBeInstanceOf(PlaneGeometryUpdater); expect(updaterSet.updaters[6]).toBeInstanceOf(PolygonGeometryUpdater); expect(updaterSet.updaters[7]).toBeInstanceOf( - PolylineVolumeGeometryUpdater + PolylineVolumeGeometryUpdater, ); expect(updaterSet.updaters[8]).toBeInstanceOf(RectangleGeometryUpdater); expect(updaterSet.updaters[9]).toBeInstanceOf(WallGeometryUpdater); diff --git a/packages/engine/Specs/DataSources/GeometryUpdaterSpec.js b/packages/engine/Specs/DataSources/GeometryUpdaterSpec.js index 39b3e15e293d..26d4c323ef09 100644 --- a/packages/engine/Specs/DataSources/GeometryUpdaterSpec.js +++ b/packages/engine/Specs/DataSources/GeometryUpdaterSpec.js @@ -84,7 +84,7 @@ describe("DataSources/GeometryUpdater", function () { expect(function () { return updater.createDynamicUpdater( new PrimitiveCollection(), - new PrimitiveCollection() + new PrimitiveCollection(), ); }).toThrowDeveloperError(); }); diff --git a/packages/engine/Specs/DataSources/GeometryVisualizerSpec.js b/packages/engine/Specs/DataSources/GeometryVisualizerSpec.js index 8b8aae5048a2..1cea7ceba254 100644 --- a/packages/engine/Specs/DataSources/GeometryVisualizerSpec.js +++ b/packages/engine/Specs/DataSources/GeometryVisualizerSpec.js @@ -77,7 +77,7 @@ describe( scene, objects, scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); expect(visualizer.update(time)).toBe(true); expect(scene.primitives.length).toBe(0); @@ -92,7 +92,7 @@ describe( scene, objects, scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); const ellipse = new EllipseGraphics(); @@ -103,7 +103,7 @@ describe( const entity = new Entity(); entity.position = new ConstantPositionProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); entity.ellipse = ellipse; objects.add(entity); @@ -113,10 +113,10 @@ describe( const attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes).toBeDefined(); expect(attributes.show).toEqual( - ShowGeometryInstanceAttribute.toValue(true) + ShowGeometryInstanceAttribute.toValue(true), ); expect(attributes.color).toEqual( - ColorGeometryInstanceAttribute.toValue(Color.WHITE) + ColorGeometryInstanceAttribute.toValue(Color.WHITE), ); expect(primitive.appearance).toBeInstanceOf(PerInstanceColorAppearance); expect(primitive.appearance.closed).toBe(true); @@ -135,7 +135,7 @@ describe( scene, objects, scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); const ellipse = new EllipseGraphics(); @@ -146,7 +146,7 @@ describe( const entity = new Entity(); entity.position = new ConstantPositionProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); entity.ellipse = ellipse; objects.add(entity); @@ -156,7 +156,7 @@ describe( const attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes).toBeDefined(); expect(attributes.show).toEqual( - ShowGeometryInstanceAttribute.toValue(true) + ShowGeometryInstanceAttribute.toValue(true), ); expect(attributes.color).toBeUndefined(); expect(primitive.appearance).toBeInstanceOf(MaterialAppearance); @@ -176,7 +176,7 @@ describe( scene, objects, scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); const ellipse = new EllipseGraphics(); @@ -187,7 +187,7 @@ describe( const entity = new Entity(); entity.position = new ConstantPositionProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); entity.ellipse = ellipse; objects.add(entity); @@ -197,10 +197,10 @@ describe( const attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes).toBeDefined(); expect(attributes.show).toEqual( - ShowGeometryInstanceAttribute.toValue(true) + ShowGeometryInstanceAttribute.toValue(true), ); expect(attributes.color).toEqual( - ColorGeometryInstanceAttribute.toValue(Color.WHITE) + ColorGeometryInstanceAttribute.toValue(Color.WHITE), ); expect(primitive.appearance).toBeInstanceOf(PerInstanceColorAppearance); expect(primitive.appearance.closed).toBe(true); @@ -219,7 +219,7 @@ describe( scene, objects, scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); const ellipse = new EllipseGraphics(); @@ -230,7 +230,7 @@ describe( const entity = new Entity(); entity.position = new ConstantPositionProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); entity.ellipse = ellipse; objects.add(entity); @@ -240,7 +240,7 @@ describe( const attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes).toBeDefined(); expect(attributes.show).toEqual( - ShowGeometryInstanceAttribute.toValue(true) + ShowGeometryInstanceAttribute.toValue(true), ); expect(attributes.color).toBeUndefined(); expect(primitive.appearance).toBeInstanceOf(MaterialAppearance); @@ -260,7 +260,7 @@ describe( scene, objects, scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); const ellipse = new EllipseGraphics(); @@ -272,7 +272,7 @@ describe( const entity = new Entity(); entity.position = new ConstantPositionProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); entity.ellipse = ellipse; objects.add(entity); @@ -282,10 +282,10 @@ describe( const attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes).toBeDefined(); expect(attributes.show).toEqual( - ShowGeometryInstanceAttribute.toValue(true) + ShowGeometryInstanceAttribute.toValue(true), ); expect(attributes.color).toEqual( - ColorGeometryInstanceAttribute.toValue(Color.BLUE) + ColorGeometryInstanceAttribute.toValue(Color.BLUE), ); expect(primitive.appearance).toBeInstanceOf(PerInstanceColorAppearance); @@ -303,7 +303,7 @@ describe( scene, objects, scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); const ellipse = new EllipseGraphics(); @@ -315,7 +315,7 @@ describe( const entity = new Entity(); entity.position = new ConstantPositionProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); entity.ellipse = ellipse; objects.add(entity); @@ -354,7 +354,7 @@ describe( scene, objects, scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); const ellipse = new EllipseGraphics(); @@ -365,7 +365,7 @@ describe( const entity = new Entity(); entity.position = new ConstantPositionProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); entity.ellipse = ellipse; objects.add(entity); @@ -384,19 +384,19 @@ describe( it("Creates and removes geometry classifying terrain", function () { return createAndRemoveGeometryWithClassificationType( - ClassificationType.TERRAIN + ClassificationType.TERRAIN, ); }); it("Creates and removes geometry classifying 3D Tiles", function () { return createAndRemoveGeometryWithClassificationType( - ClassificationType.CESIUM_3D_TILE + ClassificationType.CESIUM_3D_TILE, ); }); it("Creates and removes geometry classifying both terrain and 3D Tiles", function () { return createAndRemoveGeometryWithClassificationType( - ClassificationType.BOTH + ClassificationType.BOTH, ); }); @@ -406,7 +406,7 @@ describe( scene, objects, scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); const ellipse = new EllipseGraphics(); @@ -417,7 +417,7 @@ describe( const entity = new Entity(); entity.position = new ConstantPositionProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); entity.ellipse = ellipse; objects.add(entity); @@ -427,10 +427,10 @@ describe( let attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes).toBeDefined(); expect(attributes.show).toEqual( - ShowGeometryInstanceAttribute.toValue(true) + ShowGeometryInstanceAttribute.toValue(true), ); expect(attributes.color).toEqual( - ColorGeometryInstanceAttribute.toValue(Color.WHITE) + ColorGeometryInstanceAttribute.toValue(Color.WHITE), ); expect(primitive.appearance).toBeInstanceOf(PerInstanceColorAppearance); @@ -441,7 +441,7 @@ describe( attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes).toBeDefined(); expect(attributes.show).toEqual( - ShowGeometryInstanceAttribute.toValue(true) + ShowGeometryInstanceAttribute.toValue(true), ); expect(attributes.color).toBeUndefined(); expect(primitive.appearance).toBeInstanceOf(MaterialAppearance); @@ -464,7 +464,7 @@ describe( scene, entities, scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); let color = Color.BLUE.withAlpha(0.5); @@ -485,7 +485,7 @@ describe( const attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes).toBeDefined(); expect(attributes.color).toEqual( - ColorGeometryInstanceAttribute.toValue(color) + ColorGeometryInstanceAttribute.toValue(color), ); color = Color.RED.withAlpha(0.5); @@ -498,7 +498,7 @@ describe( const attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes).toBeDefined(); expect(attributes.color).toEqual( - ColorGeometryInstanceAttribute.toValue(color) + ColorGeometryInstanceAttribute.toValue(color), ); entities.remove(entity); @@ -512,7 +512,7 @@ describe( scene, objects, scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); const ellipse = new EllipseGraphics(); @@ -524,7 +524,7 @@ describe( const entity = new Entity(); entity.position = new ConstantPositionProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); entity.ellipse = ellipse; objects.add(entity); @@ -546,7 +546,7 @@ describe( scene, objects, scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); const ellipse = new EllipseGraphics(); @@ -557,7 +557,7 @@ describe( const entity = new Entity(); entity.position = new ConstantPositionProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); entity.ellipse = ellipse; objects.add(entity); @@ -580,7 +580,7 @@ describe( undefined, objects, scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); }).toThrowDeveloperError(); }); @@ -591,7 +591,7 @@ describe( scene, undefined, scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); }).toThrowDeveloperError(); }); @@ -601,7 +601,7 @@ describe( scene, new EntityCollection(), scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); expect(function () { visualizer.update(undefined); @@ -614,7 +614,7 @@ describe( scene, entityCollection, scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); expect(entityCollection.collectionChanged.numberOfListeners).toEqual(1); visualizer.destroy(); @@ -627,7 +627,7 @@ describe( scene, entityCollection, scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); const destroySpy = jasmine.createSpy("destroy"); @@ -649,7 +649,7 @@ describe( scene, entityCollection, scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); const ellipse = new EllipseGraphics(); @@ -679,8 +679,8 @@ describe( BoundingSphere.transform( attributes.boundingSphere, primitive.modelMatrix, - new BoundingSphere() - ) + new BoundingSphere(), + ), ); visualizer.destroy(); @@ -693,7 +693,7 @@ describe( scene, entityCollection, scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); const result = new BoundingSphere(); @@ -712,7 +712,7 @@ describe( scene, entityCollection, scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); expect(function () { @@ -728,7 +728,7 @@ describe( scene, objects, scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); const entity = new Entity({ @@ -763,13 +763,13 @@ describe( const attributes = primitive.getGeometryInstanceAttributes(entity2); expect(attributes).toBeDefined(); expect(attributes.show).toEqual( - ShowGeometryInstanceAttribute.toValue(true) + ShowGeometryInstanceAttribute.toValue(true), ); expect(attributes.color).toEqual( - ColorGeometryInstanceAttribute.toValue(Color.BLUE) + ColorGeometryInstanceAttribute.toValue(Color.BLUE), ); expect(primitive.appearance).toBeInstanceOf( - PerInstanceColorAppearance + PerInstanceColorAppearance, ); objects.remove(entity); @@ -787,7 +787,7 @@ describe( scene, entities, scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); const entity = entities.add({ @@ -796,7 +796,7 @@ describe( semiMajorAxis: 2, semiMinorAxis: 1, material: new ColorMaterialProperty( - createDynamicProperty(Color.BLUE) + createDynamicProperty(Color.BLUE), ), height: 0, }, @@ -808,7 +808,7 @@ describe( const attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes).toBeDefined(); expect(attributes.show).toEqual( - ShowGeometryInstanceAttribute.toValue(true) + ShowGeometryInstanceAttribute.toValue(true), ); entity.show = false; @@ -820,7 +820,7 @@ describe( const attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes).toBeDefined(); expect(attributes.show).toEqual( - ShowGeometryInstanceAttribute.toValue(false) + ShowGeometryInstanceAttribute.toValue(false), ); entities.remove(entity); @@ -834,7 +834,7 @@ describe( scene, entities, scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); const entity = entities.add({ @@ -854,7 +854,7 @@ describe( const attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes).toBeDefined(); expect(attributes.show).toEqual( - ShowGeometryInstanceAttribute.toValue(true) + ShowGeometryInstanceAttribute.toValue(true), ); entity.show = false; @@ -866,7 +866,7 @@ describe( const attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes).toBeDefined(); expect(attributes.show).toEqual( - ShowGeometryInstanceAttribute.toValue(false) + ShowGeometryInstanceAttribute.toValue(false), ); entities.remove(entity); @@ -880,7 +880,7 @@ describe( scene, entities, scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); const entity = entities.add({ @@ -901,7 +901,7 @@ describe( const attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes).toBeDefined(); expect(attributes.show).toEqual( - ShowGeometryInstanceAttribute.toValue(true) + ShowGeometryInstanceAttribute.toValue(true), ); entity.show = false; @@ -913,7 +913,7 @@ describe( const attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes).toBeDefined(); expect(attributes.show).toEqual( - ShowGeometryInstanceAttribute.toValue(false) + ShowGeometryInstanceAttribute.toValue(false), ); entities.remove(entity); @@ -934,7 +934,7 @@ describe( scene, entities, scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); const blueColor = Color.BLUE.withAlpha(0.5); @@ -1035,5 +1035,5 @@ describe( expect(spy).toHaveBeenCalledOnceWith(FakeUpdater); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/DataSources/GpxDataSourceSpec.js b/packages/engine/Specs/DataSources/GpxDataSourceSpec.js index 8c9152c1572f..73224a389d60 100755 --- a/packages/engine/Specs/DataSources/GpxDataSourceSpec.js +++ b/packages/engine/Specs/DataSources/GpxDataSourceSpec.js @@ -271,7 +271,7 @@ describe("DataSources/GpxDataSource", function () { expect(entity.name).toBe("Test"); expect(entity.label).toBeDefined(); expect(entity.label.text.getValue()).toBe("Test"); - } + }, ); }); @@ -285,7 +285,7 @@ describe("DataSources/GpxDataSource", function () { return GpxDataSource.load(parser.parseFromString(gpx, "text/xml")).catch( function (e) { expect(e).toBeInstanceOf(DeveloperError); - } + }, ); }); @@ -299,7 +299,7 @@ describe("DataSources/GpxDataSource", function () { return GpxDataSource.load(parser.parseFromString(gpx, "text/xml")).catch( function (e) { expect(e).toBeInstanceOf(DeveloperError); - } + }, ); }); @@ -316,10 +316,10 @@ describe("DataSources/GpxDataSource", function () { const entities = dataSource.entities.values; expect(entities.length).toEqual(1); expect(entities[0].position.getValue(Iso8601.MINIMUM_VALUE)).toEqual( - Cartesian3.fromDegrees(38.737125, -9.139242, undefined) + Cartesian3.fromDegrees(38.737125, -9.139242, undefined), ); expect(entities[0].name).toEqual("Position 1"); - } + }, ); }); @@ -338,10 +338,10 @@ describe("DataSources/GpxDataSource", function () { expect(entities[0].billboard.height.getValue()).toEqual(BILLBOARD_SIZE); expect(entities[0].billboard.width.getValue()).toEqual(BILLBOARD_SIZE); expect(entities[0].billboard.verticalOrigin.getValue()).toEqual( - VerticalOrigin.BOTTOM + VerticalOrigin.BOTTOM, ); expect(entities[0].billboard.heightReference).toBeUndefined(); - } + }, ); }); @@ -358,7 +358,7 @@ describe("DataSources/GpxDataSource", function () { }).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities[0].billboard.heightReference.getValue()).toEqual( - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); }); }); @@ -398,9 +398,9 @@ describe("DataSources/GpxDataSource", function () { const entities = dataSource.entities.values; expect(entities.length).toEqual(1); expect(entities[0].position.getValue(Iso8601.MINIMUM_VALUE)).toEqual( - Cartesian3.fromDegrees(1, 2, 3) + Cartesian3.fromDegrees(1, 2, 3), ); - } + }, ); }); @@ -423,15 +423,15 @@ describe("DataSources/GpxDataSource", function () { const entities = dataSource.entities.values; expect(entities.length).toEqual(3); expect(entities[0].position.getValue(Iso8601.MINIMUM_VALUE)).toEqual( - Cartesian3.fromDegrees(1, 2, undefined) + Cartesian3.fromDegrees(1, 2, undefined), ); expect(entities[1].position.getValue(Iso8601.MINIMUM_VALUE)).toEqual( - Cartesian3.fromDegrees(3, 4, undefined) + Cartesian3.fromDegrees(3, 4, undefined), ); expect(entities[2].position.getValue(Iso8601.MINIMUM_VALUE)).toEqual( - Cartesian3.fromDegrees(5, 6, undefined) + Cartesian3.fromDegrees(5, 6, undefined), ); - } + }, ); }); @@ -455,7 +455,7 @@ describe("DataSources/GpxDataSource", function () { expect(div.style["background-color"]).toEqual("rgb(255, 255, 255)"); expect(div.style.color).toEqual("rgb(0, 0, 0)"); expect(div.textContent).toEqual("Description: The Description"); - } + }, ); }); @@ -479,7 +479,7 @@ describe("DataSources/GpxDataSource", function () { expect(div.style["background-color"]).toEqual("rgb(255, 255, 255)"); expect(div.style.color).toEqual("rgb(0, 0, 0)"); expect(div.textContent).toEqual("Time: 2015-08-17T00:06Z"); - } + }, ); }); @@ -503,7 +503,7 @@ describe("DataSources/GpxDataSource", function () { expect(div.style["background-color"]).toEqual("rgb(255, 255, 255)"); expect(div.style.color).toEqual("rgb(0, 0, 0)"); expect(div.textContent).toEqual("Comment: The comment"); - } + }, ); }); @@ -527,7 +527,7 @@ describe("DataSources/GpxDataSource", function () { expect(div.style["background-color"]).toEqual("rgb(255, 255, 255)"); expect(div.style.color).toEqual("rgb(0, 0, 0)"); expect(div.textContent).toEqual("Source: The source"); - } + }, ); }); @@ -551,7 +551,7 @@ describe("DataSources/GpxDataSource", function () { expect(div.style["background-color"]).toEqual("rgb(255, 255, 255)"); expect(div.style.color).toEqual("rgb(0, 0, 0)"); expect(div.textContent).toEqual("GPS track/route number: The number"); - } + }, ); }); @@ -575,7 +575,7 @@ describe("DataSources/GpxDataSource", function () { expect(div.style["background-color"]).toEqual("rgb(255, 255, 255)"); expect(div.style.color).toEqual("rgb(0, 0, 0)"); expect(div.textContent).toEqual("Type: The type"); - } + }, ); }); @@ -601,9 +601,9 @@ describe("DataSources/GpxDataSource", function () { expect(div.style["background-color"]).toEqual("rgb(255, 255, 255)"); expect(div.style.color).toEqual("rgb(0, 0, 0)"); expect(div.textContent).toEqual( - "Comment: The commentDescription: The descriptionType: The type" + "Comment: The commentDescription: The descriptionType: The type", ); - } + }, ); }); @@ -645,9 +645,9 @@ describe("DataSources/GpxDataSource", function () { expect(div.style["background-color"]).toEqual("rgb(255, 255, 255)"); expect(div.style.color).toEqual("rgb(0, 0, 0)"); expect(div.textContent).toEqual( - "Comment: The commentDescription: The descriptionType: The type" + "Comment: The commentDescription: The descriptionType: The type", ); - } + }, ); }); @@ -680,18 +680,18 @@ describe("DataSources/GpxDataSource", function () { const entities = dataSource.entities.values; expect(entities.length).toEqual(5); //1 for the route and 4 routepoints expect(entities[1].position.getValue(Iso8601.MINIMUM_VALUE)).toEqual( - Cartesian3.fromDegrees(1, 2, 1) + Cartesian3.fromDegrees(1, 2, 1), ); expect(entities[2].position.getValue(Iso8601.MINIMUM_VALUE)).toEqual( - Cartesian3.fromDegrees(3, 4, 1) + Cartesian3.fromDegrees(3, 4, 1), ); expect(entities[3].position.getValue(Iso8601.MINIMUM_VALUE)).toEqual( - Cartesian3.fromDegrees(5, 6, 1) + Cartesian3.fromDegrees(5, 6, 1), ); expect(entities[4].position.getValue(Iso8601.MINIMUM_VALUE)).toEqual( - Cartesian3.fromDegrees(7, 8, 1) + Cartesian3.fromDegrees(7, 8, 1), ); - } + }, ); }); @@ -723,13 +723,13 @@ describe("DataSources/GpxDataSource", function () { expect(entity.polyline).toBeDefined(); const positions = entity.polyline.positions.getValue( - Iso8601.MINIMUM_VALUE + Iso8601.MINIMUM_VALUE, ); expect(positions).toEqual([ Cartesian3.fromDegrees(1, 2, 1), Cartesian3.fromDegrees(3, 4, 1), ]); - } + }, ); }); @@ -764,9 +764,9 @@ describe("DataSources/GpxDataSource", function () { expect(entity.polyline.material.color.getValue()).toEqual(Color.RED); expect(entity.polyline.material.outlineWidth.getValue()).toEqual(2); expect(entity.polyline.material.outlineColor.getValue()).toEqual( - Color.BLACK + Color.BLACK, ); - } + }, ); }); @@ -894,19 +894,19 @@ describe("DataSources/GpxDataSource", function () { const entity = dataSource.entities.values[0]; expect(entity.position.getValue(time1)).toEqual( - Cartesian3.fromDegrees(1, 2, 1) + Cartesian3.fromDegrees(1, 2, 1), ); expect(entity.position.getValue(time2)).toEqual( - Cartesian3.fromDegrees(3, 4, 1) + Cartesian3.fromDegrees(3, 4, 1), ); expect(entity.position.getValue(time3)).toEqual( - Cartesian3.fromDegrees(5, 6, 1) + Cartesian3.fromDegrees(5, 6, 1), ); expect(entity.polyline).toBeDefined(); expect(entity.availability.start).toEqual(time1); expect(entity.availability.stop).toEqual(time3); - } + }, ); }); @@ -947,9 +947,9 @@ describe("DataSources/GpxDataSource", function () { expect(entity.polyline.material.color.getValue()).toEqual(Color.RED); expect(entity.polyline.material.outlineWidth.getValue()).toEqual(2); expect(entity.polyline.material.outlineColor.getValue()).toEqual( - Color.BLACK + Color.BLACK, ); - } + }, ); }); diff --git a/packages/engine/Specs/DataSources/GridMaterialPropertySpec.js b/packages/engine/Specs/DataSources/GridMaterialPropertySpec.js index efeb61875a5d..0986d5119394 100644 --- a/packages/engine/Specs/DataSources/GridMaterialPropertySpec.js +++ b/packages/engine/Specs/DataSources/GridMaterialPropertySpec.js @@ -82,35 +82,35 @@ describe("DataSources/GridMaterialProperty", function () { start: start, stop: stop, data: Color.BLUE, - }) + }), ); property.cellAlpha.intervals.addInterval( new TimeInterval({ start: start, stop: stop, data: 1.0, - }) + }), ); property.lineCount.intervals.addInterval( new TimeInterval({ start: start, stop: stop, data: new Cartesian2(3.4, 5.0), - }) + }), ); property.lineThickness.intervals.addInterval( new TimeInterval({ start: start, stop: stop, data: new Cartesian2(2, 3), - }) + }), ); property.lineOffset.intervals.addInterval( new TimeInterval({ start: start, stop: stop, data: new Cartesian2(0.7, 0.8), - }) + }), ); const result = property.getValue(start); @@ -190,7 +190,7 @@ describe("DataSources/GridMaterialProperty", function () { property, "color", property.color, - oldValue + oldValue, ); listener.calls.reset(); @@ -199,7 +199,7 @@ describe("DataSources/GridMaterialProperty", function () { property, "color", property.color, - property.color + property.color, ); listener.calls.reset(); @@ -213,7 +213,7 @@ describe("DataSources/GridMaterialProperty", function () { property, "cellAlpha", property.cellAlpha, - oldValue + oldValue, ); listener.calls.reset(); @@ -222,7 +222,7 @@ describe("DataSources/GridMaterialProperty", function () { property, "cellAlpha", property.cellAlpha, - property.cellAlpha + property.cellAlpha, ); listener.calls.reset(); @@ -236,7 +236,7 @@ describe("DataSources/GridMaterialProperty", function () { property, "lineCount", property.lineCount, - oldValue + oldValue, ); listener.calls.reset(); @@ -245,7 +245,7 @@ describe("DataSources/GridMaterialProperty", function () { property, "lineCount", property.lineCount, - property.lineCount + property.lineCount, ); listener.calls.reset(); @@ -259,7 +259,7 @@ describe("DataSources/GridMaterialProperty", function () { property, "lineThickness", property.lineThickness, - oldValue + oldValue, ); listener.calls.reset(); @@ -268,7 +268,7 @@ describe("DataSources/GridMaterialProperty", function () { property, "lineThickness", property.lineThickness, - property.lineThickness + property.lineThickness, ); listener.calls.reset(); @@ -278,7 +278,7 @@ describe("DataSources/GridMaterialProperty", function () { property, "lineOffset", property.lineOffset, - oldValue + oldValue, ); listener.calls.reset(); @@ -287,7 +287,7 @@ describe("DataSources/GridMaterialProperty", function () { property, "lineOffset", property.lineOffset, - property.lineOffset + property.lineOffset, ); listener.calls.reset(); diff --git a/packages/engine/Specs/DataSources/GroundGeometryUpdaterSpec.js b/packages/engine/Specs/DataSources/GroundGeometryUpdaterSpec.js index 1b52765079f3..35c1980dd34a 100644 --- a/packages/engine/Specs/DataSources/GroundGeometryUpdaterSpec.js +++ b/packages/engine/Specs/DataSources/GroundGeometryUpdaterSpec.js @@ -20,12 +20,12 @@ describe("DataSources/GroundGeometryUpdater", function () { const height = expected; let heightReference = HeightReference.NONE; expect( - GroundGeometryUpdater.getGeometryHeight(height, heightReference) + GroundGeometryUpdater.getGeometryHeight(height, heightReference), ).toEqual(expected); heightReference = HeightReference.RELATIVE_TO_GROUND; expect( - GroundGeometryUpdater.getGeometryHeight(height, heightReference) + GroundGeometryUpdater.getGeometryHeight(height, heightReference), ).toEqual(expected); }); @@ -33,7 +33,7 @@ describe("DataSources/GroundGeometryUpdater", function () { const height = 50; const heightReference = HeightReference.CLAMP_TO_GROUND; expect( - GroundGeometryUpdater.getGeometryHeight(height, heightReference) + GroundGeometryUpdater.getGeometryHeight(height, heightReference), ).toEqual(0); }); @@ -42,12 +42,12 @@ describe("DataSources/GroundGeometryUpdater", function () { const height = expected; let heightReference = HeightReference.NONE; expect( - GroundGeometryUpdater.getGeometryExtrudedHeight(height, heightReference) + GroundGeometryUpdater.getGeometryExtrudedHeight(height, heightReference), ).toEqual(expected); heightReference = HeightReference.RELATIVE_TO_GROUND; expect( - GroundGeometryUpdater.getGeometryExtrudedHeight(height, heightReference) + GroundGeometryUpdater.getGeometryExtrudedHeight(height, heightReference), ).toEqual(expected); }); @@ -55,7 +55,7 @@ describe("DataSources/GroundGeometryUpdater", function () { const height = 50; const heightReference = HeightReference.CLAMP_TO_GROUND; expect( - GroundGeometryUpdater.getGeometryExtrudedHeight(height, heightReference) + GroundGeometryUpdater.getGeometryExtrudedHeight(height, heightReference), ).toEqual(GroundGeometryUpdater.CLAMP_TO_GROUND); }); @@ -68,7 +68,7 @@ describe("DataSources/GroundGeometryUpdater", function () { height, heightReference, extrudedHeight, - extrudedHeightReference + extrudedHeightReference, ); expect(result).toBeUndefined(); @@ -78,7 +78,7 @@ describe("DataSources/GroundGeometryUpdater", function () { height, heightReference, extrudedHeight, - extrudedHeightReference + extrudedHeightReference, ); expect(result).toBeUndefined(); @@ -88,7 +88,7 @@ describe("DataSources/GroundGeometryUpdater", function () { height, heightReference, extrudedHeight, - extrudedHeightReference + extrudedHeightReference, ); expect(result).toBeUndefined(); @@ -98,7 +98,7 @@ describe("DataSources/GroundGeometryUpdater", function () { height, heightReference, extrudedHeight, - extrudedHeightReference + extrudedHeightReference, ); expect(result).toBe(GeometryOffsetAttribute.TOP); @@ -108,7 +108,7 @@ describe("DataSources/GroundGeometryUpdater", function () { height, heightReference, extrudedHeight, - extrudedHeightReference + extrudedHeightReference, ); expect(result).toBe(GeometryOffsetAttribute.TOP); @@ -118,7 +118,7 @@ describe("DataSources/GroundGeometryUpdater", function () { height, heightReference, extrudedHeight, - extrudedHeightReference + extrudedHeightReference, ); expect(result).toBe(GeometryOffsetAttribute.TOP); @@ -128,7 +128,7 @@ describe("DataSources/GroundGeometryUpdater", function () { height, heightReference, extrudedHeight, - extrudedHeightReference + extrudedHeightReference, ); expect(result).toBe(GeometryOffsetAttribute.ALL); @@ -138,7 +138,7 @@ describe("DataSources/GroundGeometryUpdater", function () { height, heightReference, extrudedHeight, - extrudedHeightReference + extrudedHeightReference, ); expect(result).toBe(GeometryOffsetAttribute.TOP); @@ -148,7 +148,7 @@ describe("DataSources/GroundGeometryUpdater", function () { height, heightReference, extrudedHeight, - extrudedHeightReference + extrudedHeightReference, ); expect(result).toBe(GeometryOffsetAttribute.TOP); @@ -158,7 +158,7 @@ describe("DataSources/GroundGeometryUpdater", function () { height, heightReference, extrudedHeight, - extrudedHeightReference + extrudedHeightReference, ); expect(result).toBe(GeometryOffsetAttribute.ALL); @@ -166,7 +166,7 @@ describe("DataSources/GroundGeometryUpdater", function () { undefined, heightReference, undefined, - extrudedHeightReference + extrudedHeightReference, ); expect(result).toBeUndefined(); }); diff --git a/packages/engine/Specs/DataSources/ImageMaterialPropertySpec.js b/packages/engine/Specs/DataSources/ImageMaterialPropertySpec.js index 7bd5eeaa214a..aee441645283 100644 --- a/packages/engine/Specs/DataSources/ImageMaterialPropertySpec.js +++ b/packages/engine/Specs/DataSources/ImageMaterialPropertySpec.js @@ -61,14 +61,14 @@ describe("DataSources/ImageMaterialProperty", function () { start: start, stop: stop, data: "http://test.invalid/image.png", - }) + }), ); property.repeat.intervals.addInterval( new TimeInterval({ start: start, stop: stop, data: new Cartesian2(2, 3), - }) + }), ); const result = property.getValue(start); @@ -121,7 +121,7 @@ describe("DataSources/ImageMaterialProperty", function () { property, "image", property.image, - oldValue + oldValue, ); listener.calls.reset(); @@ -130,7 +130,7 @@ describe("DataSources/ImageMaterialProperty", function () { property, "image", property.image, - property.image + property.image, ); listener.calls.reset(); @@ -144,7 +144,7 @@ describe("DataSources/ImageMaterialProperty", function () { property, "repeat", property.repeat, - oldValue + oldValue, ); listener.calls.reset(); @@ -153,7 +153,7 @@ describe("DataSources/ImageMaterialProperty", function () { property, "repeat", property.repeat, - property.repeat + property.repeat, ); listener.calls.reset(); @@ -177,7 +177,7 @@ describe("DataSources/ImageMaterialProperty", function () { start: start, stop: stop, data: "http://test.invalid/image.png", - }) + }), ); expect(property.isConstant).toBe(false); @@ -189,7 +189,7 @@ describe("DataSources/ImageMaterialProperty", function () { start: start, stop: stop, data: new Cartesian2(2, 3), - }) + }), ); expect(property.isConstant).toBe(false); }); diff --git a/packages/engine/Specs/DataSources/KmlDataSourceSpec.js b/packages/engine/Specs/DataSources/KmlDataSourceSpec.js index 0fe44e005c4b..ba8b4d048e04 100644 --- a/packages/engine/Specs/DataSources/KmlDataSourceSpec.js +++ b/packages/engine/Specs/DataSources/KmlDataSourceSpec.js @@ -250,7 +250,7 @@ describe("DataSources/KmlDataSource", function () { return dataSource.load("Data/KML/backslash.kmz").then(function (source) { expect(source).toBe(dataSource); expect( - isDataUri(source.entities.values[0]._billboard._image._value.url) + isDataUri(source.entities.values[0]._billboard._image._value.url), ).toBe(true); }); }); @@ -287,25 +287,25 @@ describe("DataSources/KmlDataSource", function () { const OrigDeferredLoading = KmlDataSource._DeferredLoading; let deferredLoading; - spyOn(KmlDataSource, "_DeferredLoading").and.callFake(function ( - datasource - ) { - deferredLoading = new OrigDeferredLoading(datasource); - - const process = deferredLoading._process.bind(deferredLoading); - spyOn(deferredLoading, "_process").and.callFake(function (isFirst) { - jasmine.clock().tick(1001); // Step over a second everytime, so we only process 1 feature - return process(isFirst); - }); - - const giveUpTime = deferredLoading._giveUpTime.bind(deferredLoading); - spyOn(deferredLoading, "_giveUpTime").and.callFake(function () { - giveUpTime(); - jasmine.clock().tick(1); // Fire the setTimeout callback - }); - - return deferredLoading; - }); + spyOn(KmlDataSource, "_DeferredLoading").and.callFake( + function (datasource) { + deferredLoading = new OrigDeferredLoading(datasource); + + const process = deferredLoading._process.bind(deferredLoading); + spyOn(deferredLoading, "_process").and.callFake(function (isFirst) { + jasmine.clock().tick(1001); // Step over a second everytime, so we only process 1 feature + return process(isFirst); + }); + + const giveUpTime = deferredLoading._giveUpTime.bind(deferredLoading); + spyOn(deferredLoading, "_giveUpTime").and.callFake(function () { + giveUpTime(); + jasmine.clock().tick(1); // Fire the setTimeout callback + }); + + return deferredLoading; + }, + ); const dataSource = new KmlDataSource(options); return dataSource @@ -364,20 +364,20 @@ describe("DataSources/KmlDataSource", function () { }); it("load rejects loading non-KML URL", function () { - return KmlDataSource.load("Data/Images/Blue.png", options).catch(function ( - e - ) { - expect(e).toBeInstanceOf(RuntimeError); - }); + return KmlDataSource.load("Data/Images/Blue.png", options).catch( + function (e) { + expect(e).toBeInstanceOf(RuntimeError); + }, + ); }); it("load rejects valid KMZ zip URL with no KML contained", function () { - return KmlDataSource.load("Data/KML/empty.kmz", options).catch(function ( - e - ) { - expect(e).toBeInstanceOf(RuntimeError); - expect(e.message).toEqual("KMZ file does not contain a KML document."); - }); + return KmlDataSource.load("Data/KML/empty.kmz", options).catch( + function (e) { + expect(e).toBeInstanceOf(RuntimeError); + expect(e.message).toEqual("KMZ file does not contain a KML document."); + }, + ); }); it("if load contains <icon> tag with no image included, no image is added", function () { @@ -391,7 +391,7 @@ describe("DataSources/KmlDataSource", function () { expect(source.entities.values.length).toEqual(1); expect(source.entities._entities._array.length).toEqual(1); expect( - source.entities._entities._array[0]._billboard._image + source.entities._entities._array[0]._billboard._image, ).toBeUndefined(); }); }); @@ -407,7 +407,7 @@ describe("DataSources/KmlDataSource", function () { expect(source.entities.values.length).toEqual(1); expect(source.entities._entities._array.length).toEqual(1); expect( - source.entities._entities._array[0]._billboard._image._value + source.entities._entities._array[0]._billboard._image._value, ).toEqual(dataSource._pinBuilder.fromColor(Color.YELLOW, 64)); }); }); @@ -423,7 +423,7 @@ describe("DataSources/KmlDataSource", function () { expect(source.entities.values.length).toEqual(1); expect(source.entities._entities._array.length).toEqual(1); expect( - source.entities._entities._array[0]._billboard._image._value + source.entities._entities._array[0]._billboard._image._value, ).toEqual(dataSource._pinBuilder.fromColor(Color.YELLOW, 64)); }); }); @@ -583,7 +583,7 @@ describe("DataSources/KmlDataSource", function () { expect(clock.clockRange).toEqual(ClockRange.LOOP_STOP); expect(clock.clockStep).toEqual(ClockStep.SYSTEM_CLOCK_MULTIPLIER); expect(clock.multiplier).toEqual( - JulianDate.secondsDifference(endDate, beginDate) / 60 + JulianDate.secondsDifference(endDate, beginDate) / 60, ); return dataSource; }) @@ -599,7 +599,7 @@ describe("DataSources/KmlDataSource", function () { return dataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ); }) .then(function (dataSource) { @@ -615,7 +615,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.id).toBe("Bob"); @@ -635,7 +635,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities[0].id).toBe("Bob"); @@ -652,7 +652,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.name).toBe("bob"); @@ -670,7 +670,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.kml.address).toBe("1826 South 16th Street"); @@ -686,7 +686,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.kml.phoneNumber).toBe("555-555-5555"); @@ -702,7 +702,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.kml.snippet).toBe("Hey!"); @@ -723,7 +723,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.kml).toBeDefined(); @@ -750,7 +750,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.kml).toBeDefined(); @@ -779,7 +779,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.availability).toBeDefined(); @@ -803,7 +803,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.availability).toBeDefined(); @@ -822,7 +822,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.availability).toBeUndefined(); @@ -842,7 +842,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.availability).toBeDefined(); @@ -864,7 +864,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.availability).toBeDefined(); @@ -886,7 +886,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.availability).toBeDefined(); @@ -904,7 +904,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.show).toBe(false); @@ -921,7 +921,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.availability).toBeUndefined(); @@ -939,7 +939,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.availability).toBeUndefined(); @@ -966,7 +966,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.kml.extendedData).toBeDefined(); @@ -993,7 +993,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.name).toBeUndefined(); @@ -1018,12 +1018,12 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.rectangle.material).toBeInstanceOf(ImageMaterialProperty); expect(entity.rectangle.material.image.getValue().url).toEqual( - "http://test.invalid/image.png" + "http://test.invalid/image.png", ); }); }); @@ -1040,15 +1040,15 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.rectangle.material).toBeInstanceOf(ImageMaterialProperty); expect(entity.rectangle.material.image.getValue().url).toEqual( - "http://test.invalid/image.png" + "http://test.invalid/image.png", ); expect(entity.rectangle.material.color.getValue()).toEqual( - new Color(1.0, 0.0, 0.0, 127 / 255) + new Color(1.0, 0.0, 0.0, 127 / 255), ); }); }); @@ -1063,7 +1063,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.rectangle.material).toBeInstanceOf(ColorMaterialProperty); @@ -1087,13 +1087,13 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.polygon).toBeUndefined(); expect(entity.rectangle.coordinates.getValue()).toEqualEpsilon( Rectangle.fromDegrees(3, 1, 4, 2), - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(entity.rectangle.rotation.getValue()).toEqual(Math.PI / 4); expect(entity.rectangle.stRotation.getValue()).toEqual(Math.PI / 4); @@ -1115,12 +1115,12 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.polygon).toBeUndefined(); expect(entity.rectangle.coordinates.getValue()).toEqual( - Rectangle.fromDegrees(-180, -90, 180, 90) + Rectangle.fromDegrees(-180, -90, 180, 90), ); }); }); @@ -1139,12 +1139,12 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.polygon).toBeUndefined(); expect(entity.rectangle.coordinates.getValue()).toEqual( - Rectangle.fromDegrees(-180, -90, 180, 90) + Rectangle.fromDegrees(-180, -90, 180, 90), ); }); }); @@ -1163,13 +1163,13 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.rectangle).toBeUndefined(); expect(entity.polygon.hierarchy.getValue().positions).toEqualEpsilon( Cartesian3.fromDegreesArray([1, 2, 3, 4, 5, 6, 7, 8]), - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); }); }); @@ -1191,12 +1191,12 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.polygon.material).toBeInstanceOf(ImageMaterialProperty); expect(entity.polygon.material.image.getValue().url).toEqual( - "http://test.invalid/image.png" + "http://test.invalid/image.png", ); }); }); @@ -1216,7 +1216,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.polygon.zIndex.getValue()).toEqual(3); @@ -1233,7 +1233,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.rectangle.height.getValue()).toEqual(23); @@ -1254,7 +1254,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { expect(screenOverlayContainer.children.length).toEqual(1); const child = screenOverlayContainer.children[0]; @@ -1267,7 +1267,7 @@ describe("DataSources/KmlDataSource", function () { expect(child.style.height).toEqual(""); expect(child.style.top).toEqual(""); expect(["calc(100% + 0px)", "calc(100% - 0px)"]).toContain( - child.style.bottom + child.style.bottom, ); expect(child.style.right).toEqual(""); expect(["calc(0% + 0px)", "calc(0% - 0px)"]).toContain(child.style.left); @@ -1300,16 +1300,16 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { expect(screenOverlayContainer.children.length).toEqual(2); expect(screenOverlayContainer.children[0].tagName).toEqual("IMG"); expect(screenOverlayContainer.children[0].getAttribute("src")).toEqual( - "http://invalid.url/first" + "http://invalid.url/first", ); expect(screenOverlayContainer.children[1].tagName).toEqual("IMG"); expect(screenOverlayContainer.children[1].getAttribute("src")).toEqual( - "http://invalid.url/second" + "http://invalid.url/second", ); dataSource.destroy(); @@ -1330,7 +1330,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { expect(screenOverlayContainer.children.length).toEqual(1); const child = screenOverlayContainer.children[0]; @@ -1364,7 +1364,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { expect(screenOverlayContainer.children.length).toEqual(1); const child = screenOverlayContainer.children[0]; @@ -1398,7 +1398,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { expect(screenOverlayContainer.children.length).toEqual(1); const child = screenOverlayContainer.children[0]; @@ -1432,7 +1432,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { expect(screenOverlayContainer.children.length).toEqual(1); dataSource.destroy(); @@ -1456,7 +1456,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities.length).toEqual(1); @@ -1480,7 +1480,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities.length).toEqual(1); @@ -1497,7 +1497,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities[0].billboard.scale.getValue()).toEqual(3.0); @@ -1513,7 +1513,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities[0].billboard.scale.getValue()).toEqual(3.0); @@ -1545,7 +1545,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities.length).toEqual(1); @@ -1573,9 +1573,10 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { - const generatedColor = dataSource.entities.values[0].billboard.color.getValue(); + const generatedColor = + dataSource.entities.values[0].billboard.color.getValue(); expect(generatedColor.red).toBeLessThan(1.0); expect(generatedColor.green).toBeLessThan(1.0); expect(generatedColor.blue).toBeLessThan(1.0); @@ -1599,9 +1600,10 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { - const generatedColor = dataSource.entities.values[0].billboard.color.getValue(); + const generatedColor = + dataSource.entities.values[0].billboard.color.getValue(); expect(generatedColor.red).toEqual(0); expect(generatedColor.green).toEqual(0); expect(generatedColor.blue).toEqual(0); @@ -1624,7 +1626,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { expect(dataSource.entities.values[0].billboard.color).toBeUndefined(); }); @@ -1645,7 +1647,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; @@ -1680,7 +1682,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; @@ -1716,7 +1718,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.polyline.material).toBeInstanceOf(ColorMaterialProperty); @@ -1746,7 +1748,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; @@ -1785,7 +1787,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; @@ -1816,7 +1818,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; @@ -1859,7 +1861,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; @@ -1904,7 +1906,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; @@ -1949,7 +1951,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; @@ -1996,7 +1998,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.billboard.scale.getValue()).toBe(2.0); @@ -2024,7 +2026,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.billboard.scale.getValue()).toBe(2.0); @@ -2053,7 +2055,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.billboard.scale.getValue()).toBe(2.0); @@ -2082,7 +2084,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.billboard.scale.getValue()).toBe(2.0); @@ -2101,7 +2103,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities[0].billboard).toBeDefined(); @@ -2123,12 +2125,12 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; const billboard = entities[0].billboard; expect(billboard.image.getValue().url).toEqual( - "http://test.invalid/image.png" + "http://test.invalid/image.png", ); }); }); @@ -2148,12 +2150,12 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; const billboard = entities[0].billboard; expect(billboard.image.getValue().url).toEqual( - "https://maps.google.com/mapfiles/kml/pal3/icon56.png" + "https://maps.google.com/mapfiles/kml/pal3/icon56.png", ); }); }); @@ -2177,19 +2179,19 @@ describe("DataSources/KmlDataSource", function () { const entities = dataSource.entities.values; const billboard = entities[0].billboard; expect(billboard.image.getValue().url).toEqual( - "http://test.invalid/image.png" + "http://test.invalid/image.png", ); }); }); it("IconStyle: Sets billboard image inside KMZ", function () { - return KmlDataSource.load("Data/KML/simple.kmz", options).then(function ( - dataSource - ) { - const entities = dataSource.entities.values; - const billboard = entities[0].billboard; - expect(billboard.image.getValue().url).toEqual(image); - }); + return KmlDataSource.load("Data/KML/simple.kmz", options).then( + function (dataSource) { + const entities = dataSource.entities.values; + const billboard = entities[0].billboard; + expect(billboard.image.getValue().url).toEqual(image); + }, + ); }); it("IconStyle: Sets billboard image with subregion", function () { @@ -2216,12 +2218,12 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const billboard = dataSource.entities.values[0].billboard; expect(billboard.image.getValue().url).toEqual(expectedIconHref); expect(billboard.imageSubRegion.getValue()).toEqual( - new BoundingRectangle(49, 43, 18, 18) + new BoundingRectangle(49, 43, 18, 18), ); }); }); @@ -2239,7 +2241,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const billboard = dataSource.entities.values[0].billboard; expect(billboard.pixelOffset.getValue()).toEqual(new Cartesian2(8, 8)); @@ -2259,7 +2261,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const billboard = dataSource.entities.values[0].billboard; expect(billboard.pixelOffset.getValue()).toEqual(new Cartesian2(15, -14)); @@ -2279,7 +2281,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const billboard = dataSource.entities.values[0].billboard; expect(billboard.pixelOffset.getValue()).toEqual(new Cartesian2(-15, 14)); @@ -2300,7 +2302,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities[0].billboard.color.getValue()).toEqual(color); @@ -2320,7 +2322,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities[0].billboard.scale.getValue()).toEqual(2.2); @@ -2340,14 +2342,14 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities[0].billboard.rotation.getValue()).toEqual( - CesiumMath.toRadians(-4) + CesiumMath.toRadians(-4), ); expect(entities[0].billboard.alignedAxis.getValue()).toEqual( - Cartesian3.UNIT_Z + Cartesian3.UNIT_Z, ); }); }); @@ -2377,7 +2379,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; @@ -2389,7 +2391,7 @@ describe("DataSources/KmlDataSource", function () { expect(div.style["background-color"]).toEqual("rgba(102, 68, 34, 0)"); expect(div.style.color).toEqual("rgba(0, 34, 68, 0.4)"); expect(div.textContent).toEqual( - "The Name The Description The Address The Snippet The ID The Property The Value $[prop2/displayName] $[prop2]" + "The Name The Description The Address The Snippet The ID The Property The Value $[prop2/displayName] $[prop2]", ); }); }); @@ -2407,7 +2409,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; @@ -2428,7 +2430,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; @@ -2463,7 +2465,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; @@ -2503,7 +2505,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.description).toBeUndefined(); @@ -2519,7 +2521,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; @@ -2563,7 +2565,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; @@ -2587,7 +2589,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; @@ -2600,27 +2602,27 @@ describe("DataSources/KmlDataSource", function () { }); it("BalloonStyle: description is rewritten for embedded kmz links and images", function () { - return KmlDataSource.load("Data/KML/simple.kmz", options).then(function ( - dataSource - ) { - const entity = dataSource.entities.values[0]; - const description = entity.description.getValue(); - const div = document.createElement("div"); - div.innerHTML = description; - - expect(div.textContent).toEqual("image.png image.png"); - const children = div.firstChild.querySelectorAll("*"); - expect(children.length).toEqual(2); - - const link = children[0]; - expect(link.localName).toEqual("a"); - expect(link.getAttribute("href")).toEqual(image); - expect(link.getAttribute("download")).toEqual("image.png"); - - const img = children[1]; - expect(img.localName).toEqual("img"); - expect(img.src).toEqual(image); - }); + return KmlDataSource.load("Data/KML/simple.kmz", options).then( + function (dataSource) { + const entity = dataSource.entities.values[0]; + const description = entity.description.getValue(); + const div = document.createElement("div"); + div.innerHTML = description; + + expect(div.textContent).toEqual("image.png image.png"); + const children = div.firstChild.querySelectorAll("*"); + expect(children.length).toEqual(2); + + const link = children[0]; + expect(link.localName).toEqual("a"); + expect(link.getAttribute("href")).toEqual(image); + expect(link.getAttribute("download")).toEqual("image.png"); + + const img = children[1]; + expect(img.localName).toEqual("img"); + expect(img.src).toEqual(image); + }, + ); }); it("LabelStyle: Sets defaults", function () { @@ -2635,7 +2637,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; const label = entities[0].label; @@ -2666,7 +2668,7 @@ describe("DataSources/KmlDataSource", function () { expect(label.horizontalOrigin.getValue()).toEqual(HorizontalOrigin.LEFT); expect(label.pixelOffset.getValue()).toEqual(new Cartesian2(17, 0)); expect(label.translucencyByDistance.getValue()).toEqual( - new NearFarScalar(3000000, 1.0, 5000000, 0.0) + new NearFarScalar(3000000, 1.0, 5000000, 0.0), ); }); }); @@ -2685,7 +2687,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities[0].label.fillColor.getValue()).toEqual(color); @@ -2707,11 +2709,11 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities[0].label.pixelOffset.getValue()).toEqual( - new Cartesian2(33, 0) + new Cartesian2(33, 0), ); }); }); @@ -2729,11 +2731,11 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities[0].label.pixelOffset.getValue()).toEqual( - new Cartesian3(3 * 16 + 1, 0) + new Cartesian3(3 * 16 + 1, 0), ); }); }); @@ -2751,7 +2753,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities[0].label.pixelOffset).toBeUndefined(); @@ -2771,7 +2773,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; const polyline = entities[0].polyline; @@ -2800,7 +2802,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; const polyline = entities[0].polyline; @@ -2822,7 +2824,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; const polyline = entities[0].polyline; @@ -2842,7 +2844,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; const polygon = entities[0].polygon; @@ -2878,7 +2880,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; const polygon = entities[0].polygon; @@ -2900,7 +2902,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; const polygon = entities[0].polygon; @@ -2921,7 +2923,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; const polygon = entities[0].polygon; @@ -2939,7 +2941,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities; const folder = entities.getById("parent"); @@ -2965,7 +2967,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const start = JulianDate.fromIso8601("2000-01-01"); const stop = JulianDate.fromIso8601("2000-01-03"); @@ -2998,7 +3000,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const startFolder = JulianDate.fromIso8601("2000-01-01"); const stopFolder = JulianDate.fromIso8601("2000-01-04"); @@ -3030,7 +3032,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const start = JulianDate.fromIso8601("2000-01-03"); @@ -3061,7 +3063,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const startFolder = JulianDate.fromIso8601("2000-01-03"); const startFeature = JulianDate.fromIso8601("2000-01-04"); @@ -3089,12 +3091,12 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities.length).toEqual(1); expect(entities[0].position.getValue(Iso8601.MINIMUM_VALUE)).toEqual( - Cartesian3.fromDegrees(0, 0, 0) + Cartesian3.fromDegrees(0, 0, 0), ); expect(entities[0].polyline).toBeUndefined(); }); @@ -3112,12 +3114,12 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities.length).toEqual(1); expect(entities[0].position.getValue(Iso8601.MINIMUM_VALUE)).toEqual( - Cartesian3.fromDegrees(1, 2, 3) + Cartesian3.fromDegrees(1, 2, 3), ); expect(entities[0].polyline).toBeUndefined(); }); @@ -3134,12 +3136,12 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities.length).toEqual(1); expect(entities[0].position.getValue(Iso8601.MINIMUM_VALUE)).toEqual( - Cartesian3.fromDegrees(0, 0, 0) + Cartesian3.fromDegrees(0, 0, 0), ); expect(entities[0].polyline).toBeUndefined(); }); @@ -3160,7 +3162,7 @@ describe("DataSources/KmlDataSource", function () { const entities = dataSource.entities.values; expect(entities.length).toEqual(1); expect( - entities[0].billboard.heightReference.getValue(Iso8601.MINIMUM_VALUE) + entities[0].billboard.heightReference.getValue(Iso8601.MINIMUM_VALUE), ).toEqual(HeightReference.CLAMP_TO_GROUND); expect(entities[0].polyline).toBeUndefined(); }); @@ -3178,12 +3180,12 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities.length).toEqual(1); expect(entities[0].position.getValue(Iso8601.MINIMUM_VALUE)).toEqual( - Cartesian3.fromDegrees(1, 2, 3) + Cartesian3.fromDegrees(1, 2, 3), ); expect(entities[0].billboard.pixelOffset).toBeUndefined(); expect(entities[0].polyline).toBeUndefined(); @@ -3202,12 +3204,12 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities.length).toEqual(1); expect(entities[0].position.getValue(Iso8601.MINIMUM_VALUE)).toEqual( - Cartesian3.fromDegrees(1, 2, 3) + Cartesian3.fromDegrees(1, 2, 3), ); expect(entities[0].billboard.pixelOffset).toBeUndefined(); expect(entities[0].polyline).toBeUndefined(); @@ -3227,12 +3229,12 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities.length).toEqual(1); expect(entities[0].position.getValue(Iso8601.MINIMUM_VALUE)).toEqual( - Cartesian3.fromDegrees(1, 2, 0) + Cartesian3.fromDegrees(1, 2, 0), ); expect(entities[0].billboard.pixelOffset).toBeUndefined(); expect(entities[0].polyline).toBeUndefined(); @@ -3253,12 +3255,12 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities.length).toEqual(1); expect(entities[0].position.getValue(Iso8601.MINIMUM_VALUE)).toEqual( - Cartesian3.fromDegrees(1, 2) + Cartesian3.fromDegrees(1, 2), ); expect(entities[0].polyline).toBeUndefined(); }); @@ -3277,21 +3279,21 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities.length).toEqual(1); expect(entities[0].position.getValue(Iso8601.MINIMUM_VALUE)).toEqual( - Cartesian3.fromDegrees(1, 2, 3) + Cartesian3.fromDegrees(1, 2, 3), ); expect(entities[0].polyline).toBeDefined(); const positions = entities[0].polyline.positions.getValue( - Iso8601.MINIMUM_VALUE + Iso8601.MINIMUM_VALUE, ); expect(positions).toEqualEpsilon( [Cartesian3.fromDegrees(1, 2, 3), Cartesian3.fromDegrees(1, 2, 0)], - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); }); }); @@ -3310,21 +3312,21 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities.length).toEqual(1); expect(entities[0].position.getValue(Iso8601.MINIMUM_VALUE)).toEqual( - Cartesian3.fromDegrees(1, 2, 3) + Cartesian3.fromDegrees(1, 2, 3), ); expect(entities[0].polyline).toBeDefined(); const positions = entities[0].polyline.positions.getValue( - Iso8601.MINIMUM_VALUE + Iso8601.MINIMUM_VALUE, ); expect(positions).toEqualEpsilon( [Cartesian3.fromDegrees(1, 2, 3), Cartesian3.fromDegrees(1, 2, 0)], - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); }); }); @@ -3342,21 +3344,21 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities.length).toEqual(1); expect(entities[0].position.getValue(Iso8601.MINIMUM_VALUE)).toEqual( - Cartesian3.fromDegrees(1, 2, 3) + Cartesian3.fromDegrees(1, 2, 3), ); expect(entities[0].polyline).toBeDefined(); const positions = entities[0].polyline.positions.getValue( - Iso8601.MINIMUM_VALUE + Iso8601.MINIMUM_VALUE, ); expect(positions).toEqualEpsilon( [Cartesian3.fromDegrees(1, 2, 3), Cartesian3.fromDegrees(1, 2, 0)], - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); }); }); @@ -3372,15 +3374,15 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities.length).toEqual(1); expect( - entities[0].position.getValue(Iso8601.MINIMUM_VALUE) + entities[0].position.getValue(Iso8601.MINIMUM_VALUE), ).toEqualEpsilon( new Cartesian3(213935.5635247161, 95566.36983235707, 6352461.425213023), - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); }); }); @@ -3397,15 +3399,15 @@ describe("DataSources/KmlDataSource", function () { const moonOptions = combine(options, { ellipsoid: Ellipsoid.MOON }); return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - moonOptions + moonOptions, ).then(function (moonDataSource) { const entities = moonDataSource.entities.values; expect(entities.length).toEqual(1); expect( - entities[0].position.getValue(Iso8601.MINIMUM_VALUE) + entities[0].position.getValue(Iso8601.MINIMUM_VALUE), ).toEqualEpsilon( new Cartesian3(58080.7702560248, 25945.04756005268, 1736235.0758562544), - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); }); }); @@ -3426,7 +3428,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.polygon.hierarchy).toBeUndefined(); @@ -3452,7 +3454,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; const coordinates = [ @@ -3461,7 +3463,7 @@ describe("DataSources/KmlDataSource", function () { Cartesian3.fromDegrees(7, 8, 9), ]; expect(entity.polygon.hierarchy.getValue().positions).toEqual( - coordinates + coordinates, ); }); }); @@ -3503,7 +3505,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; const coordinates = [ @@ -3546,7 +3548,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.polygon.perPositionHeight.getValue()).toEqual(true); @@ -3566,7 +3568,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.polygon.perPositionHeight.getValue()).toEqual(true); @@ -3587,7 +3589,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.polygon.perPositionHeight).toBeUndefined(); @@ -3608,7 +3610,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.polygon.perPositionHeight.getValue()).toEqual(true); @@ -3629,7 +3631,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.polygon.perPositionHeight).toBeUndefined(); @@ -3658,13 +3660,13 @@ describe("DataSources/KmlDataSource", function () { const moonOptions = combine(options, { ellipsoid: Ellipsoid.MOON }); return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - moonOptions + moonOptions, ).then(function (moonDataSource) { const entity = moonDataSource.entities.values[0]; const moonPoint = entity.polygon.hierarchy.getValue().positions[0]; expect(moonPoint).toEqualEpsilon( new Cartesian3(58080.7702560248, 25945.04756005268, 1736235.0758562544), - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); }); }); @@ -3689,13 +3691,13 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; const earthPoint = entity.polygon.hierarchy.getValue().positions[0]; expect(earthPoint).toEqualEpsilon( new Cartesian3(213935.5635247161, 95566.36983235707, 6352461.425213023), - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); }); }); @@ -3710,7 +3712,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities.length).toEqual(1); @@ -3735,7 +3737,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities.length).toEqual(1); @@ -3745,11 +3747,11 @@ describe("DataSources/KmlDataSource", function () { expect(entity.polyline).toBeDefined(); const positions = entity.polyline.positions.getValue( - Iso8601.MINIMUM_VALUE + Iso8601.MINIMUM_VALUE, ); expect(positions).toEqualEpsilon( [Cartesian3.fromDegrees(1, 2), Cartesian3.fromDegrees(4, 5)], - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(entity.polyline.arcType.getValue()).toEqual(ArcType.NONE); }); @@ -3770,7 +3772,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities.length).toEqual(1); @@ -3782,7 +3784,7 @@ describe("DataSources/KmlDataSource", function () { const positions = entity.wall.positions.getValue(Iso8601.MINIMUM_VALUE); expect(positions).toEqualEpsilon( [Cartesian3.fromDegrees(1, 2, 3), Cartesian3.fromDegrees(4, 5, 6)], - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); }); @@ -3801,7 +3803,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities.length).toEqual(1); @@ -3809,11 +3811,11 @@ describe("DataSources/KmlDataSource", function () { const entity = entities[0]; expect(entity.polyline.arcType).toBeUndefined(); const positions = entity.polyline.positions.getValue( - Iso8601.MINIMUM_VALUE + Iso8601.MINIMUM_VALUE, ); expect(positions).toEqualEpsilon( [Cartesian3.fromDegrees(1, 2), Cartesian3.fromDegrees(4, 5)], - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); }); @@ -3833,7 +3835,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities.length).toEqual(1); @@ -3841,11 +3843,11 @@ describe("DataSources/KmlDataSource", function () { const entity = entities[0]; expect(entity.polyline.arcType).toBeUndefined(); const positions = entity.polyline.positions.getValue( - Iso8601.MINIMUM_VALUE + Iso8601.MINIMUM_VALUE, ); expect(positions).toEqualEpsilon( [Cartesian3.fromDegrees(1, 2), Cartesian3.fromDegrees(4, 5)], - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); }); @@ -3865,7 +3867,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities.length).toEqual(1); @@ -3876,7 +3878,7 @@ describe("DataSources/KmlDataSource", function () { const positions = entity.wall.positions.getValue(Iso8601.MINIMUM_VALUE); expect(positions).toEqualEpsilon( [Cartesian3.fromDegrees(1, 2, 3), Cartesian3.fromDegrees(4, 5, 6)], - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); }); @@ -3895,7 +3897,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities.length).toEqual(1); @@ -3906,7 +3908,7 @@ describe("DataSources/KmlDataSource", function () { const positions = entity.wall.positions.getValue(Iso8601.MINIMUM_VALUE); expect(positions).toEqualEpsilon( [Cartesian3.fromDegrees(1, 2, 3), Cartesian3.fromDegrees(4, 5, 6)], - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); }); @@ -3925,7 +3927,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities.length).toEqual(1); @@ -3936,7 +3938,7 @@ describe("DataSources/KmlDataSource", function () { const positions = entity.wall.positions.getValue(Iso8601.MINIMUM_VALUE); expect(positions).toEqualEpsilon( [Cartesian3.fromDegrees(1, 2, 3), Cartesian3.fromDegrees(4, 5, 6)], - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); }); @@ -3966,24 +3968,24 @@ describe("DataSources/KmlDataSource", function () { const entity = dataSource.entities.values[0]; expect(entity.position.getValue(time1)).toEqualEpsilon( Cartesian3.fromDegrees(1, 2, 3), - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(entity.position.getValue(time2)).toEqualEpsilon( Cartesian3.fromDegrees(4, 5, 6), - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(entity.position.getValue(time3)).toEqualEpsilon( Cartesian3.fromDegrees(7, 8, 9), - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(entity.billboard.heightReference.getValue(time1)).toEqual( - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); expect(entity.billboard.heightReference.getValue(time2)).toEqual( - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); expect(entity.billboard.heightReference.getValue(time3)).toEqual( - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); expect(entity.polyline).toBeUndefined(); @@ -4019,24 +4021,24 @@ describe("DataSources/KmlDataSource", function () { const entity = dataSource.entities.values[0]; expect(entity.position.getValue(time1)).toEqualEpsilon( Cartesian3.fromDegrees(1, 2, 3), - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(entity.position.getValue(time2)).toEqualEpsilon( Cartesian3.fromDegrees(4, 5, 6), - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(entity.position.getValue(time3)).toEqualEpsilon( Cartesian3.fromDegrees(7, 8, 9), - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(entity.billboard.heightReference.getValue(time1)).toEqual( - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); expect(entity.billboard.heightReference.getValue(time2)).toEqual( - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); expect(entity.billboard.heightReference.getValue(time3)).toEqual( - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); expect(entity.polyline).toBeUndefined(); }); @@ -4061,7 +4063,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const time1 = JulianDate.fromIso8601("2000-01-01T00:00:00Z"); const time2 = JulianDate.fromIso8601("2000-01-01T00:00:01Z"); @@ -4070,28 +4072,28 @@ describe("DataSources/KmlDataSource", function () { const entity = dataSource.entities.values[0]; expect(entity.position.getValue(time1)).toEqualEpsilon( Cartesian3.fromDegrees(1, 2, 3), - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(entity.position.getValue(time2)).toEqualEpsilon( Cartesian3.fromDegrees(4, 5, 6), - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(entity.position.getValue(time3)).toEqualEpsilon( Cartesian3.fromDegrees(7, 8, 9), - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(entity.polyline.positions.getValue(time1)).toEqualEpsilon( [Cartesian3.fromDegrees(1, 2, 3), Cartesian3.fromDegrees(1, 2)], - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(entity.polyline.positions.getValue(time2)).toEqualEpsilon( [Cartesian3.fromDegrees(4, 5, 6), Cartesian3.fromDegrees(4, 5)], - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(entity.polyline.positions.getValue(time3)).toEqualEpsilon( [Cartesian3.fromDegrees(7, 8, 9), Cartesian3.fromDegrees(7, 8)], - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); }); @@ -4115,7 +4117,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const time1 = JulianDate.fromIso8601("2000-01-01T00:00:00Z"); const time2 = JulianDate.fromIso8601("2000-01-01T00:00:01Z"); @@ -4124,28 +4126,28 @@ describe("DataSources/KmlDataSource", function () { const entity = dataSource.entities.values[0]; expect(entity.position.getValue(time1)).toEqualEpsilon( Cartesian3.fromDegrees(1, 2, 3), - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(entity.position.getValue(time2)).toEqualEpsilon( Cartesian3.fromDegrees(4, 5, 6), - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(entity.position.getValue(time3)).toEqualEpsilon( Cartesian3.fromDegrees(7, 8, 9), - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(entity.polyline.positions.getValue(time1)).toEqualEpsilon( [Cartesian3.fromDegrees(1, 2, 3), Cartesian3.fromDegrees(1, 2)], - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(entity.polyline.positions.getValue(time2)).toEqualEpsilon( [Cartesian3.fromDegrees(4, 5, 6), Cartesian3.fromDegrees(4, 5)], - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(entity.polyline.positions.getValue(time3)).toEqualEpsilon( [Cartesian3.fromDegrees(7, 8, 9), Cartesian3.fromDegrees(7, 8)], - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); }); @@ -4174,23 +4176,23 @@ describe("DataSources/KmlDataSource", function () { const entity = dataSource.entities.values[0]; expect(entity.position.getValue(time1)).toEqualEpsilon( Cartesian3.fromDegrees(1, 2, 3), - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(entity.position.getValue(time2)).toEqualEpsilon( Cartesian3.fromDegrees(4, 5, 6), - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(entity.position.getValue(time3)).toBeUndefined(); // heightReference should be constant so its available all the time expect(entity.billboard.heightReference.getValue(time1)).toEqual( - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); expect(entity.billboard.heightReference.getValue(time2)).toEqual( - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); expect(entity.billboard.heightReference.getValue(time3)).toEqual( - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); expect(entity.availability.start).toEqual(time1); @@ -4221,7 +4223,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const time1 = JulianDate.fromIso8601("2000-01-01T00:00:00Z"); const time2 = JulianDate.fromIso8601("2000-01-01T00:00:01Z"); @@ -4237,19 +4239,19 @@ describe("DataSources/KmlDataSource", function () { expect(entity.position.getValue(time1)).toEqualEpsilon( Cartesian3.fromDegrees(1, 2), - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(entity.position.getValue(time2)).toEqualEpsilon( Cartesian3.fromDegrees(4, 5), - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(entity.position.getValue(time3)).toEqualEpsilon( Cartesian3.fromDegrees(6, 5), - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(entity.position.getValue(time4)).toEqualEpsilon( Cartesian3.fromDegrees(3, 2), - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); }); @@ -4278,7 +4280,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const time1 = JulianDate.fromIso8601("2000-01-01T00:00:00Z"); const time2 = JulianDate.fromIso8601("2000-01-01T00:00:01Z"); @@ -4292,19 +4294,19 @@ describe("DataSources/KmlDataSource", function () { expect(entity.position.getValue(time1)).toEqualEpsilon( Cartesian3.fromDegrees(1, 2), - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(entity.position.getValue(time2)).toEqualEpsilon( Cartesian3.fromDegrees(4, 5), - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(entity.position.getValue(time3)).toEqualEpsilon( Cartesian3.fromDegrees(6, 5), - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(entity.position.getValue(time4)).toEqualEpsilon( Cartesian3.fromDegrees(3, 2), - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); }); @@ -4337,7 +4339,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const time1 = JulianDate.fromIso8601("2000-01-01T00:00:00Z"); const time2 = JulianDate.fromIso8601("2000-01-01T00:00:01Z"); @@ -4350,16 +4352,16 @@ describe("DataSources/KmlDataSource", function () { expect(entity.availability.get(0).stop).toEqual(time4); expect(entity.position.getValue(time1)).toEqual( - Cartesian3.fromDegrees(1, 2, 3) + Cartesian3.fromDegrees(1, 2, 3), ); expect(entity.position.getValue(time2)).toEqual( - Cartesian3.fromDegrees(4, 5, 6) + Cartesian3.fromDegrees(4, 5, 6), ); expect(entity.position.getValue(time3)).toEqual( - Cartesian3.fromDegrees(6, 5, 4) + Cartesian3.fromDegrees(6, 5, 4), ); expect(entity.position.getValue(time4)).toEqual( - Cartesian3.fromDegrees(3, 2, 1) + Cartesian3.fromDegrees(3, 2, 1), ); }); }); @@ -4382,7 +4384,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities; expect(entities.values.length).toEqual(3); @@ -4398,7 +4400,7 @@ describe("DataSources/KmlDataSource", function () { expect(point1.kml).toBe(multi.kml); expect(point1.position.getValue(Iso8601.MINIMUM_VALUE)).toEqualEpsilon( Cartesian3.fromDegrees(1, 2), - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); const point2 = entities.getById("testIDpoint2"); @@ -4409,7 +4411,7 @@ describe("DataSources/KmlDataSource", function () { expect(point2.kml).toBe(multi.kml); expect(point2.position.getValue(Iso8601.MINIMUM_VALUE)).toEqualEpsilon( Cartesian3.fromDegrees(3, 4), - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); }); }); @@ -4421,7 +4423,7 @@ describe("DataSources/KmlDataSource", function () { expect(entities.length).toEqual(2); expect(entities[0].id).toEqual("link"); expect(entities[1].parent).toBe(entities[0]); - } + }, ); }); @@ -4438,7 +4440,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities.length).toEqual(3); @@ -4484,7 +4486,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities.length).toEqual(3); @@ -4534,7 +4536,7 @@ describe("DataSources/KmlDataSource", function () { canvas: uberCanvas, camera: uberCamera, options: options, - }) + }), ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities.length).toEqual(3); @@ -4557,7 +4559,7 @@ describe("DataSources/KmlDataSource", function () { }).then(function () { expect(spy).toHaveBeenCalledWith( dataSource, - `${expectedRefreshLinkHref}?BBOX=-180%2C-90%2C180%2C90` + `${expectedRefreshLinkHref}?BBOX=-180%2C-90%2C180%2C90`, ); expect(entities.length).toEqual(3); @@ -4584,18 +4586,20 @@ describe("DataSources/KmlDataSource", function () { </NetworkLink>'; const requestNetworkLink = defer(); - spyOn(Resource._Implementations, "loadWithXhr").and.callFake(function ( - url, - responseType, - method, - data, - headers, - deferred, - overrideMimeType - ) { - requestNetworkLink.resolve(url); - deferred.reject(); - }); + spyOn(Resource._Implementations, "loadWithXhr").and.callFake( + function ( + url, + responseType, + method, + data, + headers, + deferred, + overrideMimeType, + ) { + requestNetworkLink.resolve(url); + deferred.reject(); + }, + ); KmlDataSource.load(parser.parseFromString(kml, "text/xml"), options); @@ -4614,18 +4618,20 @@ describe("DataSources/KmlDataSource", function () { </NetworkLink>'; const requestNetworkLink = defer(); - spyOn(Resource._Implementations, "loadWithXhr").and.callFake(function ( - url, - responseType, - method, - data, - headers, - deferred, - overrideMimeType - ) { - requestNetworkLink.resolve(url); - deferred.reject(); - }); + spyOn(Resource._Implementations, "loadWithXhr").and.callFake( + function ( + url, + responseType, + method, + data, + headers, + deferred, + overrideMimeType, + ) { + requestNetworkLink.resolve(url); + deferred.reject(); + }, + ); KmlDataSource.load(parser.parseFromString(kml, "text/xml"), options); @@ -4645,24 +4651,26 @@ describe("DataSources/KmlDataSource", function () { </NetworkLink>'; const requestNetworkLink = defer(); - spyOn(Resource._Implementations, "loadWithXhr").and.callFake(function ( - url, - responseType, - method, - data, - headers, - deferred, - overrideMimeType - ) { - requestNetworkLink.resolve(url); - deferred.reject(); - }); + spyOn(Resource._Implementations, "loadWithXhr").and.callFake( + function ( + url, + responseType, + method, + data, + headers, + deferred, + overrideMimeType, + ) { + requestNetworkLink.resolve(url); + deferred.reject(); + }, + ); KmlDataSource.load(parser.parseFromString(kml, "text/xml"), options); return requestNetworkLink.promise.then(function (url) { expect(url).toEqual( - `${expectedRefreshLinkHref}?BBOX=-180%2C-90%2C180%2C90` + `${expectedRefreshLinkHref}?BBOX=-180%2C-90%2C180%2C90`, ); }); }); @@ -4679,24 +4687,26 @@ describe("DataSources/KmlDataSource", function () { </NetworkLink>'; const requestNetworkLink = defer(); - spyOn(Resource._Implementations, "loadWithXhr").and.callFake(function ( - url, - responseType, - method, - data, - headers, - deferred, - overrideMimeType - ) { - requestNetworkLink.resolve(url); - deferred.reject(); - }); + spyOn(Resource._Implementations, "loadWithXhr").and.callFake( + function ( + url, + responseType, + method, + data, + headers, + deferred, + overrideMimeType, + ) { + requestNetworkLink.resolve(url); + deferred.reject(); + }, + ); KmlDataSource.load(parser.parseFromString(kml, "text/xml"), options); return requestNetworkLink.promise.then(function (url) { expect(url).toEqual( - `${expectedRefreshLinkHref}?client=Cesium-v1&v=2.2&lang=English` + `${expectedRefreshLinkHref}?client=Cesium-v1&v=2.2&lang=English`, ); }); }); @@ -4713,24 +4723,26 @@ describe("DataSources/KmlDataSource", function () { </NetworkLink>'; const requestNetworkLink = defer(); - spyOn(Resource._Implementations, "loadWithXhr").and.callFake(function ( - url, - responseType, - method, - data, - headers, - deferred, - overrideMimeType - ) { - requestNetworkLink.resolve(url); - deferred.reject(); - }); + spyOn(Resource._Implementations, "loadWithXhr").and.callFake( + function ( + url, + responseType, + method, + data, + headers, + deferred, + overrideMimeType, + ) { + requestNetworkLink.resolve(url); + deferred.reject(); + }, + ); KmlDataSource.load(parser.parseFromString(kml, "text/xml"), options); return requestNetworkLink.promise.then(function (url) { expect(url).toEqual( - `${expectedRefreshLinkHref}?client=Cesium-v1&v=2.2&lang=English` + `${expectedRefreshLinkHref}?client=Cesium-v1&v=2.2&lang=English`, ); }); }); @@ -4749,27 +4761,29 @@ describe("DataSources/KmlDataSource", function () { </NetworkLink>'; const requestNetworkLink = defer(); - spyOn(Resource._Implementations, "loadWithXhr").and.callFake(function ( - url, - responseType, - method, - data, - headers, - deferred, - overrideMimeType - ) { - requestNetworkLink.resolve(url); - deferred.reject(); - }); + spyOn(Resource._Implementations, "loadWithXhr").and.callFake( + function ( + url, + responseType, + method, + data, + headers, + deferred, + overrideMimeType, + ) { + requestNetworkLink.resolve(url); + deferred.reject(); + }, + ); KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - Object.assign({ camera: uberCamera, canvas: uberCanvas }, options) + Object.assign({ camera: uberCamera, canvas: uberCanvas }, options), ); return requestNetworkLink.promise.then(function (url) { expect(url).toEqual( - `${expectedRefreshLinkHref}?BBOX=-180%2C-90%2C180%2C90&CAMERA=0%2C0%2C6378137%2C0%2C0&VIEW=45%2C45%2C512%2C512%2C1` + `${expectedRefreshLinkHref}?BBOX=-180%2C-90%2C180%2C90&CAMERA=0%2C0%2C6378137%2C0%2C0&VIEW=45%2C45%2C512%2C512%2C1`, ); }); }); @@ -4788,18 +4802,20 @@ describe("DataSources/KmlDataSource", function () { </NetworkLink>'; const requestNetworkLink = defer(); - spyOn(Resource._Implementations, "loadWithXhr").and.callFake(function ( - url, - responseType, - method, - data, - headers, - deferred, - overrideMimeType - ) { - requestNetworkLink.resolve(url); - deferred.reject(); - }); + spyOn(Resource._Implementations, "loadWithXhr").and.callFake( + function ( + url, + responseType, + method, + data, + headers, + deferred, + overrideMimeType, + ) { + requestNetworkLink.resolve(url); + deferred.reject(); + }, + ); const src = new KmlDataSource(); src.camera = uberCamera; @@ -4808,7 +4824,7 @@ describe("DataSources/KmlDataSource", function () { return requestNetworkLink.promise.then(function (url) { expect(url).toEqual( - `${expectedRefreshLinkHref}?BBOX=-180%2C-90%2C180%2C90&CAMERA=0%2C0%2C6378137%2C0%2C0&VIEW=45%2C45%2C512%2C512%2C1` + `${expectedRefreshLinkHref}?BBOX=-180%2C-90%2C180%2C90&CAMERA=0%2C0%2C6378137%2C0%2C0&VIEW=45%2C45%2C512%2C512%2C1`, ); }); }); @@ -4826,18 +4842,20 @@ describe("DataSources/KmlDataSource", function () { </NetworkLink>'; const requestNetworkLink = defer(); - spyOn(Resource._Implementations, "loadWithXhr").and.callFake(function ( - url, - responseType, - method, - data, - headers, - deferred, - overrideMimeType - ) { - requestNetworkLink.resolve(url); - deferred.reject(); - }); + spyOn(Resource._Implementations, "loadWithXhr").and.callFake( + function ( + url, + responseType, + method, + data, + headers, + deferred, + overrideMimeType, + ) { + requestNetworkLink.resolve(url); + deferred.reject(); + }, + ); KmlDataSource.load(parser.parseFromString(kml, "text/xml"), options); @@ -4879,7 +4897,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - ourOptions + ourOptions, ).then(function (dataSource) { const entities = dataSource.entities.values; expect(entities.length).toEqual(3); @@ -4902,7 +4920,7 @@ describe("DataSources/KmlDataSource", function () { }).then(function () { expect(spy).toHaveBeenCalledWith( dataSource, - `${expectedRefreshLinkHref}?BBOX=0%2C0%2C0%2C0` + `${expectedRefreshLinkHref}?BBOX=0%2C0%2C0%2C0`, ); expect(entities.length).toEqual(3); @@ -4934,7 +4952,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const start = JulianDate.fromIso8601("2000-01-01"); const stop = JulianDate.fromIso8601("2000-01-03"); @@ -4963,7 +4981,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const start = JulianDate.fromIso8601("2000-01-03"); @@ -4984,7 +5002,7 @@ describe("DataSources/KmlDataSource", function () { expect(entities.length).toBe(3); expect(entities[1].billboard).not.toBeNull(); expect(entities[1].position.getValue(Iso8601.MINIMUM_VALUE)).toEqual( - Cartesian3.fromDegrees(1, 2, 3) + Cartesian3.fromDegrees(1, 2, 3), ); // The root network link is loaded, then the children @@ -4993,7 +5011,7 @@ describe("DataSources/KmlDataSource", function () { expect(entities[0].parent).toBeUndefined(); expect(entities[2].parent).toBe(entities[0]); expect(entities[1].parent).toBe(entities[2]); - } + }, ); }); @@ -5001,7 +5019,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load("Data/KML/namespaced.kml", options).then( function (dataSource) { expect(dataSource.entities.values.length).toBe(3); - } + }, ); }); @@ -5014,7 +5032,7 @@ describe("DataSources/KmlDataSource", function () { const polylineColor = polyline.material.color.getValue(); expect(polylineColor).toEqual(expectedColor); expect(polyline.width.getValue()).toEqual(10); - } + }, ); }); @@ -5030,7 +5048,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.polygon.perPositionHeight.getValue()).toEqual(true); @@ -5049,7 +5067,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.polygon.perPositionHeight.getValue()).toEqual(true); @@ -5069,7 +5087,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.name).toBe("bob"); @@ -5095,7 +5113,7 @@ describe("DataSources/KmlDataSource", function () { </Placemark>'; return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entityCollection = dataSource.entities; const entity = entityCollection.values[0]; @@ -5117,12 +5135,12 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { expect(dataSource.entities.values.length).toEqual(1); expect(console.warn.calls.count()).toEqual(1); expect(console.warn).toHaveBeenCalledWith( - "KML - Unsupported Icon refreshMode: onInterval" + "KML - Unsupported Icon refreshMode: onInterval", ); }); }); @@ -5141,12 +5159,12 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { expect(dataSource.entities.values.length).toEqual(1); expect(console.warn.calls.count()).toEqual(1); expect(console.warn).toHaveBeenCalledWith( - "KML - Unsupported Icon viewRefreshMode: onStop" + "KML - Unsupported Icon viewRefreshMode: onStop", ); }); }); @@ -5168,12 +5186,12 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { expect(dataSource.entities.values.length).toEqual(1); expect(console.warn.calls.count()).toEqual(1); expect(console.warn).toHaveBeenCalledWith( - "KML - gx:x, gx:y, gx:w, gx:h aren't supported for GroundOverlays" + "KML - gx:x, gx:y, gx:w, gx:h aren't supported for GroundOverlays", ); }); }); @@ -5204,21 +5222,21 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { expect(dataSource.entities.values.length).toEqual(1); expect(console.warn.calls.count()).toEqual(4); expect(console.warn.calls.argsFor(0)[0]).toBe( - "KML - gx:outerColor is not supported in a LineStyle" + "KML - gx:outerColor is not supported in a LineStyle", ); expect(console.warn.calls.argsFor(1)[0]).toBe( - "KML - gx:outerWidth is not supported in a LineStyle" + "KML - gx:outerWidth is not supported in a LineStyle", ); expect(console.warn.calls.argsFor(2)[0]).toBe( - "KML - gx:physicalWidth is not supported in a LineStyle" + "KML - gx:physicalWidth is not supported in a LineStyle", ); expect(console.warn.calls.argsFor(3)[0]).toBe( - "KML - gx:labelVisibility is not supported in a LineStyle" + "KML - gx:labelVisibility is not supported in a LineStyle", ); }); }); @@ -5238,12 +5256,12 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { expect(dataSource.entities.values.length).toEqual(1); expect(console.warn.calls.count()).toEqual(1); expect(console.warn).toHaveBeenCalledWith( - "KML - Unsupported ListStyle with listItemType: radioFolder" + "KML - Unsupported ListStyle with listItemType: radioFolder", ); }); }); @@ -5270,12 +5288,12 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { expect(dataSource.entities.values.length).toEqual(1); expect(console.warn.calls.count()).toEqual(1); expect(console.warn).toHaveBeenCalledWith( - "KML - Unsupported StyleMap key: highlighted" + "KML - Unsupported StyleMap key: highlighted", ); }); }); @@ -5299,12 +5317,12 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { expect(dataSource.entities.values.length).toEqual(1); expect(console.warn.calls.count()).toEqual(1); expect(console.warn).toHaveBeenCalledWith( - "KML - gx:drawOrder is not supported in LineStrings when clampToGround is false" + "KML - gx:drawOrder is not supported in LineStrings when clampToGround is false", ); }); }); @@ -5325,12 +5343,12 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { expect(dataSource.entities.values.length).toEqual(1); expect(console.warn.calls.count()).toEqual(1); expect(console.warn).toHaveBeenCalledWith( - "KML - gx:angles are not supported in gx:Tracks" + "KML - gx:angles are not supported in gx:Tracks", ); }); }); @@ -5346,12 +5364,12 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { expect(dataSource.entities.values.length).toEqual(1); expect(console.warn.calls.count()).toEqual(1); expect(console.warn).toHaveBeenCalledWith( - "KML - Unsupported geometry: Model" + "KML - Unsupported geometry: Model", ); }); }); @@ -5374,15 +5392,15 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { expect(dataSource.entities.values.length).toEqual(1); expect(console.warn.calls.count()).toEqual(2); expect(console.warn.calls.argsFor(0)[0]).toBe( - "KML - SchemaData is unsupported" + "KML - SchemaData is unsupported", ); expect(console.warn.calls.argsFor(1)[0]).toBe( - "KML - ExtendedData with xmlns:prefix is unsupported" + "KML - ExtendedData with xmlns:prefix is unsupported", ); }); }); @@ -5411,22 +5429,22 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { expect(dataSource.entities.values.length).toEqual(1); const placemark = dataSource.entities.values[0]; expect(placemark.kml.camera).toBeInstanceOf(KmlCamera); expect(placemark.kml.lookAt).toBeInstanceOf(KmlLookAt); expect(placemark.kml.lookAt.position).toEqual( - Cartesian3.fromDegrees(-120, 40, 100) + Cartesian3.fromDegrees(-120, 40, 100), ); expect(placemark.kml.lookAt.headingPitchRange).toEqualEpsilon( new HeadingPitchRange( CesiumMath.toRadians(90), CesiumMath.toRadians(30 - 90), - 1250 + 1250, ), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); }); @@ -5447,12 +5465,12 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { expect(dataSource.entities.values.length).toEqual(1); expect(console.warn.calls.count()).toEqual(1); expect(console.warn).toHaveBeenCalledWith( - "KML - Placemark Regions are unsupported" + "KML - Placemark Regions are unsupported", ); }); }); @@ -5471,12 +5489,12 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { expect(dataSource.entities.values.length).toEqual(1); expect(console.warn.calls.count()).toEqual(1); expect(console.warn).toHaveBeenCalledWith( - "KML - Unsupported viewRefreshMode: onRegion" + "KML - Unsupported viewRefreshMode: onRegion", ); }); }); @@ -5501,7 +5519,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { expect(dataSource.kmlTours.length).toEqual(1); const tour = dataSource.kmlTours[0]; @@ -5554,7 +5572,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { expect(dataSource.kmlTours.length).toEqual(1); const tour = dataSource.kmlTours[0]; @@ -5599,18 +5617,18 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { expect(dataSource.kmlTours.length).toEqual(1); expect(dataSource.kmlTours[0].playlist.length).toEqual(0); expect(console.warn).toHaveBeenCalledWith( - "KML Tour unsupported node AnimatedUpdate" + "KML Tour unsupported node AnimatedUpdate", ); expect(console.warn).toHaveBeenCalledWith( - "KML Tour unsupported node TourControl" + "KML Tour unsupported node TourControl", ); expect(console.warn).toHaveBeenCalledWith( - "KML Tour unsupported node SoundCue" + "KML Tour unsupported node SoundCue", ); }); }); @@ -5629,12 +5647,12 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { expect(dataSource.entities.values.length).toEqual(2); expect(console.warn.calls.count()).toEqual(1); expect(console.warn).toHaveBeenCalledWith( - "KML - refreshMode of onExpire requires the NetworkLinkControl to have an expires element" + "KML - refreshMode of onExpire requires the NetworkLinkControl to have an expires element", ); }); }); @@ -5663,7 +5681,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - kmlOptions + kmlOptions, ).then(function (dataSource) { expect(dataSource.entities.values.length).toEqual(2); }); @@ -5680,7 +5698,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.polygon.perPositionHeight.getValue()).toEqual(true); @@ -5699,7 +5717,7 @@ describe("DataSources/KmlDataSource", function () { return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.polygon.perPositionHeight).toBeUndefined(); @@ -5727,7 +5745,7 @@ describe("DataSources/KmlDataSource", function () { const clampToGroundOptions = combine(options, { clampToGround: true }); return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - clampToGroundOptions + clampToGroundOptions, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.polyline).toBeDefined(); @@ -5760,7 +5778,7 @@ describe("DataSources/KmlDataSource", function () { const clampToGroundOptions = combine(options, { clampToGround: true }); return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - clampToGroundOptions + clampToGroundOptions, ).then(function (dataSource) { const entity = dataSource.entities.values[0]; expect(entity.polyline).toBeDefined(); @@ -5833,13 +5851,13 @@ describe("DataSources/KmlDataSource", function () { CesiumMath.setRandomNumberSeed(0); return KmlDataSource.load( parser.parseFromString(kml, "text/xml"), - options + options, ).then(function (dataSource) { expect(dataSource.entities.values.length).toEqual(4); expect( - dataSource.entities.values[2].polygon.material.color.getValue() + dataSource.entities.values[2].polygon.material.color.getValue(), ).not.toEqual( - dataSource.entities.values[3].polygon.material.color.getValue() + dataSource.entities.values[3].polygon.material.color.getValue(), ); }); }); diff --git a/packages/engine/Specs/DataSources/KmlTourFlyToSpec.js b/packages/engine/Specs/DataSources/KmlTourFlyToSpec.js index da982b9920aa..b7647fd76368 100644 --- a/packages/engine/Specs/DataSources/KmlTourFlyToSpec.js +++ b/packages/engine/Specs/DataSources/KmlTourFlyToSpec.js @@ -16,7 +16,7 @@ describe("DataSources/KmlTourFlyTo", function () { const hpr = new HeadingPitchRange( CesiumMath.toRadians(10.0), CesiumMath.toRadians(45.0), - 10000 + 10000, ); const flyto = new KmlTourFlyTo(10, "bounce", new KmlLookAt(position, hpr)); @@ -35,7 +35,7 @@ describe("DataSources/KmlTourFlyTo", function () { const hpr = new HeadingPitchRoll( CesiumMath.toRadians(10.0), CesiumMath.toRadians(45.0), - 0 + 0, ); const flyto = new KmlTourFlyTo(10, "bounce", new KmlCamera(position, hpr)); @@ -56,7 +56,7 @@ describe("DataSources/KmlTourFlyTo", function () { const hpr = new HeadingPitchRange( CesiumMath.toRadians(10.0), CesiumMath.toRadians(45.0), - 10000 + 10000, ); const flyto = new KmlTourFlyTo(10, "bounce", new KmlLookAt(position, hpr)); @@ -73,13 +73,13 @@ describe("DataSources/KmlTourFlyTo", function () { const hpr = new HeadingPitchRoll( CesiumMath.toRadians(10.0), CesiumMath.toRadians(45.0), - 0 + 0, ); const flyto = new KmlTourFlyTo( 0.01, "bounce", - new KmlCamera(position, hpr) + new KmlCamera(position, hpr), ); const doneSpy = jasmine.createSpy("cameraDone"); const flyFake = jasmine.createSpy("flyTo").and.callFake(function (options) { @@ -97,7 +97,7 @@ describe("DataSources/KmlTourFlyTo", function () { }).then(function () { expect(fakeCamera.flyTo).toHaveBeenCalled(); expect(fakeCamera.flyTo.calls.mostRecent().args[0].destination).toBe( - position + position, ); expect(fakeCamera.flyTo.calls.mostRecent().args[0].orientation).toBe(hpr); expect(doneSpy).toHaveBeenCalled(); @@ -109,13 +109,13 @@ describe("DataSources/KmlTourFlyTo", function () { const hpr = new HeadingPitchRange( CesiumMath.toRadians(10.0), CesiumMath.toRadians(45.0), - 10000 + 10000, ); const flyto = new KmlTourFlyTo( 0.01, "bounce", - new KmlLookAt(position, hpr) + new KmlLookAt(position, hpr), ); const doneSpy = jasmine.createSpy("cameraDone"); const flyFake = jasmine @@ -135,16 +135,16 @@ describe("DataSources/KmlTourFlyTo", function () { }).then(function () { expect(fakeCamera.flyToBoundingSphere).toHaveBeenCalled(); expect( - fakeCamera.flyToBoundingSphere.calls.mostRecent().args[0].center.x + fakeCamera.flyToBoundingSphere.calls.mostRecent().args[0].center.x, ).toEqual(position.x); expect( - fakeCamera.flyToBoundingSphere.calls.mostRecent().args[0].center.y + fakeCamera.flyToBoundingSphere.calls.mostRecent().args[0].center.y, ).toEqual(position.y); expect( - fakeCamera.flyToBoundingSphere.calls.mostRecent().args[0].center.z + fakeCamera.flyToBoundingSphere.calls.mostRecent().args[0].center.z, ).toEqual(position.z); expect( - fakeCamera.flyToBoundingSphere.calls.mostRecent().args[1].offset + fakeCamera.flyToBoundingSphere.calls.mostRecent().args[1].offset, ).toBe(hpr); expect(doneSpy).toHaveBeenCalled(); }); diff --git a/packages/engine/Specs/DataSources/KmlTourSpec.js b/packages/engine/Specs/DataSources/KmlTourSpec.js index 4e2c87e8f35a..bfb9f4b6f883 100644 --- a/packages/engine/Specs/DataSources/KmlTourSpec.js +++ b/packages/engine/Specs/DataSources/KmlTourSpec.js @@ -16,7 +16,7 @@ describe("DataSources/KmlTour", function () { const hpr = new HeadingPitchRange( CesiumMath.toRadians(10.0), CesiumMath.toRadians(45.0), - 10000 + 10000, ); return new KmlLookAt(position, hpr); } @@ -55,16 +55,16 @@ describe("DataSources/KmlTour", function () { }); it("calls entries play", function () { - const waitSpy = spyOn(KmlTourWait.prototype, "play").and.callFake(function ( - callback - ) { - callback(); - }); - const flySpy = spyOn(KmlTourFlyTo.prototype, "play").and.callFake(function ( - callback - ) { - callback(); - }); + const waitSpy = spyOn(KmlTourWait.prototype, "play").and.callFake( + function (callback) { + callback(); + }, + ); + const flySpy = spyOn(KmlTourFlyTo.prototype, "play").and.callFake( + function (callback) { + callback(); + }, + ); const tour = new KmlTour("test", "test"); const wait = new KmlTourWait(0.1); @@ -147,7 +147,7 @@ describe("DataSources/KmlTour", function () { expect(mockWidget.scene.camera.flyTo.calls.count()).toEqual(0); expect(mockWidget.scene.camera.flyToBoundingSphere.calls.count()).toEqual( - 0 + 0, ); }, 5); }); diff --git a/packages/engine/Specs/DataSources/LabelGraphicsSpec.js b/packages/engine/Specs/DataSources/LabelGraphicsSpec.js index 8c712664d2cd..eed297c88dba 100644 --- a/packages/engine/Specs/DataSources/LabelGraphicsSpec.js +++ b/packages/engine/Specs/DataSources/LabelGraphicsSpec.js @@ -63,17 +63,17 @@ describe("DataSources/LabelGraphics", function () { expect(label.scale.getValue()).toEqual(options.scale); expect(label.show.getValue()).toEqual(options.show); expect(label.translucencyByDistance.getValue()).toEqual( - options.translucencyByDistance + options.translucencyByDistance, ); expect(label.pixelOffsetScaleByDistance.getValue()).toEqual( - options.pixelOffsetScaleByDistance + options.pixelOffsetScaleByDistance, ); expect(label.scaleByDistance.getValue()).toEqual(options.scaleByDistance); expect(label.distanceDisplayCondition.getValue()).toEqual( - options.distanceDisplayCondition + options.distanceDisplayCondition, ); expect(label.disableDepthTestDistance.getValue()).toEqual( - options.disableDepthTestDistance + options.disableDepthTestDistance, ); }); @@ -93,13 +93,13 @@ describe("DataSources/LabelGraphics", function () { source.show = new ConstantProperty(false); source.translucencyByDistance = new ConstantProperty(new NearFarScalar()); source.pixelOffsetScaleByDistance = new ConstantProperty( - new NearFarScalar(1.0, 0.0, 3.0e9, 0.0) + new NearFarScalar(1.0, 0.0, 3.0e9, 0.0), ); source.scaleByDistance = new ConstantProperty( - new NearFarScalar(1.0, 0.0, 3.0e9, 0.0) + new NearFarScalar(1.0, 0.0, 3.0e9, 0.0), ); source.distanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition(10.0, 100.0) + new DistanceDisplayCondition(10.0, 100.0), ); source.disableDepthTestDistance = new ConstantProperty(10.0); @@ -120,14 +120,14 @@ describe("DataSources/LabelGraphics", function () { expect(target.show).toBe(source.show); expect(target.translucencyByDistance).toBe(source.translucencyByDistance); expect(target.pixelOffsetScaleByDistance).toBe( - source.pixelOffsetScaleByDistance + source.pixelOffsetScaleByDistance, ); expect(target.scaleByDistance).toBe(source.scaleByDistance); expect(target.distanceDisplayCondition).toBe( - source.distanceDisplayCondition + source.distanceDisplayCondition, ); expect(target.disableDepthTestDistance).toBe( - source.disableDepthTestDistance + source.disableDepthTestDistance, ); }); @@ -147,13 +147,13 @@ describe("DataSources/LabelGraphics", function () { source.show = new ConstantProperty(false); source.translucencyByDistance = new ConstantProperty(new NearFarScalar()); source.pixelOffsetScaleByDistance = new ConstantProperty( - new NearFarScalar(1.0, 0.0, 3.0e9, 0.0) + new NearFarScalar(1.0, 0.0, 3.0e9, 0.0), ); source.scaleByDistance = new ConstantProperty( - new NearFarScalar(1.0, 0.0, 3.0e9, 0.0) + new NearFarScalar(1.0, 0.0, 3.0e9, 0.0), ); source.distanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition(10.0, 100.0) + new DistanceDisplayCondition(10.0, 100.0), ); source.disableDepthTestDistance = new ConstantProperty(10.0); @@ -171,11 +171,11 @@ describe("DataSources/LabelGraphics", function () { const show = new ConstantProperty(true); const translucencyByDistance = new ConstantProperty(new NearFarScalar()); const pixelOffsetScaleByDistance = new ConstantProperty( - new NearFarScalar() + new NearFarScalar(), ); const scaleByDistance = new ConstantProperty(new NearFarScalar()); const distanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition() + new DistanceDisplayCondition(), ); const disableDepthTestDistance = new ConstantProperty(20.0); @@ -235,13 +235,13 @@ describe("DataSources/LabelGraphics", function () { source.show = new ConstantProperty(false); source.translucencyByDistance = new ConstantProperty(new NearFarScalar()); source.pixelOffsetScaleByDistance = new ConstantProperty( - new NearFarScalar(1.0, 0.0, 3.0e9, 0.0) + new NearFarScalar(1.0, 0.0, 3.0e9, 0.0), ); source.scaleByDistance = new ConstantProperty( - new NearFarScalar(1.0, 0.0, 3.0e9, 0.0) + new NearFarScalar(1.0, 0.0, 3.0e9, 0.0), ); source.distanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition(10.0, 100.0) + new DistanceDisplayCondition(10.0, 100.0), ); source.disableDepthTestDistance = new ConstantProperty(10.0); @@ -260,14 +260,14 @@ describe("DataSources/LabelGraphics", function () { expect(result.show).toBe(source.show); expect(result.translucencyByDistance).toBe(source.translucencyByDistance); expect(result.pixelOffsetScaleByDistance).toBe( - source.pixelOffsetScaleByDistance + source.pixelOffsetScaleByDistance, ); expect(result.scaleByDistance).toBe(source.scaleByDistance); expect(result.distanceDisplayCondition).toBe( - source.distanceDisplayCondition + source.distanceDisplayCondition, ); expect(result.disableDepthTestDistance).toBe( - source.disableDepthTestDistance + source.disableDepthTestDistance, ); }); diff --git a/packages/engine/Specs/DataSources/LabelVisualizerSpec.js b/packages/engine/Specs/DataSources/LabelVisualizerSpec.js index d256deb1825d..2c47034ebcd2 100644 --- a/packages/engine/Specs/DataSources/LabelVisualizerSpec.js +++ b/packages/engine/Specs/DataSources/LabelVisualizerSpec.js @@ -87,7 +87,7 @@ describe( const testObject = entityCollection.getOrCreateEntity("test"); testObject.position = new ConstantProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); visualizer.update(JulianDate.now()); expect(scene.primitives.get(0)).toBeUndefined(); @@ -112,7 +112,7 @@ describe( const testObject = entityCollection.getOrCreateEntity("test"); testObject.position = new ConstantProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); const label = (testObject.label = new LabelGraphics()); label.show = new ConstantProperty(true); @@ -131,7 +131,7 @@ describe( const label = (testObject.label = new LabelGraphics()); testObject.position = new ConstantProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); label.text = new ConstantProperty("a"); label.font = new ConstantProperty("sans serif"); @@ -147,11 +147,11 @@ describe( label.show = new ConstantProperty(true); label.translucencyByDistance = new ConstantProperty(new NearFarScalar()); label.pixelOffsetScaleByDistance = new ConstantProperty( - new NearFarScalar() + new NearFarScalar(), ); label.scaleByDistance = new ConstantProperty(new NearFarScalar()); label.distanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition() + new DistanceDisplayCondition(), ); label.disableDepthTestDistance = new ConstantProperty(10.0); @@ -169,41 +169,41 @@ describe( expect(l.style).toEqual(testObject.label.style.getValue(time)); expect(l.fillColor).toEqual(testObject.label.fillColor.getValue(time)); expect(l.outlineColor).toEqual( - testObject.label.outlineColor.getValue(time) + testObject.label.outlineColor.getValue(time), ); expect(l.outlineWidth).toEqual( - testObject.label.outlineWidth.getValue(time) + testObject.label.outlineWidth.getValue(time), ); expect(l.horizontalOrigin).toEqual( - testObject.label.horizontalOrigin.getValue(time) + testObject.label.horizontalOrigin.getValue(time), ); expect(l.verticalOrigin).toEqual( - testObject.label.verticalOrigin.getValue(time) + testObject.label.verticalOrigin.getValue(time), ); expect(l.eyeOffset).toEqual(testObject.label.eyeOffset.getValue(time)); expect(l.pixelOffset).toEqual( - testObject.label.pixelOffset.getValue(time) + testObject.label.pixelOffset.getValue(time), ); expect(l.scale).toEqual(testObject.label.scale.getValue(time)); expect(l.show).toEqual(testObject.label.show.getValue(time)); expect(l.translucencyByDistance).toEqual( - testObject.label.translucencyByDistance.getValue(time) + testObject.label.translucencyByDistance.getValue(time), ); expect(l.pixelOffsetScaleByDistance).toEqual( - testObject.label.pixelOffsetScaleByDistance.getValue(time) + testObject.label.pixelOffsetScaleByDistance.getValue(time), ); expect(l.scaleByDistance).toEqual( - testObject.label.scaleByDistance.getValue(time) + testObject.label.scaleByDistance.getValue(time), ); expect(l.distanceDisplayCondition).toEqual( - testObject.label.distanceDisplayCondition.getValue(time) + testObject.label.distanceDisplayCondition.getValue(time), ); expect(l.disableDepthTestDistance).toEqual( - testObject.label.disableDepthTestDistance.getValue(time) + testObject.label.disableDepthTestDistance.getValue(time), ); testObject.position = new ConstantProperty( - new Cartesian3(5678, 1234, 1293434) + new Cartesian3(5678, 1234, 1293434), ); label.text = new ConstantProperty("b"); label.font = new ConstantProperty("serif"); @@ -219,11 +219,11 @@ describe( label.show = new ConstantProperty(true); label.translucencyByDistance = new ConstantProperty(new NearFarScalar()); label.pixelOffsetScaleByDistance = new ConstantProperty( - new NearFarScalar() + new NearFarScalar(), ); label.scaleByDistance = new ConstantProperty(new NearFarScalar()); label.distanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition() + new DistanceDisplayCondition(), ); label.disableDepthTestDistance = new ConstantProperty(20.0); @@ -234,37 +234,37 @@ describe( expect(l.style).toEqual(testObject.label.style.getValue(time)); expect(l.fillColor).toEqual(testObject.label.fillColor.getValue(time)); expect(l.outlineColor).toEqual( - testObject.label.outlineColor.getValue(time) + testObject.label.outlineColor.getValue(time), ); expect(l.outlineWidth).toEqual( - testObject.label.outlineWidth.getValue(time) + testObject.label.outlineWidth.getValue(time), ); expect(l.horizontalOrigin).toEqual( - testObject.label.horizontalOrigin.getValue(time) + testObject.label.horizontalOrigin.getValue(time), ); expect(l.verticalOrigin).toEqual( - testObject.label.verticalOrigin.getValue(time) + testObject.label.verticalOrigin.getValue(time), ); expect(l.eyeOffset).toEqual(testObject.label.eyeOffset.getValue(time)); expect(l.pixelOffset).toEqual( - testObject.label.pixelOffset.getValue(time) + testObject.label.pixelOffset.getValue(time), ); expect(l.scale).toEqual(testObject.label.scale.getValue(time)); expect(l.show).toEqual(testObject.label.show.getValue(time)); expect(l.translucencyByDistance).toEqual( - testObject.label.translucencyByDistance.getValue(time) + testObject.label.translucencyByDistance.getValue(time), ); expect(l.pixelOffsetScaleByDistance).toEqual( - testObject.label.pixelOffsetScaleByDistance.getValue(time) + testObject.label.pixelOffsetScaleByDistance.getValue(time), ); expect(l.scaleByDistance).toEqual( - testObject.label.scaleByDistance.getValue(time) + testObject.label.scaleByDistance.getValue(time), ); expect(l.distanceDisplayCondition).toEqual( - testObject.label.distanceDisplayCondition.getValue(time) + testObject.label.distanceDisplayCondition.getValue(time), ); expect(l.disableDepthTestDistance).toEqual( - testObject.label.disableDepthTestDistance.getValue(time) + testObject.label.disableDepthTestDistance.getValue(time), ); label.show = new ConstantProperty(false); @@ -278,7 +278,7 @@ describe( const testObject = entityCollection.getOrCreateEntity("test"); testObject.position = new ConstantProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); testObject.label = new LabelGraphics(); testObject.label.text = new ConstantProperty("a"); @@ -297,7 +297,7 @@ describe( const testObject2 = entityCollection.getOrCreateEntity("test2"); testObject2.position = new ConstantProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); testObject2.label = new LabelGraphics(); testObject2.label.text = new ConstantProperty("b"); @@ -316,7 +316,7 @@ describe( const label = (testObject.label = new LabelGraphics()); testObject.position = new ConstantProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); label.show = new ConstantProperty(true); label.text = new ConstantProperty("lorum ipsum"); @@ -344,7 +344,7 @@ describe( const label = (testObject.label = new LabelGraphics()); testObject.position = new ConstantProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); label.show = new ConstantProperty(true); label.text = new ConstantProperty("lorum ipsum"); @@ -365,7 +365,7 @@ describe( const label = (testObject.label = new LabelGraphics()); testObject.position = new ConstantProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); label.show = new ConstantProperty(true); label.text = new ConstantProperty("lorum ipsum"); @@ -407,5 +407,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/DataSources/ModelGraphicsSpec.js b/packages/engine/Specs/DataSources/ModelGraphicsSpec.js index 6c88b6e94086..3f49259bd9f6 100644 --- a/packages/engine/Specs/DataSources/ModelGraphicsSpec.js +++ b/packages/engine/Specs/DataSources/ModelGraphicsSpec.js @@ -82,12 +82,12 @@ describe("DataSources/ModelGraphics", function () { expect(model.minimumPixelSize.getValue()).toEqual(options.minimumPixelSize); expect(model.maximumScale.getValue()).toEqual(options.maximumScale); expect(model.incrementallyLoadTextures.getValue()).toEqual( - options.incrementallyLoadTextures + options.incrementallyLoadTextures, ); expect(model.shadows.getValue()).toEqual(options.shadows); expect(model.heightReference.getValue()).toEqual(options.heightReference); expect(model.distanceDisplayCondition.getValue()).toEqual( - options.distanceDisplayCondition + options.distanceDisplayCondition, ); expect(model.silhouetteColor.getValue()).toEqual(options.silhouetteColor); expect(model.silhouetteSize.getValue()).toEqual(options.silhouetteSize); @@ -95,27 +95,27 @@ describe("DataSources/ModelGraphics", function () { expect(model.colorBlendMode.getValue()).toEqual(options.colorBlendMode); expect(model.colorBlendAmount.getValue()).toEqual(options.colorBlendAmount); expect(model.clippingPlanes.getValue().planes).toEqual( - options.clippingPlanes.planes + options.clippingPlanes.planes, ); expect(model.customShader.getValue()).toEqual(options.customShader); expect(model.imageBasedLightingFactor.getValue()).toEqual( - options.imageBasedLightingFactor + options.imageBasedLightingFactor, ); expect(model.lightColor.getValue()).toEqual(options.lightColor); expect(model.runAnimations.getValue()).toEqual(options.runAnimations); expect(model.clampAnimations.getValue()).toEqual(options.clampAnimations); let actualNodeTransformations = model.nodeTransformations.getValue( - new JulianDate() + new JulianDate(), ); let expectedNodeTransformations = options.nodeTransformations; // by default toEqual requires constructors to match. for the purposes of this test, we only care about the structure. actualNodeTransformations = JSON.parse( - JSON.stringify(actualNodeTransformations) + JSON.stringify(actualNodeTransformations), ); expectedNodeTransformations = JSON.parse( - JSON.stringify(expectedNodeTransformations) + JSON.stringify(expectedNodeTransformations), ); expect(actualNodeTransformations).toEqual(expectedNodeTransformations); @@ -138,13 +138,13 @@ describe("DataSources/ModelGraphics", function () { source.incrementallyLoadTextures = new ConstantProperty(true); source.shadows = new ConstantProperty(ShadowMode.ENABLED); source.heightReference = new ConstantProperty( - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); source.distanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition() + new DistanceDisplayCondition(), ); source.silhouetteColor = new ConstantProperty( - new Color(1.0, 0.0, 0.0, 1.0) + new Color(1.0, 0.0, 0.0, 1.0), ); source.silhouetteSize = new ConstantProperty(3.0); source.color = new ConstantProperty(new Color(0.0, 1.0, 0.0, 0.2)); @@ -153,7 +153,7 @@ describe("DataSources/ModelGraphics", function () { source.clippingPlanes = new ConstantProperty(new ClippingPlaneCollection()); source.customShader = new ConstantProperty(new CustomShader()); source.imageBasedLightingFactor = new ConstantProperty( - new Cartesian2(0.5, 0.5) + new Cartesian2(0.5, 0.5), ); source.lightColor = new ConstantProperty(new Color(1.0, 1.0, 0.0, 1.0)); source.runAnimations = new ConstantProperty(true); @@ -182,12 +182,12 @@ describe("DataSources/ModelGraphics", function () { expect(target.minimumPixelSize).toBe(source.minimumPixelSize); expect(target.maximumScale).toBe(source.maximumScale); expect(target.incrementallyLoadTextures).toBe( - source.incrementallyLoadTextures + source.incrementallyLoadTextures, ); expect(target.shadows).toBe(source.shadows); expect(target.heightReference).toBe(source.heightReference); expect(target.distanceDisplayCondition).toBe( - source.distanceDisplayCondition + source.distanceDisplayCondition, ); expect(target.silhouetteColor).toEqual(source.silhouetteColor); expect(target.silhouetteSize).toEqual(source.silhouetteSize); @@ -197,7 +197,7 @@ describe("DataSources/ModelGraphics", function () { expect(target.clippingPlanes).toBe(source.clippingPlanes); expect(target.customShader).toBe(source.customShader); expect(target.imageBasedLightingFactor).toBe( - source.imageBasedLightingFactor + source.imageBasedLightingFactor, ); expect(target.lightColor).toBe(source.lightColor); expect(target.runAnimations).toBe(source.runAnimations); @@ -216,10 +216,10 @@ describe("DataSources/ModelGraphics", function () { source.incrementallyLoadTextures = new ConstantProperty(true); source.shadows = new ConstantProperty(ShadowMode.ENABLED); source.heightReference = new ConstantProperty( - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); source.distanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition() + new DistanceDisplayCondition(), ); source.silhouetteColor = new ConstantProperty(new Color()); source.silhouetteSize = new ConstantProperty(1.0); @@ -229,7 +229,7 @@ describe("DataSources/ModelGraphics", function () { source.clippingPlanes = new ConstantProperty(new ClippingPlaneCollection()); source.customShader = new ConstantProperty(new CustomShader()); source.imageBasedLightingFactor = new ConstantProperty( - new Cartesian2(0.5, 0.5) + new Cartesian2(0.5, 0.5), ); source.lightColor = new ConstantProperty(new Color(1.0, 1.0, 0.0, 1.0)); source.runAnimations = new ConstantProperty(true); @@ -250,10 +250,10 @@ describe("DataSources/ModelGraphics", function () { const incrementallyLoadTextures = new ConstantProperty(true); const shadows = new ConstantProperty(ShadowMode.ENABLED); const heightReference = new ConstantProperty( - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); const distanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition() + new DistanceDisplayCondition(), ); const silhouetteColor = new ConstantProperty(new Color()); const silhouetteSize = new ConstantProperty(1.0); @@ -263,7 +263,7 @@ describe("DataSources/ModelGraphics", function () { const clippingPlanes = new ConstantProperty(new ClippingPlaneCollection()); const customShader = new ConstantProperty(new CustomShader()); const imageBasedLightingFactor = new ConstantProperty( - new Cartesian2(0.5, 0.5) + new Cartesian2(0.5, 0.5), ); const lightColor = new ConstantProperty(new Color(1.0, 1.0, 0.0, 1.0)); const runAnimations = new ConstantProperty(true); @@ -336,10 +336,10 @@ describe("DataSources/ModelGraphics", function () { source.incrementallyLoadTextures = new ConstantProperty(true); source.shadows = new ConstantProperty(ShadowMode.ENABLED); source.heightReference = new ConstantProperty( - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); source.distanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition() + new DistanceDisplayCondition(), ); source.silhouetteColor = new ConstantProperty(new Color()); source.silhouetteSize = new ConstantProperty(2.0); @@ -349,7 +349,7 @@ describe("DataSources/ModelGraphics", function () { source.clippingPlanes = new ConstantProperty(new ClippingPlaneCollection()); source.customShader = new ConstantProperty(new CustomShader()); source.imageBasedLightingFactor = new ConstantProperty( - new Cartesian2(0.5, 0.5) + new Cartesian2(0.5, 0.5), ); source.lightColor = new ConstantProperty(new Color(1.0, 1.0, 0.0, 1.0)); source.runAnimations = new ConstantProperty(true); @@ -370,12 +370,12 @@ describe("DataSources/ModelGraphics", function () { expect(result.minimumPixelSize).toBe(source.minimumPixelSize); expect(result.maximumScale).toBe(source.maximumScale); expect(result.incrementallyLoadTextures).toBe( - source.incrementallyLoadTextures + source.incrementallyLoadTextures, ); expect(result.shadows).toBe(source.shadows); expect(result.heightReference).toBe(source.heightReference); expect(result.distanceDisplayCondition).toBe( - source.distanceDisplayCondition + source.distanceDisplayCondition, ); expect(result.silhouetteColor).toEqual(source.silhouetteColor); expect(result.silhouetteSize).toEqual(source.silhouetteSize); @@ -385,7 +385,7 @@ describe("DataSources/ModelGraphics", function () { expect(result.clippingPlanes).toBe(source.clippingPlanes); expect(result.customShader).toBe(source.customShader); expect(result.imageBasedLightingFactor).toBe( - source.imageBasedLightingFactor + source.imageBasedLightingFactor, ); expect(result.lightColor).toBe(source.lightColor); expect(result.runAnimations).toBe(source.runAnimations); diff --git a/packages/engine/Specs/DataSources/ModelVisualizerSpec.js b/packages/engine/Specs/DataSources/ModelVisualizerSpec.js index 18ca6a8e558a..738d0db51195 100644 --- a/packages/engine/Specs/DataSources/ModelVisualizerSpec.js +++ b/packages/engine/Specs/DataSources/ModelVisualizerSpec.js @@ -95,7 +95,7 @@ describe( it("object with no model does not create one", function () { const testObject = entityCollection.getOrCreateEntity("test"); testObject.position = new ConstantProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); visualizer.update(JulianDate.now()); expect(scene.primitives.length).toEqual(0); @@ -119,7 +119,7 @@ describe( model.minimumPixelSize = new ConstantProperty(24.0); model.uri = new ConstantProperty(boxArticulationsUrl); model.distanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition(10.0, 100.0) + new DistanceDisplayCondition(10.0, 100.0), ); const translation = new Cartesian3(1.0, 2.0, 3.0); @@ -143,13 +143,13 @@ describe( model.customShader = new ConstantProperty(customShader); model.imageBasedLightingFactor = new ConstantProperty( - new Cartesian2(0.5, 0.5) + new Cartesian2(0.5, 0.5), ); model.lightColor = new ConstantProperty(new Color(1.0, 1.0, 0.0, 1.0)); const testObject = entityCollection.getOrCreateEntity("test"); testObject.position = new ConstantPositionProperty( - Cartesian3.fromDegrees(1, 2, 3) + Cartesian3.fromDegrees(1, 2, 3), ); testObject.model = model; @@ -169,29 +169,29 @@ describe( expect(primitive.modelMatrix).toEqual( Transforms.eastNorthUpToFixedFrame( Cartesian3.fromDegrees(1, 2, 3), - scene.globe.ellipsoid - ) + scene.globe.ellipsoid, + ), ); expect(primitive.distanceDisplayCondition).toEqual( - new DistanceDisplayCondition(10.0, 100.0) + new DistanceDisplayCondition(10.0, 100.0), ); expect(primitive.clippingPlanes._planes.length).toEqual( - clippingPlanes._planes.length + clippingPlanes._planes.length, ); expect( Cartesian3.equals( primitive.clippingPlanes._planes[0].normal, - clippingPlanes._planes[0].normal - ) + clippingPlanes._planes[0].normal, + ), ).toBe(true); expect(primitive.clippingPlanes._planes[0].distance).toEqual( - clippingPlanes._planes[0].distance + clippingPlanes._planes[0].distance, ); expect(primitive.customShader).toEqual(customShader); expect(primitive.imageBasedLighting.imageBasedLightingFactor).toEqual( - new Cartesian2(0.5, 0.5) + new Cartesian2(0.5, 0.5), ); expect(primitive.lightColor).toEqual(new Cartesian3(1.0, 1.0, 0.0)); @@ -207,16 +207,17 @@ describe( const node = primitive.getNode("Root"); expect(node).toBeDefined(); - const transformationMatrix = Matrix4.fromTranslationQuaternionRotationScale( - translation, - rotation, - scale - ); + const transformationMatrix = + Matrix4.fromTranslationQuaternionRotationScale( + translation, + rotation, + scale, + ); Matrix4.multiplyTransformation( node.originalMatrix, transformationMatrix, - transformationMatrix + transformationMatrix, ); expect(node.matrix).toEqual(transformationMatrix); @@ -244,7 +245,7 @@ describe( const testObject = entityCollection.getOrCreateEntity("test"); testObject.position = new ConstantPositionProperty( - Cartesian3.fromDegrees(1, 2, 3) + Cartesian3.fromDegrees(1, 2, 3), ); testObject.model = model; @@ -266,22 +267,10 @@ describe( const node = primitive.getNode("Root"); const expected = [ - 0.7147690483240505, - -0.04340611926232735, - -0.0749741046529782, - 0, - -0.06188330295778636, - 0.05906797312763484, - -0.6241645867602773, - 0, - 0.03752515582279579, - 0.5366347296529127, - 0.04706410108373541, - 0, - 1, - 3, - -2, - 1, + 0.7147690483240505, -0.04340611926232735, -0.0749741046529782, 0, + -0.06188330295778636, 0.05906797312763484, -0.6241645867602773, 0, + 0.03752515582279579, 0.5366347296529127, 0.04706410108373541, 0, 1, 3, + -2, 1, ]; expect(node.matrix).toEqualEpsilon(expected, CesiumMath.EPSILON14); @@ -295,12 +284,12 @@ describe( model.uri = new ConstantProperty( new Resource({ url: boxArticulationsUrl, - }) + }), ); const testObject = entityCollection.getOrCreateEntity("test"); testObject.position = new ConstantPositionProperty( - Cartesian3.fromDegrees(1, 2, 3) + Cartesian3.fromDegrees(1, 2, 3), ); testObject.model = model; @@ -331,7 +320,7 @@ describe( const time = JulianDate.now(); const testObject = entityCollection.getOrCreateEntity("test"); testObject.position = new ConstantProperty( - new Cartesian3(5678, 1234, 1101112) + new Cartesian3(5678, 1234, 1101112), ); testObject.model = model; visualizer.update(time); @@ -355,7 +344,7 @@ describe( testObject.model = model; testObject.position = new ConstantProperty( - new Cartesian3(5678, 1234, 1101112) + new Cartesian3(5678, 1234, 1101112), ); model.uri = new ConstantProperty(boxUrl); visualizer.update(time); @@ -376,7 +365,7 @@ describe( testObject.model = model; testObject.position = new ConstantProperty( - new Cartesian3(5678, 1234, 1101112) + new Cartesian3(5678, 1234, 1101112), ); model.uri = new ConstantProperty(boxUrl); visualizer.update(time); @@ -400,7 +389,7 @@ describe( expect(state).toBe(BoundingSphereState.DONE); const expected = BoundingSphere.clone( primitive.boundingSphere, - new BoundingSphere() + new BoundingSphere(), ); expect(result).toEqual(expected); }); @@ -452,7 +441,7 @@ describe( expectedCenter.height = 10.0; expect(result.center).toEqualEpsilon( Cartographic.toCartesian(expectedCenter), - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); }); @@ -462,7 +451,7 @@ describe( const position = Cartesian3.fromDegrees( 149.515332, -34.984799, - heightOffset + heightOffset, ); const tileset = new Cesium3DTileset({ @@ -507,7 +496,7 @@ describe( expectedCenter.height = heightOffset + 10.0; expect(result.center).toEqualEpsilon( Cartographic.toCartesian(expectedCenter), - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); }); @@ -558,7 +547,7 @@ describe( expectedCenter.height = 10.0; expect(result.center).toEqualEpsilon( Cartographic.toCartesian(expectedCenter), - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); }); @@ -568,7 +557,7 @@ describe( const position = Cartesian3.fromDegrees( 149.515332, -34.984799, - heightOffset + heightOffset, ); const tileset = new Cesium3DTileset({ @@ -613,7 +602,7 @@ describe( expectedCenter.height = heightOffset + 10.0; expect(result.center).toEqualEpsilon( Cartographic.toCartesian(expectedCenter), - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); }); @@ -664,7 +653,7 @@ describe( expectedCenter.height = 20.0; expect(result.center).toEqualEpsilon( Cartographic.toCartesian(expectedCenter), - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); }); @@ -674,7 +663,7 @@ describe( const position = Cartesian3.fromDegrees( 149.515332, -34.984799, - heightOffset + heightOffset, ); const tileset = new Cesium3DTileset({ @@ -719,7 +708,7 @@ describe( expectedCenter.height = heightOffset + 20.0; expect(result.center).toEqualEpsilon( Cartographic.toCartesian(expectedCenter), - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); }); @@ -732,7 +721,7 @@ describe( testObject.model = model; testObject.position = new ConstantProperty( - new Cartesian3(5678, 1234, 1101112) + new Cartesian3(5678, 1234, 1101112), ); model.uri = new ConstantProperty(boxUrl); visualizer.update(time); @@ -756,7 +745,7 @@ describe( expect(state).toBe(BoundingSphereState.DONE); const expected = BoundingSphere.clone( primitive.boundingSphere, - new BoundingSphere() + new BoundingSphere(), ); expect(result).toEqual(expected); }); @@ -776,7 +765,7 @@ describe( testObject.model = model; testObject.position = new ConstantProperty( - new Cartesian3(5678, 1234, 1101112) + new Cartesian3(5678, 1234, 1101112), ); model.uri = new ConstantProperty("/path/to/incorrect/file"); visualizer.update(time); @@ -807,5 +796,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/DataSources/NodeTransformationPropertySpec.js b/packages/engine/Specs/DataSources/NodeTransformationPropertySpec.js index 1480f44dee7e..f0d19cc567a4 100644 --- a/packages/engine/Specs/DataSources/NodeTransformationPropertySpec.js +++ b/packages/engine/Specs/DataSources/NodeTransformationPropertySpec.js @@ -45,7 +45,7 @@ describe("DataSources/NodeTransformationProperty", function () { const property = new NodeTransformationProperty(); property.translation = new ConstantProperty(Cartesian3.UNIT_Y); property.rotation = new ConstantProperty( - new Quaternion(0.5, 0.5, 0.5, 0.5) + new Quaternion(0.5, 0.5, 0.5, 0.5), ); property.scale = new ConstantProperty(Cartesian3.UNIT_X); @@ -68,21 +68,21 @@ describe("DataSources/NodeTransformationProperty", function () { start: start, stop: stop, data: Cartesian3.UNIT_Y, - }) + }), ); property.rotation.intervals.addInterval( new TimeInterval({ start: start, stop: stop, data: new Quaternion(0.5, 0.5, 0.5, 0.5), - }) + }), ); property.scale.intervals.addInterval( new TimeInterval({ start: start, stop: stop, data: Cartesian3.UNIT_X, - }) + }), ); expect(property.isConstant).toBe(false); @@ -97,7 +97,7 @@ describe("DataSources/NodeTransformationProperty", function () { const property = new NodeTransformationProperty(); property.translation = new ConstantProperty(Cartesian3.UNIT_Y); property.rotation = new ConstantProperty( - new Quaternion(0.5, 0.5, 0.5, 0.5) + new Quaternion(0.5, 0.5, 0.5, 0.5), ); property.scale = new ConstantProperty(Cartesian3.UNIT_X); @@ -150,19 +150,19 @@ describe("DataSources/NodeTransformationProperty", function () { property, "rotation", Cartesian3.UNIT_X, - Cartesian3.ZERO + Cartesian3.ZERO, ); testDefinitionChanged( property, "translation", new Quaternion(0.5, 0.5, 0.5, 0.5), - Quaternion.ZERO + Quaternion.ZERO, ); testDefinitionChanged( property, "scale", Cartesian3.UNIT_X, - Cartesian3.ZERO + Cartesian3.ZERO, ); }); }); diff --git a/packages/engine/Specs/DataSources/PathGraphicsSpec.js b/packages/engine/Specs/DataSources/PathGraphicsSpec.js index 664fedc68a95..39a7b6c9adad 100644 --- a/packages/engine/Specs/DataSources/PathGraphicsSpec.js +++ b/packages/engine/Specs/DataSources/PathGraphicsSpec.js @@ -34,7 +34,7 @@ describe("DataSources/PathGraphics", function () { expect(path.trailTime.getValue()).toEqual(options.trailTime); expect(path.resolution.getValue()).toEqual(options.resolution); expect(path.distanceDisplayCondition.getValue()).toEqual( - options.distanceDisplayCondition + options.distanceDisplayCondition, ); }); @@ -47,7 +47,7 @@ describe("DataSources/PathGraphics", function () { source.trailTime = new ConstantProperty(1); source.resolution = new ConstantProperty(1); source.distanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition(10.0, 20.0) + new DistanceDisplayCondition(10.0, 20.0), ); const target = new PathGraphics(); @@ -59,7 +59,7 @@ describe("DataSources/PathGraphics", function () { expect(target.trailTime).toBe(source.trailTime); expect(target.resolution).toBe(source.resolution); expect(target.distanceDisplayCondition).toBe( - source.distanceDisplayCondition + source.distanceDisplayCondition, ); }); @@ -72,7 +72,7 @@ describe("DataSources/PathGraphics", function () { source.trailTime = new ConstantProperty(1); source.resolution = new ConstantProperty(1); source.distanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition() + new DistanceDisplayCondition(), ); const color = new ColorMaterialProperty(); @@ -82,7 +82,7 @@ describe("DataSources/PathGraphics", function () { const trailTime = new ConstantProperty(1); const resolution = new ConstantProperty(1); const distanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition() + new DistanceDisplayCondition(), ); const target = new PathGraphics(); @@ -113,7 +113,7 @@ describe("DataSources/PathGraphics", function () { source.trailTime = new ConstantProperty(1); source.resolution = new ConstantProperty(1); source.distanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition() + new DistanceDisplayCondition(), ); const result = source.clone(); @@ -124,7 +124,7 @@ describe("DataSources/PathGraphics", function () { expect(result.trailTime).toBe(source.trailTime); expect(result.resolution).toBe(source.resolution); expect(result.distanceDisplayCondition).toBe( - source.distanceDisplayCondition + source.distanceDisplayCondition, ); }); diff --git a/packages/engine/Specs/DataSources/PathVisualizerSpec.js b/packages/engine/Specs/DataSources/PathVisualizerSpec.js index ce9ecfff2787..0a6c1b78e4ea 100644 --- a/packages/engine/Specs/DataSources/PathVisualizerSpec.js +++ b/packages/engine/Specs/DataSources/PathVisualizerSpec.js @@ -144,12 +144,12 @@ describe( path.material = new PolylineOutlineMaterialProperty(); path.material.color = new ConstantProperty(new Color(0.8, 0.7, 0.6, 0.5)); path.material.outlineColor = new ConstantProperty( - new Color(0.1, 0.2, 0.3, 0.4) + new Color(0.1, 0.2, 0.3, 0.4), ); path.material.outlineWidth = new ConstantProperty(2.5); path.width = new ConstantProperty(12.5); path.distanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition(10.0, 20.0) + new DistanceDisplayCondition(10.0, 20.0), ); path.leadTime = new ConstantProperty(25); path.trailTime = new ConstantProperty(10); @@ -165,39 +165,39 @@ describe( JulianDate.addSeconds( updateTime, -path.trailTime.getValue(), - new JulianDate() - ) - ) + new JulianDate(), + ), + ), ); expect(primitive.positions[1]).toEqual( - testObject.position.getValue(updateTime) + testObject.position.getValue(updateTime), ); expect(primitive.positions[2]).toEqual( testObject.position.getValue( JulianDate.addSeconds( updateTime, path.leadTime.getValue(), - new JulianDate() - ) - ) + new JulianDate(), + ), + ), ); expect(primitive.show).toEqual(testObject.path.show.getValue(updateTime)); expect(primitive.width).toEqual( - testObject.path.width.getValue(updateTime) + testObject.path.width.getValue(updateTime), ); expect(primitive.distanceDisplayCondition).toEqual( - testObject.path.distanceDisplayCondition.getValue(updateTime) + testObject.path.distanceDisplayCondition.getValue(updateTime), ); const material = primitive.material; expect(material.uniforms.color).toEqual( - testObject.path.material.color.getValue(updateTime) + testObject.path.material.color.getValue(updateTime), ); expect(material.uniforms.outlineColor).toEqual( - testObject.path.material.outlineColor.getValue(updateTime) + testObject.path.material.outlineColor.getValue(updateTime), ); expect(material.uniforms.outlineWidth).toEqual( - testObject.path.material.outlineWidth.getValue(updateTime) + testObject.path.material.outlineWidth.getValue(updateTime), ); path.show = new ConstantProperty(false); @@ -225,7 +225,7 @@ describe( path.material = new PolylineOutlineMaterialProperty(); path.material.color = new ConstantProperty(new Color(0.8, 0.7, 0.6, 0.5)); path.material.outlineColor = new ConstantProperty( - new Color(0.1, 0.2, 0.3, 0.4) + new Color(0.1, 0.2, 0.3, 0.4), ); path.material.outlineWidth = new ConstantProperty(2.5); path.width = new ConstantProperty(12.5); @@ -278,13 +278,13 @@ describe( const material = primitive.material; expect(material.uniforms.color).toEqual( - testObject.path.material.color.getValue(updateTime) + testObject.path.material.color.getValue(updateTime), ); expect(material.uniforms.glowPower).toEqual( - testObject.path.material.glowPower.getValue(updateTime) + testObject.path.material.glowPower.getValue(updateTime), ); expect(material.uniforms.taperPower).toEqual( - testObject.path.material.taperPower.getValue(updateTime) + testObject.path.material.taperPower.getValue(updateTime), ); }); @@ -361,7 +361,7 @@ describe( const inertialPolylineCollection = scene.primitives.get(0); expect(inertialPolylineCollection.length).toEqual(1); expect(inertialPolylineCollection.modelMatrix).not.toEqual( - Matrix4.IDENTITY + Matrix4.IDENTITY, ); const inertialLine = inertialPolylineCollection.get(0); @@ -498,7 +498,7 @@ describe( it("subSample works for constant properties", function () { const property = new ConstantPositionProperty( - new Cartesian3(1000, 2000, 3000) + new Cartesian3(1000, 2000, 3000), ); const start = new JulianDate(0, 0); const stop = new JulianDate(1, 0); @@ -511,14 +511,14 @@ describe( stop, updateTime, referenceFrame, - maximumStep + maximumStep, ); expect(result).toEqual([property._value]); }); it("subSample works for reference properties", function () { const property = new ConstantPositionProperty( - new Cartesian3(1000, 2000, 3000) + new Cartesian3(1000, 2000, 3000), ); const start = new JulianDate(0, 0); const stop = new JulianDate(1, 0); @@ -540,7 +540,7 @@ describe( stop, updateTime, referenceFrame, - maximumStep + maximumStep, ); expect(result).toEqual([property._value]); }); @@ -567,7 +567,7 @@ describe( updateTime, referenceFrame, maximumStep, - result + result, ); expect(result).toEqual([ property.getValue(start), @@ -585,16 +585,16 @@ describe( updateTime, referenceFrame, maximumStep, - result + result, ); expect(result).toEqual([ property.getValue(start), property.getValue( - JulianDate.addSeconds(start, expectedStep, new JulianDate()) + JulianDate.addSeconds(start, expectedStep, new JulianDate()), ), property.getValue(updateTime), property.getValue( - JulianDate.addSeconds(start, expectedStep * 2, new JulianDate()) + JulianDate.addSeconds(start, expectedStep * 2, new JulianDate()), ), property.getValue(stop), ]); @@ -610,12 +610,12 @@ describe( updateTime, referenceFrame, maximumStep, - result + result, ); expect(result).toEqual([ property.getValue(start), property.getValue( - JulianDate.addSeconds(start, expectedStep, new JulianDate()) + JulianDate.addSeconds(start, expectedStep, new JulianDate()), ), property.getValue(updateTime), property.getValue(stop), @@ -632,16 +632,16 @@ describe( updateTime, referenceFrame, maximumStep, - result + result, ); expect(result).toEqual([ property.getValue(start), property.getValue(updateTime), property.getValue( - JulianDate.addSeconds(start, expectedStep, new JulianDate()) + JulianDate.addSeconds(start, expectedStep, new JulianDate()), ), property.getValue( - JulianDate.addSeconds(start, expectedStep * 2, new JulianDate()) + JulianDate.addSeconds(start, expectedStep * 2, new JulianDate()), ), property.getValue(stop), ]); @@ -659,7 +659,7 @@ describe( start: t1, stop: t2, data: new Cartesian3(0, 0, 1), - }) + }), ); property.intervals.addInterval( new TimeInterval({ @@ -668,14 +668,14 @@ describe( isStartIncluded: false, isStopIncluded: false, data: new Cartesian3(0, 0, 2), - }) + }), ); property.intervals.addInterval( new TimeInterval({ start: t3, stop: t4, data: new Cartesian3(0, 0, 3), - }) + }), ); const updateTime = new JulianDate(1, 43200); @@ -689,7 +689,7 @@ describe( updateTime, referenceFrame, maximumStep, - result + result, ); expect(result).toEqual([ new Cartesian3(0, 0, 1), @@ -704,7 +704,7 @@ describe( updateTime, referenceFrame, maximumStep, - result + result, ); expect(result).toEqual([ new Cartesian3(0, 0, 1), @@ -719,7 +719,7 @@ describe( updateTime, referenceFrame, maximumStep, - result + result, ); expect(result).toEqual([new Cartesian3(0, 0, 1)]); @@ -730,7 +730,7 @@ describe( updateTime, referenceFrame, maximumStep, - result + result, ); expect(result).toEqual([new Cartesian3(0, 0, 3)]); }); @@ -767,22 +767,22 @@ describe( updateTime, referenceFrame, maximumStep, - result + result, ); expect(result).toEqual([ property.getValue(t1), property.getValue( - JulianDate.addSeconds(t1, maximumStep, new JulianDate()) + JulianDate.addSeconds(t1, maximumStep, new JulianDate()), ), property.getValue( - JulianDate.addSeconds(t1, maximumStep * 2, new JulianDate()) + JulianDate.addSeconds(t1, maximumStep * 2, new JulianDate()), ), property.getValue(updateTime), property.getValue( - JulianDate.addSeconds(t1, maximumStep * 3, new JulianDate()) + JulianDate.addSeconds(t1, maximumStep * 3, new JulianDate()), ), property.getValue( - JulianDate.addSeconds(t1, maximumStep * 4, new JulianDate()) + JulianDate.addSeconds(t1, maximumStep * 4, new JulianDate()), ), ]); }); @@ -801,7 +801,7 @@ describe( return innerProperty.getValueInReferenceFrame( time, referenceFrame, - result + result, ); }; @@ -835,28 +835,28 @@ describe( updateTime, referenceFrame, maximumStep, - result + result, ); expect(result).toEqual([ sampledProperty.getValue(t1), sampledProperty.getValue( - JulianDate.addSeconds(t1, maximumStep, new JulianDate()) + JulianDate.addSeconds(t1, maximumStep, new JulianDate()), ), sampledProperty.getValue( - JulianDate.addSeconds(t1, maximumStep * 2, new JulianDate()) + JulianDate.addSeconds(t1, maximumStep * 2, new JulianDate()), ), sampledProperty.getValue(updateTime), sampledProperty.getValue( - JulianDate.addSeconds(t1, maximumStep * 3, new JulianDate()) + JulianDate.addSeconds(t1, maximumStep * 3, new JulianDate()), ), sampledProperty.getValue( - JulianDate.addSeconds(t1, maximumStep * 4, new JulianDate()) + JulianDate.addSeconds(t1, maximumStep * 4, new JulianDate()), ), sampledProperty.getValue( - JulianDate.addSeconds(t1, maximumStep * 5, new JulianDate()) + JulianDate.addSeconds(t1, maximumStep * 5, new JulianDate()), ), sampledProperty.getValue( - JulianDate.addSeconds(t1, maximumStep * 6, new JulianDate()) + JulianDate.addSeconds(t1, maximumStep * 6, new JulianDate()), ), ]); }); @@ -870,7 +870,7 @@ describe( const t6 = new JulianDate(5, 0); const constantProperty = new ConstantPositionProperty( - new Cartesian3(0, 0, 1) + new Cartesian3(0, 0, 1), ); const intervalProperty = new TimeIntervalCollectionPositionProperty(); @@ -879,7 +879,7 @@ describe( start: t1, stop: t2, data: new Cartesian3(0, 0, 1), - }) + }), ); intervalProperty.intervals.addInterval( new TimeInterval({ @@ -888,14 +888,14 @@ describe( isStartIncluded: false, isStopIncluded: false, data: new Cartesian3(0, 0, 2), - }) + }), ); intervalProperty.intervals.addInterval( new TimeInterval({ start: t1, stop: t2, data: new Cartesian3(0, 0, 3), - }) + }), ); const sampledProperty = new SampledPositionProperty(); @@ -907,7 +907,7 @@ describe( const entities = new EntityCollection(); const targetEntity = entities.getOrCreateEntity("target"); targetEntity.position = new ConstantPositionProperty( - new Cartesian3(0, 0, 5) + new Cartesian3(0, 0, 5), ); const referenceProperty = new ReferenceProperty(entities, "target", [ "position", @@ -921,7 +921,7 @@ describe( start: t1, stop: t2, data: intervalProperty, - }) + }), ); property.intervals.addInterval( new TimeInterval({ @@ -930,14 +930,14 @@ describe( isStartIncluded: false, isStopIncluded: false, data: constantProperty, - }) + }), ); property.intervals.addInterval( new TimeInterval({ start: t3, stop: t4, data: sampledProperty, - }) + }), ); property.intervals.addInterval( new TimeInterval({ @@ -946,7 +946,7 @@ describe( isStartIncluded: false, isStopIncluded: true, data: referenceProperty, - }) + }), ); property.intervals.addInterval( new TimeInterval({ @@ -955,7 +955,7 @@ describe( isStartIncluded: false, isStopIncluded: true, data: scaledProperty, - }) + }), ); const updateTime = new JulianDate(0, 0); @@ -979,14 +979,14 @@ describe( updateTime, referenceFrame, maximumStep, - result + result, ); expect(result).toEqual([ intervalProperty.intervals.get(0).data, constantProperty.getValue(t1), sampledProperty.getValue(t3), sampledProperty.getValue( - JulianDate.addSeconds(t3, maximumStep, new JulianDate()) + JulianDate.addSeconds(t3, maximumStep, new JulianDate()), ), sampledProperty.getValue(t4), targetEntity.position.getValue(t5), @@ -1002,5 +1002,5 @@ describe( createCompositeTest(true); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/DataSources/PlaneGeometryUpdaterSpec.js b/packages/engine/Specs/DataSources/PlaneGeometryUpdaterSpec.js index b120f02f216b..a90e9039abaf 100644 --- a/packages/engine/Specs/DataSources/PlaneGeometryUpdaterSpec.js +++ b/packages/engine/Specs/DataSources/PlaneGeometryUpdaterSpec.js @@ -33,12 +33,12 @@ describe( function createBasicPlane() { const planeGraphics = new PlaneGraphics(); planeGraphics.plane = new ConstantProperty( - new Plane(Cartesian3.UNIT_X, 0.0) + new Plane(Cartesian3.UNIT_X, 0.0), ); planeGraphics.dimensions = new ConstantProperty(new Cartesian2(1.0, 2.0)); const entity = new Entity(); entity.position = new ConstantPositionProperty( - Cartesian3.fromDegrees(0, 0, 0) + Cartesian3.fromDegrees(0, 0, 0), ); entity.plane = planeGraphics; return entity; @@ -47,7 +47,7 @@ describe( function createDynamicPlane() { const entity = createBasicPlane(); entity.plane.plane = createDynamicProperty( - new Plane(Cartesian3.UNIT_X, 0.0) + new Plane(Cartesian3.UNIT_X, 0.0), ); entity.plane.dimensions = createDynamicProperty(new Cartesian2(1.0, 2.0)); return entity; @@ -77,7 +77,7 @@ describe( const updater = new PlaneGeometryUpdater(entity, scene); const dynamicUpdater = updater.createDynamicUpdater( new PrimitiveCollection(), - new PrimitiveCollection() + new PrimitiveCollection(), ); dynamicUpdater.update(JulianDate.now()); @@ -121,15 +121,15 @@ describe( PlaneGeometryUpdater, "plane", createBasicPlane, - getScene + getScene, ); createDynamicGeometryUpdaterSpecs( PlaneGeometryUpdater, "plane", createDynamicPlane, - getScene + getScene, ); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/DataSources/PlaneGraphicsSpec.js b/packages/engine/Specs/DataSources/PlaneGraphicsSpec.js index bdcaf48fc3b1..657decac19a2 100644 --- a/packages/engine/Specs/DataSources/PlaneGraphicsSpec.js +++ b/packages/engine/Specs/DataSources/PlaneGraphicsSpec.js @@ -50,7 +50,7 @@ describe("DataSources/PlaneGraphics", function () { expect(plane.dimensions.getValue()).toEqual(options.dimensions); expect(plane.shadows.getValue()).toEqual(options.shadows); expect(plane.distanceDisplayCondition.getValue()).toEqual( - options.distanceDisplayCondition + options.distanceDisplayCondition, ); }); @@ -66,7 +66,7 @@ describe("DataSources/PlaneGraphics", function () { source.dimensions = new ConstantProperty(); source.shadows = new ConstantProperty(ShadowMode.ENABLED); source.distanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition(10.0, 100.0) + new DistanceDisplayCondition(10.0, 100.0), ); const target = new PlaneGraphics(); @@ -82,7 +82,7 @@ describe("DataSources/PlaneGraphics", function () { expect(target.dimensions).toBe(source.dimensions); expect(target.shadows).toBe(source.shadows); expect(target.distanceDisplayCondition).toBe( - source.distanceDisplayCondition + source.distanceDisplayCondition, ); }); @@ -150,7 +150,7 @@ describe("DataSources/PlaneGraphics", function () { expect(result.dimensions).toBe(source.dimensions); expect(result.shadows).toBe(source.shadows); expect(result.distanceDisplayCondition).toBe( - source.distanceDisplayCondition + source.distanceDisplayCondition, ); }); @@ -173,25 +173,25 @@ describe("DataSources/PlaneGraphics", function () { property, "plane", new Plane(Cartesian3.UNIT_X, 0.0), - new Plane(Cartesian3.UNIT_Z, 1.0) + new Plane(Cartesian3.UNIT_Z, 1.0), ); testDefinitionChanged( property, "dimensions", new Cartesian2(0.0, 0.0), - new Cartesian2(1.0, 1.0) + new Cartesian2(1.0, 1.0), ); testDefinitionChanged( property, "shadows", ShadowMode.ENABLED, - ShadowMode.DISABLED + ShadowMode.DISABLED, ); testDefinitionChanged( property, "distanceDisplayCondition", new DistanceDisplayCondition(), - new DistanceDisplayCondition(10.0, 100.0) + new DistanceDisplayCondition(10.0, 100.0), ); }); }); diff --git a/packages/engine/Specs/DataSources/PointGraphicsSpec.js b/packages/engine/Specs/DataSources/PointGraphicsSpec.js index 699e801e71de..7178ecc790e6 100644 --- a/packages/engine/Specs/DataSources/PointGraphicsSpec.js +++ b/packages/engine/Specs/DataSources/PointGraphicsSpec.js @@ -43,10 +43,10 @@ describe("DataSources/PointGraphics", function () { expect(point.scaleByDistance.getValue()).toEqual(options.scaleByDistance); expect(point.heightReference.getValue()).toEqual(options.heightReference); expect(point.distanceDisplayCondition.getValue()).toEqual( - options.distanceDisplayCondition + options.distanceDisplayCondition, ); expect(point.disableDepthTestDistance.getValue()).toEqual( - options.disableDepthTestDistance + options.disableDepthTestDistance, ); expect(point.splitDirection.getValue()).toEqual(options.splitDirection); }); @@ -60,10 +60,10 @@ describe("DataSources/PointGraphics", function () { source.show = new ConstantProperty(true); source.scaleByDistance = new ConstantProperty(new NearFarScalar()); source.heightReference = new ConstantProperty( - HeightReference.RELATIVE_TO_GROUND + HeightReference.RELATIVE_TO_GROUND, ); source.distanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition(10.0, 100.0) + new DistanceDisplayCondition(10.0, 100.0), ); source.disableDepthTestDistance = new ConstantProperty(10.0); source.splitDirection = new ConstantProperty(SplitDirection.LEFT); @@ -78,10 +78,10 @@ describe("DataSources/PointGraphics", function () { expect(target.scaleByDistance).toBe(source.scaleByDistance); expect(target.heightReference).toBe(source.heightReference); expect(target.distanceDisplayCondition).toBe( - source.distanceDisplayCondition + source.distanceDisplayCondition, ); expect(target.disableDepthTestDistance).toBe( - source.disableDepthTestDistance + source.disableDepthTestDistance, ); expect(target.splitDirection).toBe(source.splitDirection); }); @@ -95,10 +95,10 @@ describe("DataSources/PointGraphics", function () { source.show = new ConstantProperty(true); source.scaleByDistance = new ConstantProperty(new NearFarScalar()); source.heightReference = new ConstantProperty( - HeightReference.RELATIVE_TO_GROUND + HeightReference.RELATIVE_TO_GROUND, ); source.distanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition(10.0, 100.0) + new DistanceDisplayCondition(10.0, 100.0), ); source.disableDepthTestDistance = new ConstantProperty(10.0); source.splitDirection = new ConstantProperty(SplitDirection.LEFT); @@ -109,10 +109,10 @@ describe("DataSources/PointGraphics", function () { const outlineWidth = new ConstantProperty(1); const show = new ConstantProperty(true); const heightReference = new ConstantProperty( - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); const distanDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition(10.0, 100.0) + new DistanceDisplayCondition(10.0, 100.0), ); const disableDepthTestDistance = new ConstantProperty(20.0); const splitDirection = new ConstantProperty(SplitDirection.RIGHT); @@ -151,10 +151,10 @@ describe("DataSources/PointGraphics", function () { source.show = new ConstantProperty(true); source.scaleByDistance = new ConstantProperty(new NearFarScalar()); source.heightReference = new ConstantProperty( - HeightReference.RELATIVE_TO_GROUND + HeightReference.RELATIVE_TO_GROUND, ); source.distanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition(10.0, 100.0) + new DistanceDisplayCondition(10.0, 100.0), ); source.disableDepthTestDistance = new ConstantProperty(10.0); source.splitDirection = new ConstantProperty(SplitDirection.LEFT); @@ -168,10 +168,10 @@ describe("DataSources/PointGraphics", function () { expect(result.scaleByDistance).toBe(source.scaleByDistance); expect(result.heightReference).toBe(source.heightReference); expect(result.distanceDisplayCondition).toBe( - source.distanceDisplayCondition + source.distanceDisplayCondition, ); expect(result.disableDepthTestDistance).toBe( - source.disableDepthTestDistance + source.disableDepthTestDistance, ); expect(result.splitDirection).toBe(source.splitDirection); }); diff --git a/packages/engine/Specs/DataSources/PointVisualizerSpec.js b/packages/engine/Specs/DataSources/PointVisualizerSpec.js index 6f17a7e0b033..1f9577df23dc 100644 --- a/packages/engine/Specs/DataSources/PointVisualizerSpec.js +++ b/packages/engine/Specs/DataSources/PointVisualizerSpec.js @@ -117,7 +117,7 @@ describe( const testObject = entityCollection.getOrCreateEntity("test"); testObject.position = new ConstantProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); visualizer.update(JulianDate.now()); expect(scene.primitives.length).toEqual(0); @@ -167,23 +167,23 @@ describe( expect(pointPrimitive.show).toEqual(point.show.getValue(time)); expect(pointPrimitive.position).toEqual(entity.position.getValue(time)); expect(pointPrimitive.scaleByDistance).toEqual( - point.scaleByDistance.getValue(time) + point.scaleByDistance.getValue(time), ); expect(pointPrimitive.color).toEqual(point.color.getValue(time)); expect(pointPrimitive.outlineColor).toEqual( - point.outlineColor.getValue(time) + point.outlineColor.getValue(time), ); expect(pointPrimitive.outlineWidth).toEqual( - point.outlineWidth.getValue(time) + point.outlineWidth.getValue(time), ); expect(pointPrimitive.distanceDisplayCondition).toEqual( - point.distanceDisplayCondition.getValue(time) + point.distanceDisplayCondition.getValue(time), ); expect(pointPrimitive.disableDepthTestDistance).toEqual( - point.disableDepthTestDistance.getValue(time) + point.disableDepthTestDistance.getValue(time), ); expect(pointPrimitive.splitDirection).toEqual( - point.splitDirection.getValue(time) + point.splitDirection.getValue(time), ); point.color = new Color(0.15, 0.16, 0.17, 0.18); @@ -193,7 +193,7 @@ describe( point.scaleByDistance = new NearFarScalar(25, 26, 27, 28); point.distanceDisplayCondition = new DistanceDisplayCondition( 1000.0, - 1000000.0 + 1000000.0, ); point.disableDepthTestDistance = 20.0; point.splitDirection = SplitDirection.RIGHT; @@ -203,23 +203,23 @@ describe( expect(pointPrimitive.show).toEqual(point.show.getValue(time)); expect(pointPrimitive.position).toEqual(entity.position.getValue(time)); expect(pointPrimitive.scaleByDistance).toEqual( - point.scaleByDistance.getValue(time) + point.scaleByDistance.getValue(time), ); expect(pointPrimitive.color).toEqual(point.color.getValue(time)); expect(pointPrimitive.outlineColor).toEqual( - point.outlineColor.getValue(time) + point.outlineColor.getValue(time), ); expect(pointPrimitive.outlineWidth).toEqual( - point.outlineWidth.getValue(time) + point.outlineWidth.getValue(time), ); expect(pointPrimitive.distanceDisplayCondition).toEqual( - point.distanceDisplayCondition.getValue(time) + point.distanceDisplayCondition.getValue(time), ); expect(pointPrimitive.disableDepthTestDistance).toEqual( - point.disableDepthTestDistance.getValue(time) + point.disableDepthTestDistance.getValue(time), ); expect(pointPrimitive.splitDirection).toEqual( - point.splitDirection.getValue(time) + point.splitDirection.getValue(time), ); point.show = false; @@ -260,16 +260,16 @@ describe( expect(billboard.show).toEqual(point.show.getValue(time)); expect(billboard.position).toEqual(entity.position.getValue(time)); expect(billboard.scaleByDistance).toEqual( - point.scaleByDistance.getValue(time) + point.scaleByDistance.getValue(time), ); expect(billboard.distanceDisplayCondition).toEqual( - point.distanceDisplayCondition.getValue(time) + point.distanceDisplayCondition.getValue(time), ); expect(billboard.disableDepthTestDistance).toEqual( - point.disableDepthTestDistance.getValue(time) + point.disableDepthTestDistance.getValue(time), ); expect(billboard.splitDirection).toEqual( - point.splitDirection.getValue(time) + point.splitDirection.getValue(time), ); //expect(billboard.color).toEqual(point.color.getValue(time)); //expect(billboard.outlineColor).toEqual(point.outlineColor.getValue(time)); @@ -282,7 +282,7 @@ describe( point.scaleByDistance = new NearFarScalar(25, 26, 27, 28); point.distanceDisplayCondition = new DistanceDisplayCondition( 1000.0, - 1000000.0 + 1000000.0, ); point.disableDepthTestDistance = 20.0; @@ -291,13 +291,13 @@ describe( expect(billboard.show).toEqual(point.show.getValue(time)); expect(billboard.position).toEqual(entity.position.getValue(time)); expect(billboard.scaleByDistance).toEqual( - point.scaleByDistance.getValue(time) + point.scaleByDistance.getValue(time), ); expect(billboard.distanceDisplayCondition).toEqual( - point.distanceDisplayCondition.getValue(time) + point.distanceDisplayCondition.getValue(time), ); expect(billboard.disableDepthTestDistance).toEqual( - point.disableDepthTestDistance.getValue(time) + point.disableDepthTestDistance.getValue(time), ); //expect(billboard.color).toEqual(point.color.getValue(time)); //expect(billboard.outlineColor).toEqual(point.outlineColor.getValue(time)); @@ -315,7 +315,7 @@ describe( const testObject = entityCollection.getOrCreateEntity("test"); testObject.position = new ConstantProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); testObject.point = new PointGraphics(); testObject.point.show = new ConstantProperty(true); @@ -333,7 +333,7 @@ describe( const testObject2 = entityCollection.getOrCreateEntity("test2"); testObject2.position = new ConstantProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); testObject2.point = new PointGraphics(); testObject2.point.show = new ConstantProperty(true); @@ -349,7 +349,7 @@ describe( const time = JulianDate.now(); testObject.position = new ConstantProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); const point = (testObject.point = new PointGraphics()); point.show = new ConstantProperty(true); @@ -376,7 +376,7 @@ describe( const point = (testObject.point = new PointGraphics()); testObject.position = new ConstantProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); point.show = new ConstantProperty(true); @@ -397,7 +397,7 @@ describe( const point = (testObject.point = new PointGraphics()); testObject.position = new ConstantProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); point.show = new ConstantProperty(true); @@ -439,5 +439,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/DataSources/PolygonGeometryUpdaterSpec.js b/packages/engine/Specs/DataSources/PolygonGeometryUpdaterSpec.js index 7e01741214fa..8f48605dcf26 100644 --- a/packages/engine/Specs/DataSources/PolygonGeometryUpdaterSpec.js +++ b/packages/engine/Specs/DataSources/PolygonGeometryUpdaterSpec.js @@ -56,8 +56,8 @@ describe( const polygon = new PolygonGraphics(); polygon.hierarchy = new ConstantProperty( new PolygonHierarchy( - Cartesian3.fromRadiansArray([-1, -1, 1, -1, 1, 1, -1, 1]) - ) + Cartesian3.fromRadiansArray([-1, -1, 1, -1, 1, 1, -1, 1]), + ), ); polygon.height = new ConstantProperty(0); const entity = new Entity(); @@ -70,17 +70,9 @@ describe( polygon.hierarchy = new ConstantProperty( new PolygonHierarchy( Cartesian3.fromDegreesArrayHeights([ - -1.0, - 1.0, - 0.0, - -2.0, - 1.0, - 0.0, - -2.0, - 1.0, - 0.0, - ]) - ) + -1.0, 1.0, 0.0, -2.0, 1.0, 0.0, -2.0, 1.0, 0.0, + ]), + ), ); polygon.perPositionHeight = true; const entity = new Entity(); @@ -98,8 +90,8 @@ describe( const polygon = new PolygonGraphics(); polygon.hierarchy = new ConstantProperty( new PolygonHierarchy( - Cartesian3.fromRadiansArray([0, 0, 1, 0, 1, 1, 0, 1]) - ) + Cartesian3.fromRadiansArray([0, 0, 1, 0, 1, 1, 0, 1]), + ), ); const entity = new Entity(); entity.polygon = polygon; @@ -239,7 +231,7 @@ describe( const polygon = entity.polygon; polygon.outline = true; polygon.perPositionHeight = new ConstantProperty( - options.perPositionHeight + options.perPositionHeight, ); polygon.closeTop = new ConstantProperty(options.closeTop); polygon.closeBottom = new ConstantProperty(options.closeBottom); @@ -249,7 +241,7 @@ describe( polygon.granularity = new ConstantProperty(options.granularity); polygon.arcType = new ConstantProperty(options.arcType); polygon.textureCoordinates = new ConstantProperty( - options.textureCoordinates + options.textureCoordinates, ); const updater = new PolygonGeometryUpdater(entity, scene); @@ -342,10 +334,10 @@ describe( let instance; graphics.heightReference = new ConstantProperty( - HeightReference.RELATIVE_TO_GROUND + HeightReference.RELATIVE_TO_GROUND, ); graphics.extrudedHeightReference = new ConstantProperty( - HeightReference.RELATIVE_TO_GROUND + HeightReference.RELATIVE_TO_GROUND, ); updater._onEntityPropertyChanged(entity, "polygon"); instance = updater.createFillGeometryInstance(time); @@ -358,8 +350,8 @@ describe( const polygon = new PolygonGraphics(); polygon.hierarchy = createDynamicProperty( new PolygonHierarchy( - Cartesian3.fromRadiansArray([0, 0, 1, 0, 1, 1, 0, 1]) - ) + Cartesian3.fromRadiansArray([0, 0, 1, 0, 1, 1, 0, 1]), + ), ); polygon.height = createDynamicProperty(3); polygon.extrudedHeight = createDynamicProperty(2); @@ -384,7 +376,7 @@ describe( const updater = new PolygonGeometryUpdater(entity, scene); const dynamicUpdater = updater.createDynamicUpdater( new PrimitiveCollection(), - new PrimitiveCollection() + new PrimitiveCollection(), ); dynamicUpdater.update(time); @@ -394,12 +386,12 @@ describe( expect(options.height).toEqual(polygon.height.getValue()); expect(options.extrudedHeight).toEqual(polygon.extrudedHeight.getValue()); expect(options.perPositionHeight).toEqual( - polygon.perPositionHeight.getValue() + polygon.perPositionHeight.getValue(), ); expect(options.granularity).toEqual(polygon.granularity.getValue()); expect(options.stRotation).toEqual(polygon.stRotation.getValue()); expect(options.textureCoordinates).toEqual( - polygon.textureCoordinates.getValue() + polygon.textureCoordinates.getValue(), ); expect(options.closeTop).toEqual(polygon.closeTop.getValue()); expect(options.closeBottom).toEqual(polygon.closeBottom.getValue()); @@ -454,7 +446,7 @@ describe( result = Ellipsoid.WGS84.scaleToGeodeticSurface(result, result); expect(result).toEqualEpsilon( Cartesian3.fromDegrees(0.0, 0.0), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -466,14 +458,14 @@ describe( PolygonGeometryUpdater, "polygon", createBasicPolygon, - getScene + getScene, ); createDynamicGeometryUpdaterSpecs( PolygonGeometryUpdater, "polygon", createDynamicPolygon, - getScene + getScene, ); createGeometryUpdaterGroundGeometrySpecs( @@ -481,8 +473,8 @@ describe( "polygon", createBasicPolygonWithoutHeight, createDynamicPolygonWithoutHeight, - getScene + getScene, ); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/DataSources/PolygonGraphicsSpec.js b/packages/engine/Specs/DataSources/PolygonGraphicsSpec.js index 232bee6b194c..4e39288e1607 100644 --- a/packages/engine/Specs/DataSources/PolygonGraphicsSpec.js +++ b/packages/engine/Specs/DataSources/PolygonGraphicsSpec.js @@ -69,7 +69,7 @@ describe("DataSources/PolygonGraphics", function () { expect(polygon.granularity.getValue()).toEqual(options.granularity); expect(polygon.stRotation.getValue()).toEqual(options.stRotation); expect(polygon.perPositionHeight.getValue()).toEqual( - options.perPositionHeight + options.perPositionHeight, ); expect(polygon.fill.getValue()).toEqual(options.fill); expect(polygon.outline.getValue()).toEqual(options.outline); @@ -79,15 +79,15 @@ describe("DataSources/PolygonGraphics", function () { expect(polygon.closeBottom.getValue()).toEqual(options.closeBottom); expect(polygon.shadows.getValue()).toEqual(options.shadows); expect(polygon.distanceDisplayCondition.getValue()).toEqual( - options.distanceDisplayCondition + options.distanceDisplayCondition, ); expect(polygon.classificationType.getValue()).toEqual( - options.classificationType + options.classificationType, ); expect(polygon.arcType.getValue()).toEqual(options.arcType); expect(polygon.zIndex.getValue()).toEqual(22); expect(polygon.textureCoordinates.getValue()).toEqual( - options.textureCoordinates + options.textureCoordinates, ); }); @@ -109,10 +109,10 @@ describe("DataSources/PolygonGraphics", function () { source.closeBottom = new ConstantProperty(); source.shadows = new ConstantProperty(ShadowMode.ENABLED); source.distanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition() + new DistanceDisplayCondition(), ); source.classificationType = new ConstantProperty( - ClassificationType.TERRAIN + ClassificationType.TERRAIN, ); source.arcType = new ConstantProperty(ArcType.RHUMB); source.zIndex = new ConstantProperty(30); @@ -137,7 +137,7 @@ describe("DataSources/PolygonGraphics", function () { expect(target.closeBottom).toBe(source.closeBottom); expect(target.shadows).toBe(source.shadows); expect(target.distanceDisplayCondition).toBe( - source.distanceDisplayCondition + source.distanceDisplayCondition, ); expect(target.classificationType).toBe(source.classificationType); expect(target.arcType).toBe(source.arcType); @@ -255,7 +255,7 @@ describe("DataSources/PolygonGraphics", function () { expect(result.closeBottom).toBe(source.closeBottom); expect(result.shadows).toBe(source.shadows); expect(result.distanceDisplayCondition).toBe( - source.distanceDisplayCondition + source.distanceDisplayCondition, ); expect(result.classificationType).toBe(source.classificationType); expect(result.arcType).toBe(source.arcType); @@ -290,19 +290,19 @@ describe("DataSources/PolygonGraphics", function () { property, "shadows", ShadowMode.ENABLED, - ShadowMode.DISABLED + ShadowMode.DISABLED, ); testDefinitionChanged( property, "distanceDisplayCondition", new DistanceDisplayCondition(), - new DistanceDisplayCondition(10.0, 100.0) + new DistanceDisplayCondition(10.0, 100.0), ); testDefinitionChanged( property, "classificationType", ClassificationType.TERRAIN, - ClassificationType.BOTH + ClassificationType.BOTH, ); testDefinitionChanged(property, "arcType", ArcType.GEODESIC, ArcType.RHUMB); testDefinitionChanged(property, "zIndex", 54, 3); diff --git a/packages/engine/Specs/DataSources/PolylineArrowMaterialPropertySpec.js b/packages/engine/Specs/DataSources/PolylineArrowMaterialPropertySpec.js index 86cbc357d388..20e8126809a2 100644 --- a/packages/engine/Specs/DataSources/PolylineArrowMaterialPropertySpec.js +++ b/packages/engine/Specs/DataSources/PolylineArrowMaterialPropertySpec.js @@ -45,7 +45,7 @@ describe("DataSources/PolylineArrowMaterialProperty", function () { start: start, stop: stop, data: Color.BLUE, - }) + }), ); expect(property.isConstant).toBe(false); @@ -90,7 +90,7 @@ describe("DataSources/PolylineArrowMaterialProperty", function () { property, "color", property.color, - oldValue + oldValue, ); listener.calls.reset(); @@ -99,7 +99,7 @@ describe("DataSources/PolylineArrowMaterialProperty", function () { property, "color", property.color, - property.color + property.color, ); listener.calls.reset(); diff --git a/packages/engine/Specs/DataSources/PolylineDashMaterialPropertySpec.js b/packages/engine/Specs/DataSources/PolylineDashMaterialPropertySpec.js index 1e0b938b4d07..4293d01aea33 100644 --- a/packages/engine/Specs/DataSources/PolylineDashMaterialPropertySpec.js +++ b/packages/engine/Specs/DataSources/PolylineDashMaterialPropertySpec.js @@ -67,28 +67,28 @@ describe("DataSources/PolylineDashMaterialProperty", function () { start: start, stop: stop, data: Color.BLUE, - }) + }), ); property.gapColor.intervals.addInterval( new TimeInterval({ start: start, stop: stop, data: Color.YELLOW, - }) + }), ); property.dashLength.intervals.addInterval( new TimeInterval({ start: start, stop: stop, data: 10.0, - }) + }), ); property.dashPattern.intervals.addInterval( new TimeInterval({ start: start, stop: stop, data: 11.0, - }) + }), ); const result = property.getValue(start); @@ -152,7 +152,7 @@ describe("DataSources/PolylineDashMaterialProperty", function () { property, "color", property.color, - oldValue + oldValue, ); listener.calls.reset(); @@ -161,7 +161,7 @@ describe("DataSources/PolylineDashMaterialProperty", function () { property, "color", property.color, - property.color + property.color, ); listener.calls.reset(); @@ -175,7 +175,7 @@ describe("DataSources/PolylineDashMaterialProperty", function () { property, "gapColor", property.gapColor, - oldValue + oldValue, ); listener.calls.reset(); @@ -184,7 +184,7 @@ describe("DataSources/PolylineDashMaterialProperty", function () { property, "gapColor", property.gapColor, - property.gapColor + property.gapColor, ); listener.calls.reset(); @@ -198,7 +198,7 @@ describe("DataSources/PolylineDashMaterialProperty", function () { property, "dashLength", property.dashLength, - oldValue + oldValue, ); listener.calls.reset(); @@ -207,7 +207,7 @@ describe("DataSources/PolylineDashMaterialProperty", function () { property, "dashLength", property.dashLength, - property.dashLength + property.dashLength, ); listener.calls.reset(); @@ -220,7 +220,7 @@ describe("DataSources/PolylineDashMaterialProperty", function () { property, "dashPattern", property.dashPattern, - oldValue + oldValue, ); listener.calls.reset(); @@ -229,7 +229,7 @@ describe("DataSources/PolylineDashMaterialProperty", function () { property, "dashPattern", property.dashPattern, - property.dashPattern + property.dashPattern, ); listener.calls.reset(); @@ -255,7 +255,7 @@ describe("DataSources/PolylineDashMaterialProperty", function () { start: start, stop: stop, data: Color.RED, - }) + }), ); expect(property.isConstant).toBe(false); @@ -268,7 +268,7 @@ describe("DataSources/PolylineDashMaterialProperty", function () { start: start, stop: stop, data: Color.RED, - }) + }), ); expect(property.isConstant).toBe(false); property.gapColor = undefined; @@ -280,7 +280,7 @@ describe("DataSources/PolylineDashMaterialProperty", function () { start: start, stop: stop, data: 3.0, - }) + }), ); expect(property.isConstant).toBe(false); @@ -292,7 +292,7 @@ describe("DataSources/PolylineDashMaterialProperty", function () { start: start, stop: stop, data: 3.0, - }) + }), ); expect(property.isConstant).toBe(false); }); diff --git a/packages/engine/Specs/DataSources/PolylineGeometryUpdaterSpec.js b/packages/engine/Specs/DataSources/PolylineGeometryUpdaterSpec.js index cfcbba4cdccc..285941f48ea0 100644 --- a/packages/engine/Specs/DataSources/PolylineGeometryUpdaterSpec.js +++ b/packages/engine/Specs/DataSources/PolylineGeometryUpdaterSpec.js @@ -58,14 +58,7 @@ describe( const time = JulianDate.now(); const basicPositions = Cartesian3.fromRadiansArray([ - 0, - 0, - 1, - 0, - 1, - 1, - 0, - 1, + 0, 0, 1, 0, 1, 1, 0, 1, ]); function createBasicPolyline() { const polyline = new PolylineGraphics(); @@ -129,7 +122,7 @@ describe( expect(updater.isClosed).toBe(false); expect(updater.fillEnabled).toBe(true); expect(updater.fillMaterialProperty).toEqual( - new ColorMaterialProperty(Color.WHITE) + new ColorMaterialProperty(Color.WHITE), ); expect(updater.depthFailMaterialProperty).toBe(undefined); expect(updater.outlineEnabled).toBe(false); @@ -137,10 +130,10 @@ describe( expect(updater.hasConstantOutline).toBe(true); expect(updater.outlineColorProperty).toBe(undefined); expect(updater.shadowsProperty).toEqual( - new ConstantProperty(ShadowMode.DISABLED) + new ConstantProperty(ShadowMode.DISABLED), ); expect(updater.distanceDisplayConditionProperty).toEqual( - new ConstantProperty(new DistanceDisplayCondition()) + new ConstantProperty(new DistanceDisplayCondition()), ); expect(updater.isDynamic).toBe(false); expect(updater.clampToGround).toBe(false); @@ -160,7 +153,7 @@ describe( const updater = new PolylineGeometryUpdater(entity, scene); entity.polyline.depthFailMaterial = new ColorMaterialProperty(); expect(updater.depthFailMaterialProperty).toBe( - entity.polyline.depthFailMaterial + entity.polyline.depthFailMaterial, ); }); @@ -194,7 +187,7 @@ describe( start: new JulianDate(0, 0), stop: new JulianDate(10, 0), data: ArcType.NONE, - }) + }), ); const entity = createBasicPolyline(); @@ -271,8 +264,8 @@ describe( ) { expect(attributes.depthFailColor.value).toEqual( ColorGeometryInstanceAttribute.toValue( - options.depthFailMaterial.color.getValue(time) - ) + options.depthFailMaterial.color.getValue(time), + ), ); } else { expect(attributes.depthFailColor).toBeUndefined(); @@ -282,20 +275,20 @@ describe( if (options.material instanceof ColorMaterialProperty) { expect(attributes.color.value).toEqual( ColorGeometryInstanceAttribute.toValue( - options.material.color.getValue(time) - ) + options.material.color.getValue(time), + ), ); } else { expect(attributes.color).toBeUndefined(); } expect(attributes.show.value).toEqual( - ShowGeometryInstanceAttribute.toValue(options.show) + ShowGeometryInstanceAttribute.toValue(options.show), ); if (options.distanceDisplayCondition) { expect(attributes.distanceDisplayCondition.value).toEqual( DistanceDisplayConditionGeometryInstanceAttribute.toValue( - options.distanceDisplayCondition - ) + options.distanceDisplayCondition, + ), ); } } @@ -432,7 +425,7 @@ describe( start: time1, stop: time2, data: false, - }) + }), ); show.intervals.addInterval( new TimeInterval({ @@ -440,7 +433,7 @@ describe( stop: time3, isStartIncluded: false, data: true, - }) + }), ); const colorMaterial = new ColorMaterialProperty(); @@ -459,11 +452,11 @@ describe( const attributes = instance.attributes; expect(attributes.color.value).toEqual( ColorGeometryInstanceAttribute.toValue( - colorMaterial.color.getValue(time2) - ) + colorMaterial.color.getValue(time2), + ), ); expect(attributes.show.value).toEqual( - ShowGeometryInstanceAttribute.toValue(show.getValue(time2)) + ShowGeometryInstanceAttribute.toValue(show.getValue(time2)), ); }); @@ -475,7 +468,7 @@ describe( const instance = updater.createFillGeometryInstance(new JulianDate()); const attributes = instance.attributes; expect(attributes.show.value).toEqual( - ShowGeometryInstanceAttribute.toValue(false) + ShowGeometryInstanceAttribute.toValue(false), ); }); @@ -510,7 +503,7 @@ describe( const dynamicUpdater = updater.createDynamicUpdater( primitives, - scene.groundPrimitives + scene.groundPrimitives, ); expect(dynamicUpdater.isDestroyed()).toBe(false); @@ -571,7 +564,7 @@ describe( const dynamicUpdater = updater.createDynamicUpdater( scene.primitives, - groundPrimitives + groundPrimitives, ); expect(dynamicUpdater.isDestroyed()).toBe(false); expect(groundPrimitives.length).toBe(0); @@ -624,7 +617,7 @@ describe( const dynamicUpdater = updater.createDynamicUpdater( primitives, - scene.groundPrimitives + scene.groundPrimitives, ); expect(dynamicUpdater.isDestroyed()).toBe(false); expect(primitives.length).toBe(0); @@ -643,7 +636,7 @@ describe( dynamicUpdater.update(time); expect(polylineObject.positions.length).not.toEqual( - geodesicPolylinePositionsLength + geodesicPolylinePositionsLength, ); dynamicUpdater.destroy(); @@ -661,7 +654,7 @@ describe( updater.geometryChanged.addEventListener(listener); entity.polyline.positions = new ConstantProperty( - Cartesian3.fromRadiansArray([0, 0, 1, 0]) + Cartesian3.fromRadiansArray([0, 0, 1, 0]), ); expect(listener.calls.count()).toEqual(1); @@ -712,7 +705,7 @@ describe( expect(function () { return updater.createDynamicUpdater( scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); }).toThrowDeveloperError(); updater.destroy(); @@ -749,7 +742,7 @@ describe( const updater = new PolylineGeometryUpdater(entity, scene); const dynamicUpdater = updater.createDynamicUpdater( scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); expect(function () { dynamicUpdater.update(undefined); @@ -778,7 +771,7 @@ describe( const updater = new PolylineGeometryUpdater(entity, scene); const dynamicUpdater = updater.createDynamicUpdater( scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); dynamicUpdater.update(time); @@ -809,7 +802,7 @@ describe( const updater = new PolylineGeometryUpdater(entity, scene); const dynamicUpdater = updater.createDynamicUpdater( scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); dynamicUpdater.update(time); @@ -842,7 +835,7 @@ describe( const updater = new PolylineGeometryUpdater(entity, scene); const dynamicUpdater = updater.createDynamicUpdater( scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); const result = new BoundingSphere(); @@ -862,7 +855,7 @@ describe( const updater = new PolylineGeometryUpdater(entity, scene); const dynamicUpdater = updater.createDynamicUpdater( scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); expect(function () { @@ -884,7 +877,7 @@ describe( const updater = new PolylineGeometryUpdater(entity, scene); const dynamicUpdater = updater.createDynamicUpdater( scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); spyOn(PolylinePipeline, "generateCartesianRhumbArc").and.callThrough(); dynamicUpdater.update(time); @@ -907,7 +900,7 @@ describe( const updater = new PolylineGeometryUpdater(entity, scene); const dynamicUpdater = updater.createDynamicUpdater( scene.primitives, - scene.groundPrimitives + scene.groundPrimitives, ); spyOn(PolylinePipeline, "generateCartesianArc").and.callThrough(); dynamicUpdater.update(time); @@ -941,5 +934,5 @@ describe( updater.destroy(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/DataSources/PolylineGlowMaterialPropertySpec.js b/packages/engine/Specs/DataSources/PolylineGlowMaterialPropertySpec.js index cbf767d89e45..a881490466bc 100644 --- a/packages/engine/Specs/DataSources/PolylineGlowMaterialPropertySpec.js +++ b/packages/engine/Specs/DataSources/PolylineGlowMaterialPropertySpec.js @@ -66,21 +66,21 @@ describe("DataSources/PolylineGlowMaterialProperty", function () { start: start, stop: stop, data: Color.BLUE, - }) + }), ); property.glowPower.intervals.addInterval( new TimeInterval({ start: start, stop: stop, data: 0.65, - }) + }), ); property.taperPower.intervals.addInterval( new TimeInterval({ start: start, stop: stop, data: 0.55, - }) + }), ); expect(property.isConstant).toBe(false); @@ -145,7 +145,7 @@ describe("DataSources/PolylineGlowMaterialProperty", function () { property, "color", property.color, - oldValue + oldValue, ); listener.calls.reset(); @@ -154,7 +154,7 @@ describe("DataSources/PolylineGlowMaterialProperty", function () { property, "color", property.color, - property.color + property.color, ); listener.calls.reset(); diff --git a/packages/engine/Specs/DataSources/PolylineGraphicsSpec.js b/packages/engine/Specs/DataSources/PolylineGraphicsSpec.js index e40fb5da4101..f4db09ea9e8d 100644 --- a/packages/engine/Specs/DataSources/PolylineGraphicsSpec.js +++ b/packages/engine/Specs/DataSources/PolylineGraphicsSpec.js @@ -45,7 +45,7 @@ describe("DataSources/PolylineGraphics", function () { expect(polyline.material.color.getValue()).toEqual(options.material); expect(polyline.depthFailMaterial.color.getValue()).toEqual( - options.depthFailMaterial + options.depthFailMaterial, ); expect(polyline.positions.getValue()).toEqual(options.positions); expect(polyline.show.getValue()).toEqual(options.show); @@ -54,10 +54,10 @@ describe("DataSources/PolylineGraphics", function () { expect(polyline.granularity.getValue()).toEqual(options.granularity); expect(polyline.shadows.getValue()).toEqual(options.shadows); expect(polyline.distanceDisplayCondition.getValue()).toEqual( - options.distanceDisplayCondition + options.distanceDisplayCondition, ); expect(polyline.classificationType.getValue()).toEqual( - options.classificationType + options.classificationType, ); expect(polyline.arcType.getValue()).toEqual(options.arcType); expect(polyline.zIndex.getValue()).toEqual(options.zIndex); @@ -74,10 +74,10 @@ describe("DataSources/PolylineGraphics", function () { source.granularity = new ConstantProperty(); source.shadows = new ConstantProperty(ShadowMode.ENABLED); source.distanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition() + new DistanceDisplayCondition(), ); source.classificationType = new ConstantProperty( - ClassificationType.TERRAIN + ClassificationType.TERRAIN, ); source.arcType = new ConstantProperty(ArcType.GEODESIC); source.zIndex = new ConstantProperty(); @@ -93,7 +93,7 @@ describe("DataSources/PolylineGraphics", function () { expect(target.granularity).toBe(source.granularity); expect(target.shadows).toBe(source.shadows); expect(target.distanceDisplayCondition).toBe( - source.distanceDisplayCondition + source.distanceDisplayCondition, ); expect(target.classificationType).toBe(source.classificationType); expect(target.arcType).toBe(source.arcType); @@ -182,7 +182,7 @@ describe("DataSources/PolylineGraphics", function () { expect(result.granularity).toBe(source.granularity); expect(result.shadows).toBe(source.shadows); expect(result.distanceDisplayCondition).toBe( - source.distanceDisplayCondition + source.distanceDisplayCondition, ); expect(result.classificationType).toBe(source.classificationType); expect(result.arcType).toBe(source.arcType); @@ -203,7 +203,7 @@ describe("DataSources/PolylineGraphics", function () { property, "depthFailMaterial", Color.RED, - Color.BLUE + Color.BLUE, ); testDefinitionChanged(property, "show", true, false); testDefinitionChanged(property, "positions", [], []); @@ -214,18 +214,18 @@ describe("DataSources/PolylineGraphics", function () { property, "shadows", ShadowMode.ENABLED, - ShadowMode.DISABLED + ShadowMode.DISABLED, ); testDefinitionChanged( property, "distanceDisplayCondition", new DistanceDisplayCondition(), - new DistanceDisplayCondition(10.0, 20.0) + new DistanceDisplayCondition(10.0, 20.0), ); testDefinitionChanged( property, "classificationType", - ClassificationType.TERRAIN + ClassificationType.TERRAIN, ); testDefinitionChanged(property, "arcType", ArcType.GEODESIC, ArcType.RHUMB); testDefinitionChanged(property, "zIndex", 20, 5); diff --git a/packages/engine/Specs/DataSources/PolylineOutlineMaterialPropertySpec.js b/packages/engine/Specs/DataSources/PolylineOutlineMaterialPropertySpec.js index 10448d3890c7..0094b3fba685 100644 --- a/packages/engine/Specs/DataSources/PolylineOutlineMaterialPropertySpec.js +++ b/packages/engine/Specs/DataSources/PolylineOutlineMaterialPropertySpec.js @@ -57,14 +57,14 @@ describe("DataSources/PolylineOutlineMaterialProperty", function () { start: start, stop: stop, data: Color.BLUE, - }) + }), ); property.outlineColor.intervals.addInterval( new TimeInterval({ start: start, stop: stop, data: Color.RED, - }) + }), ); const result = property.getValue(start); @@ -122,7 +122,7 @@ describe("DataSources/PolylineOutlineMaterialProperty", function () { property, "color", property.color, - oldValue + oldValue, ); listener.calls.reset(); @@ -131,7 +131,7 @@ describe("DataSources/PolylineOutlineMaterialProperty", function () { property, "color", property.color, - property.color + property.color, ); listener.calls.reset(); @@ -145,7 +145,7 @@ describe("DataSources/PolylineOutlineMaterialProperty", function () { property, "outlineColor", property.outlineColor, - oldValue + oldValue, ); listener.calls.reset(); @@ -154,7 +154,7 @@ describe("DataSources/PolylineOutlineMaterialProperty", function () { property, "outlineColor", property.outlineColor, - property.outlineColor + property.outlineColor, ); listener.calls.reset(); @@ -167,7 +167,7 @@ describe("DataSources/PolylineOutlineMaterialProperty", function () { property, "outlineWidth", property.outlineWidth, - oldValue + oldValue, ); listener.calls.reset(); @@ -176,7 +176,7 @@ describe("DataSources/PolylineOutlineMaterialProperty", function () { property, "outlineWidth", property.outlineWidth, - property.outlineWidth + property.outlineWidth, ); listener.calls.reset(); @@ -201,7 +201,7 @@ describe("DataSources/PolylineOutlineMaterialProperty", function () { start: start, stop: stop, data: Color.RED, - }) + }), ); expect(property.isConstant).toBe(false); @@ -213,7 +213,7 @@ describe("DataSources/PolylineOutlineMaterialProperty", function () { start: start, stop: stop, data: Color.BLUE, - }) + }), ); expect(property.isConstant).toBe(false); @@ -225,7 +225,7 @@ describe("DataSources/PolylineOutlineMaterialProperty", function () { start: start, stop: stop, data: 2.0, - }) + }), ); expect(property.isConstant).toBe(false); }); diff --git a/packages/engine/Specs/DataSources/PolylineVisualizerSpec.js b/packages/engine/Specs/DataSources/PolylineVisualizerSpec.js index d2453021e059..5f4ba7b32b53 100644 --- a/packages/engine/Specs/DataSources/PolylineVisualizerSpec.js +++ b/packages/engine/Specs/DataSources/PolylineVisualizerSpec.js @@ -95,10 +95,10 @@ describe( const attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes).toBeDefined(); expect(attributes.show).toEqual( - ShowGeometryInstanceAttribute.toValue(true) + ShowGeometryInstanceAttribute.toValue(true), ); expect(attributes.color).toEqual( - ColorGeometryInstanceAttribute.toValue(Color.WHITE) + ColorGeometryInstanceAttribute.toValue(Color.WHITE), ); expect(primitive.appearance).toBeInstanceOf(PolylineColorAppearance); expect(primitive.appearance.closed).toBe(false); @@ -131,7 +131,7 @@ describe( const attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes).toBeDefined(); expect(attributes.show).toEqual( - ShowGeometryInstanceAttribute.toValue(true) + ShowGeometryInstanceAttribute.toValue(true), ); expect(attributes.color).toBeUndefined(); expect(primitive.appearance).toBeInstanceOf(PolylineMaterialAppearance); @@ -170,10 +170,10 @@ describe( const attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes).toBeDefined(); expect(attributes.show).toEqual( - ShowGeometryInstanceAttribute.toValue(true) + ShowGeometryInstanceAttribute.toValue(true), ); expect(attributes.color).toEqual( - ColorGeometryInstanceAttribute.toValue(Color.WHITE) + ColorGeometryInstanceAttribute.toValue(Color.WHITE), ); expect(primitive.appearance).toBeInstanceOf(PolylineColorAppearance); expect(primitive.appearance.closed).toBe(false); @@ -244,7 +244,7 @@ describe( const entity = new Entity(); entity.position = new ConstantPositionProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); entity.polyline = polyline; objects.add(entity); @@ -254,17 +254,17 @@ describe( const attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes).toBeDefined(); expect(attributes.show).toEqual( - ShowGeometryInstanceAttribute.toValue(true) + ShowGeometryInstanceAttribute.toValue(true), ); expect(attributes.color).toEqual( - ColorGeometryInstanceAttribute.toValue(Color.WHITE) + ColorGeometryInstanceAttribute.toValue(Color.WHITE), ); expect(attributes.depthFailColor).toEqual( - ColorGeometryInstanceAttribute.toValue(Color.WHITE) + ColorGeometryInstanceAttribute.toValue(Color.WHITE), ); expect(primitive.appearance).toBeInstanceOf(PolylineColorAppearance); expect(primitive.depthFailAppearance).toBeInstanceOf( - PolylineColorAppearance + PolylineColorAppearance, ); objects.remove(entity); @@ -289,7 +289,7 @@ describe( const entity = new Entity(); entity.position = new ConstantPositionProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); entity.polyline = polyline; objects.add(entity); @@ -299,15 +299,15 @@ describe( const attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes).toBeDefined(); expect(attributes.show).toEqual( - ShowGeometryInstanceAttribute.toValue(true) + ShowGeometryInstanceAttribute.toValue(true), ); expect(attributes.color).toEqual( - ColorGeometryInstanceAttribute.toValue(Color.WHITE) + ColorGeometryInstanceAttribute.toValue(Color.WHITE), ); expect(attributes.depthFailColor).toBeUndefined(); expect(primitive.appearance).toBeInstanceOf(PolylineColorAppearance); expect(primitive.depthFailAppearance).toBeInstanceOf( - PolylineMaterialAppearance + PolylineMaterialAppearance, ); objects.remove(entity); @@ -332,7 +332,7 @@ describe( const entity = new Entity(); entity.position = new ConstantPositionProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); entity.polyline = polyline; objects.add(entity); @@ -342,13 +342,13 @@ describe( const attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes).toBeDefined(); expect(attributes.show).toEqual( - ShowGeometryInstanceAttribute.toValue(true) + ShowGeometryInstanceAttribute.toValue(true), ); expect(attributes.color).toBeUndefined(); expect(attributes.depthFailColor).toBeUndefined(); expect(primitive.appearance).toBeInstanceOf(PolylineMaterialAppearance); expect(primitive.depthFailAppearance).toBeInstanceOf( - PolylineMaterialAppearance + PolylineMaterialAppearance, ); objects.remove(entity); @@ -373,7 +373,7 @@ describe( const entity = new Entity(); entity.position = new ConstantPositionProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); entity.polyline = polyline; objects.add(entity); @@ -383,15 +383,15 @@ describe( const attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes).toBeDefined(); expect(attributes.show).toEqual( - ShowGeometryInstanceAttribute.toValue(true) + ShowGeometryInstanceAttribute.toValue(true), ); expect(attributes.color).toBeUndefined(); expect(attributes.depthFailColor).toEqual( - ColorGeometryInstanceAttribute.toValue(Color.WHITE) + ColorGeometryInstanceAttribute.toValue(Color.WHITE), ); expect(primitive.appearance).toBeInstanceOf(PolylineMaterialAppearance); expect(primitive.depthFailAppearance).toBeInstanceOf( - PolylineColorAppearance + PolylineColorAppearance, ); objects.remove(entity); @@ -437,19 +437,19 @@ describe( it("Creates and removes geometry classifying terrain", function () { return createAndRemoveGeometryWithClassificationType( - ClassificationType.TERRAIN + ClassificationType.TERRAIN, ); }); it("Creates and removes geometry classifying 3D Tiles", function () { return createAndRemoveGeometryWithClassificationType( - ClassificationType.CESIUM_3D_TILE + ClassificationType.CESIUM_3D_TILE, ); }); it("Creates and removes geometry classifying both terrain and 3D Tiles", function () { return createAndRemoveGeometryWithClassificationType( - ClassificationType.BOTH + ClassificationType.BOTH, ); }); @@ -473,10 +473,10 @@ describe( let attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes).toBeDefined(); expect(attributes.show).toEqual( - ShowGeometryInstanceAttribute.toValue(true) + ShowGeometryInstanceAttribute.toValue(true), ); expect(attributes.color).toEqual( - ColorGeometryInstanceAttribute.toValue(Color.WHITE) + ColorGeometryInstanceAttribute.toValue(Color.WHITE), ); expect(primitive.appearance).toBeInstanceOf(PolylineColorAppearance); @@ -487,11 +487,11 @@ describe( attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes).toBeDefined(); expect(attributes.show).toEqual( - ShowGeometryInstanceAttribute.toValue(true) + ShowGeometryInstanceAttribute.toValue(true), ); expect(attributes.color).toBeUndefined(); expect(primitive.appearance).toBeInstanceOf( - PolylineMaterialAppearance + PolylineMaterialAppearance, ); objects.remove(entity); @@ -589,8 +589,8 @@ describe( BoundingSphere.transform( attributes.boundingSphere, primitive.modelMatrix, - new BoundingSphere() - ) + new BoundingSphere(), + ), ); visualizer.destroy(); @@ -659,10 +659,10 @@ describe( const attributes = primitive.getGeometryInstanceAttributes(entity2); expect(attributes).toBeDefined(); expect(attributes.show).toEqual( - ShowGeometryInstanceAttribute.toValue(true) + ShowGeometryInstanceAttribute.toValue(true), ); expect(attributes.color).toEqual( - ColorGeometryInstanceAttribute.toValue(Color.BLUE) + ColorGeometryInstanceAttribute.toValue(Color.BLUE), ); expect(primitive.appearance).toBeInstanceOf(PolylineColorAppearance); @@ -686,7 +686,7 @@ describe( Cartesian3.fromDegrees(0.0, 0.000001), ], material: new ColorMaterialProperty( - createDynamicProperty(Color.BLUE) + createDynamicProperty(Color.BLUE), ), }, }); @@ -697,7 +697,7 @@ describe( const attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes).toBeDefined(); expect(attributes.show).toEqual( - ShowGeometryInstanceAttribute.toValue(true) + ShowGeometryInstanceAttribute.toValue(true), ); entity.show = false; @@ -709,7 +709,7 @@ describe( const attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes).toBeDefined(); expect(attributes.show).toEqual( - ShowGeometryInstanceAttribute.toValue(false) + ShowGeometryInstanceAttribute.toValue(false), ); entities.remove(entity); @@ -729,7 +729,7 @@ describe( Cartesian3.fromDegrees(0.0, 0.000001), ], material: new PolylineArrowMaterialProperty( - createDynamicProperty(Color.BLUE) + createDynamicProperty(Color.BLUE), ), }, }); @@ -740,7 +740,7 @@ describe( const attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes).toBeDefined(); expect(attributes.show).toEqual( - ShowGeometryInstanceAttribute.toValue(true) + ShowGeometryInstanceAttribute.toValue(true), ); entity.show = false; @@ -752,7 +752,7 @@ describe( const attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes).toBeDefined(); expect(attributes.show).toEqual( - ShowGeometryInstanceAttribute.toValue(false) + ShowGeometryInstanceAttribute.toValue(false), ); entities.remove(entity); @@ -786,10 +786,10 @@ describe( const attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes).toBeDefined(); expect(attributes.show).toEqual( - ShowGeometryInstanceAttribute.toValue(true) + ShowGeometryInstanceAttribute.toValue(true), ); expect(attributes.color).toEqual( - ColorGeometryInstanceAttribute.toValue(Color.WHITE) + ColorGeometryInstanceAttribute.toValue(Color.WHITE), ); expect(primitive.appearance).toBeInstanceOf(PolylineColorAppearance); expect(primitive.appearance.closed).toBe(false); @@ -894,5 +894,5 @@ describe( }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/DataSources/PolylineVolumeGeometryUpdaterSpec.js b/packages/engine/Specs/DataSources/PolylineVolumeGeometryUpdaterSpec.js index e2a8541580fd..5fc0211935d1 100644 --- a/packages/engine/Specs/DataSources/PolylineVolumeGeometryUpdaterSpec.js +++ b/packages/engine/Specs/DataSources/PolylineVolumeGeometryUpdaterSpec.js @@ -43,7 +43,7 @@ describe( function createBasicPolylineVolume() { const polylineVolume = new PolylineVolumeGraphics(); polylineVolume.positions = new ConstantProperty( - Cartesian3.fromDegreesArray([0, 0, 1, 0, 1, 1, 0, 1]) + Cartesian3.fromDegreesArray([0, 0, 1, 0, 1, 1, 0, 1]), ); polylineVolume.shape = new ConstantProperty(shape); const entity = new Entity(); @@ -61,7 +61,7 @@ describe( const entity = createBasicPolylineVolume(); const updater = new PolylineVolumeGeometryUpdater(entity, scene); entity.polylineVolume.positions = createDynamicProperty( - Cartesian3.fromRadiansArray([0, 0, 1, 0, 1, 1, 0, 1]) + Cartesian3.fromRadiansArray([0, 0, 1, 0, 1, 1, 0, 1]), ); updater._onEntityPropertyChanged(entity, "polylineVolume"); @@ -95,7 +95,7 @@ describe( start: JulianDate.now(), stop: JulianDate.now(), data: CornerType.ROUNDED, - }) + }), ); updater._onEntityPropertyChanged(entity, "polylineVolume"); @@ -136,7 +136,7 @@ describe( it("dynamic updater sets properties", function () { const polylineVolume = new PolylineVolumeGraphics(); polylineVolume.positions = createDynamicProperty( - Cartesian3.fromRadiansArray([0, 0, 1, 0, 1, 1, 0, 1]) + Cartesian3.fromRadiansArray([0, 0, 1, 0, 1, 1, 0, 1]), ); polylineVolume.show = createDynamicProperty(true); polylineVolume.shape = createDynamicProperty(shape); @@ -151,18 +151,18 @@ describe( const updater = new PolylineVolumeGeometryUpdater(entity, scene); const dynamicUpdater = updater.createDynamicUpdater( new PrimitiveCollection(), - new PrimitiveCollection() + new PrimitiveCollection(), ); dynamicUpdater.update(time); const options = dynamicUpdater._options; expect(options.id).toEqual(entity); expect(options.polylinePositions).toEqual( - polylineVolume.positions.getValue() + polylineVolume.positions.getValue(), ); expect(options.shapePositions).toEqual(polylineVolume.shape.getValue()); expect(options.granularity).toEqual( - polylineVolume.granularity.getValue() + polylineVolume.granularity.getValue(), ); expect(options.cornerType).toEqual(polylineVolume.cornerType.getValue()); }); @@ -207,15 +207,15 @@ describe( PolylineVolumeGeometryUpdater, "polylineVolume", createBasicPolylineVolume, - getScene + getScene, ); createDynamicGeometryUpdaterSpecs( PolylineVolumeGeometryUpdater, "polylineVolume", createDynamicPolylineVolume, - getScene + getScene, ); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/DataSources/PolylineVolumeGraphicsSpec.js b/packages/engine/Specs/DataSources/PolylineVolumeGraphicsSpec.js index 103036b04d6e..89be9150a5f9 100644 --- a/packages/engine/Specs/DataSources/PolylineVolumeGraphicsSpec.js +++ b/packages/engine/Specs/DataSources/PolylineVolumeGraphicsSpec.js @@ -41,7 +41,7 @@ describe("DataSources/PolylineVolumeGraphics", function () { expect(polylineVolume.cornerType).toBeInstanceOf(ConstantProperty); expect(polylineVolume.shadows).toBeInstanceOf(ConstantProperty); expect(polylineVolume.distanceDisplayCondition).toBeInstanceOf( - ConstantProperty + ConstantProperty, ); expect(polylineVolume.material.color.getValue()).toEqual(options.material); @@ -52,15 +52,15 @@ describe("DataSources/PolylineVolumeGraphics", function () { expect(polylineVolume.fill.getValue()).toEqual(options.fill); expect(polylineVolume.outline.getValue()).toEqual(options.outline); expect(polylineVolume.outlineColor.getValue()).toEqual( - options.outlineColor + options.outlineColor, ); expect(polylineVolume.outlineWidth.getValue()).toEqual( - options.outlineWidth + options.outlineWidth, ); expect(polylineVolume.cornerType.getValue()).toEqual(options.cornerType); expect(polylineVolume.shadows.getValue()).toEqual(options.shadows); expect(polylineVolume.distanceDisplayCondition.getValue()).toEqual( - options.distanceDisplayCondition + options.distanceDisplayCondition, ); }); @@ -78,7 +78,7 @@ describe("DataSources/PolylineVolumeGraphics", function () { source.cornerType = new ConstantProperty(); source.shadows = new ConstantProperty(ShadowMode.ENABLED); source.distanceDisplayCondition = new ConstantProperty( - new DistanceDisplayCondition() + new DistanceDisplayCondition(), ); const target = new PolylineVolumeGraphics(); @@ -96,7 +96,7 @@ describe("DataSources/PolylineVolumeGraphics", function () { expect(target.cornerType).toBe(source.cornerType); expect(target.shadows).toBe(source.shadows); expect(target.distanceDisplayCondition).toBe( - source.distanceDisplayCondition + source.distanceDisplayCondition, ); }); @@ -174,7 +174,7 @@ describe("DataSources/PolylineVolumeGraphics", function () { expect(result.cornerType).toBe(source.cornerType); expect(result.shadows).toBe(source.shadows); expect(result.distanceDisplayCondition).toBe( - source.distanceDisplayCondition + source.distanceDisplayCondition, ); }); @@ -200,19 +200,19 @@ describe("DataSources/PolylineVolumeGraphics", function () { property, "cornerType", CornerType.BEVELED, - CornerType.MITERED + CornerType.MITERED, ); testDefinitionChanged( property, "shadows", ShadowMode.ENABLED, - ShadowMode.DISABLED + ShadowMode.DISABLED, ); testDefinitionChanged( property, "distanceDisplayCondition", new DistanceDisplayCondition(), - new DistanceDisplayCondition(10.0, 100.0) + new DistanceDisplayCondition(10.0, 100.0), ); }); }); diff --git a/packages/engine/Specs/DataSources/PositionPropertyArraySpec.js b/packages/engine/Specs/DataSources/PositionPropertyArraySpec.js index da0af5d54ce3..c90dff6d796a 100644 --- a/packages/engine/Specs/DataSources/PositionPropertyArraySpec.js +++ b/packages/engine/Specs/DataSources/PositionPropertyArraySpec.js @@ -69,7 +69,7 @@ describe("DataSources/PositionPropertyArray", function () { const property = new PositionPropertyArray(value); const result = property.getValueInReferenceFrame( time, - ReferenceFrame.INERTIAL + ReferenceFrame.INERTIAL, ); expect(result).toEqual(expected); }); diff --git a/packages/engine/Specs/DataSources/PropertyBagSpec.js b/packages/engine/Specs/DataSources/PropertyBagSpec.js index 8d5eb332d612..8a3da3e739ab 100644 --- a/packages/engine/Specs/DataSources/PropertyBagSpec.js +++ b/packages/engine/Specs/DataSources/PropertyBagSpec.js @@ -103,7 +103,7 @@ describe("DataSources/PropertyBag", function () { a: 1, b: 2, }, - createFakeProperty + createFakeProperty, ); expect(property.propertyNames).toContain("a"); diff --git a/packages/engine/Specs/DataSources/RectangleGeometryUpdaterSpec.js b/packages/engine/Specs/DataSources/RectangleGeometryUpdaterSpec.js index 551b4e52397c..88f94a0d9fb2 100644 --- a/packages/engine/Specs/DataSources/RectangleGeometryUpdaterSpec.js +++ b/packages/engine/Specs/DataSources/RectangleGeometryUpdaterSpec.js @@ -44,7 +44,7 @@ describe( const entity = new Entity(); entity.rectangle = rectangle; entity.rectangle.coordinates = new ConstantProperty( - new Rectangle(-1, -1, 1, 1) + new Rectangle(-1, -1, 1, 1), ); entity.rectangle.height = new ConstantProperty(0); return entity; @@ -61,7 +61,7 @@ describe( const entity = new Entity(); entity.rectangle = rectangle; entity.rectangle.coordinates = new ConstantProperty( - new Rectangle(0, 0, 1, 1) + new Rectangle(0, 0, 1, 1), ); return entity; } @@ -187,7 +187,7 @@ describe( const updater = new RectangleGeometryUpdater(entity, scene); const dynamicUpdater = updater.createDynamicUpdater( new PrimitiveCollection(), - new PrimitiveCollection() + new PrimitiveCollection(), ); dynamicUpdater.update(time); @@ -196,7 +196,7 @@ describe( expect(options.rectangle).toEqual(rectangle.coordinates.getValue()); expect(options.height).toEqual(rectangle.height.getValue()); expect(options.extrudedHeight).toEqual( - rectangle.extrudedHeight.getValue() + rectangle.extrudedHeight.getValue(), ); expect(options.granularity).toEqual(rectangle.granularity.getValue()); expect(options.stRotation).toEqual(rectangle.stRotation.getValue()); @@ -237,7 +237,7 @@ describe( expect(updater._computeCenter(time)).toEqualEpsilon( Cartesian3.fromDegrees(0.0, 0.0), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -248,14 +248,14 @@ describe( RectangleGeometryUpdater, "rectangle", createBasicRectangle, - getScene + getScene, ); createDynamicGeometryUpdaterSpecs( RectangleGeometryUpdater, "rectangle", createDynamicRectangle, - getScene + getScene, ); createGeometryUpdaterGroundGeometrySpecs( @@ -263,8 +263,8 @@ describe( "rectangle", createBasicRectangleWithoutHeight, createDynamicRectangleWithoutHeight, - getScene + getScene, ); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/DataSources/RectangleGraphicsSpec.js b/packages/engine/Specs/DataSources/RectangleGraphicsSpec.js index bbfdfe34f0ef..75b1cce95003 100644 --- a/packages/engine/Specs/DataSources/RectangleGraphicsSpec.js +++ b/packages/engine/Specs/DataSources/RectangleGraphicsSpec.js @@ -65,10 +65,10 @@ describe("DataSources/RectangleGraphics", function () { expect(rectangle.outlineWidth.getValue()).toEqual(options.outlineWidth); expect(rectangle.shadows.getValue()).toEqual(options.shadows); expect(rectangle.distanceDisplayCondition.getValue()).toEqual( - options.distanceDisplayCondition + options.distanceDisplayCondition, ); expect(rectangle.classificationType.getValue()).toEqual( - options.classificationType + options.classificationType, ); expect(rectangle.zIndex.getValue()).toEqual(options.zIndex); }); @@ -109,7 +109,7 @@ describe("DataSources/RectangleGraphics", function () { expect(target.outlineWidth).toBe(source.outlineWidth); expect(target.shadows).toBe(source.shadows); expect(target.distanceDisplayCondition).toBe( - source.distanceDisplayCondition + source.distanceDisplayCondition, ); expect(target.classificationType).toBe(source.classificationType); expect(target.zIndex).toBe(source.zIndex); @@ -207,7 +207,7 @@ describe("DataSources/RectangleGraphics", function () { expect(result.outlineWidth).toBe(source.outlineWidth); expect(result.shadows).toBe(source.shadows); expect(result.distanceDisplayCondition).toBe( - source.distanceDisplayCondition + source.distanceDisplayCondition, ); expect(result.classificationType).toBe(source.classificationType); expect(result.zIndex).toBe(source.zIndex); @@ -228,7 +228,7 @@ describe("DataSources/RectangleGraphics", function () { property, "coordinates", new Rectangle(0, 0, 0.1, 0.1), - new Rectangle(0, 0, 1, 1) + new Rectangle(0, 0, 1, 1), ); testDefinitionChanged(property, "height", 2, 5); testDefinitionChanged(property, "extrudedHeight", 3, 4); @@ -243,19 +243,19 @@ describe("DataSources/RectangleGraphics", function () { property, "shadows", ShadowMode.ENABLED, - ShadowMode.DISABLED + ShadowMode.DISABLED, ); testDefinitionChanged( property, "distanceDisplayCondition", new DistanceDisplayCondition(), - new DistanceDisplayCondition(10.0, 100.0) + new DistanceDisplayCondition(10.0, 100.0), ); testDefinitionChanged( property, "classificationType", ClassificationType.TERRAIN, - ClassificationType.BOTH + ClassificationType.BOTH, ); testDefinitionChanged(property, "zIndex", 20, 5); }); diff --git a/packages/engine/Specs/DataSources/ReferencePropertySpec.js b/packages/engine/Specs/DataSources/ReferencePropertySpec.js index cc95f49eccea..3732e29998c8 100644 --- a/packages/engine/Specs/DataSources/ReferencePropertySpec.js +++ b/packages/engine/Specs/DataSources/ReferencePropertySpec.js @@ -33,7 +33,7 @@ describe("DataSources/ReferenceProperty", function () { const property = ReferenceProperty.fromString( collection, - "testId#foo.bar.baz" + "testId#foo.bar.baz", ); expect(property.targetCollection).toBe(collection); @@ -47,7 +47,7 @@ describe("DataSources/ReferenceProperty", function () { const propertyNames = ["propertyName", ".abc\\", "def"]; const property = ReferenceProperty.fromString( collection, - "\\#identif\\\\\\#ier\\.#propertyName.\\.abc\\\\.def" + "\\#identif\\\\\\#ier\\.#propertyName.\\.abc\\\\.def", ); expect(property.targetCollection).toBe(collection); @@ -68,7 +68,7 @@ describe("DataSources/ReferenceProperty", function () { // Basic property resolution const property = ReferenceProperty.fromString( collection, - "testId#billboard.scale" + "testId#billboard.scale", ); expect(property.referenceFrame).toBeUndefined(); expect(property.isConstant).toEqual(true); @@ -138,7 +138,7 @@ describe("DataSources/ReferenceProperty", function () { }); testObject.position = new ConstantPositionProperty( new Cartesian3(1, 2, 3), - ReferenceFrame.FIXED + ReferenceFrame.FIXED, ); const collection = new EntityCollection(); @@ -150,12 +150,12 @@ describe("DataSources/ReferenceProperty", function () { expect(property.referenceFrame).toEqual(ReferenceFrame.FIXED); expect(property.getValue(time)).toEqual(testObject.position.getValue(time)); expect( - property.getValueInReferenceFrame(time, ReferenceFrame.INERTIAL) + property.getValueInReferenceFrame(time, ReferenceFrame.INERTIAL), ).toEqual( testObject.position.getValueInReferenceFrame( time, - ReferenceFrame.INERTIAL - ) + ReferenceFrame.INERTIAL, + ), ); property = ReferenceProperty.fromString(collection, "nonExistent#position"); @@ -163,7 +163,7 @@ describe("DataSources/ReferenceProperty", function () { expect(property.referenceFrame).toBeUndefined(); expect(property.getValue(time)).toBeUndefined(); expect( - property.getValueInReferenceFrame(time, ReferenceFrame.INERTIAL) + property.getValueInReferenceFrame(time, ReferenceFrame.INERTIAL), ).toBeUndefined(); }); @@ -180,19 +180,19 @@ describe("DataSources/ReferenceProperty", function () { // Basic property resolution let property = ReferenceProperty.fromString( collection, - "testId#testMaterial" + "testId#testMaterial", ); expect(property.isConstant).toEqual(true); expect(property.getType(time)).toEqual( - testObject.testMaterial.getType(time) + testObject.testMaterial.getType(time), ); expect(property.getValue(time)).toEqual( - testObject.testMaterial.getValue(time) + testObject.testMaterial.getValue(time), ); property = ReferenceProperty.fromString( collection, - "nonExistent#testMaterial" + "nonExistent#testMaterial", ); expect(property.isConstant).toEqual(true); expect(property.referenceFrame).toBeUndefined(); @@ -205,25 +205,25 @@ describe("DataSources/ReferenceProperty", function () { const left = ReferenceProperty.fromString( entityCollection, - "objectId#foo.bar" + "objectId#foo.bar", ); let right = ReferenceProperty.fromString( entityCollection, - "objectId#foo.bar" + "objectId#foo.bar", ); expect(left.equals(right)).toEqual(true); // collection differs right = ReferenceProperty.fromString( new EntityCollection(), - "objectId#foo.bar" + "objectId#foo.bar", ); expect(left.equals(right)).toEqual(false); // target id differs right = ReferenceProperty.fromString( entityCollection, - "otherObjectId#foo.bar" + "otherObjectId#foo.bar", ); expect(left.equals(right)).toEqual(false); @@ -253,7 +253,7 @@ describe("DataSources/ReferenceProperty", function () { const property = ReferenceProperty.fromString( collection, - "testId#billboard.scale" + "testId#billboard.scale", ); expect(property.resolvedProperty).toBe(testObject.billboard.scale); @@ -271,7 +271,7 @@ describe("DataSources/ReferenceProperty", function () { const property = ReferenceProperty.fromString( collection, - "testId#billboard.scale" + "testId#billboard.scale", ); expect(property.resolvedProperty).toBeUndefined(); @@ -316,7 +316,7 @@ describe("DataSources/ReferenceProperty", function () { return new ReferenceProperty( new EntityCollection(), "objectId", - undefined + undefined, ); }).toThrowDeveloperError(); }); @@ -375,7 +375,7 @@ describe("DataSources/ReferenceProperty", function () { const property = ReferenceProperty.fromString( collection, - "testId#billboard" + "testId#billboard", ); expect(property.getValue(time)).toBeUndefined(); }); @@ -391,7 +391,7 @@ describe("DataSources/ReferenceProperty", function () { const property = ReferenceProperty.fromString( collection, - "testId#billboard.foo" + "testId#billboard.foo", ); expect(property.getValue(time)).toBeUndefined(); }); diff --git a/packages/engine/Specs/DataSources/SampledPositionPropertySpec.js b/packages/engine/Specs/DataSources/SampledPositionPropertySpec.js index 366de65df190..fea9d91a824b 100644 --- a/packages/engine/Specs/DataSources/SampledPositionPropertySpec.js +++ b/packages/engine/Specs/DataSources/SampledPositionPropertySpec.js @@ -65,7 +65,7 @@ describe("DataSources/SampledPositionProperty", function () { time, valueInertial, ReferenceFrame.INERTIAL, - ReferenceFrame.FIXED + ReferenceFrame.FIXED, ); const property = new SampledPositionProperty(ReferenceFrame.INERTIAL); property.addSample(time, valueInertial); @@ -82,7 +82,7 @@ describe("DataSources/SampledPositionProperty", function () { const result = property.getValueInReferenceFrame( time, - ReferenceFrame.INERTIAL + ReferenceFrame.INERTIAL, ); expect(result).not.toBe(value); expect(result).toEqual( @@ -90,8 +90,8 @@ describe("DataSources/SampledPositionProperty", function () { time, value, ReferenceFrame.FIXED, - ReferenceFrame.INERTIAL - ) + ReferenceFrame.INERTIAL, + ), ); }); @@ -105,7 +105,7 @@ describe("DataSources/SampledPositionProperty", function () { const result = property.getValueInReferenceFrame( time, ReferenceFrame.FIXED, - expected + expected, ); expect(result).toBe(expected); expect(expected).toEqual( @@ -113,8 +113,8 @@ describe("DataSources/SampledPositionProperty", function () { time, value, ReferenceFrame.INERTIAL, - ReferenceFrame.FIXED - ) + ReferenceFrame.FIXED, + ), ); }); @@ -126,7 +126,7 @@ describe("DataSources/SampledPositionProperty", function () { property.addSamplesPackedArray(data, epoch); expect(property.getValue(epoch)).toEqual(new Cartesian3(7, 8, 9)); expect(property.getValue(new JulianDate(0, 0.5))).toEqual( - new Cartesian3(7.5, 8.5, 9.5) + new Cartesian3(7.5, 8.5, 9.5), ); }); @@ -151,7 +151,7 @@ describe("DataSources/SampledPositionProperty", function () { expect(property.getValue(times[1])).toEqual(values[1]); expect(property.getValue(times[2])).toEqual(values[2]); expect(property.getValue(new JulianDate(0.5, 0))).toEqual( - new Cartesian3(7.5, 8.5, 9.5) + new Cartesian3(7.5, 8.5, 9.5), ); }); @@ -173,7 +173,7 @@ describe("DataSources/SampledPositionProperty", function () { expect(property.getValue(times[1])).toEqual(values[1]); expect(property.getValue(times[2])).toEqual(values[2]); expect(property.getValue(new JulianDate(0.5, 0))).toEqual( - new Cartesian3(7.5, 8.5, 9.5) + new Cartesian3(7.5, 8.5, 9.5), ); }); @@ -233,7 +233,7 @@ describe("DataSources/SampledPositionProperty", function () { new TimeInterval({ start: times[1], stop: times[2], - }) + }), ); expect(listener).toHaveBeenCalledWith(property); @@ -247,27 +247,7 @@ describe("DataSources/SampledPositionProperty", function () { it("addSamplesPackedArray works with derivatives", function () { const data = [ - 0, - 7, - 8, - 9, - 1, - 0, - 0, - 1, - 8, - 9, - 10, - 0, - 1, - 0, - 2, - 9, - 10, - 11, - 0, - 0, - 1, + 0, 7, 8, 9, 1, 0, 0, 1, 8, 9, 10, 0, 1, 0, 2, 9, 10, 11, 0, 0, 1, ]; const epoch = new JulianDate(0, 0); @@ -275,7 +255,7 @@ describe("DataSources/SampledPositionProperty", function () { property.addSamplesPackedArray(data, epoch); expect(property.getValue(epoch)).toEqual(new Cartesian3(7, 8, 9)); expect(property.getValue(new JulianDate(0, 0.5))).toEqual( - new Cartesian3(7.5, 8.5, 9.5) + new Cartesian3(7.5, 8.5, 9.5), ); }); @@ -305,7 +285,7 @@ describe("DataSources/SampledPositionProperty", function () { expect(property.getValue(times[1])).toEqual(positions[1]); expect(property.getValue(times[2])).toEqual(positions[2]); expect(property.getValue(new JulianDate(0.5, 0))).toEqual( - new Cartesian3(7.5, 8.5, 9.5) + new Cartesian3(7.5, 8.5, 9.5), ); }); @@ -332,7 +312,7 @@ describe("DataSources/SampledPositionProperty", function () { expect(property.getValue(times[1])).toEqual(positions[1]); expect(property.getValue(times[2])).toEqual(positions[2]); expect(property.getValue(new JulianDate(0.5, 0))).toEqual( - new Cartesian3(7.5, 8.5, 9.5) + new Cartesian3(7.5, 8.5, 9.5), ); }); @@ -342,7 +322,7 @@ describe("DataSources/SampledPositionProperty", function () { property.addSample( new JulianDate(0, 0), new Cartesian3(7, 8, 9), - undefined + undefined, ); }).toThrowDeveloperError(); }); @@ -435,7 +415,7 @@ describe("DataSources/SampledPositionProperty", function () { }); expect(property.getValue(epoch)).toEqual(new Cartesian3(7, 8, 9)); expect(property.getValue(new JulianDate(0, 3))).toEqual( - new Cartesian3(2, 3, 4) + new Cartesian3(2, 3, 4), ); expect(timesCalled).toEqual(1); @@ -450,7 +430,7 @@ describe("DataSources/SampledPositionProperty", function () { expect(property.getValue(time)).toEqual(value); expect( - property.getValue(JulianDate.addSeconds(time, 4, new JulianDate())) + property.getValue(JulianDate.addSeconds(time, 4, new JulianDate())), ).toBeUndefined(); }); diff --git a/packages/engine/Specs/DataSources/SampledPropertySpec.js b/packages/engine/Specs/DataSources/SampledPropertySpec.js index 8f98ee275074..3caa5d99fe6c 100644 --- a/packages/engine/Specs/DataSources/SampledPropertySpec.js +++ b/packages/engine/Specs/DataSources/SampledPropertySpec.js @@ -178,7 +178,7 @@ describe("DataSources/SampledProperty", function () { new TimeInterval({ start: times[1], stop: times[3], - }) + }), ); expect(listener).toHaveBeenCalledWith(property); @@ -201,7 +201,7 @@ describe("DataSources/SampledProperty", function () { new TimeInterval({ start: JulianDate.addSeconds(times[1], 4, new JulianDate()), stop: times[3], - }) + }), ); expect(listener).toHaveBeenCalledWith(property); @@ -219,7 +219,7 @@ describe("DataSources/SampledProperty", function () { new TimeInterval({ start: JulianDate.addSeconds(times[1], 4, new JulianDate()), stop: JulianDate.addSeconds(times[3], -4, new JulianDate()), - }) + }), ); expect(listener).toHaveBeenCalledWith(property); @@ -260,7 +260,7 @@ describe("DataSources/SampledProperty", function () { stop: times[3], isStartIncluded: false, isStopIncluded: true, - }) + }), ); expect(listener).toHaveBeenCalledWith(property); @@ -278,7 +278,7 @@ describe("DataSources/SampledProperty", function () { stop: times[3], isStartIncluded: true, isStopIncluded: false, - }) + }), ); expect(listener).toHaveBeenCalledWith(property); @@ -296,7 +296,7 @@ describe("DataSources/SampledProperty", function () { stop: times[3], isStartIncluded: false, isStopIncluded: false, - }) + }), ); expect(listener).toHaveBeenCalledWith(property); @@ -324,7 +324,7 @@ describe("DataSources/SampledProperty", function () { packedArray, startingIndex, lastIndex, - result + result, ) { for (let i = 0, len = lastIndex - startingIndex + 1; i < len; i++) { const offset = i * 2; @@ -338,7 +338,7 @@ describe("DataSources/SampledProperty", function () { sourceArray, firstIndex, lastIndex, - result + result, ) { if (!defined(result)) { result = new CustomType(); @@ -427,7 +427,7 @@ describe("DataSources/SampledProperty", function () { expect(property.getValue(time)).toEqual(value); expect( - property.getValue(JulianDate.addSeconds(time, 4, new JulianDate())) + property.getValue(JulianDate.addSeconds(time, 4, new JulianDate())), ).toBeUndefined(); }); @@ -601,51 +601,15 @@ describe("DataSources/SampledProperty", function () { { epoch: JulianDate.fromIso8601("20130205T150405.704999999999927Z"), values: [ - 0.0, - 1, - 120.0, - 2, - 240.0, - 3, - 360.0, - 4, - 480.0, - 6, - 600.0, - 8, - 720.0, - 10, - 840.0, - 12, - 960.0, - 14, - 1080.0, - 16, + 0.0, 1, 120.0, 2, 240.0, 3, 360.0, 4, 480.0, 6, 600.0, 8, 720.0, 10, + 840.0, 12, 960.0, 14, 1080.0, 16, ], }, { epoch: JulianDate.fromIso8601("20130205T151151.60499999999956Z"), values: [ - 0.0, - 5, - 120.0, - 7, - 240.0, - 9, - 360.0, - 11, - 480.0, - 13, - 600.0, - 15, - 720.0, - 17, - 840.0, - 18, - 960.0, - 19, - 1080.0, - 20, + 0.0, 5, 120.0, 7, 240.0, 9, 360.0, 11, 480.0, 13, 600.0, 15, 720.0, 17, + 840.0, 18, 960.0, 19, 1080.0, 20, ], }, ]; @@ -658,14 +622,14 @@ describe("DataSources/SampledProperty", function () { times, values, interwovenData[0].values, - 1 + 1, ); SampledProperty._mergeNewSamples( interwovenData[1].epoch, times, values, interwovenData[1].values, - 1 + 1, ); for (let i = 0; i < values.length; i++) { expect(values[i]).toBe(i + 1); @@ -759,16 +723,9 @@ describe("DataSources/SampledProperty", function () { //The remaining tests were verified with STK Components available from http://www.agi.com. it("addSample works with multiple derivatives", function () { const results = [ - 0, - -3.39969163485071, - 0.912945250727628, - -6.17439797860995, - 0.745113160479349, - -1.63963048028446, - -0.304810621102217, - 4.83619040459681, - -0.993888653923375, - 169.448966391543, + 0, -3.39969163485071, 0.912945250727628, -6.17439797860995, + 0.745113160479349, -1.63963048028446, -0.304810621102217, + 4.83619040459681, -0.993888653923375, 169.448966391543, ]; const property = new SampledProperty(Number, [Number, Number]); @@ -782,17 +739,17 @@ describe("DataSources/SampledProperty", function () { property.addSample( JulianDate.addSeconds(epoch, x, new JulianDate()), Math.sin(x), - [Math.cos(x), -Math.sin(x)] + [Math.cos(x), -Math.sin(x)], ); } let resultIndex = 0; for (let i = 0; i < 100; i += 10) { const result = property.getValue( - JulianDate.addSeconds(epoch, i, new JulianDate()) + JulianDate.addSeconds(epoch, i, new JulianDate()), ); expect(result).toEqualEpsilon( results[resultIndex++], - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); } }); @@ -893,11 +850,11 @@ describe("DataSources/SampledProperty", function () { let resultIndex = 0; for (let i = 0; i < 420; i += 20) { const result = property.getValue( - JulianDate.addSeconds(epoch, i, new JulianDate()) + JulianDate.addSeconds(epoch, i, new JulianDate()), ); expect(result).toEqualEpsilon( order1Results[resultIndex++], - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); } }); @@ -916,11 +873,11 @@ describe("DataSources/SampledProperty", function () { let resultIndex = 0; for (let i = 0; i < 420; i += 20) { const result = property.getValue( - JulianDate.addSeconds(epoch, i, new JulianDate()) + JulianDate.addSeconds(epoch, i, new JulianDate()), ); expect(result).toEqualEpsilon( order0Results[resultIndex++], - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); } }); @@ -936,11 +893,11 @@ describe("DataSources/SampledProperty", function () { let resultIndex = 0; for (let i = 0; i < 420; i += 20) { const result = property.getValue( - JulianDate.addSeconds(epoch, i, new JulianDate()) + JulianDate.addSeconds(epoch, i, new JulianDate()), ); expect(result).toEqualEpsilon( order1Results[resultIndex++], - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); } }); @@ -956,11 +913,11 @@ describe("DataSources/SampledProperty", function () { let resultIndex = 0; for (let i = 0; i < 420; i += 20) { const result = property.getValue( - JulianDate.addSeconds(epoch, i, new JulianDate()) + JulianDate.addSeconds(epoch, i, new JulianDate()), ); expect(result).toEqualEpsilon( order0Results[resultIndex++], - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); } }); @@ -983,11 +940,11 @@ describe("DataSources/SampledProperty", function () { let resultIndex = 0; for (let i = 0; i < 420; i += 20) { const result = property.getValue( - JulianDate.addSeconds(epoch, i, new JulianDate()) + JulianDate.addSeconds(epoch, i, new JulianDate()), ); expect(result).toEqualEpsilon( order1Results[resultIndex++], - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); } }); @@ -1009,11 +966,11 @@ describe("DataSources/SampledProperty", function () { let resultIndex = 0; for (let i = 0; i < 420; i += 20) { const result = property.getValue( - JulianDate.addSeconds(epoch, i, new JulianDate()) + JulianDate.addSeconds(epoch, i, new JulianDate()), ); expect(result).toEqualEpsilon( order0Results[resultIndex++], - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); } }); diff --git a/packages/engine/Specs/DataSources/StaticGeometryColorBatchSpec.js b/packages/engine/Specs/DataSources/StaticGeometryColorBatchSpec.js index 379410a2a3b8..1f543e7307f4 100644 --- a/packages/engine/Specs/DataSources/StaticGeometryColorBatchSpec.js +++ b/packages/engine/Specs/DataSources/StaticGeometryColorBatchSpec.js @@ -38,7 +38,7 @@ describe("DataSources/StaticGeometryColorBatch", function () { PerInstanceColorAppearance, undefined, false, - ShadowMode.DISABLED + ShadowMode.DISABLED, ); const entity = new Entity({ @@ -95,7 +95,7 @@ describe("DataSources/StaticGeometryColorBatch", function () { TimeInterval.fromIso8601({ iso8601: "2018-02-14T04:00:00+1100/2018-02-14T04:15:00+1100", data: Color.RED, - }) + }), ); const entity = new Entity({ availability: new TimeIntervalCollection([ @@ -117,7 +117,7 @@ describe("DataSources/StaticGeometryColorBatch", function () { PerInstanceColorAppearance, undefined, false, - ShadowMode.DISABLED + ShadowMode.DISABLED, ); const updater = new EllipseGeometryUpdater(entity, scene); @@ -153,7 +153,7 @@ describe("DataSources/StaticGeometryColorBatch", function () { TimeInterval.fromIso8601({ iso8601: "2018-02-14T04:00:00+1100/2018-02-14T04:15:00+1100", data: new DistanceDisplayCondition(1.0, 2.0), - }) + }), ); const entity = new Entity({ availability: new TimeIntervalCollection([ @@ -176,7 +176,7 @@ describe("DataSources/StaticGeometryColorBatch", function () { PerInstanceColorAppearance, undefined, false, - ShadowMode.DISABLED + ShadowMode.DISABLED, ); const updater = new EllipseGeometryUpdater(entity, scene); @@ -193,7 +193,7 @@ describe("DataSources/StaticGeometryColorBatch", function () { let attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes.distanceDisplayCondition).toEqualEpsilon( [1.0, 2.0], - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); batch.update(outOfRangeTime); @@ -215,7 +215,7 @@ describe("DataSources/StaticGeometryColorBatch", function () { TimeInterval.fromIso8601({ iso8601: "2018-02-14T04:00:00+1100/2018-02-14T04:15:00+1100", data: true, - }) + }), ); const entity = new Entity({ availability: new TimeIntervalCollection([ @@ -237,7 +237,7 @@ describe("DataSources/StaticGeometryColorBatch", function () { PerInstanceColorAppearance, undefined, false, - ShadowMode.DISABLED + ShadowMode.DISABLED, ); const updater = new EllipseGeometryUpdater(entity, scene); @@ -271,7 +271,7 @@ describe("DataSources/StaticGeometryColorBatch", function () { PolylineColorAppearance, undefined, false, - ShadowMode.DISABLED + ShadowMode.DISABLED, ); const entity = new Entity({ @@ -323,7 +323,7 @@ describe("DataSources/StaticGeometryColorBatch", function () { TimeInterval.fromIso8601({ iso8601: "2018-02-14T04:00:00+1100/2018-02-14T04:15:00+1100", data: Color.RED, - }) + }), ); const entity = new Entity({ availability: new TimeIntervalCollection([ @@ -346,7 +346,7 @@ describe("DataSources/StaticGeometryColorBatch", function () { PolylineColorAppearance, PolylineColorAppearance, false, - ShadowMode.DISABLED + ShadowMode.DISABLED, ); const updater = new PolylineGeometryUpdater(entity, scene); @@ -380,7 +380,7 @@ describe("DataSources/StaticGeometryColorBatch", function () { PerInstanceColorAppearance, undefined, false, - ShadowMode.DISABLED + ShadowMode.DISABLED, ); function buildEntity() { @@ -448,7 +448,7 @@ describe("DataSources/StaticGeometryColorBatch", function () { PerInstanceColorAppearance, undefined, false, - ShadowMode.DISABLED + ShadowMode.DISABLED, ); function buildEntity() { diff --git a/packages/engine/Specs/DataSources/StaticGeometryPerMaterialBatchSpec.js b/packages/engine/Specs/DataSources/StaticGeometryPerMaterialBatchSpec.js index ea0933a13dfd..de2af7f170fa 100644 --- a/packages/engine/Specs/DataSources/StaticGeometryPerMaterialBatchSpec.js +++ b/packages/engine/Specs/DataSources/StaticGeometryPerMaterialBatchSpec.js @@ -48,7 +48,7 @@ describe("DataSources/StaticGeometryPerMaterialBatch", function () { MaterialAppearance, undefined, false, - ShadowMode.DISABLED + ShadowMode.DISABLED, ); const ellipse = new EllipseGraphics(); @@ -58,7 +58,7 @@ describe("DataSources/StaticGeometryPerMaterialBatch", function () { const entity = new Entity(); entity.position = new ConstantPositionProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); entity.ellipse = ellipse; @@ -69,7 +69,7 @@ describe("DataSources/StaticGeometryPerMaterialBatch", function () { const entity2 = new Entity(); entity2.position = new ConstantPositionProperty( - new Cartesian3(1234, 5678, 9101112) + new Cartesian3(1234, 5678, 9101112), ); entity2.ellipse = ellipse2; @@ -107,7 +107,7 @@ describe("DataSources/StaticGeometryPerMaterialBatch", function () { TimeInterval.fromIso8601({ iso8601: "2018-02-14T04:00:00+1100/2018-02-14T04:15:00+1100", data: new DistanceDisplayCondition(1.0, 2.0), - }) + }), ); const entity = new Entity({ availability: new TimeIntervalCollection([ @@ -130,7 +130,7 @@ describe("DataSources/StaticGeometryPerMaterialBatch", function () { MaterialAppearance, undefined, false, - ShadowMode.DISABLED + ShadowMode.DISABLED, ); const updater = new EllipseGeometryUpdater(entity, scene); @@ -147,7 +147,7 @@ describe("DataSources/StaticGeometryPerMaterialBatch", function () { let attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes.distanceDisplayCondition).toEqualEpsilon( [1.0, 2.0], - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); batch.update(outOfRangeTime); @@ -169,7 +169,7 @@ describe("DataSources/StaticGeometryPerMaterialBatch", function () { TimeInterval.fromIso8601({ iso8601: "2018-02-14T04:00:00+1100/2018-02-14T04:15:00+1100", data: true, - }) + }), ); const entity = new Entity({ availability: new TimeIntervalCollection([ @@ -192,7 +192,7 @@ describe("DataSources/StaticGeometryPerMaterialBatch", function () { MaterialAppearance, undefined, false, - ShadowMode.DISABLED + ShadowMode.DISABLED, ); const updater = new EllipseGeometryUpdater(entity, scene); @@ -226,7 +226,7 @@ describe("DataSources/StaticGeometryPerMaterialBatch", function () { PolylineMaterialAppearance, undefined, false, - ShadowMode.DISABLED + ShadowMode.DISABLED, ); const polyline = new PolylineGraphics(); @@ -282,7 +282,7 @@ describe("DataSources/StaticGeometryPerMaterialBatch", function () { TimeInterval.fromIso8601({ iso8601: "2018-02-14T04:00:00+1100/2018-02-14T04:15:00+1100", data: Color.RED, - }) + }), ); const entity = new Entity({ availability: new TimeIntervalCollection([ @@ -305,7 +305,7 @@ describe("DataSources/StaticGeometryPerMaterialBatch", function () { PolylineMaterialAppearance, PolylineColorAppearance, false, - ShadowMode.DISABLED + ShadowMode.DISABLED, ); const updater = new PolylineGeometryUpdater(entity, scene); @@ -339,7 +339,7 @@ describe("DataSources/StaticGeometryPerMaterialBatch", function () { MaterialAppearance, undefined, false, - ShadowMode.DISABLED + ShadowMode.DISABLED, ); function buildEntity() { @@ -415,7 +415,7 @@ describe("DataSources/StaticGeometryPerMaterialBatch", function () { MaterialAppearance, undefined, false, - ShadowMode.DISABLED + ShadowMode.DISABLED, ); function buildEntity(MaterialProperty) { @@ -481,7 +481,7 @@ describe("DataSources/StaticGeometryPerMaterialBatch", function () { MaterialAppearance, undefined, false, - ShadowMode.DISABLED + ShadowMode.DISABLED, ); function buildEntity() { diff --git a/packages/engine/Specs/DataSources/StaticGroundGeometryColorBatchSpec.js b/packages/engine/Specs/DataSources/StaticGroundGeometryColorBatchSpec.js index 24711775a350..7fcd76449690 100644 --- a/packages/engine/Specs/DataSources/StaticGroundGeometryColorBatchSpec.js +++ b/packages/engine/Specs/DataSources/StaticGroundGeometryColorBatchSpec.js @@ -45,7 +45,7 @@ describe("DataSources/StaticGroundGeometryColorBatch", function () { const batch = new StaticGroundGeometryColorBatch( scene.groundPrimitives, - ClassificationType.BOTH + ClassificationType.BOTH, ); const entity = new Entity({ position: new Cartesian3(1234, 5678, 9101112), @@ -108,7 +108,7 @@ describe("DataSources/StaticGroundGeometryColorBatch", function () { const batch = new StaticGroundGeometryColorBatch( scene.groundPrimitives, - ClassificationType.BOTH + ClassificationType.BOTH, ); const entity = new Entity({ position: new Cartesian3(1234, 5678, 9101112), @@ -151,7 +151,7 @@ describe("DataSources/StaticGroundGeometryColorBatch", function () { TimeInterval.fromIso8601({ iso8601: "2018-02-14T04:00:00+1100/2018-02-14T04:15:00+1100", data: new DistanceDisplayCondition(1.0, 2.0), - }) + }), ); const entity = new Entity({ availability: new TimeIntervalCollection([ @@ -170,7 +170,7 @@ describe("DataSources/StaticGroundGeometryColorBatch", function () { const batch = new StaticGroundGeometryColorBatch( scene.groundPrimitives, - ClassificationType.BOTH + ClassificationType.BOTH, ); const updater = new EllipseGeometryUpdater(entity, scene); @@ -187,7 +187,7 @@ describe("DataSources/StaticGroundGeometryColorBatch", function () { let attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes.distanceDisplayCondition).toEqualEpsilon( [1.0, 2.0], - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); batch.update(outOfRangeTime); @@ -209,7 +209,7 @@ describe("DataSources/StaticGroundGeometryColorBatch", function () { TimeInterval.fromIso8601({ iso8601: "2018-02-14T04:00:00+1100/2018-02-14T04:15:00+1100", data: true, - }) + }), ); const entity = new Entity({ availability: new TimeIntervalCollection([ @@ -228,7 +228,7 @@ describe("DataSources/StaticGroundGeometryColorBatch", function () { const batch = new StaticGroundGeometryColorBatch( scene.groundPrimitives, - ClassificationType.BOTH + ClassificationType.BOTH, ); const updater = new EllipseGeometryUpdater(entity, scene); @@ -263,7 +263,7 @@ describe("DataSources/StaticGroundGeometryColorBatch", function () { const batch = new StaticGroundGeometryColorBatch( scene.groundPrimitives, - ClassificationType.BOTH + ClassificationType.BOTH, ); function renderScene() { @@ -338,7 +338,7 @@ describe("DataSources/StaticGroundGeometryColorBatch", function () { const batch = new StaticGroundGeometryColorBatch( scene.groundPrimitives, - ClassificationType.BOTH + ClassificationType.BOTH, ); function renderScene() { diff --git a/packages/engine/Specs/DataSources/StaticGroundGeometryPerMaterialBatchSpec.js b/packages/engine/Specs/DataSources/StaticGroundGeometryPerMaterialBatchSpec.js index 6f7ceb39ab53..f491e0752398 100644 --- a/packages/engine/Specs/DataSources/StaticGroundGeometryPerMaterialBatchSpec.js +++ b/packages/engine/Specs/DataSources/StaticGroundGeometryPerMaterialBatchSpec.js @@ -54,7 +54,7 @@ describe("DataSources/StaticGroundGeometryPerMaterialBatch", function () { const batch = new StaticGroundGeometryPerMaterialBatch( scene.primitives, ClassificationType.BOTH, - MaterialAppearance + MaterialAppearance, ); const ellipse = new EllipseGraphics(); @@ -121,7 +121,7 @@ describe("DataSources/StaticGroundGeometryPerMaterialBatch", function () { TimeInterval.fromIso8601({ iso8601: "2018-02-14T04:00:00+1100/2018-02-14T04:15:00+1100", data: new DistanceDisplayCondition(1.0, 2.0), - }) + }), ); const entity = new Entity({ availability: new TimeIntervalCollection([ @@ -141,7 +141,7 @@ describe("DataSources/StaticGroundGeometryPerMaterialBatch", function () { const batch = new StaticGroundGeometryPerMaterialBatch( scene.primitives, ClassificationType.BOTH, - MaterialAppearance + MaterialAppearance, ); const updater = new EllipseGeometryUpdater(entity, scene); @@ -158,7 +158,7 @@ describe("DataSources/StaticGroundGeometryPerMaterialBatch", function () { let attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes.distanceDisplayCondition).toEqualEpsilon( [1.0, 2.0], - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); batch.update(outOfRangeTime); @@ -188,7 +188,7 @@ describe("DataSources/StaticGroundGeometryPerMaterialBatch", function () { TimeInterval.fromIso8601({ iso8601: "2018-02-14T04:00:00+1100/2018-02-14T04:15:00+1100", data: true, - }) + }), ); const entity = new Entity({ availability: new TimeIntervalCollection([ @@ -208,7 +208,7 @@ describe("DataSources/StaticGroundGeometryPerMaterialBatch", function () { const batch = new StaticGroundGeometryPerMaterialBatch( scene.primitives, ClassificationType.BOTH, - MaterialAppearance + MaterialAppearance, ); const updater = new EllipseGeometryUpdater(entity, scene); @@ -248,7 +248,7 @@ describe("DataSources/StaticGroundGeometryPerMaterialBatch", function () { const batch = new StaticGroundGeometryPerMaterialBatch( scene.primitives, ClassificationType.BOTH, - MaterialAppearance + MaterialAppearance, ); function buildEntity(x, y, z) { @@ -329,7 +329,7 @@ describe("DataSources/StaticGroundGeometryPerMaterialBatch", function () { const batch = new StaticGroundGeometryPerMaterialBatch( scene.primitives, ClassificationType.BOTH, - MaterialAppearance + MaterialAppearance, ); const ellipse = new EllipseGraphics(); @@ -381,7 +381,7 @@ describe("DataSources/StaticGroundGeometryPerMaterialBatch", function () { const batch = new StaticGroundGeometryPerMaterialBatch( scene.primitives, ClassificationType.BOTH, - MaterialAppearance + MaterialAppearance, ); const entity = new Entity({ position: new Cartesian3(1234, 5678, 9101112), @@ -434,7 +434,7 @@ describe("DataSources/StaticGroundGeometryPerMaterialBatch", function () { const batch = new StaticGroundGeometryPerMaterialBatch( scene.primitives, ClassificationType.BOTH, - MaterialAppearance + MaterialAppearance, ); function buildEntity(x, y, z) { diff --git a/packages/engine/Specs/DataSources/StaticGroundPolylinePerMaterialBatchSpec.js b/packages/engine/Specs/DataSources/StaticGroundPolylinePerMaterialBatchSpec.js index 32ade306d4fd..e3e05bc22f1b 100644 --- a/packages/engine/Specs/DataSources/StaticGroundPolylinePerMaterialBatchSpec.js +++ b/packages/engine/Specs/DataSources/StaticGroundPolylinePerMaterialBatchSpec.js @@ -56,7 +56,7 @@ describe("DataSources/StaticGroundPolylinePerMaterialBatch", function () { const polyline = new PolylineGraphics(); polyline.clampToGround = new ConstantProperty(true); polyline.positions = new ConstantProperty( - Cartesian3.fromDegreesArray([0, 0, 0.1, 0, 0.1, 0.1, 0, 0.1]) + Cartesian3.fromDegreesArray([0, 0, 0.1, 0, 0.1, 0.1, 0, 0.1]), ); return polyline; } @@ -70,7 +70,7 @@ describe("DataSources/StaticGroundPolylinePerMaterialBatch", function () { batch = new StaticGroundPolylinePerMaterialBatch( scene.groundPrimitives, ClassificationType.BOTH, - false + false, ); const polyline1 = createGroundPolyline(); @@ -125,7 +125,7 @@ describe("DataSources/StaticGroundPolylinePerMaterialBatch", function () { TimeInterval.fromIso8601({ iso8601: "2018-02-14T04:00:00+1100/2018-02-14T04:15:00+1100", data: Color.RED, - }) + }), ); const polyline = createGroundPolyline(); polyline.material = new ColorMaterialProperty(color); @@ -141,7 +141,7 @@ describe("DataSources/StaticGroundPolylinePerMaterialBatch", function () { batch = new StaticGroundPolylinePerMaterialBatch( scene.groundPrimitives, ClassificationType.BOTH, - false + false, ); const updater = new PolylineGeometryUpdater(entity, scene); @@ -181,7 +181,7 @@ describe("DataSources/StaticGroundPolylinePerMaterialBatch", function () { TimeInterval.fromIso8601({ iso8601: "2018-02-14T04:00:00+1100/2018-02-14T04:15:00+1100", data: new DistanceDisplayCondition(1.0, 2.0), - }) + }), ); const polyline = createGroundPolyline(); @@ -198,7 +198,7 @@ describe("DataSources/StaticGroundPolylinePerMaterialBatch", function () { batch = new StaticGroundPolylinePerMaterialBatch( scene.groundPrimitives, ClassificationType.BOTH, - false + false, ); const updater = new PolylineGeometryUpdater(entity, scene); @@ -215,7 +215,7 @@ describe("DataSources/StaticGroundPolylinePerMaterialBatch", function () { let attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes.distanceDisplayCondition).toEqualEpsilon( [1.0, 2.0], - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); batch.update(outOfRangeTime); @@ -242,7 +242,7 @@ describe("DataSources/StaticGroundPolylinePerMaterialBatch", function () { TimeInterval.fromIso8601({ iso8601: "2018-02-14T04:00:00+1100/2018-02-14T04:15:00+1100", data: true, - }) + }), ); const polyline = createGroundPolyline(); polyline.show = show; @@ -257,7 +257,7 @@ describe("DataSources/StaticGroundPolylinePerMaterialBatch", function () { batch = new StaticGroundPolylinePerMaterialBatch( scene.groundPrimitives, - false + false, ); const updater = new PolylineGeometryUpdater(entity, scene); @@ -294,7 +294,7 @@ describe("DataSources/StaticGroundPolylinePerMaterialBatch", function () { batch = new StaticGroundPolylinePerMaterialBatch( scene.groundPrimitives, ClassificationType.BOTH, - false + false, ); function buildEntity() { @@ -367,7 +367,7 @@ describe("DataSources/StaticGroundPolylinePerMaterialBatch", function () { batch = new StaticGroundPolylinePerMaterialBatch( scene.groundPrimitives, ClassificationType.BOTH, - false + false, ); const polyline1 = createGroundPolyline(); polyline1.material = Color.RED; @@ -407,7 +407,7 @@ describe("DataSources/StaticGroundPolylinePerMaterialBatch", function () { batch = new StaticGroundPolylinePerMaterialBatch( scene.groundPrimitives, ClassificationType.BOTH, - false + false, ); const polyline1 = createGroundPolyline(); @@ -452,7 +452,7 @@ describe("DataSources/StaticGroundPolylinePerMaterialBatch", function () { batch = new StaticGroundPolylinePerMaterialBatch( scene.groundPrimitives, ClassificationType.BOTH, - false + false, ); const polyline1 = createGroundPolyline(); @@ -499,7 +499,7 @@ describe("DataSources/StaticGroundPolylinePerMaterialBatch", function () { batch = new StaticGroundPolylinePerMaterialBatch( scene.groundPrimitives, ClassificationType.BOTH, - false + false, ); const polyline1 = createGroundPolyline(); @@ -543,7 +543,7 @@ describe("DataSources/StaticGroundPolylinePerMaterialBatch", function () { batch = new StaticGroundPolylinePerMaterialBatch( scene.groundPrimitives, ClassificationType.BOTH, - false + false, ); function buildEntity() { diff --git a/packages/engine/Specs/DataSources/StaticOutlineGeometryBatchSpec.js b/packages/engine/Specs/DataSources/StaticOutlineGeometryBatchSpec.js index 3af4d26484ab..a71a1e04c255 100644 --- a/packages/engine/Specs/DataSources/StaticOutlineGeometryBatchSpec.js +++ b/packages/engine/Specs/DataSources/StaticOutlineGeometryBatchSpec.js @@ -33,7 +33,7 @@ describe("DataSources/StaticOutlineGeometryBatch", function () { scene.primitives, scene, false, - ShadowMode.DISABLED + ShadowMode.DISABLED, ); const entity = new Entity({ @@ -91,7 +91,7 @@ describe("DataSources/StaticOutlineGeometryBatch", function () { TimeInterval.fromIso8601({ iso8601: "2018-02-14T04:00:00+1100/2018-02-14T04:15:00+1100", data: Color.RED, - }) + }), ); const entity = new Entity({ availability: new TimeIntervalCollection([ @@ -113,7 +113,7 @@ describe("DataSources/StaticOutlineGeometryBatch", function () { scene.primitives, scene, false, - ShadowMode.DISABLED + ShadowMode.DISABLED, ); const updater = new EllipseGeometryUpdater(entity, scene); @@ -149,7 +149,7 @@ describe("DataSources/StaticOutlineGeometryBatch", function () { TimeInterval.fromIso8601({ iso8601: "2018-02-14T04:00:00+1100/2018-02-14T04:15:00+1100", data: new DistanceDisplayCondition(1.0, 2.0), - }) + }), ); const entity = new Entity({ availability: new TimeIntervalCollection([ @@ -172,7 +172,7 @@ describe("DataSources/StaticOutlineGeometryBatch", function () { scene.primitives, scene, false, - ShadowMode.DISABLED + ShadowMode.DISABLED, ); const updater = new EllipseGeometryUpdater(entity, scene); @@ -189,7 +189,7 @@ describe("DataSources/StaticOutlineGeometryBatch", function () { let attributes = primitive.getGeometryInstanceAttributes(entity); expect(attributes.distanceDisplayCondition).toEqualEpsilon( [1.0, 2.0], - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); batch.update(outOfRangeTime); @@ -211,7 +211,7 @@ describe("DataSources/StaticOutlineGeometryBatch", function () { TimeInterval.fromIso8601({ iso8601: "2018-02-14T04:00:00+1100/2018-02-14T04:15:00+1100", data: true, - }) + }), ); const entity = new Entity({ availability: new TimeIntervalCollection([ @@ -233,7 +233,7 @@ describe("DataSources/StaticOutlineGeometryBatch", function () { scene.primitives, scene, false, - ShadowMode.DISABLED + ShadowMode.DISABLED, ); const updater = new EllipseGeometryUpdater(entity, scene); @@ -266,7 +266,7 @@ describe("DataSources/StaticOutlineGeometryBatch", function () { scene.primitives, scene, false, - ShadowMode.DISABLED + ShadowMode.DISABLED, ); function buildEntity() { @@ -335,7 +335,7 @@ describe("DataSources/StaticOutlineGeometryBatch", function () { scene.primitives, scene, false, - ShadowMode.DISABLED + ShadowMode.DISABLED, ); function buildEntity() { diff --git a/packages/engine/Specs/DataSources/StripeMaterialPropertySpec.js b/packages/engine/Specs/DataSources/StripeMaterialPropertySpec.js index 8d1f055a853b..94bdb5505fb0 100644 --- a/packages/engine/Specs/DataSources/StripeMaterialPropertySpec.js +++ b/packages/engine/Specs/DataSources/StripeMaterialPropertySpec.js @@ -83,35 +83,35 @@ describe("DataSources/StripeMaterialProperty", function () { start: start, stop: stop, data: false, - }) + }), ); property.evenColor.intervals.addInterval( new TimeInterval({ start: start, stop: stop, data: Color.RED, - }) + }), ); property.oddColor.intervals.addInterval( new TimeInterval({ start: start, stop: stop, data: Color.BLUE, - }) + }), ); property.offset.intervals.addInterval( new TimeInterval({ start: start, stop: stop, data: 10, - }) + }), ); property.repeat.intervals.addInterval( new TimeInterval({ start: start, stop: stop, data: 20, - }) + }), ); expect(property.isConstant).toBe(false); diff --git a/packages/engine/Specs/DataSources/TerrainOffsetPropertySpec.js b/packages/engine/Specs/DataSources/TerrainOffsetPropertySpec.js index 880d682978f7..8a444e67b524 100644 --- a/packages/engine/Specs/DataSources/TerrainOffsetPropertySpec.js +++ b/packages/engine/Specs/DataSources/TerrainOffsetPropertySpec.js @@ -29,7 +29,7 @@ describe("DataSources/TerrainOffsetProperty", function () { scene, position, height, - extrudedHeight + extrudedHeight, ); expect(property.isConstant).toBe(false); expect(property.getValue(time)).toEqual(Cartesian3.ZERO); @@ -46,7 +46,7 @@ describe("DataSources/TerrainOffsetProperty", function () { undefined, position, height, - extrudedHeight + extrudedHeight, ); }).toThrowDeveloperError(); }); @@ -59,7 +59,7 @@ describe("DataSources/TerrainOffsetProperty", function () { scene, undefined, height, - extrudedHeight + extrudedHeight, ); }).toThrowDeveloperError(); }); diff --git a/packages/engine/Specs/DataSources/TimeIntervalCollectionPositionPropertySpec.js b/packages/engine/Specs/DataSources/TimeIntervalCollectionPositionPropertySpec.js index ca98185ffac1..b5d3687b3fc7 100644 --- a/packages/engine/Specs/DataSources/TimeIntervalCollectionPositionPropertySpec.js +++ b/packages/engine/Specs/DataSources/TimeIntervalCollectionPositionPropertySpec.js @@ -77,7 +77,7 @@ describe("DataSources/TimeIntervalCollectionPositionProperty", function () { }); const property = new TimeIntervalCollectionPositionProperty( - ReferenceFrame.INERTIAL + ReferenceFrame.INERTIAL, ); property.intervals.addInterval(interval1); @@ -86,7 +86,7 @@ describe("DataSources/TimeIntervalCollectionPositionProperty", function () { interval1.start, valueInertial, ReferenceFrame.INERTIAL, - ReferenceFrame.FIXED + ReferenceFrame.FIXED, ); const result = property.getValue(interval1.start); @@ -107,7 +107,7 @@ describe("DataSources/TimeIntervalCollectionPositionProperty", function () { }); const property = new TimeIntervalCollectionPositionProperty( - ReferenceFrame.FIXED + ReferenceFrame.FIXED, ); property.intervals.addInterval(interval1); property.intervals.addInterval(interval2); @@ -116,14 +116,14 @@ describe("DataSources/TimeIntervalCollectionPositionProperty", function () { interval1.start, interval1.data, ReferenceFrame.FIXED, - ReferenceFrame.INERTIAL + ReferenceFrame.INERTIAL, ); const expected = new Cartesian3(); const result1 = property.getValueInReferenceFrame( interval1.start, ReferenceFrame.INERTIAL, - expected + expected, ); expect(result1).toBe(expected); expect(result1).toEqual(valueInertial); @@ -131,7 +131,7 @@ describe("DataSources/TimeIntervalCollectionPositionProperty", function () { const result2 = property.getValueInReferenceFrame( interval2.stop, ReferenceFrame.FIXED, - expected + expected, ); expect(result2).toBe(expected); expect(result2).toEqual(interval2.data); @@ -151,7 +151,7 @@ describe("DataSources/TimeIntervalCollectionPositionProperty", function () { }); const property = new TimeIntervalCollectionPositionProperty( - ReferenceFrame.FIXED + ReferenceFrame.FIXED, ); property.intervals.addInterval(interval1); property.intervals.addInterval(interval2); @@ -160,18 +160,18 @@ describe("DataSources/TimeIntervalCollectionPositionProperty", function () { interval1.start, interval1.data, ReferenceFrame.FIXED, - ReferenceFrame.INERTIAL + ReferenceFrame.INERTIAL, ); const result1 = property.getValueInReferenceFrame( interval1.start, - ReferenceFrame.INERTIAL + ReferenceFrame.INERTIAL, ); expect(result1).toEqual(valueInertial); const result2 = property.getValueInReferenceFrame( interval2.stop, - ReferenceFrame.FIXED + ReferenceFrame.FIXED, ); expect(result2).toEqual(interval2.data); }); @@ -207,10 +207,10 @@ describe("DataSources/TimeIntervalCollectionPositionProperty", function () { it("equals works for differing referenceFrames", function () { const left = new TimeIntervalCollectionPositionProperty( - ReferenceFrame.FIXED + ReferenceFrame.FIXED, ); let right = new TimeIntervalCollectionPositionProperty( - ReferenceFrame.INERTIAL + ReferenceFrame.INERTIAL, ); expect(left.equals(right)).toEqual(false); @@ -232,13 +232,13 @@ describe("DataSources/TimeIntervalCollectionPositionProperty", function () { }); const left = new TimeIntervalCollectionPositionProperty( - ReferenceFrame.FIXED + ReferenceFrame.FIXED, ); left.intervals.addInterval(interval1); left.intervals.addInterval(interval2); const right = new TimeIntervalCollectionPositionProperty( - ReferenceFrame.FIXED + ReferenceFrame.FIXED, ); right.intervals.addInterval(interval1); diff --git a/packages/engine/Specs/DataSources/VelocityOrientationPropertySpec.js b/packages/engine/Specs/DataSources/VelocityOrientationPropertySpec.js index 75228c1d99d7..ef7951711f3a 100644 --- a/packages/engine/Specs/DataSources/VelocityOrientationPropertySpec.js +++ b/packages/engine/Specs/DataSources/VelocityOrientationPropertySpec.js @@ -27,7 +27,7 @@ describe("DataSources/VelocityOrientationProperty", function () { const position = new SampledPositionProperty(); const property = new VelocityOrientationProperty( position, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); expect(property.isConstant).toBe(true); expect(property.definitionChanged).toBeInstanceOf(Event); @@ -106,7 +106,7 @@ describe("DataSources/VelocityOrientationProperty", function () { const velocity = Cartesian3.subtract( values[1], values[0], - new Cartesian3() + new Cartesian3(), ); Cartesian3.normalize(velocity, velocity); @@ -117,18 +117,18 @@ describe("DataSources/VelocityOrientationProperty", function () { let matrix = Transforms.rotationMatrixFromPositionVelocity( position.getValue(times[0]), - velocity + velocity, ); expect(property.getValue(times[0])).toEqual( - Quaternion.fromRotationMatrix(matrix) + Quaternion.fromRotationMatrix(matrix), ); matrix = Transforms.rotationMatrixFromPositionVelocity( position.getValue(times[0]), - velocity + velocity, ); expect(property.getValue(times[1])).toEqual( - Quaternion.fromRotationMatrix(matrix) + Quaternion.fromRotationMatrix(matrix), ); }); @@ -141,7 +141,7 @@ describe("DataSources/VelocityOrientationProperty", function () { const velocity = Cartesian3.subtract( values[1], values[0], - new Cartesian3() + new Cartesian3(), ); Cartesian3.normalize(velocity, velocity); @@ -156,7 +156,7 @@ describe("DataSources/VelocityOrientationProperty", function () { const matrix = Transforms.rotationMatrixFromPositionVelocity( position.getValue(times[0]), - velocity + velocity, ); expect(expected).toEqual(Quaternion.fromRotationMatrix(matrix)); }); diff --git a/packages/engine/Specs/DataSources/VelocityVectorPropertySpec.js b/packages/engine/Specs/DataSources/VelocityVectorPropertySpec.js index c9d59660e9cc..4e059ecad6d0 100644 --- a/packages/engine/Specs/DataSources/VelocityVectorPropertySpec.js +++ b/packages/engine/Specs/DataSources/VelocityVectorPropertySpec.js @@ -138,11 +138,11 @@ describe("DataSources/VelocityVectorProperty", function () { const expectedVelocity = new Cartesian3(20.0, 0.0, 0.0); expect(property.getValue(times[0])).toEqualEpsilon( expectedVelocity, - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); expect(property.getValue(times[1])).toEqualEpsilon( expectedVelocity, - CesiumMath.EPSILON13 + CesiumMath.EPSILON13, ); }); @@ -168,7 +168,7 @@ describe("DataSources/VelocityVectorProperty", function () { it("produces normalized value of undefined with constant position", function () { const position = new ConstantPositionProperty( - new Cartesian3(1.0, 2.0, 3.0) + new Cartesian3(1.0, 2.0, 3.0), ); const property = new VelocityVectorProperty(position); @@ -177,7 +177,7 @@ describe("DataSources/VelocityVectorProperty", function () { it("produces unnormalized value of zero with constant position", function () { const position = new ConstantPositionProperty( - new Cartesian3(1.0, 2.0, 3.0) + new Cartesian3(1.0, 2.0, 3.0), ); const property = new VelocityVectorProperty(position, false); diff --git a/packages/engine/Specs/DataSources/WallGeometryUpdaterSpec.js b/packages/engine/Specs/DataSources/WallGeometryUpdaterSpec.js index 28b8261e334e..dd4249ea0956 100644 --- a/packages/engine/Specs/DataSources/WallGeometryUpdaterSpec.js +++ b/packages/engine/Specs/DataSources/WallGeometryUpdaterSpec.js @@ -40,7 +40,9 @@ describe( function createBasicWall() { const wall = new WallGraphics(); wall.positions = new ConstantProperty( - Cartesian3.fromRadiansArrayHeights([0, 0, 1, 1, 0, 1, 1, 1, 1, 0, 1, 1]) + Cartesian3.fromRadiansArrayHeights([ + 0, 0, 1, 1, 0, 1, 1, 1, 1, 0, 1, 1, + ]), ); const entity = new Entity(); entity.wall = wall; @@ -79,7 +81,7 @@ describe( start: time, stop: time2, data: [], - }) + }), ); updater._onEntityPropertyChanged(entity, "wall"); @@ -95,7 +97,7 @@ describe( start: time, stop: time2, data: [], - }) + }), ); updater._onEntityPropertyChanged(entity, "wall"); @@ -147,7 +149,7 @@ describe( it("dynamic updater sets properties", function () { const wall = new WallGraphics(); wall.positions = createDynamicProperty( - Cartesian3.fromRadiansArray([0, 0, 1, 0, 1, 1, 0, 1]) + Cartesian3.fromRadiansArray([0, 0, 1, 0, 1, 1, 0, 1]), ); wall.show = createDynamicProperty(true); wall.minimumHeights = createDynamicProperty([1, 2, 3, 4]); @@ -163,7 +165,7 @@ describe( const updater = new WallGeometryUpdater(entity, scene); const dynamicUpdater = updater.createDynamicUpdater( new PrimitiveCollection(), - new PrimitiveCollection() + new PrimitiveCollection(), ); dynamicUpdater.update(time); @@ -215,15 +217,15 @@ describe( WallGeometryUpdater, "wall", createBasicWall, - getScene + getScene, ); createDynamicGeometryUpdaterSpecs( WallGeometryUpdater, "wall", createDynamicWall, - getScene + getScene, ); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/DataSources/WallGraphicsSpec.js b/packages/engine/Specs/DataSources/WallGraphicsSpec.js index 52856fef58ce..78432a7c0615 100644 --- a/packages/engine/Specs/DataSources/WallGraphicsSpec.js +++ b/packages/engine/Specs/DataSources/WallGraphicsSpec.js @@ -55,7 +55,7 @@ describe("DataSources/WallGraphics", function () { expect(wall.maximumHeights.getValue()).toEqual(options.maximumHeights); expect(wall.shadows.getValue()).toEqual(options.shadows); expect(wall.distanceDisplayCondition.getValue()).toEqual( - options.distanceDisplayCondition + options.distanceDisplayCondition, ); }); @@ -89,7 +89,7 @@ describe("DataSources/WallGraphics", function () { expect(target.maximumHeights).toBe(source.maximumHeights); expect(target.shadows).toBe(source.shadows); expect(target.distanceDisplayCondition).toBe( - source.distanceDisplayCondition + source.distanceDisplayCondition, ); }); @@ -167,7 +167,7 @@ describe("DataSources/WallGraphics", function () { expect(result.maximumHeights).toBe(source.maximumHeights); expect(result.shadows).toBe(source.shadows); expect(result.distanceDisplayCondition).toBe( - source.distanceDisplayCondition + source.distanceDisplayCondition, ); }); @@ -194,13 +194,13 @@ describe("DataSources/WallGraphics", function () { property, "shadows", ShadowMode.ENABLED, - ShadowMode.DISABLED + ShadowMode.DISABLED, ); testDefinitionChanged( property, "distanceDisplayCondition", new DistanceDisplayCondition(), - new DistanceDisplayCondition(10.0, 100.0) + new DistanceDisplayCondition(10.0, 100.0), ); }); }); diff --git a/packages/engine/Specs/DataSources/exportKmlSpec.js b/packages/engine/Specs/DataSources/exportKmlSpec.js index 61c40af56152..f6bfc44557fe 100644 --- a/packages/engine/Specs/DataSources/exportKmlSpec.js +++ b/packages/engine/Specs/DataSources/exportKmlSpec.js @@ -55,7 +55,7 @@ describe("DataSources/exportKml", function () { } else if (typeof attribute === "number") { expect(Number(nodeAttribute.value)).toEqualEpsilon( attribute, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); } else { fail(); @@ -80,7 +80,7 @@ describe("DataSources/exportKml", function () { } else if (typeof property === "number") { expect(Number(node.textContent)).toEqualEpsilon( property, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); } else if (typeof property === "boolean") { expect(Number(node.textContent)).toBe(property ? 1 : 0); @@ -100,12 +100,12 @@ describe("DataSources/exportKml", function () { const cartographic2 = Cartographic.fromDegrees( Number(values[0]), Number(values[1]), - Number(values[2]) + Number(values[2]), ); return Cartographic.equalsEpsilon( cartographic1, cartographic2, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); } @@ -511,7 +511,7 @@ describe("DataSources/exportKml", function () { fileReader.onload = function (event) { // Verify its a zip archive expect(new DataView(event.target.result).getUint32(0, false)).toBe( - 0x504b0304 + 0x504b0304, ); resolve(); }; @@ -557,13 +557,13 @@ describe("DataSources/exportKml", function () { Number(values[0]), Number(values[1]), Number(values[2]), - cartographic2 + cartographic2, ); if ( Cartographic.equalsEpsilon( cartographic1, cartographic2, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ) ) { return true; @@ -729,13 +729,13 @@ describe("DataSources/exportKml", function () { Number(values[0]), Number(values[1]), Number(values[2]), - cartographic2 + cartographic2, ); if ( Cartographic.equalsEpsilon( cartographic1, cartographic2, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ) ) { return true; @@ -866,13 +866,13 @@ describe("DataSources/exportKml", function () { Number(values[0]), Number(values[1]), Number(values[2]), - cartographic2 + cartographic2, ); if ( Cartographic.equalsEpsilon( cartographic1, cartographic2, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ) ) { return true; @@ -1203,13 +1203,13 @@ describe("DataSources/exportKml", function () { Number(values[0]), Number(values[1]), Number(values[2]), - cartographic2 + cartographic2, ); if ( Cartographic.equalsEpsilon( cartographic1, cartographic2, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ) ) { return true; diff --git a/packages/engine/Specs/Renderer/AutomaticUniformSpec.js b/packages/engine/Specs/Renderer/AutomaticUniformSpec.js index f6381a39f9ef..b994a15a43af 100644 --- a/packages/engine/Specs/Renderer/AutomaticUniformSpec.js +++ b/packages/engine/Specs/Renderer/AutomaticUniformSpec.js @@ -41,13 +41,13 @@ describe( position, direction, right, - up + up, ) { return { viewMatrix: defaultValue(view, Matrix4.clone(Matrix4.IDENTITY)), inverseViewMatrix: Matrix4.inverseTransformation( defaultValue(view, Matrix4.clone(Matrix4.IDENTITY)), - new Matrix4() + new Matrix4(), ), frustum: { near: 1.0, @@ -58,11 +58,11 @@ describe( right: 1.0, projectionMatrix: defaultValue( projection, - Matrix4.clone(Matrix4.IDENTITY) + Matrix4.clone(Matrix4.IDENTITY), ), infiniteProjectionMatrix: defaultValue( infiniteProjection, - Matrix4.clone(Matrix4.IDENTITY) + Matrix4.clone(Matrix4.IDENTITY), ), computeCullingVolume: function () { return undefined; @@ -75,7 +75,7 @@ describe( positionWC: defaultValue(position, Cartesian3.clone(Cartesian3.ZERO)), directionWC: defaultValue( direction, - Cartesian3.clone(Cartesian3.UNIT_Z) + Cartesian3.clone(Cartesian3.UNIT_Z), ), rightWC: defaultValue(right, Cartesian3.clone(Cartesian3.UNIT_X)), upWC: defaultValue(up, Cartesian3.clone(Cartesian3.UNIT_Y)), @@ -179,7 +179,7 @@ describe( 13.0, 14.0, 15.0, - 16.0 + 16.0, ); expect({ context: context, @@ -213,7 +213,7 @@ describe( 0.0, 0.0, 0.0, - 1.0 + 1.0, ); expect({ context: context, @@ -244,10 +244,10 @@ describe( 13.0, 14.0, 15.0, - 16.0 - ) - ) - ) + 16.0, + ), + ), + ), ); const fs = @@ -286,10 +286,10 @@ describe( 13.0, 14.0, 15.0, - 16.0 - ) - ) - ) + 16.0, + ), + ), + ), ); const fs = @@ -328,10 +328,10 @@ describe( 13.0, 14.0, 15.0, - 16.0 - ) - ) - ) + 16.0, + ), + ), + ), ); const fs = @@ -369,10 +369,10 @@ describe( 13.0, 14.0, 15.0, - 16.0 - ) - ) - ) + 16.0, + ), + ), + ), ); const fs = @@ -410,10 +410,10 @@ describe( 0.0, 0.0, 0.0, - 1.0 - ) - ) - ) + 1.0, + ), + ), + ), ); const fs = @@ -452,10 +452,10 @@ describe( 0.0, 0.0, 0.0, - 1.0 - ) - ) - ) + 1.0, + ), + ), + ), ); const fs = @@ -494,10 +494,10 @@ describe( 0.0, 0.0, 0.0, - 1.0 - ) - ) - ) + 1.0, + ), + ), + ), ); const fs = @@ -536,10 +536,10 @@ describe( 0.0, 0.0, 0.0, - 1.0 - ) - ) - ) + 1.0, + ), + ), + ), ); const fs = @@ -579,10 +579,10 @@ describe( 13.0, 14.0, 15.0, - 16.0 - ) - ) - ) + 16.0, + ), + ), + ), ); const fs = @@ -622,10 +622,10 @@ describe( 0.0, 0.0, 0.0, - 1.0 - ) - ) - ) + 1.0, + ), + ), + ), ); const fs = @@ -663,9 +663,9 @@ describe( 0.0, 0.0, 0.0, - 1.0 - ) - ) + 1.0, + ), + ), ); frameState.mode = SceneMode.SCENE2D; @@ -707,9 +707,9 @@ describe( 0.0, 0.0, 0.0, - 1.0 - ) - ) + 1.0, + ), + ), ); const frustum = new OrthographicFrustum(); frustum.aspectRatio = 1.0; @@ -757,10 +757,10 @@ describe( 13.0, 14.0, 15.0, - 16.0 - ) - ) - ) + 16.0, + ), + ), + ), ); const fs = @@ -799,10 +799,10 @@ describe( 0.0, 0.0, 0.0, - 1.0 - ) - ) - ) + 1.0, + ), + ), + ), ); const fs = @@ -829,7 +829,7 @@ describe( 0.0, 0.0, 0.0, - 1.0 + 1.0, ); expect({ context: context, @@ -860,10 +860,10 @@ describe( 0.0, 0.0, 0.0, - 1.0 - ) - ) - ) + 1.0, + ), + ), + ), ); const fs = @@ -890,7 +890,7 @@ describe( 0.0, 0.0, 0.0, - 1.0 + 1.0, ); expect({ context: context, @@ -921,10 +921,10 @@ describe( 0.0, 0.0, 0.0, - 1.0 - ) - ) - ) + 1.0, + ), + ), + ), ); const fs = @@ -951,7 +951,7 @@ describe( 0.0, 0.0, 0.0, - 1.0 + 1.0, ); expect({ context: context, @@ -965,8 +965,8 @@ describe( us.update( createFrameState( context, - createMockCamera(Matrix4.clone(Matrix4.IDENTITY)) - ) + createMockCamera(Matrix4.clone(Matrix4.IDENTITY)), + ), ); const fs = @@ -993,7 +993,7 @@ describe( 0.0, 0.0, 0.0, - 1.0 + 1.0, ); expect({ context: context, @@ -1007,8 +1007,8 @@ describe( us.update( createFrameState( context, - createMockCamera(Matrix4.clone(Matrix4.IDENTITY)) - ) + createMockCamera(Matrix4.clone(Matrix4.IDENTITY)), + ), ); const fs = @@ -1035,7 +1035,7 @@ describe( 0.0, 0.0, 0.0, - 1.0 + 1.0, ); expect({ context: context, @@ -1066,7 +1066,7 @@ describe( 0.0, 0.0, 0.0, - 1.0 + 1.0, ), new Matrix4( 1.0, @@ -1084,10 +1084,10 @@ describe( 0.0, 0.0, 0.0, - 1.0 - ) - ) - ) + 1.0, + ), + ), + ), ); const fs = @@ -1127,7 +1127,7 @@ describe( 0.0, 0.0, 0.0, - 1.0 + 1.0, ), new Matrix4( 1.0, @@ -1145,10 +1145,10 @@ describe( 0.0, 0.0, 0.0, - 1.0 - ) - ) - ) + 1.0, + ), + ), + ), ); const fs = @@ -1188,7 +1188,7 @@ describe( 0.0, 0.0, 0.0, - 1.0 + 1.0, ), new Matrix4( 1.0, @@ -1206,10 +1206,10 @@ describe( 0.0, 0.0, 0.0, - 1.0 - ) - ) - ) + 1.0, + ), + ), + ), ); const fs = @@ -1237,7 +1237,7 @@ describe( 0.0, 0.0, 0.0, - 1.0 + 1.0, ); expect({ context: context, @@ -1268,7 +1268,7 @@ describe( 0.0, 0.0, 0.0, - 1.0 + 1.0, ), new Matrix4( 1.0, @@ -1286,10 +1286,10 @@ describe( 0.0, 0.0, 0.0, - 1.0 - ) - ) - ) + 1.0, + ), + ), + ), ); const fs = @@ -1317,7 +1317,7 @@ describe( 0.0, 0.0, 0.0, - 1.0 + 1.0, ); expect({ context: context, @@ -1348,7 +1348,7 @@ describe( 0.0, 0.0, 0.0, - 1.0 + 1.0, ), new Matrix4( 1.0, @@ -1366,10 +1366,10 @@ describe( 0.0, 0.0, 0.0, - 1.0 - ) - ) - ) + 1.0, + ), + ), + ), ); const fs = @@ -1397,7 +1397,7 @@ describe( 0.0, 0.0, 0.0, - 1.0 + 1.0, ); expect({ context: context, @@ -1428,7 +1428,7 @@ describe( 0.0, 0.0, 0.0, - 1.0 + 1.0, ), undefined, new Matrix4( @@ -1447,10 +1447,10 @@ describe( 0.0, 0.0, 0.0, - 1.0 - ) - ) - ) + 1.0, + ), + ), + ), ); const fs = @@ -1478,7 +1478,7 @@ describe( 0.0, 0.0, 0.0, - 1.0 + 1.0, ); expect({ context: context, @@ -1512,7 +1512,7 @@ describe( 0.0, 0.0, 0.0, - 1.0 + 1.0, ); expect({ context: context, @@ -1546,7 +1546,7 @@ describe( 0.0, 0.0, 0.0, - 1.0 + 1.0, ); expect({ context: context, @@ -1580,7 +1580,7 @@ describe( 0.0, 0.0, 0.0, - 1.0 + 1.0, ); expect({ context: context, @@ -1614,7 +1614,7 @@ describe( 0.0, 0.0, 0.0, - 1.0 + 1.0, ); expect({ context: context, @@ -1632,9 +1632,9 @@ describe( undefined, undefined, undefined, - new Cartesian3(-1000.0, 0.0, 100000.0) - ) - ) + new Cartesian3(-1000.0, 0.0, 100000.0), + ), + ), ); const fs = @@ -1795,8 +1795,8 @@ describe( // Provide position and direction because the default position of (0, 0, 0) // will lead to a divide by zero when updating fog below. new Cartesian3(1.0, 0.0, 0.0), - new Cartesian3(0.0, 1.0, 0.0) - ) + new Cartesian3(0.0, 1.0, 0.0), + ), ); const fog = new Fog(); fog.density = 0.1; @@ -1825,8 +1825,8 @@ describe( // Provide position and direction because the default position of (0, 0, 0) // will lead to a divide by zero when updating fog below new Cartesian3(1.0, 0.0, 0.0), - new Cartesian3(0.0, 1.0, 0.0) - ) + new Cartesian3(0.0, 1.0, 0.0), + ), ); const fog = new Fog(); fog.minimumBrightness = 0.25; @@ -2446,5 +2446,5 @@ describe( }).contextToRender(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Renderer/BufferSpec.js b/packages/engine/Specs/Renderer/BufferSpec.js index 666cdd8e3b1f..9f96253ebf0e 100644 --- a/packages/engine/Specs/Renderer/BufferSpec.js +++ b/packages/engine/Specs/Renderer/BufferSpec.js @@ -692,5 +692,5 @@ describe( }); } }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Renderer/BuiltinFunctionsSpec.js b/packages/engine/Specs/Renderer/BuiltinFunctionsSpec.js index c4457c832e13..0f71d8f7b20d 100644 --- a/packages/engine/Specs/Renderer/BuiltinFunctionsSpec.js +++ b/packages/engine/Specs/Renderer/BuiltinFunctionsSpec.js @@ -525,5 +525,5 @@ describe( }).contextToRender(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Renderer/ClearSpec.js b/packages/engine/Specs/Renderer/ClearSpec.js index 4d2744841050..2a6454ab8b3c 100644 --- a/packages/engine/Specs/Renderer/ClearSpec.js +++ b/packages/engine/Specs/Renderer/ClearSpec.js @@ -117,7 +117,7 @@ describe( expect( context.readPixels({ width: -1, - }) + }), ).toEqual([0, 0, 0, 0]); }).toThrowDeveloperError(); }); @@ -127,10 +127,10 @@ describe( expect( context.readPixels({ height: -1, - }) + }), ).toEqual([0, 0, 0, 0]); }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Renderer/ComputeCommandSpec.js b/packages/engine/Specs/Renderer/ComputeCommandSpec.js index 34dc9ec90312..51d001526e74 100644 --- a/packages/engine/Specs/Renderer/ComputeCommandSpec.js +++ b/packages/engine/Specs/Renderer/ComputeCommandSpec.js @@ -158,5 +158,5 @@ describe( expect(scene).notToRender([0, 0, 0, 255]); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Renderer/ContextSpec.js b/packages/engine/Specs/Renderer/ContextSpec.js index 7cb308cbcb8f..17a28952b240 100644 --- a/packages/engine/Specs/Renderer/ContextSpec.js +++ b/packages/engine/Specs/Renderer/ContextSpec.js @@ -41,7 +41,7 @@ describe( it("get maximumCombinedTextureImageUnits", function () { expect( - ContextLimits.maximumCombinedTextureImageUnits + ContextLimits.maximumCombinedTextureImageUnits, ).toBeGreaterThanOrEqual(8); }); @@ -51,7 +51,7 @@ describe( it("get maximumFragmentUniformVectors", function () { expect( - ContextLimits.maximumFragmentUniformVectors + ContextLimits.maximumFragmentUniformVectors, ).toBeGreaterThanOrEqual(16); }); @@ -77,13 +77,13 @@ describe( it("get maximumVertexTextureImageUnits", function () { expect( - ContextLimits.maximumVertexTextureImageUnits + ContextLimits.maximumVertexTextureImageUnits, ).toBeGreaterThanOrEqual(0); }); it("get maximumVertexUniformVectors", function () { expect(ContextLimits.maximumVertexUniformVectors).toBeGreaterThanOrEqual( - 1 + 1, ); }); @@ -188,7 +188,7 @@ describe( it("gets maximum texture filter anisotropy", function () { if (context.textureFilterAnisotropic) { expect( - ContextLimits.maximumTextureFilterAnisotropy + ContextLimits.maximumTextureFilterAnisotropy, ).toBeGreaterThanOrEqual(2); } else { expect(ContextLimits.maximumTextureFilterAnisotropy).toEqual(1); @@ -342,5 +342,5 @@ describe( } }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Renderer/CubeMapSpec.js b/packages/engine/Specs/Renderer/CubeMapSpec.js index 4c7ac6ccfeac..86a97c7c28a8 100644 --- a/packages/engine/Specs/Renderer/CubeMapSpec.js +++ b/packages/engine/Specs/Renderer/CubeMapSpec.js @@ -96,45 +96,45 @@ describe( promises.push( Resource.fetchImage("./Data/Images/Green.png").then(function (result) { greenImage = result; - }) + }), ); promises.push( Resource.fetchImage("./Data/Images/Blue.png").then(function (result) { blueImage = result; - }) + }), ); promises.push( - Resource.fetchImage("./Data/Images/BlueAlpha.png").then(function ( - result - ) { - blueAlphaImage = result; - }) + Resource.fetchImage("./Data/Images/BlueAlpha.png").then( + function (result) { + blueAlphaImage = result; + }, + ), ); promises.push( - Resource.fetchImage("./Data/Images/BlueOverRed.png").then(function ( - result - ) { - blueOverRedImage = result; - }) + Resource.fetchImage("./Data/Images/BlueOverRed.png").then( + function (result) { + blueOverRedImage = result; + }, + ), ); promises.push( - Resource.fetchImage("./Data/Images/Red16x16.png").then(function ( - result - ) { - red16x16Image = result; - }) + Resource.fetchImage("./Data/Images/Red16x16.png").then( + function (result) { + red16x16Image = result; + }, + ), ); promises.push( Resource.fetchImage("./Data/Images/Gamma.png").then(function (result) { gammaImage = result; - }) + }), ); promises.push( Resource.fetchImage("./Data/Images/CustomColorProfile.png").then( function (result) { customColorProfileImage = result; - } - ) + }, + ), ); return Promise.all(promises); @@ -173,22 +173,22 @@ describe( expect(cubeMap.pixelDatatype).toEqual(PixelDatatype.UNSIGNED_BYTE); expect(cubeMap.positiveX.pixelDatatype).toEqual( - PixelDatatype.UNSIGNED_BYTE + PixelDatatype.UNSIGNED_BYTE, ); expect(cubeMap.negativeX.pixelDatatype).toEqual( - PixelDatatype.UNSIGNED_BYTE + PixelDatatype.UNSIGNED_BYTE, ); expect(cubeMap.positiveY.pixelDatatype).toEqual( - PixelDatatype.UNSIGNED_BYTE + PixelDatatype.UNSIGNED_BYTE, ); expect(cubeMap.negativeY.pixelDatatype).toEqual( - PixelDatatype.UNSIGNED_BYTE + PixelDatatype.UNSIGNED_BYTE, ); expect(cubeMap.positiveZ.pixelDatatype).toEqual( - PixelDatatype.UNSIGNED_BYTE + PixelDatatype.UNSIGNED_BYTE, ); expect(cubeMap.negativeZ.pixelDatatype).toEqual( - PixelDatatype.UNSIGNED_BYTE + PixelDatatype.UNSIGNED_BYTE, ); }); @@ -445,7 +445,7 @@ describe( level[faceName] = { width: 2, height: 2, arrayBufferView: colorData }; return level; }, - {} + {}, ); cubeMap = new CubeMap({ @@ -464,7 +464,7 @@ describe( level[faceName] = { width: 1, height: 1, arrayBufferView: colorData }; return level; }, - {} + {}, ); cubeMap.loadMipmaps([level1]); @@ -501,7 +501,7 @@ describe( level[faceName] = { width: 2, height: 2, arrayBufferView: colorData }; return level; }, - {} + {}, ); cubeMap = new CubeMap({ @@ -520,7 +520,7 @@ describe( level[faceName] = { width: 1, height: 1, arrayBufferView: colorData }; return level; }, - {} + {}, ); cubeMap.loadMipmaps([level1]); @@ -1307,7 +1307,7 @@ describe( // Allow for some leniency with the sizeInBytes approximation expect(cubeMap.sizeInBytes).toEqualEpsilon( (16 * 16 + 8 * 8 + 4 * 4 + 2 * 2 + 1) * 4 * 6, - 10 + 10, ); }); @@ -1642,7 +1642,7 @@ describe( 0, 0, 0, - cubeMap.height + 1 + cubeMap.height + 1, ); }).toThrowDeveloperError(); }); @@ -1718,5 +1718,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Renderer/DrawSpec.js b/packages/engine/Specs/Renderer/DrawSpec.js index ea4a508eddc1..3d7ab36a6c02 100644 --- a/packages/engine/Specs/Renderer/DrawSpec.js +++ b/packages/engine/Specs/Renderer/DrawSpec.js @@ -584,22 +584,8 @@ describe( vertexBuffer: Buffer.createVertexBuffer({ context: context, typedArray: new Float32Array([ - -1000, - -1000, - 0, - 1, - 1000, - -1000, - 0, - 1, - -1000, - 1000, - 0, - 1, - 1000, - 1000, - 0, - 1, + -1000, -1000, 0, 1, 1000, -1000, 0, 1, -1000, 1000, 0, 1, 1000, + 1000, 0, 1, ]), usage: BufferUsage.STATIC_DRAW, }), @@ -663,22 +649,8 @@ describe( vertexBuffer: Buffer.createVertexBuffer({ context: context, typedArray: new Float32Array([ - -1000, - -1000, - 0, - 1, - 1000, - -1000, - 0, - 1, - -1000, - 1000, - 0, - 1, - 1000, - 1000, - 0, - 1, + -1000, -1000, 0, 1, 1000, -1000, 0, 1, -1000, 1000, 0, 1, 1000, + 1000, 0, 1, ]), usage: BufferUsage.STATIC_DRAW, }), @@ -744,22 +716,8 @@ describe( vertexBuffer: Buffer.createVertexBuffer({ context: context, typedArray: new Float32Array([ - -1000, - -1000, - 0, - 1, - 1000, - -1000, - 0, - 1, - -1000, - 1000, - 0, - 1, - 1000, - 1000, - 0, - 1, + -1000, -1000, 0, 1, 1000, -1000, 0, 1, -1000, 1000, 0, 1, 1000, + 1000, 0, 1, ]), usage: BufferUsage.STATIC_DRAW, }), @@ -870,14 +828,7 @@ describe( vertexBuffer: Buffer.createVertexBuffer({ context: context, typedArray: new Float32Array([ - -1000, - -1000, - 0, - 1, - 1000, - 1000, - 0, - 1, + -1000, -1000, 0, 1, 1000, 1000, 0, 1, ]), usage: BufferUsage.STATIC_DRAW, }), @@ -1043,22 +994,8 @@ describe( vertexBuffer: Buffer.createVertexBuffer({ context: context, typedArray: new Float32Array([ - -1000, - -1000, - 0, - 1, - 1000, - -1000, - 0, - 1, - -1000, - 1000, - 0, - 1, - 1000, - 1000, - 0, - 1, + -1000, -1000, 0, 1, 1000, -1000, 0, 1, -1000, 1000, 0, 1, 1000, + 1000, 0, 1, ]), usage: BufferUsage.STATIC_DRAW, }), @@ -1148,22 +1085,8 @@ describe( vertexBuffer: Buffer.createVertexBuffer({ context: context, typedArray: new Float32Array([ - -1000, - -1000, - 0, - 1, - 1000, - -1000, - 0, - 1, - -1000, - 1000, - 0, - 1, - 1000, - 1000, - 0, - 1, + -1000, -1000, 0, 1, 1000, -1000, 0, 1, -1000, 1000, 0, 1, 1000, + 1000, 0, 1, ]), usage: BufferUsage.STATIC_DRAW, }), @@ -1539,5 +1462,5 @@ describe( }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Renderer/FramebufferManagerSpec.js b/packages/engine/Specs/Renderer/FramebufferManagerSpec.js index 12ddd6966f4d..de1d797048eb 100644 --- a/packages/engine/Specs/Renderer/FramebufferManagerSpec.js +++ b/packages/engine/Specs/Renderer/FramebufferManagerSpec.js @@ -256,7 +256,7 @@ describe( expect(renderbuffer.width).toEqual(1); expect(renderbuffer.height).toEqual(1); expect(renderbuffer.format).toEqual( - RenderbufferFormat.DEPTH_COMPONENT16 + RenderbufferFormat.DEPTH_COMPONENT16, ); } }); @@ -521,5 +521,5 @@ describe( expect(fbm.status).toEqual(fbm.framebuffer.status); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Renderer/FramebufferSpec.js b/packages/engine/Specs/Renderer/FramebufferSpec.js index bcfac7f68c22..a963018ac362 100644 --- a/packages/engine/Specs/Renderer/FramebufferSpec.js +++ b/packages/engine/Specs/Renderer/FramebufferSpec.js @@ -292,12 +292,11 @@ describe( }), ], }); - renderColorTexture(framebuffer, new Color(0.0, 1.0, 0.0, 1.0), [ - 0, - 255, - 0, - 255, - ]); + renderColorTexture( + framebuffer, + new Color(0.0, 1.0, 0.0, 1.0), + [0, 255, 0, 255], + ); }); it("draws to a floating-point color attachment", function () { @@ -316,12 +315,11 @@ describe( }), ], }); - renderColorTexture(framebuffer, new Color(0.5, 1.5, 2.0, 1.0), [ - 0.5, - 1.5, - 2.0, - 1.0, - ]); + renderColorTexture( + framebuffer, + new Color(0.5, 1.5, 2.0, 1.0), + [0.5, 1.5, 2.0, 1.0], + ); }); it("draws to a half floating-point color attachment", function () { @@ -340,12 +338,11 @@ describe( }), ], }); - renderColorTexture(framebuffer, new Color(0.5, 1.5, 2.0, 1.0), [ - 14336, - 15872, - 16384, - 15360, - ]); + renderColorTexture( + framebuffer, + new Color(0.5, 1.5, 2.0, 1.0), + [14336, 15872, 16384, 15360], + ); }); function renderDepthAttachment(framebuffer, texture) { @@ -456,7 +453,7 @@ describe( if (framebuffer.status === WebGLConstants.FRAMEBUFFER_COMPLETE) { expect( - renderDepthAttachment(framebuffer, framebuffer.depthTexture) + renderDepthAttachment(framebuffer, framebuffer.depthTexture), ).toEqualEpsilon([128, 128, 128, 255], 1); } } @@ -484,7 +481,7 @@ describe( if (framebuffer.status === WebGLConstants.FRAMEBUFFER_COMPLETE) { expect( - renderDepthAttachment(framebuffer, framebuffer.depthStencilTexture) + renderDepthAttachment(framebuffer, framebuffer.depthStencilTexture), ).toEqualEpsilon([128, 128, 128, 255], 1); } } @@ -741,7 +738,7 @@ describe( }), }); expect(framebuffer.status).not.toEqual( - WebGLConstants.FRAMEBUFFER_COMPLETE + WebGLConstants.FRAMEBUFFER_COMPLETE, ); }); @@ -928,7 +925,7 @@ describe( return new Framebuffer({ context: context, colorRenderbuffers: new Array( - ContextLimits.maximumColorAttachments + 1 + ContextLimits.maximumColorAttachments + 1, ), }); }).toThrowDeveloperError(); @@ -965,7 +962,7 @@ describe( expect(function () { framebuffer.getColorRenderbuffer( - ContextLimits.maximumColorAttachments + 1 + ContextLimits.maximumColorAttachments + 1, ); }).toThrowDeveloperError(); }); @@ -1025,5 +1022,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Renderer/MultisampleFramebufferSpec.js b/packages/engine/Specs/Renderer/MultisampleFramebufferSpec.js index faf90872bbe0..7393c123b173 100644 --- a/packages/engine/Specs/Renderer/MultisampleFramebufferSpec.js +++ b/packages/engine/Specs/Renderer/MultisampleFramebufferSpec.js @@ -332,7 +332,7 @@ describe( expect(renderAndBlitDepthAttachment(framebuffer)).toEqualEpsilon( [128, 128, 128, 255], - 1 + 1, ); }); @@ -381,5 +381,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Renderer/RenderStateSpec.js b/packages/engine/Specs/Renderer/RenderStateSpec.js index 4e757d3df986..afa5d42d1d41 100644 --- a/packages/engine/Specs/Renderer/RenderStateSpec.js +++ b/packages/engine/Specs/Renderer/RenderStateSpec.js @@ -108,16 +108,16 @@ describe( expect(rs.polygonOffset.units).toEqual(defaultRS.polygonOffset.units); expect(rs.scissorTest.enabled).toEqual(defaultRS.scissorTest.enabled); expect(rs.scissorTest.rectangle.x).toEqual( - defaultRS.scissorTest.rectangle.x + defaultRS.scissorTest.rectangle.x, ); expect(rs.scissorTest.rectangle.y).toEqual( - defaultRS.scissorTest.rectangle.y + defaultRS.scissorTest.rectangle.y, ); expect(rs.scissorTest.rectangle.width).toEqual( - defaultRS.scissorTest.rectangle.width + defaultRS.scissorTest.rectangle.width, ); expect(rs.scissorTest.rectangle.height).toEqual( - defaultRS.scissorTest.rectangle.height + defaultRS.scissorTest.rectangle.height, ); expect(rs.depthRange.near).toEqual(defaultRS.depthRange.near); expect(rs.depthRange.far).toEqual(defaultRS.depthRange.far); @@ -136,49 +136,49 @@ describe( expect(rs.blending.color.alpha).toEqual(defaultRS.blending.color.alpha); expect(rs.blending.equationRgb).toEqual(defaultRS.blending.equationRgb); expect(rs.blending.equationAlpha).toEqual( - defaultRS.blending.equationAlpha + defaultRS.blending.equationAlpha, ); expect(rs.blending.functionSourceRgb).toEqual( - defaultRS.blending.functionSourceRgb + defaultRS.blending.functionSourceRgb, ); expect(rs.blending.functionSourceAlpha).toEqual( - defaultRS.blending.functionSourceAlpha + defaultRS.blending.functionSourceAlpha, ); expect(rs.blending.functionDestinationRgb).toEqual( - defaultRS.blending.functionDestinationRgb + defaultRS.blending.functionDestinationRgb, ); expect(rs.blending.functionDestinationAlpha).toEqual( - defaultRS.blending.functionDestinationAlpha + defaultRS.blending.functionDestinationAlpha, ); expect(rs.stencilTest.enabled).toEqual(defaultRS.stencilTest.enabled); expect(rs.stencilTest.frontFunction).toEqual( - defaultRS.stencilTest.frontFunction + defaultRS.stencilTest.frontFunction, ); expect(rs.stencilTest.backFunction).toEqual( - defaultRS.stencilTest.backFunction + defaultRS.stencilTest.backFunction, ); expect(rs.stencilTest.reference).toEqual(defaultRS.stencilTest.reference); expect(rs.stencilTest.mask).toEqual(defaultRS.stencilTest.mask); expect(rs.stencilTest.frontOperation.fail).toEqual( - defaultRS.stencilTest.frontOperation.fail + defaultRS.stencilTest.frontOperation.fail, ); expect(rs.stencilTest.frontOperation.zFail).toEqual( - defaultRS.stencilTest.frontOperation.zFail + defaultRS.stencilTest.frontOperation.zFail, ); expect(rs.stencilTest.frontOperation.zPass).toEqual( - defaultRS.stencilTest.frontOperation.zPass + defaultRS.stencilTest.frontOperation.zPass, ); expect(rs.stencilTest.backOperation.fail).toEqual( - defaultRS.stencilTest.backOperation.fail + defaultRS.stencilTest.backOperation.fail, ); expect(rs.stencilTest.backOperation.zFail).toEqual( - defaultRS.stencilTest.backOperation.zFail + defaultRS.stencilTest.backOperation.zFail, ); expect(rs.stencilTest.backOperation.zPass).toEqual( - defaultRS.stencilTest.backOperation.zPass + defaultRS.stencilTest.backOperation.zPass, ); expect(rs.sampleCoverage.enabled).toEqual( - defaultRS.sampleCoverage.enabled + defaultRS.sampleCoverage.enabled, ); expect(rs.sampleCoverage.value).toEqual(defaultRS.sampleCoverage.value); expect(rs.sampleCoverage.invert).toEqual(defaultRS.sampleCoverage.invert); @@ -274,10 +274,10 @@ describe( expect(rs.scissorTest.rectangle.x).toEqual(r.scissorTest.rectangle.x); expect(rs.scissorTest.rectangle.y).toEqual(r.scissorTest.rectangle.y); expect(rs.scissorTest.rectangle.width).toEqual( - r.scissorTest.rectangle.width + r.scissorTest.rectangle.width, ); expect(rs.scissorTest.rectangle.height).toEqual( - r.scissorTest.rectangle.height + r.scissorTest.rectangle.height, ); expect(rs.depthRange.near).toEqual(r.depthRange.near); expect(rs.depthRange.far).toEqual(r.depthRange.far); @@ -297,16 +297,16 @@ describe( expect(rs.blending.equationRgb).toEqual(r.blending.equationRgb); expect(rs.blending.equationAlpha).toEqual(r.blending.equationAlpha); expect(rs.blending.functionSourceRgb).toEqual( - r.blending.functionSourceRgb + r.blending.functionSourceRgb, ); expect(rs.blending.functionSourceAlpha).toEqual( - r.blending.functionSourceAlpha + r.blending.functionSourceAlpha, ); expect(rs.blending.functionDestinationRgb).toEqual( - r.blending.functionDestinationRgb + r.blending.functionDestinationRgb, ); expect(rs.blending.functionDestinationAlpha).toEqual( - r.blending.functionDestinationAlpha + r.blending.functionDestinationAlpha, ); expect(rs.stencilTest.enabled).toEqual(r.stencilTest.enabled); expect(rs.stencilTest.frontFunction).toEqual(r.stencilTest.frontFunction); @@ -314,22 +314,22 @@ describe( expect(rs.stencilTest.reference).toEqual(r.stencilTest.reference); expect(rs.stencilTest.mask).toEqual(r.stencilTest.mask); expect(rs.stencilTest.frontOperation.fail).toEqual( - r.stencilTest.frontOperation.fail + r.stencilTest.frontOperation.fail, ); expect(rs.stencilTest.frontOperation.zFail).toEqual( - r.stencilTest.frontOperation.zFail + r.stencilTest.frontOperation.zFail, ); expect(rs.stencilTest.frontOperation.zPass).toEqual( - r.stencilTest.frontOperation.zPass + r.stencilTest.frontOperation.zPass, ); expect(rs.stencilTest.backOperation.fail).toEqual( - r.stencilTest.backOperation.fail + r.stencilTest.backOperation.fail, ); expect(rs.stencilTest.backOperation.zFail).toEqual( - r.stencilTest.backOperation.zFail + r.stencilTest.backOperation.zFail, ); expect(rs.stencilTest.backOperation.zPass).toEqual( - r.stencilTest.backOperation.zPass + r.stencilTest.backOperation.zPass, ); expect(rs.sampleCoverage.enabled).toEqual(r.sampleCoverage.enabled); expect(rs.sampleCoverage.value).toEqual(r.sampleCoverage.value); @@ -359,16 +359,16 @@ describe( expect(rs.polygonOffset.units).toEqual(defaultRS.polygonOffset.units); expect(rs.scissorTest.enabled).toEqual(defaultRS.scissorTest.enabled); expect(rs.scissorTest.rectangle.x).toEqual( - defaultRS.scissorTest.rectangle.x + defaultRS.scissorTest.rectangle.x, ); expect(rs.scissorTest.rectangle.y).toEqual( - defaultRS.scissorTest.rectangle.y + defaultRS.scissorTest.rectangle.y, ); expect(rs.scissorTest.rectangle.width).toEqual( - defaultRS.scissorTest.rectangle.width + defaultRS.scissorTest.rectangle.width, ); expect(rs.scissorTest.rectangle.height).toEqual( - defaultRS.scissorTest.rectangle.height + defaultRS.scissorTest.rectangle.height, ); expect(rs.depthTest.enabled).toEqual(defaultRS.depthTest.enabled); expect(rs.depthTest.func).toEqual(defaultRS.depthTest.func); @@ -385,49 +385,49 @@ describe( expect(rs.blending.color.alpha).toEqual(defaultRS.blending.color.alpha); expect(rs.blending.equationRgb).toEqual(defaultRS.blending.equationRgb); expect(rs.blending.equationAlpha).toEqual( - defaultRS.blending.equationAlpha + defaultRS.blending.equationAlpha, ); expect(rs.blending.functionSourceRgb).toEqual( - defaultRS.blending.functionSourceRgb + defaultRS.blending.functionSourceRgb, ); expect(rs.blending.functionSourceAlpha).toEqual( - defaultRS.blending.functionSourceAlpha + defaultRS.blending.functionSourceAlpha, ); expect(rs.blending.functionDestinationRgb).toEqual( - defaultRS.blending.functionDestinationRgb + defaultRS.blending.functionDestinationRgb, ); expect(rs.blending.functionDestinationAlpha).toEqual( - defaultRS.blending.functionDestinationAlpha + defaultRS.blending.functionDestinationAlpha, ); expect(rs.stencilTest.enabled).toEqual(defaultRS.stencilTest.enabled); expect(rs.stencilTest.frontFunction).toEqual( - defaultRS.stencilTest.frontFunction + defaultRS.stencilTest.frontFunction, ); expect(rs.stencilTest.backFunction).toEqual( - defaultRS.stencilTest.backFunction + defaultRS.stencilTest.backFunction, ); expect(rs.stencilTest.reference).toEqual(defaultRS.stencilTest.reference); expect(rs.stencilTest.mask).toEqual(defaultRS.stencilTest.mask); expect(rs.stencilTest.frontOperation.fail).toEqual( - defaultRS.stencilTest.frontOperation.fail + defaultRS.stencilTest.frontOperation.fail, ); expect(rs.stencilTest.frontOperation.zFail).toEqual( - defaultRS.stencilTest.frontOperation.zFail + defaultRS.stencilTest.frontOperation.zFail, ); expect(rs.stencilTest.frontOperation.zPass).toEqual( - defaultRS.stencilTest.frontOperation.zPass + defaultRS.stencilTest.frontOperation.zPass, ); expect(rs.stencilTest.backOperation.fail).toEqual( - defaultRS.stencilTest.backOperation.fail + defaultRS.stencilTest.backOperation.fail, ); expect(rs.stencilTest.backOperation.zFail).toEqual( - defaultRS.stencilTest.backOperation.zFail + defaultRS.stencilTest.backOperation.zFail, ); expect(rs.stencilTest.backOperation.zPass).toEqual( - defaultRS.stencilTest.backOperation.zPass + defaultRS.stencilTest.backOperation.zPass, ); expect(rs.sampleCoverage.enabled).toEqual( - defaultRS.sampleCoverage.enabled + defaultRS.sampleCoverage.enabled, ); expect(rs.sampleCoverage.value).toEqual(defaultRS.sampleCoverage.value); expect(rs.sampleCoverage.invert).toEqual(defaultRS.sampleCoverage.invert); @@ -889,10 +889,10 @@ describe( expect(rs.scissorTest.rectangle.x).toEqual(r.scissorTest.rectangle.x); expect(rs.scissorTest.rectangle.y).toEqual(r.scissorTest.rectangle.y); expect(rs.scissorTest.rectangle.width).toEqual( - r.scissorTest.rectangle.width + r.scissorTest.rectangle.width, ); expect(rs.scissorTest.rectangle.height).toEqual( - r.scissorTest.rectangle.height + r.scissorTest.rectangle.height, ); expect(rs.depthRange.near).toEqual(r.depthRange.near); expect(rs.depthRange.far).toEqual(r.depthRange.far); @@ -912,16 +912,16 @@ describe( expect(rs.blending.equationRgb).toEqual(r.blending.equationRgb); expect(rs.blending.equationAlpha).toEqual(r.blending.equationAlpha); expect(rs.blending.functionSourceRgb).toEqual( - r.blending.functionSourceRgb + r.blending.functionSourceRgb, ); expect(rs.blending.functionSourceAlpha).toEqual( - r.blending.functionSourceAlpha + r.blending.functionSourceAlpha, ); expect(rs.blending.functionDestinationRgb).toEqual( - r.blending.functionDestinationRgb + r.blending.functionDestinationRgb, ); expect(rs.blending.functionDestinationAlpha).toEqual( - r.blending.functionDestinationAlpha + r.blending.functionDestinationAlpha, ); expect(rs.stencilTest.enabled).toEqual(r.stencilTest.enabled); expect(rs.stencilTest.frontFunction).toEqual(r.stencilTest.frontFunction); @@ -929,27 +929,27 @@ describe( expect(rs.stencilTest.reference).toEqual(r.stencilTest.reference); expect(rs.stencilTest.mask).toEqual(r.stencilTest.mask); expect(rs.stencilTest.frontOperation.fail).toEqual( - r.stencilTest.frontOperation.fail + r.stencilTest.frontOperation.fail, ); expect(rs.stencilTest.frontOperation.zFail).toEqual( - r.stencilTest.frontOperation.zFail + r.stencilTest.frontOperation.zFail, ); expect(rs.stencilTest.frontOperation.zPass).toEqual( - r.stencilTest.frontOperation.zPass + r.stencilTest.frontOperation.zPass, ); expect(rs.stencilTest.backOperation.fail).toEqual( - r.stencilTest.backOperation.fail + r.stencilTest.backOperation.fail, ); expect(rs.stencilTest.backOperation.zFail).toEqual( - r.stencilTest.backOperation.zFail + r.stencilTest.backOperation.zFail, ); expect(rs.stencilTest.backOperation.zPass).toEqual( - r.stencilTest.backOperation.zPass + r.stencilTest.backOperation.zPass, ); expect(rs.sampleCoverage.enabled).toEqual(r.sampleCoverage.enabled); expect(rs.sampleCoverage.value).toEqual(r.sampleCoverage.value); expect(rs.sampleCoverage.invert).toEqual(r.sampleCoverage.invert); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Renderer/RenderbufferSpec.js b/packages/engine/Specs/Renderer/RenderbufferSpec.js index 83c001360641..105a1768dbe0 100644 --- a/packages/engine/Specs/Renderer/RenderbufferSpec.js +++ b/packages/engine/Specs/Renderer/RenderbufferSpec.js @@ -118,5 +118,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Renderer/SamplerSpec.js b/packages/engine/Specs/Renderer/SamplerSpec.js index dc5ffaf741a4..7ae117336e27 100644 --- a/packages/engine/Specs/Renderer/SamplerSpec.js +++ b/packages/engine/Specs/Renderer/SamplerSpec.js @@ -24,10 +24,10 @@ describe( expect(sampler.wrapS).toEqual(TextureWrap.CLAMP_TO_EDGE); expect(sampler.wrapT).toEqual(TextureWrap.CLAMP_TO_EDGE); expect(sampler.minificationFilter).toEqual( - TextureMinificationFilter.LINEAR + TextureMinificationFilter.LINEAR, ); expect(sampler.magnificationFilter).toEqual( - TextureMinificationFilter.LINEAR + TextureMinificationFilter.LINEAR, ); expect(sampler.maximumAnisotropy).toEqual(1.0); }); @@ -72,5 +72,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Renderer/ShaderBuilderSpec.js b/packages/engine/Specs/Renderer/ShaderBuilderSpec.js index 8f83492a9fd1..9e9fe00e91fb 100644 --- a/packages/engine/Specs/Renderer/ShaderBuilderSpec.js +++ b/packages/engine/Specs/Renderer/ShaderBuilderSpec.js @@ -16,7 +16,7 @@ describe( function checkVertexShader( shaderProgram, expectedDefines, - expectedSources + expectedSources, ) { // the ShaderBuilder joins the generated lines with \n // to avoid creating #line 0 at every line. We need to do the same here @@ -31,7 +31,7 @@ describe( function checkFragmentShader( shaderProgram, expectedDefines, - expectedSources + expectedSources, ) { // the ShaderBuilder joins the generated lines with \n // to avoid creating #line 0 at every line. We need to do the same here @@ -87,18 +87,18 @@ describe( shaderBuilder.addDefine( "USE_FRAGMENT_SHADING", 1, - ShaderDestination.BOTH + ShaderDestination.BOTH, ); const shaderProgram = shaderBuilder.buildShaderProgram(context); checkVertexShader( shaderProgram, ["POINT_SIZE 2", "USE_FRAGMENT_SHADING 1"], - [] + [], ); checkFragmentShader( shaderProgram, ["PI 3.1415", "USE_FRAGMENT_SHADING 1"], - [] + [], ); }); @@ -116,7 +116,7 @@ describe( return shaderBuilder.addStruct( undefined, "TestStruct", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); }).toThrowDeveloperError(); }); @@ -127,7 +127,7 @@ describe( return shaderBuilder.addStruct( {}, "TestStruct", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); }).toThrowDeveloperError(); }); @@ -138,7 +138,7 @@ describe( return shaderBuilder.addStruct( "testStruct", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); }).toThrowDeveloperError(); }); @@ -149,7 +149,7 @@ describe( return shaderBuilder.addStruct( "testStruct", {}, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); }).toThrowDeveloperError(); }); @@ -173,24 +173,24 @@ describe( shaderBuilder.addStruct( "structVS", "TestStruct", - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); shaderBuilder.addStruct( "structFS", "TestStruct", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); const shaderProgram = shaderBuilder.buildShaderProgram(context); checkVertexShader( shaderProgram, [], - ["struct TestStruct", "{", " float _empty;", "};"] + ["struct TestStruct", "{", " float _empty;", "};"], ); checkFragmentShader( shaderProgram, [], - ["struct TestStruct", "{", " float _empty;", "};"] + ["struct TestStruct", "{", " float _empty;", "};"], ); }); @@ -199,7 +199,7 @@ describe( shaderBuilder.addStruct( "structVS", "TestStruct", - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); expect(function () { return shaderBuilder.addStructField(undefined, "vec3", "positionMC"); @@ -211,7 +211,7 @@ describe( shaderBuilder.addStruct( "structVS", "TestStruct", - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); expect(function () { return shaderBuilder.addStructField(-1, "vec3", "positionMC"); @@ -223,13 +223,13 @@ describe( shaderBuilder.addStruct( "structVS", "TestStruct", - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); expect(function () { return shaderBuilder.addStructField( "structVS", undefined, - "positionMC" + "positionMC", ); }).toThrowDeveloperError(); }); @@ -239,7 +239,7 @@ describe( shaderBuilder.addStruct( "structVS", "TestStruct", - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); expect(function () { return shaderBuilder.addStructField("structVS", -1, "positionMC"); @@ -251,7 +251,7 @@ describe( shaderBuilder.addStruct( "structVS", "TestStruct", - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); expect(function () { return shaderBuilder.addStructField("structVS", "vec3", undefined); @@ -263,7 +263,7 @@ describe( shaderBuilder.addStruct( "structVS", "TestStruct", - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); expect(function () { return shaderBuilder.addStructField("structVS", "vec3", -1); @@ -275,12 +275,12 @@ describe( shaderBuilder.addStruct( "structVS", "TestStruct", - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); shaderBuilder.addStruct( "structFS", "TestStruct", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addStructField("structVS", "vec3", "positionMC"); @@ -291,7 +291,7 @@ describe( checkVertexShader( shaderProgram, [], - ["struct TestStruct", "{", " vec3 positionMC;", "};"] + ["struct TestStruct", "{", " vec3 positionMC;", "};"], ); checkFragmentShader( shaderProgram, @@ -302,7 +302,7 @@ describe( " vec3 positionMC;", " float temperature;", "};", - ] + ], ); }); @@ -313,7 +313,7 @@ describe( return shaderBuilder.addFunction( undefined, signature, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); }).toThrowDeveloperError(); }); @@ -324,7 +324,7 @@ describe( return shaderBuilder.addFunction( {}, signature, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); }).toThrowDeveloperError(); }); @@ -335,7 +335,7 @@ describe( return shaderBuilder.addFunction( "testFunction", undefined, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); }).toThrowDeveloperError(); }); @@ -346,7 +346,7 @@ describe( return shaderBuilder.addFunction( "testFunction", -1, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); }).toThrowDeveloperError(); }); @@ -370,12 +370,12 @@ describe( shaderBuilder.addFunction( "testFunctionVS", signature, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); shaderBuilder.addFunction( "testFunctionFS", signature, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); const shaderProgram = shaderBuilder.buildShaderProgram(context); @@ -388,7 +388,7 @@ describe( shaderBuilder.addFunction( "testFunctionVS", signature, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); expect(function () { return shaderBuilder.addFunctionLines(undefined, "return 1.0;"); @@ -400,7 +400,7 @@ describe( shaderBuilder.addFunction( "testFunctionVS", signature, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); expect(function () { return shaderBuilder.addFunctionLines(-1, "return 1.0;"); @@ -412,7 +412,7 @@ describe( shaderBuilder.addFunction( "testFunctionVS", signature, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); expect(function () { return shaderBuilder.addFunctionLines("testFunctionVS", undefined); @@ -424,7 +424,7 @@ describe( shaderBuilder.addFunction( "testFunctionVS", signature, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); expect(function () { return shaderBuilder.addFunctionLines("testFunctionVS", -1); @@ -436,12 +436,12 @@ describe( shaderBuilder.addFunction( "testFunctionVS", signature, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); shaderBuilder.addFunction( "testFunctionFS", signature, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addFunctionLines("testFunctionVS", [ @@ -456,12 +456,12 @@ describe( checkVertexShader( shaderProgram, [], - [signature, "{", " v_color = vec3(0.0);", " return 1.0;", "}"] + [signature, "{", " v_color = vec3(0.0);", " return 1.0;", "}"], ); checkFragmentShader( shaderProgram, [], - [signature, "{", " return 1.0 - step(0.3, radius);", "}"] + [signature, "{", " return 1.0 - step(0.3, radius);", "}"], ); }); @@ -470,12 +470,12 @@ describe( shaderBuilder.addFunction( "testFunctionVS", signature, - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); shaderBuilder.addFunction( "testFunctionFS", signature, - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addFunctionLines("testFunctionVS", "return 1.0;"); @@ -485,12 +485,12 @@ describe( checkVertexShader( shaderProgram, [], - [signature, "{", " return 1.0;", "}"] + [signature, "{", " return 1.0;", "}"], ); checkFragmentShader( shaderProgram, [], - [signature, "{", " return 2.0;", "}"] + [signature, "{", " return 2.0;", "}"], ); }); @@ -527,24 +527,24 @@ describe( shaderBuilder.addUniform( "vec3", "u_gridDimensions", - ShaderDestination.VERTEX + ShaderDestination.VERTEX, ); shaderBuilder.addUniform( "vec2", "u_mousePosition", - ShaderDestination.FRAGMENT + ShaderDestination.FRAGMENT, ); shaderBuilder.addUniform("float", "u_time", ShaderDestination.BOTH); const shaderProgram = shaderBuilder.buildShaderProgram(context); checkVertexShader( shaderProgram, [], - ["uniform vec3 u_gridDimensions;", "uniform float u_time;"] + ["uniform vec3 u_gridDimensions;", "uniform float u_time;"], ); checkFragmentShader( shaderProgram, [], - ["uniform vec2 u_mousePosition;", "uniform float u_time;"] + ["uniform vec2 u_mousePosition;", "uniform float u_time;"], ); }); @@ -593,7 +593,7 @@ describe( const normalLocation = shaderBuilder.addAttribute("vec3", "a_normal"); const positionLocation = shaderBuilder.setPositionAttribute( "vec3", - "a_position" + "a_position", ); expect(positionLocation).toBe(0); expect(normalLocation).toBe(1); @@ -614,7 +614,7 @@ describe( const shaderBuilder = new ShaderBuilder(); const positionLocation = shaderBuilder.setPositionAttribute( "vec3", - "a_position" + "a_position", ); expect(positionLocation).toBe(0); expect(function () { @@ -724,10 +724,10 @@ describe( const expectedVaryings = ["vec2 v_uv;"]; const expectedVertexVaryings = expectedVaryings.map( - (varying) => `out ${varying}` + (varying) => `out ${varying}`, ); const expectedFragmentVaryings = expectedVaryings.map( - (varying) => `in ${varying}` + (varying) => `in ${varying}`, ); const shaderProgram = shaderBuilder.buildShaderProgram(context); @@ -837,24 +837,24 @@ describe( const expectedVaryings = ["vec2 v_uv;"]; const expectedVertexVaryings = expectedVaryings.map( - (varying) => `out ${varying}` + (varying) => `out ${varying}`, ); const expectedFragmentVaryings = expectedVaryings.map( - (varying) => `in ${varying}` + (varying) => `in ${varying}`, ); const shaderProgram = shaderBuilder.buildShaderProgram(context); checkVertexShader( shaderProgram, [], - expectedAttributes.concat(expectedVertexVaryings, vertexLines) + expectedAttributes.concat(expectedVertexVaryings, vertexLines), ); checkFragmentShader( shaderProgram, ["BLUE_TINT 0.5"], - expectedFragmentVaryings.concat(fragmentLines) + expectedFragmentVaryings.concat(fragmentLines), ); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Renderer/ShaderCacheSpec.js b/packages/engine/Specs/Renderer/ShaderCacheSpec.js index 3a71abfea11d..0636a743e4c9 100644 --- a/packages/engine/Specs/Renderer/ShaderCacheSpec.js +++ b/packages/engine/Specs/Renderer/ShaderCacheSpec.js @@ -73,11 +73,11 @@ describe( // only be called the first time a shader is created. spyOn( ShaderSource.prototype, - "createCombinedVertexShader" + "createCombinedVertexShader", ).and.callThrough(); spyOn( ShaderSource.prototype, - "createCombinedFragmentShader" + "createCombinedFragmentShader", ).and.callThrough(); const cache = new ShaderCache(context); @@ -101,10 +101,10 @@ describe( expect(cache.numberOfShaders).toEqual(1); expect( - ShaderSource.prototype.createCombinedVertexShader + ShaderSource.prototype.createCombinedVertexShader, ).toHaveBeenCalledTimes(1); expect( - ShaderSource.prototype.createCombinedFragmentShader + ShaderSource.prototype.createCombinedFragmentShader, ).toHaveBeenCalledTimes(1); sp.destroy(); @@ -138,11 +138,11 @@ describe( // only be called the first time a shader is created. spyOn( ShaderSource.prototype, - "createCombinedVertexShader" + "createCombinedVertexShader", ).and.callThrough(); spyOn( ShaderSource.prototype, - "createCombinedFragmentShader" + "createCombinedFragmentShader", ).and.callThrough(); const cache = new ShaderCache(context); @@ -162,10 +162,10 @@ describe( expect(cache.numberOfShaders).toEqual(1); expect( - ShaderSource.prototype.createCombinedVertexShader + ShaderSource.prototype.createCombinedVertexShader, ).toHaveBeenCalledTimes(1); expect( - ShaderSource.prototype.createCombinedFragmentShader + ShaderSource.prototype.createCombinedFragmentShader, ).toHaveBeenCalledTimes(1); sp.destroy(); @@ -371,5 +371,5 @@ describe( expect(cache.isDestroyed()).toEqual(false); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Renderer/ShaderDestinationSpec.js b/packages/engine/Specs/Renderer/ShaderDestinationSpec.js index b517d117eb15..bb723aebd27e 100644 --- a/packages/engine/Specs/Renderer/ShaderDestinationSpec.js +++ b/packages/engine/Specs/Renderer/ShaderDestinationSpec.js @@ -9,13 +9,13 @@ describe("Renderer/ShaderDestination", function () { it("includesVertexShader works", function () { expect( - ShaderDestination.includesVertexShader(ShaderDestination.VERTEX) + ShaderDestination.includesVertexShader(ShaderDestination.VERTEX), ).toBe(true); expect( - ShaderDestination.includesVertexShader(ShaderDestination.FRAGMENT) + ShaderDestination.includesVertexShader(ShaderDestination.FRAGMENT), ).toBe(false); expect(ShaderDestination.includesVertexShader(ShaderDestination.BOTH)).toBe( - true + true, ); }); @@ -27,13 +27,13 @@ describe("Renderer/ShaderDestination", function () { it("includesFragmentShader works", function () { expect( - ShaderDestination.includesFragmentShader(ShaderDestination.VERTEX) + ShaderDestination.includesFragmentShader(ShaderDestination.VERTEX), ).toBe(false); expect( - ShaderDestination.includesFragmentShader(ShaderDestination.FRAGMENT) + ShaderDestination.includesFragmentShader(ShaderDestination.FRAGMENT), ).toBe(true); expect( - ShaderDestination.includesFragmentShader(ShaderDestination.BOTH) + ShaderDestination.includesFragmentShader(ShaderDestination.BOTH), ).toBe(true); }); }); diff --git a/packages/engine/Specs/Renderer/ShaderProgramSpec.js b/packages/engine/Specs/Renderer/ShaderProgramSpec.js index d40ad7c34a05..9d57cc1346de 100644 --- a/packages/engine/Specs/Renderer/ShaderProgramSpec.js +++ b/packages/engine/Specs/Renderer/ShaderProgramSpec.js @@ -566,5 +566,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Renderer/ShaderSourceSpec.js b/packages/engine/Specs/Renderer/ShaderSourceSpec.js index 8a63340b072e..2645b15f5839 100644 --- a/packages/engine/Specs/Renderer/ShaderSourceSpec.js +++ b/packages/engine/Specs/Renderer/ShaderSourceSpec.js @@ -5,7 +5,8 @@ describe("Renderer/ShaderSource", function () { webgl2: true, }; - const fragColorDeclarationRegex = /layout\s*\(location\s*=\s*0\)\s*out\s+vec4\s+out_FragColor;/g; + const fragColorDeclarationRegex = + /layout\s*\(location\s*=\s*0\)\s*out\s+vec4\s+out_FragColor;/g; it("combines #defines", function () { const source = new ShaderSource({ @@ -108,7 +109,7 @@ describe("Renderer/ShaderSource", function () { }); expect(source.getCacheKey()).toBe( - "A,B,C:in:false:void main() { out_FragColor = vec4(1.0); }" + "A,B,C:in:false:void main() { out_FragColor = vec4(1.0); }", ); }); @@ -132,7 +133,7 @@ describe("Renderer/ShaderSource", function () { }); expect(source.getCacheKey()).toBe( - ":undefined:true:vec4 getColor() { return vec4(1.0, 0.0, 0.0, 1.0); }\nvoid main() { out_FragColor = getColor(); }" + ":undefined:true:vec4 getColor() { return vec4(1.0, 0.0, 0.0, 1.0); }\nvoid main() { out_FragColor = getColor(); }", ); }); diff --git a/packages/engine/Specs/Renderer/TextureCacheSpec.js b/packages/engine/Specs/Renderer/TextureCacheSpec.js index 162ae79b6118..e116b448f8f0 100644 --- a/packages/engine/Specs/Renderer/TextureCacheSpec.js +++ b/packages/engine/Specs/Renderer/TextureCacheSpec.js @@ -121,5 +121,5 @@ describe( expect(cache.isDestroyed()).toEqual(false); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Renderer/TextureSpec.js b/packages/engine/Specs/Renderer/TextureSpec.js index d4381eab941e..609a6a95da47 100644 --- a/packages/engine/Specs/Renderer/TextureSpec.js +++ b/packages/engine/Specs/Renderer/TextureSpec.js @@ -50,26 +50,26 @@ describe( promises.push( Resource.fetchImage("./Data/Images/Green.png").then(function (image) { greenImage = image; - }) + }), ); promises.push( Resource.fetchImage("./Data/Images/Blue.png").then(function (image) { blueImage = image; - }) + }), ); promises.push( - Resource.fetchImage("./Data/Images/BlueAlpha.png").then(function ( - image - ) { - blueAlphaImage = image; - }) + Resource.fetchImage("./Data/Images/BlueAlpha.png").then( + function (image) { + blueAlphaImage = image; + }, + ), ); promises.push( - Resource.fetchImage("./Data/Images/BlueOverRed.png").then(function ( - image - ) { - blueOverRedImage = image; - }) + Resource.fetchImage("./Data/Images/BlueOverRed.png").then( + function (image) { + blueOverRedImage = image; + }, + ), ); // Load this image as an ImageBitmap promises.push( @@ -78,14 +78,14 @@ describe( preferImageBitmap: true, }).then(function (image) { blueOverRedFlippedImage = image; - }) + }), ); promises.push( - Resource.fetchImage("./Data/Images/Red16x16.png").then(function ( - image - ) { - red16x16Image = image; - }) + Resource.fetchImage("./Data/Images/Red16x16.png").then( + function (image) { + red16x16Image = image; + }, + ), ); const resource = Resource.createIfNeeded("./Data/Images/Green4x4.ktx2"); @@ -96,14 +96,14 @@ describe( return promise.then(function (result) { greenKTX2Image = result; }); - }) + }), ); if (context.supportsBasis) { promises.push( loadKTX2("./Data/Images/Green4x4_ETC1S.ktx2").then(function (image) { greenBasisKTX2Image = image; - }) + }), ); } @@ -154,7 +154,7 @@ describe( expect(texture.sizeInBytes).toEqual( expectedWidth * expectedHeight * - PixelFormat.componentsLength(texture.pixelFormat) + PixelFormat.componentsLength(texture.pixelFormat), ); command.color = Color.WHITE; @@ -198,7 +198,7 @@ describe( expect(texture.sizeInBytes).toEqual( expectedWidth * expectedHeight * - PixelFormat.componentsLength(texture.pixelFormat) + PixelFormat.componentsLength(texture.pixelFormat), ); // Clear to white @@ -232,41 +232,41 @@ describe( const topColor = new Color(0.0, 0.0, 1.0, 1.0); let bottomColor = new Color(1.0, 0.0, 0.0, 1.0); - return Resource.supportsImageBitmapOptions().then(function ( - supportsImageBitmapOptions - ) { - if (supportsImageBitmapOptions) { - // When imageBitmapOptions is supported, flipY on texture upload is ignored. - bottomColor = topColor; - } + return Resource.supportsImageBitmapOptions().then( + function (supportsImageBitmapOptions) { + if (supportsImageBitmapOptions) { + // When imageBitmapOptions is supported, flipY on texture upload is ignored. + bottomColor = topColor; + } - texture = new Texture({ - context: context, - source: blueOverRedFlippedImage, - pixelFormat: PixelFormat.RGBA, - flipY: false, - }); + texture = new Texture({ + context: context, + source: blueOverRedFlippedImage, + pixelFormat: PixelFormat.RGBA, + flipY: false, + }); - expect({ - context: context, - fragmentShader: fs, - uniformMap: uniformMap, - }).contextToRender(topColor.toBytes()); + expect({ + context: context, + fragmentShader: fs, + uniformMap: uniformMap, + }).contextToRender(topColor.toBytes()); - // Flip the texture. - texture = new Texture({ - context: context, - source: blueOverRedFlippedImage, - pixelFormat: PixelFormat.RGBA, - flipY: true, - }); + // Flip the texture. + texture = new Texture({ + context: context, + source: blueOverRedFlippedImage, + pixelFormat: PixelFormat.RGBA, + flipY: true, + }); - expect({ - context: context, - fragmentShader: fs, - uniformMap: uniformMap, - }).contextToRender(bottomColor.toBytes()); - }); + expect({ + context: context, + fragmentShader: fs, + uniformMap: uniformMap, + }).contextToRender(bottomColor.toBytes()); + }, + ); }); it("draws the expected floating-point texture color", function () { @@ -399,14 +399,7 @@ describe( const color0 = new Color(0.2, 0.4, 0.6, 1.0); const color1 = new Color(0.1, 0.3, 0.5, 1.0); const floats = new Uint16Array([ - 12902, - 13926, - 14541, - 15360, - 11878, - 13517, - 14336, - 15360, + 12902, 13926, 14541, 15360, 11878, 13517, 14336, 15360, ]); texture = new Texture({ @@ -783,7 +776,7 @@ describe( texture.generateMipmap(); expect(texture.sizeInBytes).toEqualEpsilon( (16 * 16 + 8 * 8 + 4 * 4 + 2 * 2 + 1) * 4, - 1 + 1, ); expect({ @@ -876,7 +869,7 @@ describe( height, pixelFormat, pixelDatatype, - expectedSize + expectedSize, ) { texture = new Texture({ context: context, @@ -897,21 +890,21 @@ describe( 16, PixelFormat.DEPTH_COMPONENT, PixelDatatype.UNSIGNED_SHORT, - 256 * 2 + 256 * 2, ); expectTextureByteSize( 16, 16, PixelFormat.DEPTH_COMPONENT, PixelDatatype.UNSIGNED_INT, - 256 * 4 + 256 * 4, ); expectTextureByteSize( 16, 16, PixelFormat.DEPTH_STENCIL, PixelDatatype.UNSIGNED_INT_24_8, - 256 * 4 + 256 * 4, ); } @@ -921,35 +914,35 @@ describe( 16, PixelFormat.ALPHA, PixelDatatype.UNSIGNED_BYTE, - 256 + 256, ); expectTextureByteSize( 16, 16, PixelFormat.RGB, PixelDatatype.UNSIGNED_BYTE, - 256 * 3 + 256 * 3, ); expectTextureByteSize( 16, 16, PixelFormat.RGBA, PixelDatatype.UNSIGNED_BYTE, - 256 * 4 + 256 * 4, ); expectTextureByteSize( 16, 16, PixelFormat.LUMINANCE, PixelDatatype.UNSIGNED_BYTE, - 256 + 256, ); expectTextureByteSize( 16, 16, PixelFormat.LUMINANCE_ALPHA, PixelDatatype.UNSIGNED_BYTE, - 256 * 2 + 256 * 2, ); }); @@ -1562,5 +1555,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Renderer/UniformSpec.js b/packages/engine/Specs/Renderer/UniformSpec.js index a783e1a0bb38..aee94f158bae 100644 --- a/packages/engine/Specs/Renderer/UniformSpec.js +++ b/packages/engine/Specs/Renderer/UniformSpec.js @@ -369,7 +369,7 @@ describe( 13.0, 14.0, 15.0, - 16.0 + 16.0, ); }, }; @@ -798,7 +798,7 @@ describe( 13.0, 14.0, 15.0, - 16.0 + 16.0, ), new Matrix4( 11.0, @@ -816,7 +816,7 @@ describe( 113.0, 114.0, 115.0, - 116.0 + 116.0, ), ]; }, @@ -844,5 +844,5 @@ describe( }).contextToRender(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Renderer/VertexArrayFacadeSpec.js b/packages/engine/Specs/Renderer/VertexArrayFacadeSpec.js index 4600ed72f465..56e7f6fec589 100644 --- a/packages/engine/Specs/Renderer/VertexArrayFacadeSpec.js +++ b/packages/engine/Specs/Renderer/VertexArrayFacadeSpec.js @@ -31,7 +31,7 @@ describe( usage: BufferUsage.STATIC_DRAW, }, ], - 1 + 1, ); const writer = vaf.writers[positionIndex]; @@ -42,14 +42,14 @@ describe( expect(vaf.va[0].va.getAttribute(0).vertexBuffer).toBeDefined(); expect(vaf.va[0].va.getAttribute(0).vertexBuffer.sizeInBytes).toEqual( - 1 * 3 * 4 + 1 * 3 * 4, ); expect(vaf.va[0].va.getAttribute(0).vertexBuffer.usage).toEqual( - BufferUsage.STATIC_DRAW + BufferUsage.STATIC_DRAW, ); expect(vaf.va[0].va.getAttribute(0).componentsPerAttribute).toEqual(3); expect(vaf.va[0].va.getAttribute(0).componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(vaf.va[0].va.getAttribute(0).offsetInBytes).toEqual(0); expect(vaf.va[0].va.getAttribute(0).strideInBytes).toEqual(3 * 4); @@ -67,7 +67,7 @@ describe( usage: BufferUsage.STATIC_DRAW, }, ], - 1 + 1, ); const writer = vaf.writers[positionIndex]; @@ -81,14 +81,14 @@ describe( expect(vaf.va[0].va.getAttribute(0).vertexBuffer).toBeDefined(); expect(vaf.va[0].va.getAttribute(0).vertexBuffer.sizeInBytes).toEqual( - 2 * 3 * 4 + 2 * 3 * 4, ); expect(vaf.va[0].va.getAttribute(0).vertexBuffer.usage).toEqual( - BufferUsage.STATIC_DRAW + BufferUsage.STATIC_DRAW, ); expect(vaf.va[0].va.getAttribute(0).componentsPerAttribute).toEqual(3); expect(vaf.va[0].va.getAttribute(0).componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(vaf.va[0].va.getAttribute(0).offsetInBytes).toEqual(0); expect(vaf.va[0].va.getAttribute(0).strideInBytes).toEqual(3 * 4); @@ -113,7 +113,7 @@ describe( usage: BufferUsage.STATIC_DRAW, }, ], - 1 + 1, ); const positionWriter = vaf.writers[positionIndex]; @@ -129,29 +129,29 @@ describe( // Position attribute expect(vaf.va[0].va.getAttribute(0).vertexBuffer).toBeDefined(); expect(vaf.va[0].va.getAttribute(0).vertexBuffer.sizeInBytes).toEqual( - 1 * (3 * 4 + 4 * 1) + 1 * (3 * 4 + 4 * 1), ); expect(vaf.va[0].va.getAttribute(0).vertexBuffer.usage).toEqual( - BufferUsage.STATIC_DRAW + BufferUsage.STATIC_DRAW, ); expect(vaf.va[0].va.getAttribute(0).componentsPerAttribute).toEqual(3); expect(vaf.va[0].va.getAttribute(0).componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(vaf.va[0].va.getAttribute(0).offsetInBytes).toEqual(0); expect(vaf.va[0].va.getAttribute(0).strideInBytes).toEqual(3 * 4 + 4 * 1); // Color attribute expect(vaf.va[0].va.getAttribute(1).vertexBuffer).toEqual( - vaf.va[0].va.getAttribute(0).vertexBuffer + vaf.va[0].va.getAttribute(0).vertexBuffer, ); expect(vaf.va[0].va.getAttribute(1).componentsPerAttribute).toEqual(4); expect(vaf.va[0].va.getAttribute(1).componentDatatype).toEqual( - ComponentDatatype.UNSIGNED_BYTE + ComponentDatatype.UNSIGNED_BYTE, ); expect(vaf.va[0].va.getAttribute(1).offsetInBytes).toEqual(3 * 4); expect(vaf.va[0].va.getAttribute(1).strideInBytes).toEqual( - vaf.va[0].va.getAttribute(0).strideInBytes + vaf.va[0].va.getAttribute(0).strideInBytes, ); }); @@ -175,7 +175,7 @@ describe( normalize: true, }, ], - 1 + 1, ); const positionWriter = vaf.writers[positionIndex]; @@ -191,14 +191,14 @@ describe( // Position attribute expect(vaf.va[0].va.getAttribute(0).vertexBuffer).toBeDefined(); expect(vaf.va[0].va.getAttribute(0).vertexBuffer.sizeInBytes).toEqual( - 1 * (3 * 4) + 1 * (3 * 4), ); expect(vaf.va[0].va.getAttribute(0).vertexBuffer.usage).toEqual( - BufferUsage.STATIC_DRAW + BufferUsage.STATIC_DRAW, ); expect(vaf.va[0].va.getAttribute(0).componentsPerAttribute).toEqual(3); expect(vaf.va[0].va.getAttribute(0).componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(vaf.va[0].va.getAttribute(0).offsetInBytes).toEqual(0); expect(vaf.va[0].va.getAttribute(0).strideInBytes).toEqual(3 * 4); @@ -206,14 +206,14 @@ describe( // Texture coordinate attribute expect(vaf.va[0].va.getAttribute(1).vertexBuffer).toBeDefined(); expect(vaf.va[0].va.getAttribute(1).vertexBuffer.sizeInBytes).toEqual( - 1 * (2 * 2) + 1 * (2 * 2), ); expect(vaf.va[0].va.getAttribute(1).vertexBuffer.usage).toEqual( - BufferUsage.DYNAMIC_DRAW + BufferUsage.DYNAMIC_DRAW, ); expect(vaf.va[0].va.getAttribute(1).componentsPerAttribute).toEqual(2); expect(vaf.va[0].va.getAttribute(1).componentDatatype).toEqual( - ComponentDatatype.UNSIGNED_SHORT + ComponentDatatype.UNSIGNED_SHORT, ); expect(vaf.va[0].va.getAttribute(1).offsetInBytes).toEqual(0); expect(vaf.va[0].va.getAttribute(1).strideInBytes).toEqual(2 * 2); @@ -238,7 +238,7 @@ describe( usage: BufferUsage.STREAM_DRAW, }, ], - 2 + 2, ); const positionWriter = vaf.writers[positionIndex]; @@ -268,14 +268,14 @@ describe( // Position attribute expect(vaf.va[0].va.getAttribute(1).vertexBuffer).toBeDefined(); expect(vaf.va[0].va.getAttribute(1).vertexBuffer.sizeInBytes).toEqual( - 2 * (3 * 4) + 2 * (3 * 4), ); expect(vaf.va[0].va.getAttribute(1).vertexBuffer.usage).toEqual( - BufferUsage.STATIC_DRAW + BufferUsage.STATIC_DRAW, ); expect(vaf.va[0].va.getAttribute(1).componentsPerAttribute).toEqual(3); expect(vaf.va[0].va.getAttribute(1).componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(vaf.va[0].va.getAttribute(1).offsetInBytes).toEqual(0); expect(vaf.va[0].va.getAttribute(1).strideInBytes).toEqual(3 * 4); @@ -283,14 +283,14 @@ describe( // Temperature attribute expect(vaf.va[0].va.getAttribute(0).vertexBuffer).toBeDefined(); expect(vaf.va[0].va.getAttribute(0).vertexBuffer.sizeInBytes).toEqual( - 2 * 4 + 2 * 4, ); expect(vaf.va[0].va.getAttribute(0).vertexBuffer.usage).toEqual( - BufferUsage.STREAM_DRAW + BufferUsage.STREAM_DRAW, ); expect(vaf.va[0].va.getAttribute(0).componentsPerAttribute).toEqual(1); expect(vaf.va[0].va.getAttribute(0).componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(vaf.va[0].va.getAttribute(0).offsetInBytes).toEqual(0); expect(vaf.va[0].va.getAttribute(0).strideInBytes).toEqual(1 * 4); @@ -308,7 +308,7 @@ describe( usage: BufferUsage.STATIC_DRAW, }, ], - 1 + 1, ); const writer = vaf.writers[positionIndex]; @@ -326,7 +326,7 @@ describe( expect(vbBeforeResize.isDestroyed()).toBe(true); expect(vaf.va[0].va.getAttribute(0).vertexBuffer).not.toBe( - vbBeforeResize + vbBeforeResize, ); }); @@ -342,7 +342,7 @@ describe( usage: BufferUsage.STATIC_DRAW, }, ], - 1 + 1, ); expect(vaf.isDestroyed()).toBe(false); }); @@ -420,7 +420,7 @@ describe( usage: BufferUsage.STATIC_DRAW, }, ], - 10 + 10, ); expect(function () { @@ -436,5 +436,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Renderer/VertexArrayFactorySpec.js b/packages/engine/Specs/Renderer/VertexArrayFactorySpec.js index d0042e076c39..579e735dd04b 100644 --- a/packages/engine/Specs/Renderer/VertexArrayFactorySpec.js +++ b/packages/engine/Specs/Renderer/VertexArrayFactorySpec.js @@ -82,16 +82,16 @@ describe( const position = geometry.attributes.position; expect(va.getAttribute(0).index).toEqual(0); expect(va.getAttribute(0).componentDatatype).toEqual( - position.componentDatatype + position.componentDatatype, ); expect(va.getAttribute(0).componentsPerAttribute).toEqual( - position.componentsPerAttribute + position.componentsPerAttribute, ); expect(va.getAttribute(0).offsetInBytes).toEqual(0); expect(va.getAttribute(0).strideInBytes).toEqual(0); // Tightly packed expect(va.getAttribute(0).vertexBuffer.usage).toEqual( - BufferUsage.DYNAMIC_DRAW + BufferUsage.DYNAMIC_DRAW, ); // Default }); @@ -121,19 +121,19 @@ describe( const position = geometry.attributes.position; expect(va.getAttribute(0).index).toEqual(0); expect(va.getAttribute(0).componentDatatype).toEqual( - position.componentDatatype + position.componentDatatype, ); expect(va.getAttribute(0).componentsPerAttribute).toEqual( - position.componentsPerAttribute + position.componentsPerAttribute, ); expect(va.getAttribute(0).offsetInBytes).toEqual(0); expect(va.getAttribute(0).strideInBytes).toEqual( ComponentDatatype.getSizeInBytes(position.componentDatatype) * - position.componentsPerAttribute + position.componentsPerAttribute, ); expect(va.getAttribute(0).vertexBuffer.usage).toEqual( - BufferUsage.STATIC_DRAW + BufferUsage.STATIC_DRAW, ); }); @@ -166,10 +166,10 @@ describe( const position = geometry.attributes.customPosition; expect(va.getAttribute(0).index).toEqual(0); expect(va.getAttribute(0).componentDatatype).toEqual( - position.componentDatatype + position.componentDatatype, ); expect(va.getAttribute(0).componentsPerAttribute).toEqual( - position.componentsPerAttribute + position.componentsPerAttribute, ); expect(va.getAttribute(0).offsetInBytes).toEqual(0); expect(va.getAttribute(0).strideInBytes).toEqual(0); // Tightly packed @@ -177,16 +177,16 @@ describe( const normal = geometry.attributes.customNormal; expect(va.getAttribute(1).index).toEqual(1); expect(va.getAttribute(1).componentDatatype).toEqual( - normal.componentDatatype + normal.componentDatatype, ); expect(va.getAttribute(1).componentsPerAttribute).toEqual( - normal.componentsPerAttribute + normal.componentsPerAttribute, ); expect(va.getAttribute(1).offsetInBytes).toEqual(0); expect(va.getAttribute(1).strideInBytes).toEqual(0); // Tightly packed expect(va.getAttribute(0).vertexBuffer).not.toBe( - va.getAttribute(1).vertexBuffer + va.getAttribute(1).vertexBuffer, ); }); @@ -227,29 +227,29 @@ describe( expect(va.getAttribute(0).index).toEqual(0); expect(va.getAttribute(0).componentDatatype).toEqual( - position.componentDatatype + position.componentDatatype, ); expect(va.getAttribute(0).componentsPerAttribute).toEqual( - position.componentsPerAttribute + position.componentsPerAttribute, ); expect(va.getAttribute(0).offsetInBytes).toEqual(0); expect(va.getAttribute(0).strideInBytes).toEqual(expectedStride); expect(va.getAttribute(1).index).toEqual(1); expect(va.getAttribute(1).componentDatatype).toEqual( - normal.componentDatatype + normal.componentDatatype, ); expect(va.getAttribute(1).componentsPerAttribute).toEqual( - normal.componentsPerAttribute + normal.componentsPerAttribute, ); expect(va.getAttribute(1).offsetInBytes).toEqual( ComponentDatatype.getSizeInBytes(position.componentDatatype) * - position.componentsPerAttribute + position.componentsPerAttribute, ); expect(va.getAttribute(1).strideInBytes).toEqual(expectedStride); expect(va.getAttribute(0).vertexBuffer).toBe( - va.getAttribute(1).vertexBuffer + va.getAttribute(1).vertexBuffer, ); }); @@ -290,29 +290,29 @@ describe( expect(va.getAttribute(0).index).toEqual(0); expect(va.getAttribute(0).componentDatatype).toEqual( - position.componentDatatype + position.componentDatatype, ); expect(va.getAttribute(0).componentsPerAttribute).toEqual( - position.componentsPerAttribute + position.componentsPerAttribute, ); expect(va.getAttribute(0).offsetInBytes).toEqual(0); expect(va.getAttribute(0).strideInBytes).toEqual(expectedStride); expect(va.getAttribute(1).index).toEqual(1); expect(va.getAttribute(1).componentDatatype).toEqual( - colors.componentDatatype + colors.componentDatatype, ); expect(va.getAttribute(1).componentsPerAttribute).toEqual( - colors.componentsPerAttribute + colors.componentsPerAttribute, ); expect(va.getAttribute(1).offsetInBytes).toEqual( ComponentDatatype.getSizeInBytes(position.componentDatatype) * - position.componentsPerAttribute + position.componentsPerAttribute, ); expect(va.getAttribute(1).strideInBytes).toEqual(expectedStride); expect(va.getAttribute(0).vertexBuffer).toBe( - va.getAttribute(1).vertexBuffer + va.getAttribute(1).vertexBuffer, ); }); @@ -338,9 +338,8 @@ describe( primitiveType: PrimitiveType.POINTS, }); - const attributeLocations = GeometryPipeline.createAttributeLocations( - geometry - ); + const attributeLocations = + GeometryPipeline.createAttributeLocations(geometry); const va = VertexArray.fromGeometry({ context: context, geometry: geometry, @@ -409,9 +408,8 @@ describe( primitiveType: PrimitiveType.POINTS, }); - const attributeLocations = GeometryPipeline.createAttributeLocations( - geometry - ); + const attributeLocations = + GeometryPipeline.createAttributeLocations(geometry); const va = VertexArray.fromGeometry({ context: context, geometry: geometry, @@ -494,9 +492,8 @@ describe( primitiveType: PrimitiveType.POINTS, }); - const attributeLocations = GeometryPipeline.createAttributeLocations( - geometry - ); + const attributeLocations = + GeometryPipeline.createAttributeLocations(geometry); const va = VertexArray.fromGeometry({ context: context, geometry: geometry, @@ -571,9 +568,8 @@ describe( primitiveType: PrimitiveType.POINTS, }); - const attributeLocations = GeometryPipeline.createAttributeLocations( - geometry - ); + const attributeLocations = + GeometryPipeline.createAttributeLocations(geometry); const va = VertexArray.fromGeometry({ context: context, geometry: geometry, @@ -676,7 +672,7 @@ describe( expect(va.indexBuffer).toBeDefined(); expect(va.indexBuffer.usage).toEqual(BufferUsage.DYNAMIC_DRAW); // Default expect(va.indexBuffer.indexDatatype).toEqual( - IndexDatatype.UNSIGNED_SHORT + IndexDatatype.UNSIGNED_SHORT, ); expect(va.indexBuffer.numberOfIndices).toEqual(geometry.indices.length); }); @@ -736,5 +732,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Renderer/VertexArraySpec.js b/packages/engine/Specs/Renderer/VertexArraySpec.js index f9dd7f36f8dc..bfc5834735e5 100644 --- a/packages/engine/Specs/Renderer/VertexArraySpec.js +++ b/packages/engine/Specs/Renderer/VertexArraySpec.js @@ -79,7 +79,7 @@ describe( expect(va.getAttribute(0).vertexBuffer).toEqual(positionBuffer); expect(va.getAttribute(0).componentsPerAttribute).toEqual(3); expect(va.getAttribute(0).componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(va.getAttribute(0).normalize).toEqual(false); expect(va.getAttribute(0).offsetInBytes).toEqual(0); @@ -190,7 +190,7 @@ describe( expect(va.getAttribute(0).vertexBuffer).toEqual(positionBuffer); expect(va.getAttribute(0).componentsPerAttribute).toEqual(3); expect(va.getAttribute(0).componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(va.getAttribute(0).normalize).toEqual(false); expect(va.getAttribute(0).offsetInBytes).toEqual(0); @@ -908,5 +908,5 @@ describe( contextWithoutInstancing.destroyForSpecs(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Renderer/loadCubeMapSpec.js b/packages/engine/Specs/Renderer/loadCubeMapSpec.js index de41c7154dfa..70ee6f6ad8cd 100644 --- a/packages/engine/Specs/Renderer/loadCubeMapSpec.js +++ b/packages/engine/Specs/Renderer/loadCubeMapSpec.js @@ -292,5 +292,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/AppearanceSpec.js b/packages/engine/Specs/Scene/AppearanceSpec.js index 05cd5876c728..43fb29cabc60 100644 --- a/packages/engine/Specs/Scene/AppearanceSpec.js +++ b/packages/engine/Specs/Scene/AppearanceSpec.js @@ -55,7 +55,7 @@ describe("Scene/Appearance", function () { }); expect(appearance.getFragmentShaderSource().indexOf(fs)).toBeGreaterThan( - -1 + -1, ); }); diff --git a/packages/engine/Specs/Scene/ArcGisMapServerImageryProviderSpec.js b/packages/engine/Specs/Scene/ArcGisMapServerImageryProviderSpec.js index 61705dc67bfb..94000fee5b82 100644 --- a/packages/engine/Specs/Scene/ArcGisMapServerImageryProviderSpec.js +++ b/packages/engine/Specs/Scene/ArcGisMapServerImageryProviderSpec.js @@ -46,22 +46,24 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { }); function stubJSONCall(baseUrl, result, withProxy, token) { - spyOn(Resource._Implementations, "loadWithXhr").and.callFake(function ( - url, - responseType, - method, - data, - headers, - deferred, - overrideMimeType - ) { - deferred.resolve(JSON.stringify(result)); - }); + spyOn(Resource._Implementations, "loadWithXhr").and.callFake( + function ( + url, + responseType, + method, + data, + headers, + deferred, + overrideMimeType, + ) { + deferred.resolve(JSON.stringify(result)); + }, + ); } it("conforms to ImageryProvider interface", function () { expect(ArcGisMapServerImageryProvider).toConformToInterface( - ImageryProvider + ImageryProvider, ); }); @@ -100,9 +102,9 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { it("fromUrl throws if url is not provided", async function () { await expectAsync( - ArcGisMapServerImageryProvider.fromUrl() + ArcGisMapServerImageryProvider.fromUrl(), ).toBeRejectedWithDeveloperError( - "url is required, actual value was undefined" + "url is required, actual value was undefined", ); }); @@ -134,10 +136,10 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { const baseUrl = "//tiledArcGisMapServer.invalid/"; await expectAsync( - ArcGisMapServerImageryProvider.fromUrl(baseUrl) + ArcGisMapServerImageryProvider.fromUrl(baseUrl), ).toBeRejectedWithError( RuntimeError, - "An error occurred while accessing //tiledArcGisMapServer.invalid/" + "An error occurred while accessing //tiledArcGisMapServer.invalid/", ); }); @@ -180,10 +182,10 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { stubJSONCall(baseUrl, unsupportedWKIDResult); await expectAsync( - ArcGisMapServerImageryProvider.fromUrl(baseUrl) + ArcGisMapServerImageryProvider.fromUrl(baseUrl), ).toBeRejectedWithError( RuntimeError, - "An error occurred while accessing //tiledArcGisMapServer.invalid/: Tile spatial reference WKID 1234 is not supported." + "An error occurred while accessing //tiledArcGisMapServer.invalid/: Tile spatial reference WKID 1234 is not supported.", ); }); @@ -235,10 +237,10 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { stubJSONCall(baseUrl, unsupportedFullExtentWKIDResult); await expectAsync( - ArcGisMapServerImageryProvider.fromUrl(baseUrl) + ArcGisMapServerImageryProvider.fromUrl(baseUrl), ).toBeRejectedWithError( RuntimeError, - "An error occurred while accessing //tiledArcGisMapServer.invalid/: fullExtent.spatialReference WKID 1234 is not supported." + "An error occurred while accessing //tiledArcGisMapServer.invalid/: fullExtent.spatialReference WKID 1234 is not supported.", ); }); @@ -254,7 +256,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { expect(provider.tilingScheme).toBeInstanceOf(WebMercatorTilingScheme); expect(provider.credit).toBeDefined(); expect(provider.tileDiscardPolicy).toBeInstanceOf( - DiscardMissingTileImagePolicy + DiscardMissingTileImagePolicy, ); expect(provider.rectangle).toEqual(new WebMercatorTilingScheme().rectangle); expect(provider.usingPrecachedTiles).toEqual(true); @@ -263,15 +265,15 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { it("fromBasemapType throws without style", async function () { await expectAsync( - ArcGisMapServerImageryProvider.fromBasemapType() + ArcGisMapServerImageryProvider.fromBasemapType(), ).toBeRejectedWithDeveloperError( - "style is required, actual value was undefined" + "style is required, actual value was undefined", ); }); it("fromBasemapType throws with unknown style", async function () { await expectAsync( - ArcGisMapServerImageryProvider.fromBasemapType("unknown") + ArcGisMapServerImageryProvider.fromBasemapType("unknown"), ).toBeRejectedWithDeveloperError("Unsupported basemap type: unknown"); }); @@ -282,7 +284,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { ArcGisBaseMapType.SATELLITE, { token: "myToken", - } + }, ); expect(provider.url).toContain(expectedUrl); @@ -295,16 +297,16 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { const expectedUrl = ArcGisMapService.defaultWorldImageryServer; stubJSONCall(expectedUrl, webMercatorResult); const provider = await ArcGisMapServerImageryProvider.fromBasemapType( - ArcGisBaseMapType.SATELLITE + ArcGisBaseMapType.SATELLITE, ); expect(provider.url).toContain(expectedUrl); expect(provider.token).toBeDefined(); expect(provider.credit.html).toContain( - "This application is using a default ArcGIS access token." + "This application is using a default ArcGIS access token.", ); expect(provider.getTileCredits(0, 0, 0)[0].html).toEqual( - "Test copyright text" + "Test copyright text", ); }); @@ -323,7 +325,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { expect(provider.tilingScheme).toBeInstanceOf(WebMercatorTilingScheme); expect(provider.credit).toBeDefined(); expect(provider.tileDiscardPolicy).toBeInstanceOf( - DiscardMissingTileImagePolicy + DiscardMissingTileImagePolicy, ); expect(provider.rectangle).toEqual(new WebMercatorTilingScheme().rectangle); expect(provider.usingPrecachedTiles).toEqual(true); @@ -332,14 +334,14 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { Resource._Implementations.createImage = function ( request, crossOrigin, - deferred + deferred, ) { const url = request.url; if (/^blob:/.test(url)) { Resource._DefaultImplementations.createImage( request, crossOrigin, - deferred + deferred, ); } else { expect(url).toEqual(getAbsoluteUri(`${baseUrl}tile/0/0/0`)); @@ -348,7 +350,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { Resource._DefaultImplementations.createImage( new Request({ url: "Data/Images/Red16x16.png" }), crossOrigin, - deferred + deferred, ); } }; @@ -360,7 +362,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { expect(url).toEqual(getAbsoluteUri(`${baseUrl}tile/0/0/0`)); @@ -371,7 +373,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { method, data, headers, - deferred + deferred, ); }; @@ -428,7 +430,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { expect(provider.tilingScheme).toBeInstanceOf(GeographicTilingScheme); expect(provider.credit).toBeDefined(); expect(provider.tileDiscardPolicy).toBeInstanceOf( - DiscardMissingTileImagePolicy + DiscardMissingTileImagePolicy, ); expect(provider.rectangle).toEqual(new GeographicTilingScheme().rectangle); expect(provider.usingPrecachedTiles).toEqual(true); @@ -436,7 +438,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { Resource._Implementations.createImage = function ( request, crossOrigin, - deferred + deferred, ) { const url = request.url; if (/^blob:/.test(url) || supportsImageBitmapOptions) { @@ -447,7 +449,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { deferred, true, false, - true + true, ); } else { expect(url).toEqual(getAbsoluteUri(`${baseUrl}tile/0/0/0`)); @@ -456,7 +458,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { Resource._DefaultImplementations.createImage( new Request({ url: "Data/Images/Red16x16.png" }), crossOrigin, - deferred + deferred, ); } }; @@ -468,7 +470,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { expect(url).toEqual(getAbsoluteUri(`${baseUrl}tile/0/0/0`)); @@ -479,7 +481,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { method, data, headers, - deferred + deferred, ); }; @@ -500,7 +502,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { expect(provider.tilingScheme).toBeInstanceOf(GeographicTilingScheme); expect(provider.credit).toBeDefined(); expect(provider.tileDiscardPolicy).toBeInstanceOf( - DiscardMissingTileImagePolicy + DiscardMissingTileImagePolicy, ); expect(provider.rectangle).toEqual(new GeographicTilingScheme().rectangle); expect(provider.usingPrecachedTiles).toEqual(true); @@ -531,7 +533,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { Resource._Implementations.createImage = function ( request, crossOrigin, - deferred + deferred, ) { const uri = new Uri(request.url); const params = queryToObject(uri.query()); @@ -540,7 +542,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { uriWithoutQuery.query(""); expect(uriWithoutQuery.toString()).toEqual( - getAbsoluteUri(`${baseUrl}export`) + getAbsoluteUri(`${baseUrl}export`), ); expect(params.f).toEqual("image"); @@ -554,7 +556,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { Resource._DefaultImplementations.createImage( new Request({ url: "Data/Images/Red16x16.png" }), crossOrigin, - deferred + deferred, ); }; @@ -594,7 +596,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { copyrightText: "Test copyright text", }, undefined, - token + token, ); const provider = await ArcGisMapServerImageryProvider.fromUrl(baseUrl, { @@ -616,7 +618,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { expect(provider.credit).toBeDefined(); expect(provider.tileDiscardPolicy).toBeUndefined(); expect(provider.rectangle).toEqual( - Rectangle.fromDegrees(1.0, 2.0, 3.0, 4.0) + Rectangle.fromDegrees(1.0, 2.0, 3.0, 4.0), ); expect(provider.usingPrecachedTiles).toBe(false); expect(provider.enablePickFeatures).toBe(false); @@ -625,7 +627,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { Resource._Implementations.createImage = function ( request, crossOrigin, - deferred + deferred, ) { const uri = new Uri(request.url); const params = queryToObject(uri.query()); @@ -634,7 +636,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { uriWithoutQuery.query(""); expect(uriWithoutQuery.toString()).toEqual( - getAbsoluteUri(`${baseUrl}export`) + getAbsoluteUri(`${baseUrl}export`), ); expect(params.f).toEqual("image"); @@ -650,7 +652,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { Resource._DefaultImplementations.createImage( new Request({ url: "Data/Images/Red16x16.png" }), crossOrigin, - deferred + deferred, ); }; @@ -672,7 +674,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { const expectedTileUrl = getAbsoluteUri( `${baseUrl}tile/0/0/0?${objectToQuery({ token: token, - })}` + })}`, ); expect(provider.url).toEqual(baseUrl); @@ -684,7 +686,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { expect(provider.tilingScheme).toBeInstanceOf(WebMercatorTilingScheme); expect(provider.credit).toBeDefined(); expect(provider.tileDiscardPolicy).toBeInstanceOf( - DiscardMissingTileImagePolicy + DiscardMissingTileImagePolicy, ); expect(provider.rectangle).toEqual(new WebMercatorTilingScheme().rectangle); expect(provider.usingPrecachedTiles).toEqual(true); @@ -693,7 +695,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { Resource._Implementations.createImage = function ( request, crossOrigin, - deferred + deferred, ) { const url = request.url; if (/^blob:/.test(url) || supportsImageBitmapOptions) { @@ -704,7 +706,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { deferred, true, false, - true + true, ); } else { expect(url).toEqual(expectedTileUrl); @@ -713,7 +715,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { Resource._DefaultImplementations.createImage( new Request({ url: "Data/Images/Red16x16.png" }), crossOrigin, - deferred + deferred, ); } }; @@ -725,7 +727,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { expect(url).toEqual(expectedTileUrl); @@ -736,7 +738,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { method, data, headers, - deferred + deferred, ); }; @@ -797,16 +799,16 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { const projection = new WebMercatorProjection(); const sw = projection.unproject( - new Cartesian2(1.1148026611962173e7, -6443518.758206591) + new Cartesian2(1.1148026611962173e7, -6443518.758206591), ); const ne = projection.unproject( - new Cartesian2(1.8830976498143446e7, -265936.19697360107) + new Cartesian2(1.8830976498143446e7, -265936.19697360107), ); const rectangle = new Rectangle( sw.longitude, sw.latitude, ne.longitude, - ne.latitude + ne.latitude, ); expect(provider.rectangle).toEqual(rectangle); }); @@ -865,10 +867,10 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { expect(provider.rectangle.west).toBeGreaterThanOrEqual(-Math.PI); expect(provider.rectangle.east).toBeLessThanOrEqual(Math.PI); expect(provider.rectangle.south).toBeGreaterThanOrEqual( - -WebMercatorProjection.MaximumLatitude + -WebMercatorProjection.MaximumLatitude, ); expect(provider.rectangle.north).toBeLessThanOrEqual( - WebMercatorProjection.MaximumLatitude + WebMercatorProjection.MaximumLatitude, ); }); @@ -923,7 +925,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { expect(provider.url).toEqual(baseUrl); expect(provider.rectangle).toEqual( - Rectangle.fromDegrees(-123.4, -23.2, 100.7, 45.2) + Rectangle.fromDegrees(-123.4, -23.2, 100.7, 45.2), ); }); @@ -975,10 +977,10 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { stubJSONCall(baseUrl, unknownSpatialReferenceResult); await expectAsync( - ArcGisMapServerImageryProvider.fromUrl(baseUrl) + ArcGisMapServerImageryProvider.fromUrl(baseUrl), ).toBeRejectedWithError( RuntimeError, - "An error occurred while accessing //tiledArcGisMapServer.invalid/: Tile spatial reference WKID 1234 is not supported." + "An error occurred while accessing //tiledArcGisMapServer.invalid/: Tile spatial reference WKID 1234 is not supported.", ); }); @@ -989,7 +991,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { "made/up/map/server", { usePreCachedTilesIfAvailable: false, - } + }, ); Resource._Implementations.loadWithXhr = function ( @@ -999,7 +1001,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { expect(url).toContain("identify"); Resource._DefaultImplementations.loadWithXhr( @@ -1009,7 +1011,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ); }; @@ -1021,8 +1023,8 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { expect(firstResult.description).toContain("Hummock Grasses"); expect(firstResult.position).toEqual( new WebMercatorProjection().unproject( - new Cartesian3(1.481682457042425e7, -2710890.117898505) - ) + new Cartesian3(1.481682457042425e7, -2710890.117898505), + ), ); }); @@ -1032,7 +1034,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { "made/up/map/server", { usePreCachedTilesIfAvailable: false, - } + }, ); Resource._Implementations.loadWithXhr = function ( @@ -1042,7 +1044,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { expect(url).toContain("identify"); Resource._DefaultImplementations.loadWithXhr( @@ -1052,7 +1054,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ); }; return provider @@ -1064,7 +1066,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { expect(firstResult).toBeInstanceOf(ImageryLayerFeatureInfo); expect(firstResult.description).toContain("Hummock Grasses"); expect(firstResult.position).toEqual( - Cartographic.fromDegrees(123.45, -34.2) + Cartographic.fromDegrees(123.45, -34.2), ); }); }); @@ -1076,7 +1078,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { { usePreCachedTilesIfAvailable: false, enablePickFeatures: false, - } + }, ); expect(provider.pickFeatures(0, 0, 0, 0.5, 0.5)).toBeUndefined(); @@ -1089,7 +1091,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { { usePreCachedTilesIfAvailable: false, enablePickFeatures: true, - } + }, ); provider.enablePickFeatures = false; @@ -1103,7 +1105,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { { usePreCachedTilesIfAvailable: false, enablePickFeatures: false, - } + }, ); provider.enablePickFeatures = true; @@ -1115,7 +1117,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { expect(url).toContain("identify"); Resource._DefaultImplementations.loadWithXhr( @@ -1125,7 +1127,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ); }; @@ -1142,7 +1144,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { const uri = new Uri(url); const query = queryToObject(uri.query()); @@ -1155,7 +1157,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ); }; @@ -1164,7 +1166,7 @@ describe("Scene/ArcGisMapServerImageryProvider", function () { { usePreCachedTilesIfAvailable: false, layers: "someLayer,anotherLayerYay", - } + }, ); const pickResult = await provider.pickFeatures(0, 0, 0, 0.5, 0.5); diff --git a/packages/engine/Specs/Scene/AttributeTypeSpec.js b/packages/engine/Specs/Scene/AttributeTypeSpec.js index 8055b2e8554e..f442bf0bcc98 100644 --- a/packages/engine/Specs/Scene/AttributeTypeSpec.js +++ b/packages/engine/Specs/Scene/AttributeTypeSpec.js @@ -59,7 +59,7 @@ describe("Scene/AttributeType", function () { it("getAttributeLocationCount works", function () { expect(AttributeType.getAttributeLocationCount(AttributeType.SCALAR)).toBe( - 1 + 1, ); expect(AttributeType.getAttributeLocationCount(AttributeType.VEC2)).toBe(1); expect(AttributeType.getAttributeLocationCount(AttributeType.VEC3)).toBe(1); diff --git a/packages/engine/Specs/Scene/AxisSpec.js b/packages/engine/Specs/Scene/AxisSpec.js index f01d807ed0f4..5064e26a594c 100644 --- a/packages/engine/Specs/Scene/AxisSpec.js +++ b/packages/engine/Specs/Scene/AxisSpec.js @@ -5,7 +5,7 @@ describe("Scene/Axis", function () { const transformed = Matrix4.multiplyByVector( transformation, upAxis, - new Cartesian4() + new Cartesian4(), ); Cartesian4.normalize(transformed, transformed); expect(transformed).toEqualEpsilon(expected, CesiumMath.EPSILON1); diff --git a/packages/engine/Specs/Scene/B3dmParserSpec.js b/packages/engine/Specs/Scene/B3dmParserSpec.js index b2b6c074256f..47ca7363d9ca 100644 --- a/packages/engine/Specs/Scene/B3dmParserSpec.js +++ b/packages/engine/Specs/Scene/B3dmParserSpec.js @@ -74,7 +74,7 @@ describe( Cesium3DTilesTester.expectRenderTileset(scene, tileset); const batchTable = tileset.root.content.batchTable; expect(batchTable.featuresLength).toBe(10); - } + }, ); }); @@ -85,7 +85,7 @@ describe( Cesium3DTilesTester.expectRenderTileset(scene, tileset); const batchTable = tileset.root.content.batchTable; expect(batchTable.featuresLength).toBe(10); - } + }, ); }); @@ -94,9 +94,9 @@ describe( function (tileset) { expect(B3dmParser._deprecationWarning).toHaveBeenCalled(); Cesium3DTilesTester.expectRenderTileset(scene, tileset); - } + }, ); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/BatchTableHierarchySpec.js b/packages/engine/Specs/Scene/BatchTableHierarchySpec.js index 1fdab934e6bf..582e06e72873 100644 --- a/packages/engine/Specs/Scene/BatchTableHierarchySpec.js +++ b/packages/engine/Specs/Scene/BatchTableHierarchySpec.js @@ -290,7 +290,7 @@ describe("Scene/BatchTableHierarchy", function () { }); expect(hierarchy.getProperty(0, "items")).toBe(1); expect(hierarchy.getProperty(0, "coordinates")).toEqual( - new Cartesian2(1, 0) + new Cartesian2(1, 0), ); expect(hierarchy.getProperty(0, "boxCount")).toBe(1); @@ -300,13 +300,13 @@ describe("Scene/BatchTableHierarchy", function () { expect(hierarchy.getProperty(2, "items")).toBe(2); expect(hierarchy.getProperty(2, "coordinates")).toEqual( - new Cartesian2(1, 2) + new Cartesian2(1, 2), ); expect(hierarchy.getProperty(2, "boxCount")).not.toBeDefined(); expect(hierarchy.getProperty(3, "items")).toBe(3); expect(hierarchy.getProperty(3, "coordinates")).toEqual( - new Cartesian2(3, 2) + new Cartesian2(3, 2), ); expect(hierarchy.getProperty(3, "boxCount")).not.toBeDefined(); }); @@ -319,7 +319,7 @@ describe("Scene/BatchTableHierarchy", function () { }); expect(hierarchy.getProperty(0, "items")).toBe(1); expect(hierarchy.getProperty(0, "coordinates")).toEqual( - new Cartesian2(1, 0) + new Cartesian2(1, 0), ); expect(hierarchy.getProperty(0, "boxCount")).toBe(1); @@ -329,13 +329,13 @@ describe("Scene/BatchTableHierarchy", function () { expect(hierarchy.getProperty(2, "items")).toBe(2); expect(hierarchy.getProperty(2, "coordinates")).toEqual( - new Cartesian2(1, 2) + new Cartesian2(1, 2), ); expect(hierarchy.getProperty(2, "boxCount")).not.toBeDefined(); expect(hierarchy.getProperty(3, "items")).toBe(3); expect(hierarchy.getProperty(3, "coordinates")).toEqual( - new Cartesian2(3, 2) + new Cartesian2(3, 2), ); expect(hierarchy.getProperty(3, "boxCount")).not.toBeDefined(); }); @@ -380,7 +380,7 @@ describe("Scene/BatchTableHierarchy", function () { expect(hierarchy.getProperty(0, "items")).toBe(5); expect(hierarchy.getProperty(2, "coordinates")).toEqual( - new Cartesian2(1, 2) + new Cartesian2(1, 2), ); const position = new Cartesian2(5, 5); expect(hierarchy.setProperty(2, "coordinates", position)).toBe(true); @@ -399,7 +399,7 @@ describe("Scene/BatchTableHierarchy", function () { expect(hierarchy.getProperty(0, "items")).toBe(5); expect(hierarchy.getProperty(2, "coordinates")).toEqual( - new Cartesian2(1, 2) + new Cartesian2(1, 2), ); const position = new Cartesian2(5, 5); expect(hierarchy.setProperty(2, "coordinates", position)).toBe(true); diff --git a/packages/engine/Specs/Scene/BatchTableSpec.js b/packages/engine/Specs/Scene/BatchTableSpec.js index ea765487e13c..a2ad34141e3a 100644 --- a/packages/engine/Specs/Scene/BatchTableSpec.js +++ b/packages/engine/Specs/Scene/BatchTableSpec.js @@ -141,7 +141,7 @@ describe( 1.23456e12, -2.34567e30, 3.45678e-6, - -4.56789e-10 + -4.56789e-10, ); for (i = 0; i < batchTable.numberOfInstances; ++i) { @@ -161,7 +161,7 @@ describe( 0, Number.MAX_VALUE, Number.POSITIVE_INFINITY, - Number.NEGATIVE_INFINITY + Number.NEGATIVE_INFINITY, ); batchTable.setBatchedAttribute(3, 0, 0); batchTable.setBatchedAttribute(3, 1, color); @@ -241,7 +241,7 @@ describe( expect(uniforms.batchTexture).toBeDefined(); expect(uniforms.batchTexture()).toBeInstanceOf(Texture); expect(uniforms.batchTexture().pixelDatatype).toEqual( - PixelDatatype.UNSIGNED_BYTE + PixelDatatype.UNSIGNED_BYTE, ); expect(uniforms.batchTextureDimensions).toBeDefined(); expect(uniforms.batchTextureDimensions().x).toBeGreaterThan(0); @@ -265,7 +265,7 @@ describe( expect(uniforms.batchTexture).toBeDefined(); expect(uniforms.batchTexture()).toBeInstanceOf(Texture); expect(uniforms.batchTexture().pixelDatatype).toEqual( - PixelDatatype.FLOAT + PixelDatatype.FLOAT, ); expect(uniforms.batchTextureDimensions).toBeDefined(); expect(uniforms.batchTextureDimensions().x).toBeGreaterThan(0); @@ -278,11 +278,11 @@ describe( if (scene.context.floatingPointTexture) { expect(uniforms.batchTexture().pixelDatatype).toEqual( - PixelDatatype.FLOAT + PixelDatatype.FLOAT, ); } else { expect(uniforms.batchTexture().pixelDatatype).toEqual( - PixelDatatype.UNSIGNED_BYTE + PixelDatatype.UNSIGNED_BYTE, ); } }); @@ -293,10 +293,10 @@ describe( const shader = "void main() { gl_Position = vec4(0.0); }"; const modifiedShader = batchTable.getVertexShaderCallback()(shader); expect( - modifiedShader.indexOf(batchTable.attributes[0].functionName) + modifiedShader.indexOf(batchTable.attributes[0].functionName), ).not.toEqual(-1); expect( - modifiedShader.indexOf(batchTable.attributes[1].functionName) + modifiedShader.indexOf(batchTable.attributes[1].functionName), ).not.toEqual(-1); }); @@ -307,5 +307,5 @@ describe( expect(batchTable.isDestroyed()).toEqual(true); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/BatchTextureSpec.js b/packages/engine/Specs/Scene/BatchTextureSpec.js index 004580aebdf1..c4524980fd3b 100644 --- a/packages/engine/Specs/Scene/BatchTextureSpec.js +++ b/packages/engine/Specs/Scene/BatchTextureSpec.js @@ -257,5 +257,5 @@ describe( expect(batchTexture.getColor(0, result)).toEqual(Color.YELLOW); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/BillboardCollectionSpec.js b/packages/engine/Specs/Scene/BillboardCollectionSpec.js index 5d1b2791bc85..fb509661180d 100644 --- a/packages/engine/Specs/Scene/BillboardCollectionSpec.js +++ b/packages/engine/Specs/Scene/BillboardCollectionSpec.js @@ -47,26 +47,26 @@ describe( camera = scene.camera; return Promise.all([ - Resource.fetchImage("./Data/Images/Green2x2.png").then(function ( - result - ) { - greenImage = result; - }), - Resource.fetchImage("./Data/Images/Blue2x2.png").then(function ( - result - ) { - blueImage = result; - }), - Resource.fetchImage("./Data/Images/White2x2.png").then(function ( - result - ) { - whiteImage = result; - }), - Resource.fetchImage("./Data/Images/Blue10x10.png").then(function ( - result - ) { - largeBlueImage = result; - }), + Resource.fetchImage("./Data/Images/Green2x2.png").then( + function (result) { + greenImage = result; + }, + ), + Resource.fetchImage("./Data/Images/Blue2x2.png").then( + function (result) { + blueImage = result; + }, + ), + Resource.fetchImage("./Data/Images/White2x2.png").then( + function (result) { + whiteImage = result; + }, + ), + Resource.fetchImage("./Data/Images/Blue10x10.png").then( + function (result) { + largeBlueImage = result; + }, + ), ]); }); @@ -175,19 +175,19 @@ describe( expect(b.rotation).toEqual(1.0); expect(b.alignedAxis).toEqual(Cartesian3.UNIT_Z); expect(b.scaleByDistance).toEqual( - new NearFarScalar(1.0, 3.0, 1.0e6, 0.0) + new NearFarScalar(1.0, 3.0, 1.0e6, 0.0), ); expect(b.translucencyByDistance).toEqual( - new NearFarScalar(1.0, 1.0, 1.0e6, 0.0) + new NearFarScalar(1.0, 1.0, 1.0e6, 0.0), ); expect(b.pixelOffsetScaleByDistance).toEqual( - new NearFarScalar(1.0, 1.0, 1.0e6, 0.0) + new NearFarScalar(1.0, 1.0, 1.0e6, 0.0), ); expect(b.width).toEqual(300.0); expect(b.height).toEqual(200.0); expect(b.sizeInMeters).toEqual(true); expect(b.distanceDisplayCondition).toEqual( - new DistanceDisplayCondition(10.0, 100.0) + new DistanceDisplayCondition(10.0, 100.0), ); expect(b.disableDepthTestDistance).toEqual(10.0); expect(b.id).toEqual("id"); @@ -232,19 +232,19 @@ describe( expect(b.rotation).toEqual(1.0); expect(b.alignedAxis).toEqual(Cartesian3.UNIT_Z); expect(b.scaleByDistance).toEqual( - new NearFarScalar(1.0e6, 3.0, 1.0e8, 0.0) + new NearFarScalar(1.0e6, 3.0, 1.0e8, 0.0), ); expect(b.translucencyByDistance).toEqual( - new NearFarScalar(1.0e6, 1.0, 1.0e8, 0.0) + new NearFarScalar(1.0e6, 1.0, 1.0e8, 0.0), ); expect(b.pixelOffsetScaleByDistance).toEqual( - new NearFarScalar(1.0e6, 3.0, 1.0e8, 0.0) + new NearFarScalar(1.0e6, 3.0, 1.0e8, 0.0), ); expect(b.width).toEqual(300.0); expect(b.height).toEqual(200.0); expect(b.sizeInMeters).toEqual(true); expect(b.distanceDisplayCondition).toEqual( - new DistanceDisplayCondition(10.0, 100.0) + new DistanceDisplayCondition(10.0, 100.0), ); expect(b.disableDepthTestDistance).toEqual(10.0); expect(b.splitDirection).toEqual(SplitDirection.LEFT); @@ -1578,7 +1578,7 @@ describe( scene.renderForSpecs(); expect(b.computeScreenSpacePosition(scene)).toEqualEpsilon( new Cartesian2(0.5, 0.5), - CesiumMath.EPSILON1 + CesiumMath.EPSILON1, ); }); @@ -1592,7 +1592,7 @@ describe( expect(actual).toEqual(result); expect(result).toEqualEpsilon( new Cartesian2(0.5, 0.5), - CesiumMath.EPSILON1 + CesiumMath.EPSILON1, ); }); @@ -1604,7 +1604,7 @@ describe( scene.renderForSpecs(); expect(b.computeScreenSpacePosition(scene)).toEqualEpsilon( new Cartesian2(1, 1.0), - CesiumMath.EPSILON1 + CesiumMath.EPSILON1, ); }); @@ -1616,7 +1616,7 @@ describe( scene.renderForSpecs(); expect(b.computeScreenSpacePosition(scene)).toEqualEpsilon( new Cartesian2(0.5, 0.5), - CesiumMath.EPSILON1 + CesiumMath.EPSILON1, ); }); @@ -1629,7 +1629,7 @@ describe( scene.renderForSpecs(); expect(b.computeScreenSpacePosition(scene)).toEqualEpsilon( new Cartesian2(0.5, 0.5), - CesiumMath.EPSILON1 + CesiumMath.EPSILON1, ); }); @@ -1642,7 +1642,7 @@ describe( scene.renderForSpecs(); expect(b.computeScreenSpacePosition(scene)).toEqualEpsilon( new Cartesian2(0.5, 0.5), - CesiumMath.EPSILON1 + CesiumMath.EPSILON1, ); }); @@ -1707,7 +1707,7 @@ describe( const bbox = Billboard.getScreenSpaceBoundingBox( b, Cartesian2.ZERO, - result + result, ); expect(bbox.x).toEqual(-halfWidth); expect(bbox.y).toEqual(-halfHeight); @@ -1907,11 +1907,11 @@ describe( expected.center = new Cartesian3( 0.0, expected.center.x, - expected.center.y + expected.center.y, ); expect(actual.center).toEqualEpsilon( expected.center, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); expect(actual.radius).toBeGreaterThanOrEqual(expected.radius); }); @@ -1963,11 +1963,11 @@ describe( expected.center = new Cartesian3( 0.0, expected.center.x, - expected.center.y + expected.center.y, ); expect(actual.center).toEqualEpsilon( expected.center, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); expect(actual.radius).toBeGreaterThan(expected.radius); }); @@ -2000,16 +2000,16 @@ describe( const diff = Cartesian3.subtract( actual.center, camera.position, - new Cartesian3() + new Cartesian3(), ); const vectorProjection = Cartesian3.multiplyByScalar( camera.direction, Cartesian3.dot(diff, camera.direction), - new Cartesian3() + new Cartesian3(), ); const distance = Math.max( 0.0, - Cartesian3.magnitude(vectorProjection) - bs.radius + Cartesian3.magnitude(vectorProjection) - bs.radius, ); const pixelSize = camera.frustum.getPixelDimensions( @@ -2017,7 +2017,7 @@ describe( dimensions.y, distance, scene.pixelRatio, - new Cartesian2() + new Cartesian2(), ); bs.radius += pixelSize.y * 0.25 * Math.max(greenImage.width, greenImage.height) + @@ -2434,7 +2434,7 @@ describe( expect(scene.updateHeight).toHaveBeenCalledWith( Cartographic.fromCartesian(position), jasmine.any(Function), - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); }); @@ -2450,7 +2450,7 @@ describe( expect(scene.updateHeight).toHaveBeenCalledWith( Cartographic.fromCartesian(position), jasmine.any(Function), - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); }); @@ -2466,7 +2466,7 @@ describe( expect(scene.updateHeight).toHaveBeenCalledWith( Cartographic.fromCartesian(position), jasmine.any(Function), - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); b.heightReference = HeightReference.RELATIVE_TO_GROUND; @@ -2474,7 +2474,7 @@ describe( expect(scene.updateHeight).toHaveBeenCalledWith( Cartographic.fromCartesian(position), jasmine.any(Function), - HeightReference.RELATIVE_TO_GROUND + HeightReference.RELATIVE_TO_GROUND, ); }); @@ -2505,7 +2505,7 @@ describe( expect(scene.updateHeight).toHaveBeenCalledWith( Cartographic.fromCartesian(position), jasmine.any(Function), - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); position = b.position = Cartesian3.fromDegrees(-73.0, 40.0); @@ -2514,7 +2514,7 @@ describe( expect(scene.updateHeight).toHaveBeenCalledWith( Cartographic.fromCartesian(position), jasmine.any(Function), - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); }); @@ -2526,7 +2526,7 @@ describe( cartographic.height = height; updateCallback(cartographic); }; - } + }, ); const position = Cartesian3.fromDegrees(-72.0, 40.0); @@ -2538,14 +2538,14 @@ describe( expect(scene.updateHeight).toHaveBeenCalled(); let cartographic = scene.globe.ellipsoid.cartesianToCartographic( - b._clampedPosition + b._clampedPosition, ); expect(cartographic.height).toEqual(0.0); invokeCallback(100.0); cartographic = scene.globe.ellipsoid.cartesianToCartographic( - b._clampedPosition + b._clampedPosition, ); expect(cartographic.height).toEqualEpsilon(100.0, CesiumMath.EPSILON9); @@ -2587,14 +2587,14 @@ describe( expect(scene.updateHeight).toHaveBeenCalledWith( Cartographic.fromCartesian(position), jasmine.any(Function), - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); const terrainProvider = await CesiumTerrainProvider.fromUrl( "made/up/url", { requestVertexNormals: true, - } + }, ); scene.terrainProvider = terrainProvider; @@ -2602,7 +2602,7 @@ describe( expect(scene.updateHeight).toHaveBeenCalledWith( Cartographic.fromCartesian(position), jasmine.any(Function), - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); expect(removeCallback).toHaveBeenCalled(); }); @@ -2653,5 +2653,5 @@ describe( }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/BingMapsImageryProviderSpec.js b/packages/engine/Specs/Scene/BingMapsImageryProviderSpec.js index 8417fdd1e640..3323376afa7d 100644 --- a/packages/engine/Specs/Scene/BingMapsImageryProviderSpec.js +++ b/packages/engine/Specs/Scene/BingMapsImageryProviderSpec.js @@ -85,12 +85,12 @@ describe("Scene/BingMapsImageryProvider", function () { function installFakeMetadataRequest(url, mapStyle, mapLayer, culture) { const baseUri = new Uri(appendForwardSlash(url)); const expectedUri = new Uri( - `REST/v1/Imagery/Metadata/${mapStyle}` + `REST/v1/Imagery/Metadata/${mapStyle}`, ).absoluteTo(baseUri); Resource._Implementations.loadAndExecuteScript = function ( url, - functionName + functionName, ) { const uri = new Uri(url); @@ -120,7 +120,7 @@ describe("Scene/BingMapsImageryProvider", function () { Resource._Implementations.createImage = function ( request, crossOrigin, - deferred + deferred, ) { const url = request.url; if (/^blob:/.test(url) || supportsImageBitmapOptions) { @@ -131,7 +131,7 @@ describe("Scene/BingMapsImageryProvider", function () { deferred, true, false, - true + true, ); } else { if (defined(expectedUrl)) { @@ -153,7 +153,7 @@ describe("Scene/BingMapsImageryProvider", function () { Resource._DefaultImplementations.createImage( new Request({ url: "Data/Images/Red16x16.png" }), crossOrigin, - deferred + deferred, ); } }; @@ -165,7 +165,7 @@ describe("Scene/BingMapsImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { if (defined(expectedUrl)) { let uri = new Uri(url); @@ -190,24 +190,24 @@ describe("Scene/BingMapsImageryProvider", function () { method, data, headers, - deferred + deferred, ); }; } it("fromUrl throws if url is not provided", async function () { await expectAsync( - BingMapsImageryProvider.fromUrl() + BingMapsImageryProvider.fromUrl(), ).toBeRejectedWithDeveloperError( - "url is required, actual value was undefined" + "url is required, actual value was undefined", ); }); it("fromUrl throws if key is not provided", async function () { await expectAsync( - BingMapsImageryProvider.fromUrl("http://fake.fake.invalid/") + BingMapsImageryProvider.fromUrl("http://fake.fake.invalid/"), ).toBeRejectedWithDeveloperError( - "options.key is required, actual value was undefined" + "options.key is required, actual value was undefined", ); }); @@ -255,7 +255,7 @@ describe("Scene/BingMapsImageryProvider", function () { // Because the style is different, a non-cached request should have happened expect(provider3._imageUrlSubdomains).not.toBe( - provider._imageUrlSubdomains + provider._imageUrlSubdomains, ); }); @@ -336,10 +336,10 @@ describe("Scene/BingMapsImageryProvider", function () { await expectAsync( BingMapsImageryProvider.fromUrl(url, { key: "", - }) + }), ).toBeRejectedWithError( RuntimeError, - new RegExp("An error occurred while accessing") + new RegExp("An error occurred while accessing"), ); }); @@ -348,12 +348,12 @@ describe("Scene/BingMapsImageryProvider", function () { const baseUri = new Uri(appendForwardSlash(url)); const expectedUri = new Uri( - `REST/v1/Imagery/Metadata/${BingMapsStyle.AERIAL}` + `REST/v1/Imagery/Metadata/${BingMapsStyle.AERIAL}`, ).absoluteTo(baseUri); Resource._Implementations.loadAndExecuteScript = function ( url, - functionName + functionName, ) { const uri = new Uri(url); const query = queryToObject(uri.query()); @@ -366,7 +366,7 @@ describe("Scene/BingMapsImageryProvider", function () { setTimeout(function () { const response = createFakeBingMapsMetadataResponse( - BingMapsStyle.AERIAL + BingMapsStyle.AERIAL, ); response.resourceSets = []; window[functionName](response); @@ -377,10 +377,10 @@ describe("Scene/BingMapsImageryProvider", function () { await expectAsync( BingMapsImageryProvider.fromUrl(url, { key: "", - }) + }), ).toBeRejectedWithError( RuntimeError, - new RegExp("metadata does not specify one resource in resourceSets") + new RegExp("metadata does not specify one resource in resourceSets"), ); }); @@ -420,7 +420,7 @@ describe("Scene/BingMapsImageryProvider", function () { expect(provider.maximumLevel).toEqual(20); expect(provider.tilingScheme).toBeInstanceOf(WebMercatorTilingScheme); expect(provider.tileDiscardPolicy).toBeInstanceOf( - DiscardEmptyTileImagePolicy + DiscardEmptyTileImagePolicy, ); expect(provider.rectangle).toEqual(new WebMercatorTilingScheme().rectangle); expect(provider.credit).toBeInstanceOf(Object); @@ -430,7 +430,7 @@ describe("Scene/BingMapsImageryProvider", function () { { g: "3031", mkt: "", - } + }, ); const image = await provider.requestImage(0, 0, 0); @@ -458,7 +458,7 @@ describe("Scene/BingMapsImageryProvider", function () { { g: "3031", mkt: "ja-jp", - } + }, ); const image = await provider.requestImage(0, 0, 0); @@ -494,7 +494,7 @@ describe("Scene/BingMapsImageryProvider", function () { Resource._Implementations.createImage = function ( request, crossOrigin, - deferred + deferred, ) { const url = request.url; if (/^blob:/.test(url)) { @@ -502,14 +502,14 @@ describe("Scene/BingMapsImageryProvider", function () { Resource._DefaultImplementations.createImage( request, crossOrigin, - deferred + deferred, ); } else if (tries === 2) { // Succeed after 2 tries Resource._DefaultImplementations.createImage( new Request({ url: "Data/Images/Red16x16.png" }), crossOrigin, - deferred + deferred, ); } else { // fail @@ -526,7 +526,7 @@ describe("Scene/BingMapsImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { if (tries === 2) { // Succeed after 2 tries @@ -536,7 +536,7 @@ describe("Scene/BingMapsImageryProvider", function () { method, data, headers, - deferred + deferred, ); } else { // fail diff --git a/packages/engine/Specs/Scene/BoundingVolumeSemanticsSpec.js b/packages/engine/Specs/Scene/BoundingVolumeSemanticsSpec.js index 011b404611e9..23858656f5f1 100644 --- a/packages/engine/Specs/Scene/BoundingVolumeSemanticsSpec.js +++ b/packages/engine/Specs/Scene/BoundingVolumeSemanticsSpec.js @@ -98,14 +98,14 @@ describe("Scene/BoundingVolumeSemantics", function () { it("throws without tileMetadata", function () { expect(function () { return BoundingVolumeSemantics.parseAllBoundingVolumeSemantics( - undefined + undefined, ); }).toThrowDeveloperError(); }); it("works if no semantics are present", function () { expect( - BoundingVolumeSemantics.parseAllBoundingVolumeSemantics(emptyMetadata) + BoundingVolumeSemantics.parseAllBoundingVolumeSemantics(emptyMetadata), ).toEqual({ tile: { boundingVolume: undefined, @@ -134,7 +134,7 @@ describe("Scene/BoundingVolumeSemantics", function () { }); expect( - BoundingVolumeSemantics.parseAllBoundingVolumeSemantics(tileMetadata) + BoundingVolumeSemantics.parseAllBoundingVolumeSemantics(tileMetadata), ).toEqual({ tile: { boundingVolume: undefined, @@ -161,7 +161,7 @@ describe("Scene/BoundingVolumeSemantics", function () { }); expect( - BoundingVolumeSemantics.parseAllBoundingVolumeSemantics(tileMetadata) + BoundingVolumeSemantics.parseAllBoundingVolumeSemantics(tileMetadata), ).toEqual({ tile: { boundingVolume: { @@ -193,7 +193,7 @@ describe("Scene/BoundingVolumeSemantics", function () { }, }); expect( - BoundingVolumeSemantics.parseAllBoundingVolumeSemantics(tileMetadata) + BoundingVolumeSemantics.parseAllBoundingVolumeSemantics(tileMetadata), ).toEqual({ tile: { boundingVolume: { @@ -218,7 +218,7 @@ describe("Scene/BoundingVolumeSemantics", function () { expect(function () { return BoundingVolumeSemantics.parseBoundingVolumeSemantic( undefined, - emptyMetadata + emptyMetadata, ); }).toThrowDeveloperError(); }); @@ -227,7 +227,7 @@ describe("Scene/BoundingVolumeSemantics", function () { expect(function () { return BoundingVolumeSemantics.parseBoundingVolumeSemantic( "TILESET", - emptyMetadata + emptyMetadata, ); }).toThrowDeveloperError(); }); @@ -236,16 +236,17 @@ describe("Scene/BoundingVolumeSemantics", function () { expect(function () { return BoundingVolumeSemantics.parseBoundingVolumeSemantic( "TILE", - undefined + undefined, ); }).toThrowDeveloperError(); }); it("returns undefined if there are no bounding volume semantics", function () { - const boundingVolume = BoundingVolumeSemantics.parseBoundingVolumeSemantic( - "TILE", - emptyMetadata - ); + const boundingVolume = + BoundingVolumeSemantics.parseBoundingVolumeSemantic( + "TILE", + emptyMetadata, + ); expect(boundingVolume).not.toBeDefined(); }); @@ -258,10 +259,11 @@ describe("Scene/BoundingVolumeSemantics", function () { }, }, }); - const boundingVolume = BoundingVolumeSemantics.parseBoundingVolumeSemantic( - "TILE", - tileMetadata - ); + const boundingVolume = + BoundingVolumeSemantics.parseBoundingVolumeSemantic( + "TILE", + tileMetadata, + ); expect(boundingVolume).toEqual({ box: boundingBox, }); @@ -276,10 +278,11 @@ describe("Scene/BoundingVolumeSemantics", function () { }, }, }); - const boundingVolume = BoundingVolumeSemantics.parseBoundingVolumeSemantic( - "CONTENT", - tileMetadata - ); + const boundingVolume = + BoundingVolumeSemantics.parseBoundingVolumeSemantic( + "CONTENT", + tileMetadata, + ); expect(boundingVolume).toEqual({ region: boundingRegion, }); @@ -294,10 +297,11 @@ describe("Scene/BoundingVolumeSemantics", function () { }, }, }); - const boundingVolume = BoundingVolumeSemantics.parseBoundingVolumeSemantic( - "TILE", - tileMetadata - ); + const boundingVolume = + BoundingVolumeSemantics.parseBoundingVolumeSemantic( + "TILE", + tileMetadata, + ); expect(boundingVolume).toEqual({ sphere: boundingSphere, }); @@ -318,7 +322,7 @@ describe("Scene/BoundingVolumeSemantics", function () { // Box is handled before region const box = BoundingVolumeSemantics.parseBoundingVolumeSemantic( "TILE", - tileMetadata + tileMetadata, ); expect(box).toEqual({ box: boundingBox, @@ -327,7 +331,7 @@ describe("Scene/BoundingVolumeSemantics", function () { // region is handled before sphere const region = BoundingVolumeSemantics.parseBoundingVolumeSemantic( "CONTENT", - tileMetadata + tileMetadata, ); expect(region).toEqual({ region: boundingRegion, @@ -340,7 +344,7 @@ describe("Scene/BoundingVolumeSemantics", function () { expect(function () { return BoundingVolumeSemantics._parseMinimumHeight( undefined, - emptyMetadata + emptyMetadata, ); }).toThrowDeveloperError(); }); @@ -349,7 +353,7 @@ describe("Scene/BoundingVolumeSemantics", function () { expect(function () { return BoundingVolumeSemantics._parseMinimumHeight( "TILESET", - emptyMetadata + emptyMetadata, ); }).toThrowDeveloperError(); }); @@ -363,7 +367,7 @@ describe("Scene/BoundingVolumeSemantics", function () { it("returns undefined if minimum height not present", function () { const height = BoundingVolumeSemantics._parseMinimumHeight( "TILE", - emptyMetadata + emptyMetadata, ); expect(height).not.toBeDefined(); }); @@ -379,7 +383,7 @@ describe("Scene/BoundingVolumeSemantics", function () { }); const height = BoundingVolumeSemantics._parseMinimumHeight( "TILE", - tileMetadata + tileMetadata, ); expect(height).toBe(minimumHeight); }); @@ -390,7 +394,7 @@ describe("Scene/BoundingVolumeSemantics", function () { expect(function () { return BoundingVolumeSemantics._parseMaximumHeight( undefined, - emptyMetadata + emptyMetadata, ); }).toThrowDeveloperError(); }); @@ -399,7 +403,7 @@ describe("Scene/BoundingVolumeSemantics", function () { expect(function () { return BoundingVolumeSemantics._parseMaximumHeight( "TILESET", - emptyMetadata + emptyMetadata, ); }).toThrowDeveloperError(); }); @@ -413,7 +417,7 @@ describe("Scene/BoundingVolumeSemantics", function () { it("returns undefined if maximum height not present", function () { const height = BoundingVolumeSemantics._parseMaximumHeight( "TILE", - emptyMetadata + emptyMetadata, ); expect(height).not.toBeDefined(); }); @@ -429,7 +433,7 @@ describe("Scene/BoundingVolumeSemantics", function () { }); const height = BoundingVolumeSemantics._parseMaximumHeight( "CONTENT", - tileMetadata + tileMetadata, ); expect(height).toBe(maximumHeight); }); diff --git a/packages/engine/Specs/Scene/BoxEmitterSpec.js b/packages/engine/Specs/Scene/BoxEmitterSpec.js index c7b42a761a96..27cefe64bd94 100644 --- a/packages/engine/Specs/Scene/BoxEmitterSpec.js +++ b/packages/engine/Specs/Scene/BoxEmitterSpec.js @@ -59,7 +59,7 @@ describe("Scene/BoxEmitter", function () { expect(particle.position.y).toBeLessThanOrEqual(emitter.dimensions.y); expect(particle.position.z).toBeLessThanOrEqual(emitter.dimensions.z); expect(particle.velocity).toEqual( - Cartesian3.normalize(particle.position, new Cartesian3()) + Cartesian3.normalize(particle.position, new Cartesian3()), ); } }); diff --git a/packages/engine/Specs/Scene/BufferLoaderSpec.js b/packages/engine/Specs/Scene/BufferLoaderSpec.js index 884ab8e001e9..0dc2ac5f9409 100644 --- a/packages/engine/Specs/Scene/BufferLoaderSpec.js +++ b/packages/engine/Specs/Scene/BufferLoaderSpec.js @@ -44,7 +44,7 @@ describe("Scene/BufferLoader", function () { await expectAsync(bufferLoader.load()).toBeRejectedWithError( RuntimeError, - "Failed to load external buffer: https://example.com/external.bin\n404 Not Found" + "Failed to load external buffer: https://example.com/external.bin\n404 Not Found", ); }); @@ -61,7 +61,7 @@ describe("Scene/BufferLoader", function () { it("loads external buffer", async function () { const fetchBuffer = spyOn( Resource.prototype, - "fetchArrayBuffer" + "fetchArrayBuffer", ).and.returnValue(Promise.resolve(arrayBuffer)); const bufferLoader = new BufferLoader({ @@ -76,7 +76,7 @@ describe("Scene/BufferLoader", function () { it("destroys buffer", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(arrayBuffer) + Promise.resolve(arrayBuffer), ); const bufferLoader = new BufferLoader({ @@ -97,7 +97,7 @@ describe("Scene/BufferLoader", function () { it("handles asynchronous load after destroy", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(arrayBuffer) + Promise.resolve(arrayBuffer), ); const bufferLoader = new BufferLoader({ @@ -116,7 +116,7 @@ describe("Scene/BufferLoader", function () { it("handles asynchronous error after destroy", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.reject(new Error()) + Promise.reject(new Error()), ); const bufferLoader = new BufferLoader({ diff --git a/packages/engine/Specs/Scene/CameraEventAggregatorSpec.js b/packages/engine/Specs/Scene/CameraEventAggregatorSpec.js index 364c3b31e536..c418e12ef174 100644 --- a/packages/engine/Specs/Scene/CameraEventAggregatorSpec.js +++ b/packages/engine/Specs/Scene/CameraEventAggregatorSpec.js @@ -46,7 +46,7 @@ describe("Scene/CameraEventAggregator", function () { canvas, combine(options, { pointerType: "mouse", - }) + }), ); } else { DomEventSimulator.fireMouseDown(canvas, options); @@ -59,7 +59,7 @@ describe("Scene/CameraEventAggregator", function () { canvas, combine(options, { pointerType: "mouse", - }) + }), ); } else { DomEventSimulator.fireMouseUp(canvas, options); @@ -72,7 +72,7 @@ describe("Scene/CameraEventAggregator", function () { canvas, combine(options, { pointerType: "mouse", - }) + }), ); } else { DomEventSimulator.fireMouseMove(canvas, options); @@ -144,7 +144,7 @@ describe("Scene/CameraEventAggregator", function () { moveMouse(MouseButtons.RIGHT, startPosition, endPosition, true); movement = handler.getMovement( CameraEventType.RIGHT_DRAG, - KeyboardEventModifier.SHIFT + KeyboardEventModifier.SHIFT, ); expect(movement).toBeDefined(); expect(movement.startPosition).toEqual(startPosition); @@ -248,7 +248,7 @@ describe("Scene/CameraEventAggregator", function () { it("getButtonPressTime", function () { expect( - handler.getButtonPressTime(CameraEventType.LEFT_DRAG) + handler.getButtonPressTime(CameraEventType.LEFT_DRAG), ).toBeUndefined(); const options = { @@ -268,7 +268,7 @@ describe("Scene/CameraEventAggregator", function () { it("getButtonReleaseTime", function () { expect( - handler.getButtonReleaseTime(CameraEventType.LEFT_DRAG) + handler.getButtonReleaseTime(CameraEventType.LEFT_DRAG), ).toBeUndefined(); const options = { diff --git a/packages/engine/Specs/Scene/CameraFlightPathSpec.js b/packages/engine/Specs/Scene/CameraFlightPathSpec.js index a4573e7688ea..b4cd134bf356 100644 --- a/packages/engine/Specs/Scene/CameraFlightPathSpec.js +++ b/packages/engine/Specs/Scene/CameraFlightPathSpec.js @@ -101,7 +101,7 @@ describe( flight.update({ time: 0.0 }); expect(camera.position).toEqualEpsilon( startPosition, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(camera.heading).toEqualEpsilon(startHeading, CesiumMath.EPSILON12); expect(camera.pitch).toEqualEpsilon(startPitch, CesiumMath.EPSILON12); @@ -136,7 +136,7 @@ describe( flight.update({ time: 0.0 }); expect(camera.position).toEqualEpsilon( startPosition, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); flight.update({ time: duration }); @@ -153,7 +153,7 @@ describe( camera.right = Cartesian3.cross( camera.direction, camera.up, - new Cartesian3() + new Cartesian3(), ); const startPosition = Cartesian3.clone(camera.position); @@ -162,10 +162,10 @@ describe( const destination = Cartesian3.add( startPosition, new Cartesian3(-6e5 * Math.PI, 6e5 * CesiumMath.PI_OVER_FOUR, 100.0), - new Cartesian3() + new Cartesian3(), ); const endPosition = projection.ellipsoid.cartographicToCartesian( - projection.unproject(destination) + projection.unproject(destination), ); const duration = 5.0; @@ -177,7 +177,7 @@ describe( flight.update({ time: 0.0 }); expect(camera.position).toEqualEpsilon( startPosition, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); flight.update({ time: duration }); @@ -194,7 +194,7 @@ describe( camera.right = Cartesian3.cross( camera.direction, camera.up, - new Cartesian3() + new Cartesian3(), ); camera.frustum = createOrthographicFrustum(); @@ -205,10 +205,10 @@ describe( const destination = Cartesian3.add( startPosition, new Cartesian3(-6e6 * Math.PI, 6e6 * CesiumMath.PI_OVER_FOUR, 100.0), - new Cartesian3() + new Cartesian3(), ); const endPosition = projection.ellipsoid.cartographicToCartesian( - projection.unproject(destination) + projection.unproject(destination), ); const duration = 5.0; @@ -220,29 +220,29 @@ describe( flight.update({ time: 0.0 }); expect(camera.position).toEqualEpsilon( startPosition, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(camera.frustum.right - camera.frustum.left).toEqualEpsilon( startHeight, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); flight.update({ time: duration }); expect(camera.position.x).toEqualEpsilon( destination.x, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(camera.position.y).toEqualEpsilon( destination.y, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(camera.position.z).toEqualEpsilon( startPosition.z, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(camera.frustum.right - camera.frustum.left).toEqualEpsilon( destination.z, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); @@ -253,7 +253,7 @@ describe( const end = Cartesian3.multiplyByScalar( Cartesian3.normalize(start, new Cartesian3()), mag - 1000000.0, - new Cartesian3() + new Cartesian3(), ); const duration = 3.0; @@ -314,7 +314,7 @@ describe( flight.complete(); expect(scene.camera.position).toEqualEpsilon( destination, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); }); @@ -328,7 +328,7 @@ describe( camera.right = Cartesian3.cross( camera.direction, camera.up, - new Cartesian3() + new Cartesian3(), ); camera.frustum = createOrthographicFrustum(); camera.update(scene.mode); @@ -336,12 +336,12 @@ describe( const destination = Cartesian3.clone(camera.position); destination.z = Math.max( frustum.right - frustum.left, - frustum.top - frustum.bottom + frustum.top - frustum.bottom, ); const projection = scene.mapProjection; const endPosition = projection.ellipsoid.cartographicToCartesian( - projection.unproject(destination) + projection.unproject(destination), ); const flight = CameraFlightPath.createTween(scene, { @@ -387,7 +387,7 @@ describe( const projection = scene.mapProjection; const endPosition = projection.ellipsoid.cartographicToCartesian( - projection.unproject(camera.position) + projection.unproject(camera.position), ); const flight = CameraFlightPath.createTween(scene, { @@ -407,7 +407,7 @@ describe( camera.right = Cartesian3.cross( camera.direction, camera.up, - new Cartesian3() + new Cartesian3(), ); camera.frustum = createOrthographicFrustum(); @@ -419,10 +419,10 @@ describe( const destination = Cartesian3.add( startPosition, new Cartesian3(-6e5 * Math.PI, 6e5 * CesiumMath.PI_OVER_FOUR, 100.0), - new Cartesian3() + new Cartesian3(), ); const endPosition = projection.ellipsoid.cartographicToCartesian( - projection.unproject(destination) + projection.unproject(destination), ); const flight = CameraFlightPath.createTween(scene, { @@ -434,15 +434,15 @@ describe( flight.complete(); expect(camera.position.x).toEqualEpsilon( destination.x, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(camera.position.y).toEqualEpsilon( destination.y, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(camera.frustum.right - camera.frustum.left).toEqualEpsilon( destination.z, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); @@ -456,7 +456,7 @@ describe( camera.right = Cartesian3.cross( camera.direction, camera.up, - new Cartesian3() + new Cartesian3(), ); const startPosition = Cartesian3.clone(camera.position); @@ -465,10 +465,10 @@ describe( const destination = Cartesian3.add( startPosition, new Cartesian3(-6e6 * Math.PI, 6e6 * CesiumMath.PI_OVER_FOUR, 100.0), - new Cartesian3() + new Cartesian3(), ); const endPosition = projection.ellipsoid.cartographicToCartesian( - projection.unproject(destination) + projection.unproject(destination), ); const flight = CameraFlightPath.createTween(scene, { @@ -603,7 +603,7 @@ describe( flight.update({ time: duration / 2.0 }); expect(camera.pitch).toEqualEpsilon( -CesiumMath.PI_OVER_TWO, - CesiumMath.EPSILON4 + CesiumMath.EPSILON4, ); }); @@ -695,7 +695,7 @@ describe( flight.update({ time: i }); maximumHeight = Math.max( maximumHeight, - camera.positionCartographic.height + camera.positionCartographic.height, ); } @@ -717,5 +717,5 @@ describe( } }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/CameraSpec.js b/packages/engine/Specs/Scene/CameraSpec.js index 6f90f345f173..c56dccef6e45 100644 --- a/packages/engine/Specs/Scene/CameraSpec.js +++ b/packages/engine/Specs/Scene/CameraSpec.js @@ -110,7 +110,7 @@ describe("Scene/Camera", function () { 0.0, 0.0, 0.0, - 1.0 + 1.0, ); const translation = new Matrix4( 1.0, @@ -128,7 +128,7 @@ describe("Scene/Camera", function () { 0.0, 0.0, 0.0, - 1.0 + 1.0, ); const expected = Matrix4.multiply(rotation, translation, new Matrix4()); expect(viewMatrix).toEqual(expected); @@ -138,7 +138,7 @@ describe("Scene/Camera", function () { const expected = Matrix4.inverse(camera.viewMatrix, new Matrix4()); expect(expected).toEqualEpsilon( camera.inverseViewMatrix, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -160,12 +160,12 @@ describe("Scene/Camera", function () { 0.0, 0.0, 0.0, - 1.0 - ) + 1.0, + ), ); const expected = Matrix4.inverseTransformation( camera.transform, - new Matrix4() + new Matrix4(), ); expect(expected).toEqual(camera.inverseTransform); }); @@ -174,42 +174,42 @@ describe("Scene/Camera", function () { camera.direction = new Cartesian3( -0.32297853365047874, 0.9461560708446421, - 0.021761351171635013 + 0.021761351171635013, ); camera.up = new Cartesian3( 0.9327219113001013, 0.31839266745173644, - -2.9874778345595487e-10 + -2.9874778345595487e-10, ); camera.right = new Cartesian3( 0.0069286549295528715, -0.020297288960790985, - 0.9853344956450351 + 0.9853344956450351, ); expect(Cartesian3.magnitude(camera.right)).not.toEqualEpsilon( 1.0, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); expect(Cartesian3.magnitude(camera.up)).not.toEqualEpsilon( 1.0, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); // Trigger updateMembers which normalizes the axes const viewMatrix = camera.viewMatrix; expect(Cartesian3.magnitude(camera.right)).toEqualEpsilon( 1.0, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); expect(Cartesian3.magnitude(camera.up)).toEqualEpsilon( 1.0, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); const inverseAffine = Matrix4.inverseTransformation( viewMatrix, - new Matrix4() + new Matrix4(), ); const inverse = Matrix4.inverse(viewMatrix, new Matrix4()); expect(inverseAffine).toEqualEpsilon(inverse, CesiumMath.EPSILON8); @@ -266,7 +266,7 @@ describe("Scene/Camera", function () { const ellipsoid = Ellipsoid.WGS84; const toFixedFrame = Transforms.eastNorthUpToFixedFrame( camera.position, - ellipsoid + ellipsoid, ); const transform = Matrix4.getMatrix3(toFixedFrame, new Matrix3()); Matrix3.transpose(transform, transform); @@ -274,7 +274,7 @@ describe("Scene/Camera", function () { let right = Matrix3.multiplyByVector( transform, camera.right, - new Cartesian3() + new Cartesian3(), ); const heading = CesiumMath.TWO_PI - CesiumMath.zeroToTwoPi(Math.atan2(right.y, right.x)); @@ -336,13 +336,13 @@ describe("Scene/Camera", function () { camera.position = Cartesian3.fromDegrees(-72.0, 40.0, 100000.0); camera.direction = Cartesian3.negate( Cartesian3.normalize(camera.position, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ); camera.up = Cartesian3.clone(Cartesian3.UNIT_Z); camera.right = Cartesian3.cross( camera.direction, camera.up, - new Cartesian3() + new Cartesian3(), ); const heading = camera.heading; @@ -369,7 +369,7 @@ describe("Scene/Camera", function () { camera.right = Cartesian3.cross( camera.direction, camera.up, - new Cartesian3() + new Cartesian3(), ); const heading = camera.heading; @@ -415,7 +415,7 @@ describe("Scene/Camera", function () { expect(camera.positionCartographic).toEqual(positionCartographic); expect(camera.heading).toEqualEpsilon( CesiumMath.TWO_PI, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); expect(camera.up.z).toBeGreaterThan(0.0); }); @@ -431,13 +431,13 @@ describe("Scene/Camera", function () { camera.position = Cartesian3.fromDegrees(0.0, 0.0, 100000.0); camera.direction = Cartesian3.negate( Cartesian3.normalize(camera.position, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ); camera.up = Cartesian3.clone(Cartesian3.UNIT_Z); camera.right = Cartesian3.cross( camera.direction, camera.up, - new Cartesian3() + new Cartesian3(), ); const positionWC = Cartesian3.clone(camera.positionWC); @@ -486,13 +486,13 @@ describe("Scene/Camera", function () { camera.position = Cartesian3.fromDegrees(-72.0, 40.0, 100000.0); camera.direction = Cartesian3.negate( Cartesian3.normalize(camera.position, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ); camera.up = Cartesian3.clone(Cartesian3.UNIT_Z); camera.right = Cartesian3.cross( camera.direction, camera.up, - new Cartesian3() + new Cartesian3(), ); const pitch = camera.pitch; @@ -519,7 +519,7 @@ describe("Scene/Camera", function () { camera.right = Cartesian3.cross( camera.direction, camera.up, - new Cartesian3() + new Cartesian3(), ); const pitch = camera.pitch; @@ -543,13 +543,13 @@ describe("Scene/Camera", function () { camera.position = Cartesian3.fromDegrees(0.0, 0.0, 100000.0); camera.direction = Cartesian3.negate( Cartesian3.normalize(camera.position, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ); camera.up = Cartesian3.clone(Cartesian3.UNIT_Z); camera.right = Cartesian3.cross( camera.direction, camera.up, - new Cartesian3() + new Cartesian3(), ); camera.look(camera.direction, CesiumMath.toRadians(45.0)); @@ -578,25 +578,25 @@ describe("Scene/Camera", function () { Cartesian3.multiplyByScalar( camera.position, ellipsoid.maximumRadius + 100.0, - camera.position + camera.position, ); camera.direction = new Cartesian3(-1.0, 0.0, 1.0); Cartesian3.normalize(camera.direction, camera.direction); camera.right = Cartesian3.cross( camera.direction, Cartesian3.UNIT_Z, - new Cartesian3() + new Cartesian3(), ); Cartesian3.normalize(camera.right, camera.right); camera.up = Cartesian3.cross( camera.right, camera.direction, - new Cartesian3() + new Cartesian3(), ); const toFixedFrame = Transforms.eastNorthUpToFixedFrame( camera.position, - ellipsoid + ellipsoid, ); const transform = Matrix4.getMatrix3(toFixedFrame, new Matrix3()); Matrix3.transpose(transform, transform); @@ -604,7 +604,7 @@ describe("Scene/Camera", function () { let right = Matrix3.multiplyByVector( transform, camera.right, - new Cartesian3() + new Cartesian3(), ); const roll = CesiumMath.TWO_PI - Math.atan2(right.z, right.x); @@ -646,7 +646,7 @@ describe("Scene/Camera", function () { camera.right = Cartesian3.cross( camera.direction, camera.up, - new Cartesian3() + new Cartesian3(), ); const roll = camera.roll; @@ -675,12 +675,12 @@ describe("Scene/Camera", function () { camera.right = Cartesian3.cross( camera.direction, camera.up, - new Cartesian3() + new Cartesian3(), ); const roll = camera.roll; const positionCartographic = Cartographic.clone( - camera.positionCartographic + camera.positionCartographic, ); const newRoll = CesiumMath.PI_OVER_FOUR; @@ -745,17 +745,17 @@ describe("Scene/Camera", function () { const cart = ellipsoid.cartesianToCartographic(cartesian); expect(camera.positionCartographic).toEqualEpsilon( cart, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); expect(camera.direction).toEqualEpsilon( Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()), - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); expect(camera.up).toEqualEpsilon(Cartesian3.UNIT_Y, CesiumMath.EPSILON6); expect(camera.right).toEqualEpsilon(Cartesian3.UNIT_X, CesiumMath.EPSILON6); expect(frustum.right - frustum.left).toEqualEpsilon( cart.height, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); expect(frustum.top / frustum.right).toEqual(ratio); }); @@ -775,11 +775,11 @@ describe("Scene/Camera", function () { const cart = ellipsoid.cartesianToCartographic(cartesian); expect(camera.positionCartographic).toEqualEpsilon( cart, - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); expect(camera.direction).toEqualEpsilon( Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()), - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); expect(camera.up).toEqualEpsilon(Cartesian3.UNIT_Y, CesiumMath.EPSILON6); expect(camera.right).toEqualEpsilon(Cartesian3.UNIT_X, CesiumMath.EPSILON6); @@ -799,19 +799,19 @@ describe("Scene/Camera", function () { expect(camera.positionCartographic).toEqualEpsilon( ellipsoid.cartesianToCartographic(cartesian), - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); expect(camera.direction).toEqualEpsilon( Cartesian3.normalize( Cartesian3.negate(camera.position, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ), - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); expect(camera.up).toEqualEpsilon(Cartesian3.UNIT_Z, CesiumMath.EPSILON6); expect(camera.right).toEqualEpsilon( Cartesian3.cross(camera.direction, camera.up, new Cartesian3()), - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); }); @@ -835,11 +835,11 @@ describe("Scene/Camera", function () { const cart = ellipsoid.cartesianToCartographic(cartesian); expect(camera.positionCartographic).toEqualEpsilon( cart, - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); expect(camera.direction).toEqualEpsilon( Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()), - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); expect(camera.up).toEqualEpsilon(Cartesian3.UNIT_Y, CesiumMath.EPSILON6); expect(camera.right).toEqualEpsilon(Cartesian3.UNIT_X, CesiumMath.EPSILON6); @@ -866,19 +866,19 @@ describe("Scene/Camera", function () { const cart = ellipsoid.cartesianToCartographic(cartesian); expect(camera.positionCartographic).toEqualEpsilon( cart, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); expect(camera.direction).toEqualEpsilon( Cartesian3.normalize( Cartesian3.negate(camera.position, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ), - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); expect(camera.up).toEqualEpsilon(Cartesian3.UNIT_Z, CesiumMath.EPSILON6); expect(camera.right).toEqualEpsilon( Cartesian3.cross(camera.direction, camera.up, new Cartesian3()), - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); expect(camera.frustum.width).toEqual(cart.height); }); @@ -1056,11 +1056,11 @@ describe("Scene/Camera", function () { 0.0, 0.0, 0.0, - 1.0 - ) + 1.0, + ), ); expect(camera.worldToCameraCoordinates(Cartesian4.UNIT_X)).toEqual( - Cartesian4.UNIT_Z + Cartesian4.UNIT_Z, ); }); @@ -1088,16 +1088,16 @@ describe("Scene/Camera", function () { 0.0, 0.0, 0.0, - 1.0 - ) + 1.0, + ), ); const expected = Cartesian3.add( Matrix4.getColumn(camera.inverseTransform, 3, new Cartesian4()), Cartesian3.UNIT_Z, - new Cartesian3() + new Cartesian3(), ); expect(camera.worldToCameraCoordinatesPoint(Cartesian3.UNIT_X)).toEqual( - expected + expected, ); }); @@ -1125,11 +1125,11 @@ describe("Scene/Camera", function () { 0.0, 0.0, 0.0, - 1.0 - ) + 1.0, + ), ); expect(camera.worldToCameraCoordinatesVector(Cartesian3.UNIT_X)).toEqual( - Cartesian3.UNIT_Z + Cartesian3.UNIT_Z, ); }); @@ -1157,11 +1157,11 @@ describe("Scene/Camera", function () { 0.0, 0.0, 0.0, - 1.0 - ) + 1.0, + ), ); expect(camera.cameraToWorldCoordinates(Cartesian4.UNIT_Z)).toEqual( - Cartesian4.UNIT_X + Cartesian4.UNIT_X, ); }); @@ -1189,16 +1189,16 @@ describe("Scene/Camera", function () { 0.0, 0.0, 0.0, - 1.0 - ) + 1.0, + ), ); const expected = Cartesian3.add( Cartesian3.UNIT_X, Matrix4.getColumn(camera.transform, 3, new Cartesian4()), - new Cartesian3() + new Cartesian3(), ); expect(camera.cameraToWorldCoordinatesPoint(Cartesian3.UNIT_Z)).toEqual( - expected + expected, ); }); @@ -1226,11 +1226,11 @@ describe("Scene/Camera", function () { 0.0, 0.0, 0.0, - 1.0 - ) + 1.0, + ), ); expect(camera.cameraToWorldCoordinatesVector(Cartesian3.UNIT_Z)).toEqual( - Cartesian3.UNIT_X + Cartesian3.UNIT_X, ); }); @@ -1243,12 +1243,12 @@ describe("Scene/Camera", function () { it("moves", function () { const direction = Cartesian3.normalize( new Cartesian3(1.0, 1.0, 0.0), - new Cartesian3() + new Cartesian3(), ); camera.move(direction, moveAmount); expect(camera.position).toEqualEpsilon( new Cartesian3(direction.x * moveAmount, direction.y * moveAmount, 1.0), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(camera.up).toEqual(up); expect(camera.direction).toEqual(dir); @@ -1259,7 +1259,7 @@ describe("Scene/Camera", function () { camera.moveUp(moveAmount); expect(camera.position).toEqualEpsilon( new Cartesian3(0.0, moveAmount, 1.0), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(camera.up).toEqual(up); expect(camera.direction).toEqual(dir); @@ -1270,7 +1270,7 @@ describe("Scene/Camera", function () { camera.moveDown(moveAmount); expect(camera.position).toEqualEpsilon( new Cartesian3(0.0, -moveAmount, 1.0), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(camera.up).toEqual(up); expect(camera.direction).toEqual(dir); @@ -1281,7 +1281,7 @@ describe("Scene/Camera", function () { camera.moveRight(moveAmount); expect(camera.position).toEqual( new Cartesian3(moveAmount, 0.0, 1.0), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(camera.up).toEqual(up); expect(camera.direction).toEqual(dir); @@ -1292,7 +1292,7 @@ describe("Scene/Camera", function () { camera.moveLeft(moveAmount); expect(camera.position).toEqual( new Cartesian3(-moveAmount, 0.0, 1.0), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(camera.up).toEqual(up); expect(camera.direction).toEqual(dir); @@ -1303,7 +1303,7 @@ describe("Scene/Camera", function () { camera.moveForward(moveAmount); expect(camera.position).toEqual( new Cartesian3(0.0, 0.0, 1.0 - moveAmount), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(camera.up).toEqual(up); expect(camera.direction).toEqual(dir); @@ -1314,7 +1314,7 @@ describe("Scene/Camera", function () { camera.moveBackward(moveAmount); expect(camera.position).toEqual( new Cartesian3(0.0, 0.0, 1.0 + moveAmount), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(camera.up).toEqual(up); expect(camera.direction).toEqual(dir); @@ -1381,7 +1381,7 @@ describe("Scene/Camera", function () { camera.update(SceneMode.SCENE2D); const max = scene.mapProjection.project( - new Cartographic(Math.PI, CesiumMath.toRadians(85.05112878)) + new Cartographic(Math.PI, CesiumMath.toRadians(85.05112878)), ); const factor = 1000.0; const dx = max.x * factor; @@ -1410,11 +1410,11 @@ describe("Scene/Camera", function () { expect(camera.right).toEqual(right); expect(camera.up).toEqualEpsilon( Cartesian3.negate(Cartesian3.UNIT_Y, new Cartesian3()), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(camera.direction).toEqualEpsilon( Cartesian3.UNIT_Z, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -1424,7 +1424,7 @@ describe("Scene/Camera", function () { expect(camera.up).toEqualEpsilon(up, CesiumMath.EPSILON15); expect(camera.direction).toEqualEpsilon( Cartesian3.negate(right, new Cartesian3()), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(camera.right).toEqualEpsilon(dir, CesiumMath.EPSILON15); }); @@ -1436,7 +1436,7 @@ describe("Scene/Camera", function () { expect(camera.direction).toEqualEpsilon(right, CesiumMath.EPSILON15); expect(camera.right).toEqualEpsilon( Cartesian3.negate(dir, new Cartesian3()), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -1447,7 +1447,7 @@ describe("Scene/Camera", function () { expect(camera.direction).toEqualEpsilon(up, CesiumMath.EPSILON15); expect(camera.up).toEqualEpsilon( Cartesian3.negate(dir, new Cartesian3()), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -1457,7 +1457,7 @@ describe("Scene/Camera", function () { expect(camera.right).toEqualEpsilon(right, CesiumMath.EPSILON15); expect(camera.direction).toEqualEpsilon( Cartesian3.negate(up, new Cartesian3()), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(camera.up).toEqualEpsilon(dir, CesiumMath.EPSILON15); }); @@ -1482,7 +1482,7 @@ describe("Scene/Camera", function () { expect(camera.up).toEqualEpsilon(oldCamera.up, CesiumMath.EPSILON15); expect(camera.direction).toEqualEpsilon( oldCamera.direction, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(camera.right).toEqualEpsilon(oldCamera.right, CesiumMath.EPSILON15); }); @@ -1507,7 +1507,7 @@ describe("Scene/Camera", function () { expect(camera.up).toEqualEpsilon(oldCamera.up, CesiumMath.EPSILON15); expect(camera.direction).toEqualEpsilon( oldCamera.direction, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(camera.right).toEqualEpsilon(oldCamera.right, CesiumMath.EPSILON15); }); @@ -1532,7 +1532,7 @@ describe("Scene/Camera", function () { expect(camera.up).toEqualEpsilon(oldCamera.up, CesiumMath.EPSILON15); expect(camera.direction).toEqualEpsilon( oldCamera.direction, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(camera.right).toEqualEpsilon(oldCamera.right, CesiumMath.EPSILON15); }); @@ -1557,7 +1557,7 @@ describe("Scene/Camera", function () { expect(camera.up).toEqualEpsilon(oldCamera.up, CesiumMath.EPSILON15); expect(camera.direction).toEqualEpsilon( oldCamera.direction, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(camera.right).toEqualEpsilon(oldCamera.right, CesiumMath.EPSILON15); }); @@ -1568,7 +1568,7 @@ describe("Scene/Camera", function () { expect(camera.direction).toEqualEpsilon(dir, CesiumMath.EPSILON15); expect(camera.up).toEqualEpsilon( Cartesian3.negate(right, new Cartesian3()), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(camera.right).toEqualEpsilon(up, CesiumMath.EPSILON15); }); @@ -1580,7 +1580,7 @@ describe("Scene/Camera", function () { expect(camera.up).toEqualEpsilon(right, CesiumMath.EPSILON14); expect(camera.right).toEqualEpsilon( Cartesian3.negate(up, new Cartesian3()), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -1594,13 +1594,13 @@ describe("Scene/Camera", function () { camera.rotateUp(rotateAmount); expect(camera.up).toEqualEpsilon( Cartesian3.negate(dir, new Cartesian3()), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(camera.direction).toEqualEpsilon(up, CesiumMath.EPSILON15); expect(camera.right).toEqualEpsilon(right, CesiumMath.EPSILON15); expect(camera.position).toEqualEpsilon( Cartesian3.negate(Cartesian3.UNIT_Y, new Cartesian3()), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -1609,13 +1609,13 @@ describe("Scene/Camera", function () { camera.rotateUp(rotateAmount); expect(camera.up).toEqualEpsilon( Cartesian3.negate(dir, new Cartesian3()), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(camera.direction).toEqualEpsilon(up, CesiumMath.EPSILON15); expect(camera.right).toEqualEpsilon(right, CesiumMath.EPSILON15); expect(camera.position).toEqualEpsilon( Cartesian3.negate(Cartesian3.UNIT_Y, new Cartesian3()), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -1625,20 +1625,20 @@ describe("Scene/Camera", function () { camera.right = Cartesian3.cross( camera.direction, camera.up, - new Cartesian3() + new Cartesian3(), ); camera.constrainedAxis = Cartesian3.UNIT_Y; camera.rotateUp(rotateAmount); expect(camera.up).toEqualEpsilon( Cartesian3.negate(Cartesian3.UNIT_Y, new Cartesian3()), - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(camera.direction).toEqualEpsilon(right, CesiumMath.EPSILON15); expect(camera.right).toEqualEpsilon(dir, CesiumMath.EPSILON15); expect(camera.position).toEqualEpsilon( Cartesian3.negate(Cartesian3.UNIT_Y, new Cartesian3()), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -1647,12 +1647,12 @@ describe("Scene/Camera", function () { expect(camera.up).toEqualEpsilon(dir, CesiumMath.EPSILON15); expect(camera.direction).toEqualEpsilon( Cartesian3.negate(up, new Cartesian3()), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(camera.right).toEqualEpsilon(right, CesiumMath.EPSILON15); expect(camera.position).toEqualEpsilon( Cartesian3.UNIT_Y, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -1662,12 +1662,12 @@ describe("Scene/Camera", function () { expect(camera.up).toEqualEpsilon(dir, CesiumMath.EPSILON15); expect(camera.direction).toEqualEpsilon( Cartesian3.negate(up, new Cartesian3()), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(camera.right).toEqualEpsilon(right, CesiumMath.EPSILON15); expect(camera.position).toEqualEpsilon( Cartesian3.UNIT_Y, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -1677,7 +1677,7 @@ describe("Scene/Camera", function () { camera.right = Cartesian3.cross( camera.direction, camera.up, - new Cartesian3() + new Cartesian3(), ); camera.constrainedAxis = Cartesian3.UNIT_Y; @@ -1686,11 +1686,11 @@ describe("Scene/Camera", function () { expect(camera.direction).toEqualEpsilon(right, CesiumMath.EPSILON15); expect(camera.right).toEqualEpsilon( Cartesian3.negate(dir, new Cartesian3()), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(camera.position).toEqualEpsilon( Cartesian3.UNIT_Y, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -1700,11 +1700,11 @@ describe("Scene/Camera", function () { expect(camera.direction).toEqualEpsilon(right, CesiumMath.EPSILON15); expect(camera.right).toEqualEpsilon( Cartesian3.negate(dir, new Cartesian3()), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(camera.position).toEqualEpsilon( Cartesian3.negate(Cartesian3.UNIT_X, new Cartesian3()), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -1714,15 +1714,15 @@ describe("Scene/Camera", function () { expect(camera.up).toEqualEpsilon(Cartesian3.UNIT_X, CesiumMath.EPSILON15); expect(camera.direction).toEqualEpsilon( Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(camera.right).toEqualEpsilon( Cartesian3.negate(Cartesian3.UNIT_Y, new Cartesian3()), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(camera.position).toEqualEpsilon( Cartesian3.UNIT_Z, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -1731,12 +1731,12 @@ describe("Scene/Camera", function () { expect(camera.up).toEqualEpsilon(up, CesiumMath.EPSILON15); expect(camera.direction).toEqualEpsilon( Cartesian3.negate(right, new Cartesian3()), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(camera.right).toEqualEpsilon(dir, CesiumMath.EPSILON15); expect(camera.position).toEqualEpsilon( Cartesian3.UNIT_X, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -1745,19 +1745,19 @@ describe("Scene/Camera", function () { camera.rotateRight(rotateAmount); expect(camera.up).toEqualEpsilon( Cartesian3.negate(Cartesian3.UNIT_X, new Cartesian3()), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(camera.direction).toEqualEpsilon( Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(camera.right).toEqualEpsilon( Cartesian3.UNIT_Y, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(camera.position).toEqualEpsilon( Cartesian3.UNIT_Z, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -1766,31 +1766,31 @@ describe("Scene/Camera", function () { new Cartesian3( Math.cos(CesiumMath.PI_OVER_FOUR), Math.sin(CesiumMath.PI_OVER_FOUR), - 0.0 + 0.0, ), - new Cartesian3() + new Cartesian3(), ); const angle = CesiumMath.PI_OVER_TWO; camera.rotate(axis, angle); expect(camera.position).toEqualEpsilon( new Cartesian3(-axis.x, axis.y, 0.0), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(camera.direction).toEqualEpsilon( Cartesian3.negate( Cartesian3.normalize(camera.position, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(camera.right).toEqualEpsilon( Cartesian3.normalize(new Cartesian3(0.5, 0.5, axis.x), new Cartesian3()), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(camera.up).toEqualEpsilon( Cartesian3.cross(camera.right, camera.direction, new Cartesian3()), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -1799,13 +1799,13 @@ describe("Scene/Camera", function () { camera.rotateUp(Math.PI); expect(camera.up).toEqualEpsilon( Cartesian3.negate(dir, new Cartesian3()), - CesiumMath.EPSILON4 + CesiumMath.EPSILON4, ); expect(camera.direction).toEqualEpsilon(up, CesiumMath.EPSILON4); expect(camera.right).toEqualEpsilon(right, CesiumMath.EPSILON4); expect(camera.position).toEqualEpsilon( Cartesian3.negate(Cartesian3.UNIT_Y, new Cartesian3()), - CesiumMath.EPSILON4 + CesiumMath.EPSILON4, ); }); @@ -1898,7 +1898,7 @@ describe("Scene/Camera", function () { camera.update(SceneMode.SCENE2D); const max = scene.mapProjection.project( - new Cartographic(Math.PI, CesiumMath.toRadians(85.05112878)) + new Cartographic(Math.PI, CesiumMath.toRadians(85.05112878)), ); const factor = 1000.0; const dx = max.x * factor; @@ -1924,7 +1924,7 @@ describe("Scene/Camera", function () { camera.update(SceneMode.SCENE2D); const max = scene.mapProjection.project( - new Cartographic(Math.PI, CesiumMath.toRadians(85.05112878)) + new Cartographic(Math.PI, CesiumMath.toRadians(85.05112878)), ); const factor = 1000.0; const dx = max.x * factor; @@ -1938,7 +1938,7 @@ describe("Scene/Camera", function () { camera.zoomIn(zoomAmount); expect(camera.position).toEqualEpsilon( new Cartesian3(0.0, 0.0, 1.0 - zoomAmount), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(camera.up).toEqual(up); expect(camera.direction).toEqual(dir); @@ -1949,7 +1949,7 @@ describe("Scene/Camera", function () { camera.zoomOut(zoomAmount); expect(camera.position).toEqualEpsilon( new Cartesian3(0.0, 0.0, 1.0 + zoomAmount), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(camera.up).toEqual(up); expect(camera.direction).toEqual(dir); @@ -1975,35 +1975,35 @@ describe("Scene/Camera", function () { expect(tempCamera.direction).toEqualEpsilon( Cartesian3.negate( Cartesian3.normalize(offset, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ), - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); expect(tempCamera.right).toEqualEpsilon( Cartesian3.cross( tempCamera.direction, Cartesian3.UNIT_Z, - new Cartesian3() + new Cartesian3(), ), - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); expect(tempCamera.up).toEqualEpsilon( Cartesian3.cross( tempCamera.right, tempCamera.direction, - new Cartesian3() + new Cartesian3(), ), - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); expect(1.0 - Cartesian3.magnitude(tempCamera.direction)).toBeLessThan( - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(1.0 - Cartesian3.magnitude(tempCamera.up)).toBeLessThan( - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(1.0 - Cartesian3.magnitude(tempCamera.right)).toBeLessThan( - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); }); @@ -2020,19 +2020,19 @@ describe("Scene/Camera", function () { expect(Cartesian3.distance(tempCamera.position, target)).toEqualEpsilon( range, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); expect(tempCamera.heading).toEqualEpsilon(heading, CesiumMath.EPSILON6); expect(tempCamera.pitch).toEqualEpsilon(pitch, CesiumMath.EPSILON6); expect(1.0 - Cartesian3.magnitude(tempCamera.direction)).toBeLessThan( - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(1.0 - Cartesian3.magnitude(tempCamera.up)).toBeLessThan( - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(1.0 - Cartesian3.magnitude(tempCamera.right)).toBeLessThan( - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); }); @@ -2047,25 +2047,25 @@ describe("Scene/Camera", function () { expect(tempCamera.direction).toEqualEpsilon( Cartesian3.negate( Cartesian3.normalize(offset, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ), - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); expect(tempCamera.right).toEqualEpsilon( Cartesian3.cross( tempCamera.direction, Cartesian3.UNIT_Z, - new Cartesian3() + new Cartesian3(), ), - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); expect(tempCamera.up).toEqualEpsilon( Cartesian3.cross( tempCamera.right, tempCamera.direction, - new Cartesian3() + new Cartesian3(), ), - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); }); @@ -2081,25 +2081,25 @@ describe("Scene/Camera", function () { expect(tempCamera.direction).toEqualEpsilon( Cartesian3.negate( Cartesian3.normalize(offset, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ), - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); expect(tempCamera.right).toEqualEpsilon( Cartesian3.cross( tempCamera.direction, Cartesian3.UNIT_Z, - new Cartesian3() + new Cartesian3(), ), - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); expect(tempCamera.up).toEqualEpsilon( Cartesian3.cross( tempCamera.right, tempCamera.direction, - new Cartesian3() + new Cartesian3(), ), - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); }); @@ -2134,24 +2134,24 @@ describe("Scene/Camera", function () { expect(Cartesian2.clone(tempCamera.position)).toEqual(Cartesian2.ZERO); expect(tempCamera.direction).toEqual( - Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()) + Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()), ); expect(tempCamera.up).toEqualEpsilon( Cartesian3.normalize( Cartesian3.fromElements(-offset.x, -offset.y, 0.0), - new Cartesian3() + new Cartesian3(), ), - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); expect(tempCamera.right).toEqualEpsilon( Cartesian3.cross(tempCamera.direction, tempCamera.up, new Cartesian3()), - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); expect(tempCamera.frustum.right).toEqual( - Cartesian3.magnitude(offset) * 0.5 + Cartesian3.magnitude(offset) * 0.5, ); expect(tempCamera.frustum.left).toEqual( - -Cartesian3.magnitude(offset) * 0.5 + -Cartesian3.magnitude(offset) * 0.5, ); }); @@ -2179,7 +2179,7 @@ describe("Scene/Camera", function () { tempCamera.lookAtTransform(Matrix4.IDENTITY); expect(tempCamera.direction).toEqual( - Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()) + Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()), ); expect(tempCamera.heading).toEqualEpsilon(heading, CesiumMath.EPSILON6); expect(tempCamera.frustum.right).toEqual(range * 0.5); @@ -2199,7 +2199,7 @@ describe("Scene/Camera", function () { const offset = new Cartesian3(1.0, 1.0, 0.0); const transform = Transforms.eastNorthUpToFixedFrame( target, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); const tempCamera = Camera.clone(camera); @@ -2209,35 +2209,35 @@ describe("Scene/Camera", function () { expect(tempCamera.direction).toEqualEpsilon( Cartesian3.negate( Cartesian3.normalize(offset, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ), - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); expect(tempCamera.right).toEqualEpsilon( Cartesian3.cross( tempCamera.direction, Cartesian3.UNIT_Z, - new Cartesian3() + new Cartesian3(), ), - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); expect(tempCamera.up).toEqualEpsilon( Cartesian3.cross( tempCamera.right, tempCamera.direction, - new Cartesian3() + new Cartesian3(), ), - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); expect(1.0 - Cartesian3.magnitude(tempCamera.direction)).toBeLessThan( - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(1.0 - Cartesian3.magnitude(tempCamera.up)).toBeLessThan( - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(1.0 - Cartesian3.magnitude(tempCamera.right)).toBeLessThan( - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); }); @@ -2253,26 +2253,26 @@ describe("Scene/Camera", function () { camera.position = ellipsoid.cartographicToCartesian(cartOrigin); camera.direction = Cartesian3.negate( Cartesian3.fromCartesian4( - Matrix4.getColumn(transform, 2, new Cartesian4()) + Matrix4.getColumn(transform, 2, new Cartesian4()), ), - new Cartesian3() + new Cartesian3(), ); camera.up = Cartesian3.fromCartesian4( - Matrix4.getColumn(transform, 1, new Cartesian4(), new Matrix4()) + Matrix4.getColumn(transform, 1, new Cartesian4(), new Matrix4()), ); camera.right = Cartesian3.fromCartesian4( - Matrix4.getColumn(transform, 0, new Cartesian4()) + Matrix4.getColumn(transform, 0, new Cartesian4()), ); camera.lookAtTransform(transform); expect(camera.position).toEqualEpsilon( new Cartesian3(0.0, 0.0, height), - CesiumMath.EPSILON9 + CesiumMath.EPSILON9, ); expect(camera.direction).toEqualEpsilon( Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()), - CesiumMath.EPSILON9 + CesiumMath.EPSILON9, ); expect(camera.up).toEqualEpsilon(Cartesian3.UNIT_Y, CesiumMath.EPSILON9); expect(camera.right).toEqualEpsilon(Cartesian3.UNIT_X, CesiumMath.EPSILON9); @@ -2288,26 +2288,26 @@ describe("Scene/Camera", function () { const tempCamera = Camera.clone(camera); tempCamera.lookAtTransform( transform, - new HeadingPitchRange(heading, pitch, range) + new HeadingPitchRange(heading, pitch, range), ); tempCamera.lookAtTransform(Matrix4.IDENTITY); expect(Cartesian3.distance(tempCamera.position, target)).toEqualEpsilon( range, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); expect(tempCamera.heading).toEqualEpsilon(heading, CesiumMath.EPSILON6); expect(tempCamera.pitch).toEqualEpsilon(pitch, CesiumMath.EPSILON6); expect(1.0 - Cartesian3.magnitude(tempCamera.direction)).toBeLessThan( - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(1.0 - Cartesian3.magnitude(tempCamera.up)).toBeLessThan( - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(1.0 - Cartesian3.magnitude(tempCamera.right)).toBeLessThan( - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); }); @@ -2331,31 +2331,31 @@ describe("Scene/Camera", function () { tempCamera.update(SceneMode.SCENE2D); const transform = Transforms.eastNorthUpToFixedFrame( - Cartesian3.fromDegrees(0.0, 0.0) + Cartesian3.fromDegrees(0.0, 0.0), ); const offset = new Cartesian3(10000.0, 10000.0, 30000.0); tempCamera.lookAtTransform(transform, offset); expect(Cartesian2.clone(tempCamera.position)).toEqual(Cartesian2.ZERO); expect(tempCamera.direction).toEqual( - Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()) + Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()), ); expect(tempCamera.up).toEqualEpsilon( Cartesian3.normalize( Cartesian3.fromElements(-offset.x, -offset.y, 0.0), - new Cartesian3() + new Cartesian3(), ), - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); expect(tempCamera.right).toEqualEpsilon( Cartesian3.cross(tempCamera.direction, tempCamera.up, new Cartesian3()), - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); expect(tempCamera.frustum.right).toEqual( - Cartesian3.magnitude(offset) * 0.5 + Cartesian3.magnitude(offset) * 0.5, ); expect(tempCamera.frustum.left).toEqual( - -Cartesian3.magnitude(offset) * 0.5 + -Cartesian3.magnitude(offset) * 0.5, ); }); @@ -2380,14 +2380,14 @@ describe("Scene/Camera", function () { tempCamera.lookAtTransform( transform, - new HeadingPitchRange(heading, pitch, range) + new HeadingPitchRange(heading, pitch, range), ); expect(Cartesian2.clone(tempCamera.position)).toEqual(Cartesian2.ZERO); tempCamera.lookAtTransform(Matrix4.IDENTITY); expect(tempCamera.direction).toEqual( - Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()) + Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()), ); expect(tempCamera.heading).toEqualEpsilon(heading, CesiumMath.EPSILON6); expect(tempCamera.frustum.right).toEqual(range * 0.5); @@ -2399,7 +2399,7 @@ describe("Scene/Camera", function () { const offset = new Cartesian3(1.0, 1.0, 0.0); const transform = Transforms.eastNorthUpToFixedFrame( target, - Ellipsoid.UNIT_SPHERE + Ellipsoid.UNIT_SPHERE, ); const tempCamera = Camera.clone(camera); @@ -2414,39 +2414,39 @@ describe("Scene/Camera", function () { expect(tempCamera.direction).toEqualEpsilon( Cartesian3.negate( Cartesian3.normalize(offset, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ), - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); expect(tempCamera.right).toEqualEpsilon( Cartesian3.cross( tempCamera.direction, Cartesian3.UNIT_Z, - new Cartesian3() + new Cartesian3(), ), - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); expect(tempCamera.up).toEqualEpsilon( Cartesian3.cross( tempCamera.right, tempCamera.direction, - new Cartesian3() + new Cartesian3(), ), - CesiumMath.EPSILON11 + CesiumMath.EPSILON11, ); expect(1.0 - Cartesian3.magnitude(tempCamera.direction)).toBeLessThan( - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(1.0 - Cartesian3.magnitude(tempCamera.up)).toBeLessThan( - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(1.0 - Cartesian3.magnitude(tempCamera.right)).toBeLessThan( - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(tempCamera.frustum.width).toEqual( - Cartesian3.magnitude(tempCamera.position) + Cartesian3.magnitude(tempCamera.position), ); }); @@ -2463,21 +2463,21 @@ describe("Scene/Camera", function () { -Math.PI, -CesiumMath.PI_OVER_TWO, Math.PI, - CesiumMath.PI_OVER_TWO + CesiumMath.PI_OVER_TWO, ); camera.setView({ destination: rectangle }); expect(camera.position).toEqualEpsilon( new Cartesian3(14680290.639204923, 0.0, 0.0), - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); expect(camera.direction).toEqualEpsilon( Cartesian3.negate(Cartesian3.UNIT_X, new Cartesian3()), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(camera.up).toEqualEpsilon(Cartesian3.UNIT_Z, CesiumMath.EPSILON10); expect(camera.right).toEqualEpsilon( Cartesian3.UNIT_Y, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -2486,32 +2486,32 @@ describe("Scene/Camera", function () { CesiumMath.toRadians(21.25), CesiumMath.toRadians(41.23), CesiumMath.toRadians(21.51), - CesiumMath.toRadians(41.38) + CesiumMath.toRadians(41.38), ); camera.setView({ destination: rectangle }); expect(camera.position).toEqualEpsilon( new Cartesian3(4481555.454147325, 1754498.0086281248, 4200627.581953675), - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); expect(camera.direction).toEqualEpsilon( new Cartesian3( -0.6995046749050446, -0.27385124912628594, - -0.6600747708691498 + -0.6600747708691498, ), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(camera.up).toEqualEpsilon( new Cartesian3( -0.6146504879783901, -0.2406314209863035, - 0.7511999047271233 + 0.7511999047271233, ), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(camera.right).toEqualEpsilon( new Cartesian3(-0.36455176232452213, 0.9311831251617939, 0), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -2520,32 +2520,32 @@ describe("Scene/Camera", function () { CesiumMath.toRadians(90.0), CesiumMath.toRadians(-50.0), CesiumMath.toRadians(157.0), - CesiumMath.toRadians(0.0) + CesiumMath.toRadians(0.0), ); camera.setView({ destination: rectangle }); expect(camera.position).toEqualEpsilon( new Cartesian3(-6017603.25625715, 9091606.78076493, -5075070.862292178), - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); expect(camera.direction).toEqualEpsilon( new Cartesian3( 0.49978034145251155, -0.7550857289433265, - 0.42434084442077485 + 0.42434084442077485, ), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(camera.up).toEqualEpsilon( new Cartesian3( -0.2342094064143758, 0.35385181388649406, - 0.905502538790623 + 0.905502538790623, ), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(camera.right).toEqualEpsilon( new Cartesian3(-0.8338858220671682, -0.5519369853120581, 0), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -2554,32 +2554,32 @@ describe("Scene/Camera", function () { CesiumMath.toRadians(90.0), CesiumMath.toRadians(-62.0), CesiumMath.toRadians(174.0), - CesiumMath.toRadians(-4.0) + CesiumMath.toRadians(-4.0), ); camera.setView({ destination: rectangle }); expect(camera.position).toEqualEpsilon( new Cartesian3(-7307919.685704952, 8116267.060310548, -7085995.891547672), - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); expect(camera.direction).toEqualEpsilon( new Cartesian3( 0.5602119862713765, -0.6221784429103113, - 0.5468605998017956 + 0.5468605998017956, ), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(camera.up).toEqualEpsilon( new Cartesian3( -0.3659211647391443, 0.40639662500016843, - 0.8372236764356468 + 0.8372236764356468, ), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(camera.right).toEqualEpsilon( new Cartesian3(-0.7431448254773944, -0.6691306063588581, 0), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -2588,21 +2588,21 @@ describe("Scene/Camera", function () { 0.1, -CesiumMath.PI_OVER_TWO, -0.1, - CesiumMath.PI_OVER_TWO + CesiumMath.PI_OVER_TWO, ); camera.setView({ destination: rectangle }); expect(camera.position).toEqualEpsilon( new Cartesian3(-14680290.639204923, 0.0, 0.0), - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); expect(camera.direction).toEqualEpsilon( Cartesian3.UNIT_X, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(camera.up).toEqualEpsilon(Cartesian3.UNIT_Z, CesiumMath.EPSILON10); expect(camera.right).toEqualEpsilon( Cartesian3.negate(Cartesian3.UNIT_Y, new Cartesian3()), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -2620,11 +2620,11 @@ describe("Scene/Camera", function () { -CesiumMath.PI_OVER_TWO, -CesiumMath.PI_OVER_FOUR, CesiumMath.PI_OVER_TWO, - CesiumMath.PI_OVER_FOUR + CesiumMath.PI_OVER_FOUR, ); const projection = new GeographicProjection(); const edge = projection.project( - new Cartographic(CesiumMath.PI_OVER_TWO, CesiumMath.PI_OVER_FOUR) + new Cartographic(CesiumMath.PI_OVER_TWO, CesiumMath.PI_OVER_FOUR), ); const expected = Math.max(edge.x, edge.y); @@ -2654,11 +2654,11 @@ describe("Scene/Camera", function () { -CesiumMath.PI_OVER_FOUR, -CesiumMath.PI_OVER_TWO, CesiumMath.PI_OVER_FOUR, - CesiumMath.PI_OVER_TWO + CesiumMath.PI_OVER_TWO, ); const projection = new GeographicProjection(); const edge = projection.project( - new Cartographic(CesiumMath.PI_OVER_FOUR, CesiumMath.PI_OVER_TWO) + new Cartographic(CesiumMath.PI_OVER_FOUR, CesiumMath.PI_OVER_TWO), ); const expected = Math.max(edge.x, edge.y); @@ -2679,7 +2679,7 @@ describe("Scene/Camera", function () { -CesiumMath.PI_OVER_TWO, -CesiumMath.PI_OVER_TWO, CesiumMath.PI_OVER_TWO, - CesiumMath.PI_OVER_TWO + CesiumMath.PI_OVER_TWO, ); const projection = new GeographicProjection(); camera._mode = SceneMode.COLUMBUS_VIEW; @@ -2687,19 +2687,19 @@ describe("Scene/Camera", function () { camera.setView({ destination: rectangle }); expect(camera.position).toEqualEpsilon( new Cartesian3(0.0, 0.0, 23137321.67119748), - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); expect(camera.direction).toEqualEpsilon( new Cartesian3(0.0, 0.0, -1.0), - CesiumMath.EPSILON2 + CesiumMath.EPSILON2, ); expect(camera.up).toEqualEpsilon( new Cartesian3(0.0, 1.0, 0.0), - CesiumMath.EPSILON2 + CesiumMath.EPSILON2, ); expect(camera.right).toEqualEpsilon( new Cartesian3(1.0, 0.0, 0.0), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -2719,7 +2719,7 @@ describe("Scene/Camera", function () { CesiumMath.toRadians(21.25), CesiumMath.toRadians(41.23), CesiumMath.toRadians(21.51), - CesiumMath.toRadians(41.38) + CesiumMath.toRadians(41.38), ); const projection = new GeographicProjection(); @@ -2729,27 +2729,27 @@ describe("Scene/Camera", function () { expect(camera.position).toEqualEpsilon( new Cartesian3(4489090.849577177, 1757448.0638960265, 4207738.07588144), - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); expect(camera.direction).toEqualEpsilon( new Cartesian3( -0.6995012374560863, -0.2738499033887593, - -0.6600789719506079 + -0.6600789719506079, ), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(camera.up).toEqualEpsilon( new Cartesian3( -0.6146543999545513, -0.2406329524979527, - 0.7511962132416727 + 0.7511962132416727, ), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(camera.right).toEqualEpsilon( new Cartesian3(-0.36455176232452197, 0.931183125161794, 0.0), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -2769,7 +2769,7 @@ describe("Scene/Camera", function () { CesiumMath.toRadians(21.25), CesiumMath.toRadians(41.23), CesiumMath.toRadians(21.51), - CesiumMath.toRadians(41.38) + CesiumMath.toRadians(41.38), ); const projection = new GeographicProjection(); @@ -2779,16 +2779,16 @@ describe("Scene/Camera", function () { expect(camera.position).toEqualEpsilon( new Cartesian3(2380010.713160189, 4598051.567216165, 28943.06760625122), - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); expect(camera.direction).toEqualEpsilon( new Cartesian3(0.0, 0.0, -1.0), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(camera.up).toEqualEpsilon(Cartesian3.UNIT_Y, CesiumMath.EPSILON10); expect(camera.right).toEqualEpsilon( Cartesian3.UNIT_X, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -2803,7 +2803,7 @@ describe("Scene/Camera", function () { -Math.PI, -CesiumMath.PI_OVER_TWO, Math.PI, - CesiumMath.PI_OVER_TWO + CesiumMath.PI_OVER_TWO, ); const position = Cartesian3.clone(camera.position); const direction = Cartesian3.clone(camera.direction); @@ -2813,7 +2813,7 @@ describe("Scene/Camera", function () { camera.getRectangleCameraCoordinates(rectangle, position); expect(position).toEqualEpsilon( new Cartesian3(14680290.639204923, 0.0, 0.0), - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); expect(camera.direction).toEqual(direction); expect(camera.up).toEqual(up); @@ -2825,7 +2825,7 @@ describe("Scene/Camera", function () { 0.1, -CesiumMath.PI_OVER_TWO, -0.1, - CesiumMath.PI_OVER_TWO + CesiumMath.PI_OVER_TWO, ); let position = new Cartesian3(); const direction = Cartesian3.clone(camera.direction); @@ -2835,7 +2835,7 @@ describe("Scene/Camera", function () { position = camera.getRectangleCameraCoordinates(rectangle); expect(position).toEqualEpsilon( new Cartesian3(-14680290.639204923, 0.0, 0.0), - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); expect(camera.direction).toEqual(direction); expect(camera.up).toEqual(up); @@ -2847,7 +2847,7 @@ describe("Scene/Camera", function () { -CesiumMath.PI_OVER_FOUR, -CesiumMath.PI_OVER_TWO, CesiumMath.PI_OVER_FOUR, - CesiumMath.PI_OVER_TWO + CesiumMath.PI_OVER_TWO, ); const projection = new GeographicProjection(); const cam = new Camera(scene); @@ -2878,7 +2878,7 @@ describe("Scene/Camera", function () { -CesiumMath.PI_OVER_TWO, -CesiumMath.PI_OVER_TWO, CesiumMath.PI_OVER_TWO, - CesiumMath.PI_OVER_TWO + CesiumMath.PI_OVER_TWO, ); const projection = new GeographicProjection(); camera._mode = SceneMode.COLUMBUS_VIEW; @@ -2889,7 +2889,7 @@ describe("Scene/Camera", function () { camera.position = camera.getRectangleCameraCoordinates(rectangle); expect(camera.position).toEqualEpsilon( new Cartesian3(0.0, 0.0, 23137321.67119748), - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); expect(camera.direction).toEqual(direction); expect(camera.up).toEqual(up); @@ -2901,7 +2901,7 @@ describe("Scene/Camera", function () { -CesiumMath.PI_OVER_TWO, -CesiumMath.PI_OVER_TWO, CesiumMath.PI_OVER_TWO, - CesiumMath.PI_OVER_TWO + CesiumMath.PI_OVER_TWO, ); const projection = new GeographicProjection(); camera._mode = SceneMode.MORPHING; @@ -2940,17 +2940,17 @@ describe("Scene/Camera", function () { camera.position = Cartesian3.multiplyByScalar( Cartesian3.UNIT_X, 2.0 * maxRadii, - new Cartesian3() + new Cartesian3(), ); camera.direction = Cartesian3.normalize( Cartesian3.negate(camera.position, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ); camera.up = Cartesian3.clone(Cartesian3.UNIT_Z); camera.right = Cartesian3.cross( camera.direction, camera.up, - new Cartesian3() + new Cartesian3(), ); const frustum = new PerspectiveFrustum(); @@ -2962,7 +2962,7 @@ describe("Scene/Camera", function () { const windowCoord = new Cartesian2( scene.canvas.clientWidth * 0.5, - scene.canvas.clientHeight * 0.5 + scene.canvas.clientHeight * 0.5, ); let p = camera.pickEllipsoid(windowCoord, ellipsoid); const c = ellipsoid.cartesianToCartographic(p); @@ -2994,7 +2994,7 @@ describe("Scene/Camera", function () { camera.position = new Cartesian3(0.0, 0.0, 2.0 * maxRadii); camera.direction = Cartesian3.normalize( Cartesian3.negate(camera.position, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ); camera.up = Cartesian3.clone(Cartesian3.UNIT_Y); @@ -3013,7 +3013,7 @@ describe("Scene/Camera", function () { const windowCoord = new Cartesian2( scene.canvas.clientWidth * 0.5, - scene.canvas.clientHeight * 0.5 + scene.canvas.clientHeight * 0.5, ); const p = camera.pickEllipsoid(windowCoord); const c = ellipsoid.cartesianToCartographic(p); @@ -3028,7 +3028,7 @@ describe("Scene/Camera", function () { camera.position = new Cartesian3(0.0, 0.0, 2.0 * maxRadii); camera.direction = Cartesian3.normalize( Cartesian3.negate(camera.position, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ); camera.up = Cartesian3.clone(Cartesian3.UNIT_Y); @@ -3047,7 +3047,7 @@ describe("Scene/Camera", function () { const windowCoord = new Cartesian2( scene.canvas.clientWidth * 0.5, - scene.canvas.clientHeight * 0.5 + 1.0 + scene.canvas.clientHeight * 0.5 + 1.0, ); let p = camera.pickEllipsoid(windowCoord); let c = ellipsoid.cartesianToCartographic(p); @@ -3070,20 +3070,20 @@ describe("Scene/Camera", function () { camera.position = Cartesian3.multiplyByScalar( Cartesian3.normalize(new Cartesian3(0.0, -1.0, 1.0), new Cartesian3()), 5.0 * maxRadii, - new Cartesian3() + new Cartesian3(), ); camera.direction = Cartesian3.normalize( Cartesian3.subtract(Cartesian3.ZERO, camera.position, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ); camera.right = Cartesian3.normalize( Cartesian3.cross(camera.direction, Cartesian3.UNIT_Z, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ); camera.up = Cartesian3.cross( camera.right, camera.direction, - new Cartesian3() + new Cartesian3(), ); const frustum = new PerspectiveFrustum(); @@ -3097,7 +3097,7 @@ describe("Scene/Camera", function () { const windowCoord = new Cartesian2( scene.canvas.clientWidth * 0.5, - scene.canvas.clientHeight * 0.5 + scene.canvas.clientHeight * 0.5, ); let p = camera.pickEllipsoid(windowCoord); const c = ellipsoid.cartesianToCartographic(p); @@ -3114,17 +3114,17 @@ describe("Scene/Camera", function () { camera.position = Cartesian3.multiplyByScalar( Cartesian3.UNIT_X, 2.0 * maxRadii, - new Cartesian3() + new Cartesian3(), ); camera.direction = Cartesian3.normalize( Cartesian3.negate(camera.position, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ); camera.up = Cartesian3.clone(Cartesian3.UNIT_Z); camera.right = Cartesian3.cross( camera.direction, camera.up, - new Cartesian3() + new Cartesian3(), ); const frustum = new PerspectiveFrustum(); @@ -3138,7 +3138,7 @@ describe("Scene/Camera", function () { const windowCoord = new Cartesian2( scene.canvas.clientWidth * 0.5, - scene.canvas.clientHeight * 0.5 + scene.canvas.clientHeight * 0.5, ); const p = camera.pickEllipsoid(windowCoord); expect(p).toBeUndefined(); @@ -3153,7 +3153,7 @@ describe("Scene/Camera", function () { it("get pick ray returns undefined if the Scene is not fully rendered", function () { const windowCoord = new Cartesian2( scene.canvas.clientWidth / 2, - scene.canvas.clientHeight + scene.canvas.clientHeight, ); scene.canvas.clientWidth = 0; @@ -3164,7 +3164,7 @@ describe("Scene/Camera", function () { it("get pick ray perspective", function () { const windowCoord = new Cartesian2( scene.canvas.clientWidth / 2, - scene.canvas.clientHeight + scene.canvas.clientHeight, ); const ray = camera.getPickRay(windowCoord); @@ -3172,12 +3172,12 @@ describe("Scene/Camera", function () { camera.frustum.near * Math.tan(camera.frustum.fovy * 0.5); const expectedDirection = Cartesian3.normalize( new Cartesian3(0.0, -windowHeight, -1.0), - new Cartesian3() + new Cartesian3(), ); expect(ray.origin).toEqual(camera.position); expect(ray.direction).toEqualEpsilon( expectedDirection, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -3195,7 +3195,7 @@ describe("Scene/Camera", function () { const windowCoord = new Cartesian2( (3.0 / 5.0) * scene.canvas.clientWidth, - (1.0 - 3.0 / 5.0) * scene.canvas.clientHeight + (1.0 - 3.0 / 5.0) * scene.canvas.clientHeight, ); const ray = camera.getPickRay(windowCoord); @@ -3203,7 +3203,7 @@ describe("Scene/Camera", function () { const expectedPosition = new Cartesian3( cameraPosition.z, cameraPosition.x + 2.0, - cameraPosition.y + 2.0 + cameraPosition.y + 2.0, ); expect(ray.origin).toEqualEpsilon(expectedPosition, CesiumMath.EPSILON14); expect(ray.direction).toEqual(camera.directionWC); @@ -3224,7 +3224,7 @@ describe("Scene/Camera", function () { const windowCoord = new Cartesian2( (3.0 / 5.0) * scene.canvas.clientWidth, - (1.0 - 3.0 / 5.0) * scene.canvas.clientHeight + (1.0 - 3.0 / 5.0) * scene.canvas.clientHeight, ); const ray = camera.getPickRay(windowCoord); @@ -3232,7 +3232,7 @@ describe("Scene/Camera", function () { const expectedPosition = new Cartesian3( cameraPosition.x + 2.0, cameraPosition.y + 2, - cameraPosition.z + cameraPosition.z, ); expect(ray.origin).toEqualEpsilon(expectedPosition, CesiumMath.EPSILON14); expect(ray.direction).toEqual(camera.directionWC); @@ -3253,7 +3253,7 @@ describe("Scene/Camera", function () { const windowCoord = new Cartesian2( (3.0 / 5.0) * scene.canvas.clientWidth, - (1.0 - 3.0 / 5.0) * scene.canvas.clientHeight + (1.0 - 3.0 / 5.0) * scene.canvas.clientHeight, ); const ray = camera.getPickRay(windowCoord); @@ -3261,7 +3261,7 @@ describe("Scene/Camera", function () { const expectedPosition = new Cartesian3( cameraPosition.z, cameraPosition.x + 2.0, - cameraPosition.y + 2 + cameraPosition.y + 2, ); expect(ray.origin).toEqualEpsilon(expectedPosition, CesiumMath.EPSILON14); expect(ray.direction).toEqual(camera.directionWC); @@ -3295,7 +3295,7 @@ describe("Scene/Camera", function () { it("gets magnitude in 3D", function () { expect(camera.getMagnitude()).toEqual( - Cartesian3.magnitude(camera.position) + Cartesian3.magnitude(camera.position), ); }); @@ -3322,7 +3322,7 @@ describe("Scene/Camera", function () { camera.update(SceneMode.SCENE2D); const max = scene.mapProjection.project( - new Cartographic(Math.PI, CesiumMath.PI_OVER_TWO) + new Cartographic(Math.PI, CesiumMath.PI_OVER_TWO), ); const factor = 1000.0; const dx = max.x * factor; @@ -3345,13 +3345,13 @@ describe("Scene/Camera", function () { camera.position = Cartesian3.multiplyByScalar( Cartesian3.UNIT_Z, maxRadii * 5.0, - new Cartesian3() + new Cartesian3(), ); camera.update(SceneMode.COLUMBUS_VIEW); const max = scene.mapProjection.project( - new Cartographic(Math.PI, CesiumMath.PI_OVER_TWO) + new Cartographic(Math.PI, CesiumMath.PI_OVER_TWO), ); const factor = 1000.0; const dx = max.x * factor; @@ -3405,11 +3405,11 @@ describe("Scene/Camera", function () { mercatorCamera.position = Cartesian3.multiplyByScalar( Cartesian3.UNIT_Z, maxRadii * 5.0, - new Cartesian3() + new Cartesian3(), ); const max = projection.project( - new Cartographic(Math.PI, CesiumMath.PI_OVER_TWO) + new Cartographic(Math.PI, CesiumMath.PI_OVER_TWO), ); const factor = 1000.0; const dx = max.x * factor; @@ -3428,11 +3428,11 @@ describe("Scene/Camera", function () { expect(mercatorCamera.position.x).toEqualEpsilon( max.x, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); expect(mercatorCamera.position.y).toEqualEpsilon( max.y, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); mercatorCamera.moveDown(dy); @@ -3447,11 +3447,11 @@ describe("Scene/Camera", function () { expect(mercatorCamera.position.x).toEqualEpsilon( -max.x, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); expect(mercatorCamera.position.y).toEqualEpsilon( -max.y, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); }); @@ -3500,7 +3500,7 @@ describe("Scene/Camera", function () { expect(passedOptions.pitchAdjustHeight).toBe(options.pitchAdjustHeight); expect(passedOptions.flyOverLongitude).toBe(options.flyOverLongitude); expect(passedOptions.flyOverLongitudeWeight).toBe( - options.flyOverLongitudeWeight + options.flyOverLongitudeWeight, ); }); @@ -3617,25 +3617,25 @@ describe("Scene/Camera", function () { new Cartesian3( 2515865.110478756, -19109892.759980734, - 13550929.353715947 + 13550929.353715947, ), - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); expect(camera.direction).toEqualEpsilon( new Cartesian3( -0.10654051334260287, 0.8092555423939248, - -0.5777149696185906 + -0.5777149696185906, ), - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); expect(camera.up).toEqualEpsilon( new Cartesian3( -0.07540693517283716, 0.5727725379670786, - 0.8162385765685121 + 0.8162385765685121, ), - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); }); @@ -3649,11 +3649,11 @@ describe("Scene/Camera", function () { camera.flyHome(0); expect(camera.position).toEqualEpsilon( new Cartesian3(-9183857.990445068, 3896182.1777645755, 1.0), - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); expect(camera.direction).toEqualEpsilon( new Cartesian3(0, 0, -1), - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); expect(camera.up).toEqualEpsilon(Cartesian3.UNIT_Y, CesiumMath.EPSILON8); }); @@ -3669,15 +3669,15 @@ describe("Scene/Camera", function () { camera.flyHome(0); expect(camera.position).toEqualEpsilon( new Cartesian3(0, -22550119.620184112, 22550119.62018411), - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); expect(camera.direction).toEqualEpsilon( new Cartesian3(0, sq2Over2, -sq2Over2), - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); expect(camera.up).toEqualEpsilon( new Cartesian3(0, sq2Over2, sq2Over2), - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); }); @@ -3686,7 +3686,7 @@ describe("Scene/Camera", function () { const sphere = new BoundingSphere( Cartesian3.fromDegrees(-117.16, 32.71, 0.0), - 10000.0 + 10000.0, ); camera.viewBoundingSphere(sphere); camera._setTransform(Matrix4.IDENTITY); @@ -3705,11 +3705,11 @@ describe("Scene/Camera", function () { const sphere = new BoundingSphere( Cartesian3.fromDegrees(-117.16, 32.71, 0.0), - 10.0 + 10.0, ); camera.viewBoundingSphere( sphere, - new HeadingPitchRange(heading, pitch, range) + new HeadingPitchRange(heading, pitch, range), ); camera._setTransform(Matrix4.IDENTITY); @@ -3729,7 +3729,7 @@ describe("Scene/Camera", function () { const sphere = new BoundingSphere( Cartesian3.fromDegrees(-117.16, 32.71, 0.0), - 10.0 + 10.0, ); camera.viewBoundingSphere(sphere, offset); camera._setTransform(Matrix4.IDENTITY); @@ -3753,7 +3753,7 @@ describe("Scene/Camera", function () { const sphere = new BoundingSphere( Cartesian3.fromDegrees(-117.16, 32.71, 0.0), - 10000.0 + 10000.0, ); camera.viewBoundingSphere(sphere); camera._setTransform(Matrix4.IDENTITY); @@ -3778,7 +3778,7 @@ describe("Scene/Camera", function () { const sphere = new BoundingSphere( Cartesian3.fromDegrees(-117.16, 32.71, 0.0), - 10000.0 + 10000.0, ); camera.viewBoundingSphere(sphere); camera._setTransform(Matrix4.IDENTITY); @@ -3813,7 +3813,7 @@ describe("Scene/Camera", function () { const sphere = new BoundingSphere( Cartesian3.fromDegrees(-117.16, 32.71, 0.0), - 100000.0 + 100000.0, ); camera.flyToBoundingSphere(sphere); @@ -3825,7 +3825,7 @@ describe("Scene/Camera", function () { const sphere = new BoundingSphere( Cartesian3.fromDegrees(-117.16, 32.71, 0.0), - 10000.0 + 10000.0, ); camera.flyToBoundingSphere(sphere, { duration: 0.0, @@ -3843,7 +3843,7 @@ describe("Scene/Camera", function () { const sphere = new BoundingSphere( Cartesian3.fromDegrees(-117.16, 32.71, 0.0), - 10.0 + 10.0, ); camera.flyToBoundingSphere(sphere, { @@ -3861,7 +3861,7 @@ describe("Scene/Camera", function () { const sphere = new BoundingSphere( Cartesian3.fromDegrees(-117.16, 32.71, 0.0), - 100000 + 100000, ); camera.flyToBoundingSphere(sphere, { @@ -3880,7 +3880,7 @@ describe("Scene/Camera", function () { const sphere = new BoundingSphere( Cartesian3.fromDegrees(-117.16, 32.71, 0.0), - 100000.0 + 100000.0, ); camera.flyToBoundingSphere(sphere, options); @@ -3923,14 +3923,14 @@ describe("Scene/Camera", function () { drawingBufferHeight, distance, scene.pixelRatio, - new Cartesian2() + new Cartesian2(), ); const expectedPixelSize = Math.max(pixelDimensions.x, pixelDimensions.y); const pixelSize = camera.getPixelSize( sphere, drawingBufferWidth, - drawingBufferHeight + drawingBufferHeight, ); expect(pixelSize).toEqual(expectedPixelSize); @@ -3975,14 +3975,14 @@ describe("Scene/Camera", function () { camera.right = Cartesian3.cross( camera.direction, camera.up, - new Cartesian3() + new Cartesian3(), ); const correctResult = new Rectangle( -0.05789100547374969, -0.04365869998457809, 0.05789100547374969, - 0.04365869998457809 + 0.04365869998457809, ); const rect = camera.computeViewRectangle(); @@ -4000,14 +4000,14 @@ describe("Scene/Camera", function () { camera.right = Cartesian3.cross( camera.direction, camera.up, - new Cartesian3() + new Cartesian3(), ); const correctResult = new Rectangle( -CesiumMath.PI, 1.4961779388065022, CesiumMath.PI, - CesiumMath.PI_OVER_TWO + CesiumMath.PI_OVER_TWO, ); const rect = camera.computeViewRectangle(); @@ -4025,14 +4025,14 @@ describe("Scene/Camera", function () { camera.right = Cartesian3.cross( camera.direction, camera.up, - new Cartesian3() + new Cartesian3(), ); const correctResult = new Rectangle( 3.0837016481160435, -0.04365869998457809, -3.0837016481160435, - 0.04365869998457809 + 0.04365869998457809, ); const rect = camera.computeViewRectangle(); @@ -4051,7 +4051,7 @@ describe("Scene/Camera", function () { camera.right = Cartesian3.cross( camera.direction, camera.up, - new Cartesian3() + new Cartesian3(), ); const rect = camera.computeViewRectangle(); @@ -4070,7 +4070,7 @@ describe("Scene/Camera", function () { camera.right = Cartesian3.cross( camera.direction, camera.up, - new Cartesian3() + new Cartesian3(), ); const rect = camera.computeViewRectangle(); @@ -4104,7 +4104,7 @@ describe("Scene/Camera", function () { expect( tweenSpy.calls .mostRecent() - .args[1].destination.equalsEpsilon(expectedDestination, 0.1) + .args[1].destination.equalsEpsilon(expectedDestination, 0.1), ).toBe(true); }); @@ -4126,7 +4126,7 @@ describe("Scene/Camera", function () { expect( tweenSpy.calls .mostRecent() - .args[1].destination.equalsEpsilon(expectedDestination, 0.1) + .args[1].destination.equalsEpsilon(expectedDestination, 0.1), ).toBe(true); }); @@ -4148,7 +4148,7 @@ describe("Scene/Camera", function () { expect( tweenSpy.calls .mostRecent() - .args[1].destination.equalsEpsilon(expectedDestination, 0.1) + .args[1].destination.equalsEpsilon(expectedDestination, 0.1), ).toBe(true); }); @@ -4178,7 +4178,7 @@ describe("Scene/Camera", function () { expect(camera.up).toEqualEpsilon(up, CesiumMath.EPSILON6); expect(camera.position).toEqualEpsilon( expectedDestination, - CesiumMath.EPSILON1 + CesiumMath.EPSILON1, ); }); @@ -4199,7 +4199,7 @@ describe("Scene/Camera", function () { expect( tweenSpy.calls .mostRecent() - .args[1].destination.equalsEpsilon(expectedDestination, 0.1) + .args[1].destination.equalsEpsilon(expectedDestination, 0.1), ).toBe(true); }); @@ -4220,7 +4220,7 @@ describe("Scene/Camera", function () { expect( tweenSpy.calls .mostRecent() - .args[1].destination.equalsEpsilon(expectedDestination, 0.1) + .args[1].destination.equalsEpsilon(expectedDestination, 0.1), ).toBe(true); }); @@ -4240,7 +4240,7 @@ describe("Scene/Camera", function () { expect( tweenSpy.calls .mostRecent() - .args[1].destination.equalsEpsilon(expectedDestination, 0.1) + .args[1].destination.equalsEpsilon(expectedDestination, 0.1), ).toBe(true); }); @@ -4298,15 +4298,15 @@ describe("Scene/Camera", function () { camera.lookAtTransform(transform); expect(Cartesian3.magnitude(camera.directionWC)).toEqualEpsilon( 1.0, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(Cartesian3.magnitude(camera.rightWC)).toEqualEpsilon( 1.0, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(Cartesian3.magnitude(camera.upWC)).toEqualEpsilon( 1.0, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -4320,7 +4320,7 @@ describe("Scene/Camera", function () { camera._updateCameraChanged(); expect(camera.positionWCDeltaMagnitude).toEqualEpsilon( moveAmount, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(camera.positionWCDeltaMagnitudeLastFrame).toEqual(0); @@ -4328,7 +4328,7 @@ describe("Scene/Camera", function () { expect(camera.positionWCDeltaMagnitude).toEqual(0); expect(camera.positionWCDeltaMagnitudeLastFrame).toEqualEpsilon( moveAmount, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); }); diff --git a/packages/engine/Specs/Scene/Cesium3DTileBatchTableSpec.js b/packages/engine/Specs/Scene/Cesium3DTileBatchTableSpec.js index c892ab3bdd64..04b4f5370fc5 100644 --- a/packages/engine/Specs/Scene/Cesium3DTileBatchTableSpec.js +++ b/packages/engine/Specs/Scene/Cesium3DTileBatchTableSpec.js @@ -240,7 +240,7 @@ xdescribe( const batchTable = new Cesium3DTileBatchTable( mockTileset, 1, - batchTableJson + batchTableJson, ); expect(batchTable.hasProperty(0, "height")).toEqual(true); expect(batchTable.hasProperty(0, "id")).toEqual(false); @@ -279,7 +279,7 @@ xdescribe( const batchTable = new Cesium3DTileBatchTable( mockTileset, 1, - batchTableJson + batchTableJson, ); const results = []; const names = batchTable.getPropertyIds(0, results); @@ -357,7 +357,7 @@ xdescribe( const batchTable = new Cesium3DTileBatchTable( mockTileset, 2, - batchTableJson + batchTableJson, ); batchTable.setProperty(0, "height", 3.0); @@ -375,7 +375,7 @@ xdescribe( const batchTable = new Cesium3DTileBatchTable( mockTileset, 2, - batchTableJson + batchTableJson, ); batchTable.setProperty(0, "info", { name: "building0_new", year: 2002 }); @@ -399,7 +399,7 @@ xdescribe( const batchTable = new Cesium3DTileBatchTable( mockTileset, 2, - batchTableJson + batchTableJson, ); batchTable.setProperty(0, "rooms", ["room1_new", "room2"]); @@ -423,7 +423,7 @@ xdescribe( mockTileset, 2, batchTableJson, - batchTableBinary + batchTableBinary, ); }).toThrowError(RuntimeError); }); @@ -441,7 +441,7 @@ xdescribe( mockTileset, 2, batchTableJson, - batchTableBinary + batchTableBinary, ); }).toThrowError(RuntimeError); }); @@ -464,78 +464,17 @@ xdescribe( const propertyVec2Binary = new Float32Array([2, 3, 4, 5]); const propertyVec3Binary = new Int32Array([6, 7, 8, 9, 10, 11]); const propertyVec4Binary = new Uint32Array([ - 12, - 13, - 14, - 15, - 16, - 17, - 18, - 19, + 12, 13, 14, 15, 16, 17, 18, 19, ]); const propertyMat2Binary = new Int16Array([ - 20, - 21, - 22, - 23, - 24, - 25, - 26, - 27, + 20, 21, 22, 23, 24, 25, 26, 27, ]); const propertyMat3Binary = new Uint16Array([ - 28, - 29, - 30, - 31, - 32, - 33, - 34, - 35, - 36, - 37, - 38, - 39, - 40, - 41, - 42, - 43, - 44, - 45, + 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, ]); const propertyMat4Binary = new Uint8Array([ - 46, - 47, - 48, - 49, - 50, - 51, - 52, - 53, - 54, - 55, - 56, - 57, - 58, - 59, - 60, - 61, - 62, - 63, - 64, - 65, - 66, - 67, - 68, - 69, - 70, - 71, - 72, - 73, - 74, - 75, - 76, - 77, + 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, + 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, ]); const buffers = [ @@ -590,24 +529,24 @@ xdescribe( mockTileset, 2, batchTableJson, - batchTableBinary + batchTableBinary, ); expect(batchTable.getProperty(1, "propertyScalar")).toEqual(1); expect(batchTable.getProperty(1, "propertyVec2")).toEqual( - new Cartesian2(4, 5) + new Cartesian2(4, 5), ); expect(batchTable.getProperty(1, "propertyVec3")).toEqual( - new Cartesian3(9, 10, 11) + new Cartesian3(9, 10, 11), ); expect(batchTable.getProperty(1, "propertyVec4")).toEqual( - new Cartesian4(16, 17, 18, 19) + new Cartesian4(16, 17, 18, 19), ); expect(batchTable.getProperty(1, "propertyMat2")).toEqual( - new Matrix2(24, 26, 25, 27) + new Matrix2(24, 26, 25, 27), ); // Constructor is row-major, data is column major expect(batchTable.getProperty(1, "propertyMat3")).toEqual( - new Matrix3(37, 40, 43, 38, 41, 44, 39, 42, 45) + new Matrix3(37, 40, 43, 38, 41, 44, 39, 42, 45), ); // Constructor is row-major, data is column major expect(batchTable.getProperty(1, "propertyMat4")).toEqual( new Matrix4( @@ -626,8 +565,8 @@ xdescribe( 65, 69, 73, - 77 - ) + 77, + ), ); // Constructor is row-major, data is column major batchTable.setProperty(1, "propertyScalar", 2); @@ -638,7 +577,7 @@ xdescribe( batchTable.setProperty( 1, "propertyMat3", - new Matrix3(38, 41, 44, 39, 42, 45, 40, 43, 46) + new Matrix3(38, 41, 44, 39, 42, 45, 40, 43, 46), ); batchTable.setProperty( 1, @@ -659,25 +598,25 @@ xdescribe( 66, 70, 74, - 78 - ) + 78, + ), ); expect(batchTable.getProperty(1, "propertyScalar")).toEqual(2); expect(batchTable.getProperty(1, "propertyVec2")).toEqual( - new Cartesian2(5, 6) + new Cartesian2(5, 6), ); expect(batchTable.getProperty(1, "propertyVec3")).toEqual( - new Cartesian3(10, 11, 12) + new Cartesian3(10, 11, 12), ); expect(batchTable.getProperty(1, "propertyVec4")).toEqual( - new Cartesian4(17, 18, 19, 20) + new Cartesian4(17, 18, 19, 20), ); expect(batchTable.getProperty(1, "propertyMat2")).toEqual( - new Matrix2(25, 27, 26, 28) + new Matrix2(25, 27, 26, 28), ); expect(batchTable.getProperty(1, "propertyMat3")).toEqual( - new Matrix3(38, 41, 44, 39, 42, 45, 40, 43, 46) + new Matrix3(38, 41, 44, 39, 42, 45, 40, 43, 46), ); expect(batchTable.getProperty(1, "propertyMat4")).toEqual( new Matrix4( @@ -696,8 +635,8 @@ xdescribe( 66, 70, 74, - 78 - ) + 78, + ), ); }); @@ -724,7 +663,7 @@ xdescribe( }); Cesium3DTilesTester.expectRenderTileset(scene, tileset); - } + }, ); }); @@ -736,7 +675,7 @@ xdescribe( expect(content.getFeature(2).getProperty("id")).toBeUndefined(); Cesium3DTilesTester.expectRenderTileset(scene, tileset); - } + }, ); }); @@ -751,8 +690,9 @@ xdescribe( Cesium3DTilesTester.expectRenderTileset(scene, tileset); // Re-enable VTF - ContextLimits._maximumVertexTextureImageUnits = maximumVertexTextureImageUnits; - } + ContextLimits._maximumVertexTextureImageUnits = + maximumVertexTextureImageUnits; + }, ); }); @@ -765,13 +705,13 @@ xdescribe( function (tileset) { const content = tileset.root.content; expect(content.featuresLength).toBeGreaterThan( - ContextLimits._maximumTextureSize + ContextLimits._maximumTextureSize, ); Cesium3DTilesTester.expectRenderTileset(scene, tileset); // Reset maximum texture size ContextLimits._maximumTextureSize = maximumTextureSize; - } + }, ); }); @@ -784,7 +724,7 @@ xdescribe( expect(result).toBeDefined(); expect(result.primitive).toBe(tileset); }); - } + }, ); }); @@ -822,7 +762,7 @@ xdescribe( return Cesium3DTilesTester.loadTileset(scene, withoutBatchTableUrl).then( function (tileset) { expectRenderTranslucent(tileset); - } + }, ); }); @@ -835,8 +775,9 @@ xdescribe( function (tileset) { expectRenderTranslucent(tileset); // Re-enable VTF - ContextLimits._maximumVertexTextureImageUnits = maximumVertexTextureImageUnits; - } + ContextLimits._maximumVertexTextureImageUnits = + maximumVertexTextureImageUnits; + }, ); }); @@ -1113,21 +1054,21 @@ xdescribe( } function checkBatchTableHierarchy(url, multipleParents) { - return Cesium3DTilesTester.loadTileset(scene, url).then(function ( - tileset - ) { - checkHierarchyStyling(tileset); - checkHierarchyProperties(tileset, multipleParents); - }); + return Cesium3DTilesTester.loadTileset(scene, url).then( + function (tileset) { + checkHierarchyStyling(tileset); + checkHierarchyProperties(tileset, multipleParents); + }, + ); } function checkBatchTableHierarchyNoParents(url) { - return Cesium3DTilesTester.loadTileset(scene, url).then(function ( - tileset - ) { - checkHierarchyStylingNoParents(tileset); - checkHierarchyPropertiesNoParents(tileset); - }); + return Cesium3DTilesTester.loadTileset(scene, url).then( + function (tileset) { + checkHierarchyStylingNoParents(tileset); + checkHierarchyPropertiesNoParents(tileset); + }, + ); } it("renders tileset with batch table hierarchy extension", function () { @@ -1141,7 +1082,7 @@ xdescribe( it("renders tileset with batch table hierarchy with multiple parent classes", function () { return checkBatchTableHierarchy( batchTableHierarchyMultipleParentsUrl, - true + true, ); }); @@ -1157,7 +1098,7 @@ xdescribe( return checkBatchTableHierarchy(batchTableHierarchyLegacyUrl, false).then( function (tileset) { expect(Cesium3DTileBatchTable._deprecationWarning).toHaveBeenCalled(); - } + }, ); }); @@ -1172,7 +1113,7 @@ xdescribe( scene.pickForSpecs(); const batchTextureSize = batchTable._batchTexture.byteLength; expect(batchTable.batchTableByteLength).toBe(batchTextureSize); - } + }, ); }); @@ -1187,28 +1128,28 @@ xdescribe( scene.pickForSpecs(); const batchTextureSize = batchTable._batchTexture.byteLength; expect(batchTable.batchTableByteLength).toBe(batchTextureSize); - } + }, ); }); it("computes batchTableByteLength for binary batch table", function () { return Cesium3DTilesTester.loadTileset( scene, - withBatchTableBinaryUrl + withBatchTableBinaryUrl, ).then(function (tileset) { const content = tileset.root.content; const batchTable = content.batchTable; const binaryPropertiesByteLength = batchTable._binaryPropertiesByteLength; expect(batchTable.batchTableByteLength).toBe( - binaryPropertiesByteLength + binaryPropertiesByteLength, ); // The batch texture isn't created until the first pick pass scene.pickForSpecs(); const batchTextureSize = batchTable._batchTexture.byteLength; expect(batchTable.batchTableByteLength).toBe( - binaryPropertiesByteLength + batchTextureSize + binaryPropertiesByteLength + batchTextureSize, ); }); }); @@ -1216,7 +1157,7 @@ xdescribe( it("computes batchTableByteLength with a batch table hierarchy", function () { return Cesium3DTilesTester.loadTileset( scene, - batchTableHierarchyUrl + batchTableHierarchyUrl, ).then(function (tileset) { const content = tileset.root.content; const batchTable = content.batchTable; @@ -1227,7 +1168,7 @@ xdescribe( scene.pickForSpecs(); const batchTextureSize = batchTable._batchTexture.byteLength; expect(batchTable.batchTableByteLength).toBe( - hierarchySize + batchTextureSize + hierarchySize + batchTextureSize, ); }); }); @@ -1240,9 +1181,9 @@ xdescribe( expect(batchTable.isDestroyed()).toEqual(false); scene.primitives.remove(tileset); expect(batchTable.isDestroyed()).toEqual(true); - } + }, ); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Cesium3DTileFeatureSpec.js b/packages/engine/Specs/Scene/Cesium3DTileFeatureSpec.js index aca4a2fe7a86..2f45ed8cf0d3 100644 --- a/packages/engine/Specs/Scene/Cesium3DTileFeatureSpec.js +++ b/packages/engine/Specs/Scene/Cesium3DTileFeatureSpec.js @@ -37,34 +37,34 @@ describe( -0.01, -0.01, 0.01, - 0.01 + 0.01, ); const ellipsoid = Ellipsoid.WGS84; scene.camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(tilesetRectangle)), - new Cartesian3(0.0, 0.0, 0.01) + new Cartesian3(0.0, 0.0, 0.01), ); return Cesium3DTilesTester.loadTileset( scene, vectorPolylinesWithBatchIds, { vectorKeepDecodedPositions: true, - } + }, ).then(function (tileset) { const feature = tileset.root.children[0].content.getFeature(0); const polylinePositions = feature.polylinePositions; expect(polylinePositions.length).toBe(60); expect(polylinePositions[0]).toEqualEpsilon( 6378136.806372941, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polylinePositions[1]).toEqualEpsilon( -1113.194885441724, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polylinePositions[2]).toEqualEpsilon( 1105.675261474196, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); }); @@ -104,7 +104,7 @@ describe( return Cesium3DTilesTester.loadTileset( scene, - tilesetWithMetadataUrl + tilesetWithMetadataUrl, ).then(function (result) { tileset = result; }); @@ -134,14 +134,14 @@ describe( it("getPropertyInherited returns content property by semantic", function () { const feature = new Cesium3DTileFeature(childContents["ll.b3dm"], 0); expect(feature.getPropertyInherited("HIGHLIGHT_COLOR")).toEqual( - new Cartesian4(255, 0, 0, 1.0) + new Cartesian4(255, 0, 0, 1.0), ); }); it("getPropertyInherited returns content property", function () { const feature = new Cesium3DTileFeature(childContents["ll.b3dm"], 0); expect(feature.getPropertyInherited("HIGHLIGHT_COLOR")).toEqual( - new Cartesian4(255, 0, 0, 1.0) + new Cartesian4(255, 0, 0, 1.0), ); expect(feature.getPropertyInherited("triangleCount")).toBe(15000); }); @@ -149,14 +149,14 @@ describe( it("getPropertyInherited returns tile property by semantic", function () { const feature = new Cesium3DTileFeature(childContents["ll.b3dm"], 0); expect(feature.getPropertyInherited("COLOR")).toEqual( - new Cartesian4(255, 255, 0, 1.0) + new Cartesian4(255, 255, 0, 1.0), ); }); it("getPropertyInherited returns tile property", function () { const feature = new Cesium3DTileFeature(childContents["ll.b3dm"], 0); expect(feature.getPropertyInherited("color")).toEqual( - new Cartesian4(255, 255, 0, 1.0) + new Cartesian4(255, 255, 0, 1.0), ); expect(feature.getPropertyInherited("population")).toBe(50); }); @@ -164,7 +164,7 @@ describe( it("getPropertyInherited returns default value", function () { const feature = new Cesium3DTileFeature(childContents["ll.b3dm"], 0); expect(feature.getPropertyInherited("defaultColor")).toEqual( - new Cartesian4(255, 255, 255, 255) + new Cartesian4(255, 255, 255, 255), ); }); @@ -173,7 +173,7 @@ describe( expect(feature.getPropertyInherited("averageTemperature")).toEqual(24); feature = new Cesium3DTileFeature(childContents["ur.b3dm"], 0); expect( - feature.getPropertyInherited("averageTemperature") + feature.getPropertyInherited("averageTemperature"), ).not.toBeDefined(); }); @@ -196,7 +196,7 @@ describe( it("getPropertyInherited returns tileset property by semantic", function () { const feature = new Cesium3DTileFeature(parentContent, 0); expect(feature.getPropertyInherited("DATE_ISO_8601")).toBe( - "2021-04-07" + "2021-04-07", ); expect(feature.getPropertyInherited("AUTHOR")).toBe("Cesium"); }); @@ -207,8 +207,8 @@ describe( new Cartesian3( -1.3196816996258511, 0.6988767486400521, - 45.78600543644279 - ) + 45.78600543644279, + ), ); expect(feature.getPropertyInherited("date")).toBe("2021-04-07"); expect(feature.getPropertyInherited("author")).toBe("Cesium"); @@ -220,7 +220,7 @@ describe( // content metadata is more specific than tile metadata so this returns // red not cyan expect(feature.getPropertyInherited("highlightColor")).toEqual( - new Cartesian4(255, 0, 0, 1.0) + new Cartesian4(255, 0, 0, 1.0), ); // content metadata is more specific than tileset metadata so this returns @@ -230,7 +230,7 @@ describe( // tile metadata is more specific than tileset metadata so this returns // yellow not magenta expect(feature.getPropertyInherited("color")).toEqual( - new Cartesian4(255, 255, 0, 1.0) + new Cartesian4(255, 255, 0, 1.0), ); // group metadata is more specific than tileset metadata, so this returns @@ -254,7 +254,7 @@ describe( return Cesium3DTilesTester.loadTileset( scene, - tilesetWithMetadataExtensionUrl + tilesetWithMetadataExtensionUrl, ).then(function (result) { tileset = result; }); @@ -284,14 +284,14 @@ describe( it("getPropertyInherited returns content property by semantic", function () { const feature = new Cesium3DTileFeature(childContents["ll.b3dm"], 0); expect(feature.getPropertyInherited("HIGHLIGHT_COLOR")).toEqual( - new Cartesian4(255, 0, 0, 1.0) + new Cartesian4(255, 0, 0, 1.0), ); }); it("getPropertyInherited returns content property", function () { const feature = new Cesium3DTileFeature(childContents["ll.b3dm"], 0); expect(feature.getPropertyInherited("HIGHLIGHT_COLOR")).toEqual( - new Cartesian4(255, 0, 0, 1.0) + new Cartesian4(255, 0, 0, 1.0), ); expect(feature.getPropertyInherited("triangleCount")).toBe(15000); }); @@ -299,14 +299,14 @@ describe( it("getPropertyInherited returns tile property by semantic", function () { const feature = new Cesium3DTileFeature(childContents["ll.b3dm"], 0); expect(feature.getPropertyInherited("COLOR")).toEqual( - new Cartesian4(255, 255, 0, 1.0) + new Cartesian4(255, 255, 0, 1.0), ); }); it("getPropertyInherited returns tile property", function () { const feature = new Cesium3DTileFeature(childContents["ll.b3dm"], 0); expect(feature.getPropertyInherited("color")).toEqual( - new Cartesian4(255, 255, 0, 1.0) + new Cartesian4(255, 255, 0, 1.0), ); expect(feature.getPropertyInherited("population")).toBe(50); }); @@ -314,7 +314,7 @@ describe( it("getPropertyInherited returns default value", function () { const feature = new Cesium3DTileFeature(childContents["ll.b3dm"], 0); expect(feature.getPropertyInherited("defaultColor")).toEqual( - new Cartesian4(255, 255, 255, 255) + new Cartesian4(255, 255, 255, 255), ); }); @@ -337,7 +337,7 @@ describe( it("getPropertyInherited returns tileset property by semantic", function () { const feature = new Cesium3DTileFeature(parentContent, 0); expect(feature.getPropertyInherited("DATE_ISO_8601")).toBe( - "2021-04-07" + "2021-04-07", ); expect(feature.getPropertyInherited("AUTHOR")).toBe("Cesium"); }); @@ -348,8 +348,8 @@ describe( new Cartesian3( -1.3196816996258511, 0.6988767486400521, - 45.78600543644279 - ) + 45.78600543644279, + ), ); expect(feature.getPropertyInherited("date")).toBe("2021-04-07"); expect(feature.getPropertyInherited("author")).toBe("Cesium"); @@ -361,7 +361,7 @@ describe( // content metadata is more specific than tile metadata so this returns // red not cyan expect(feature.getPropertyInherited("highlightColor")).toEqual( - new Cartesian4(255, 0, 0, 1.0) + new Cartesian4(255, 0, 0, 1.0), ); // content metadata is more specific than tileset metadata so this returns @@ -371,7 +371,7 @@ describe( // tile metadata is more specific than tileset metadata so this returns // yellow not magenta expect(feature.getPropertyInherited("color")).toEqual( - new Cartesian4(255, 255, 0, 1.0) + new Cartesian4(255, 255, 0, 1.0), ); // group metadata is more specific than tileset metadata, so this returns @@ -392,13 +392,13 @@ describe( const center = Cartesian3.fromRadians( centerLongitude, - centerLatitude + centerLatitude, ); scene.camera.lookAt(center, new HeadingPitchRange(0.0, -1.57, 15.0)); return Cesium3DTilesTester.loadTileset( scene, - tilesetWithSubtreeMetadataUrl + tilesetWithSubtreeMetadataUrl, ).then(function (result) { tilesetWithSubtree = result; }); @@ -436,7 +436,7 @@ describe( it("getPropertyInherited returns subtree property by semantic at child level", function () { const feature = new Cesium3DTileFeature( subtreeChildContents["content/1/0/0.b3dm"], - 0 + 0, ); expect(feature.getPropertyInherited("AUTHOR")).toEqual("Cesium"); }); @@ -444,7 +444,7 @@ describe( it("getPropertyInherited returns subtree property at root level", function () { const feature = new Cesium3DTileFeature( subtreeChildContents["content/1/0/0.b3dm"], - 0 + 0, ); expect(feature.getPropertyInherited("author")).toEqual("Cesium"); expect(feature.getPropertyInherited("credits")).toEqual([ @@ -463,7 +463,7 @@ describe( it("getPropertyInherited returns tile property that is shared by subtree at child level", function () { const childFeature = new Cesium3DTileFeature( subtreeChildContents["content/1/0/0.b3dm"], - 0 + 0, ); const rootFeature = new Cesium3DTileFeature(subtreeRootContent, 0); @@ -489,12 +489,12 @@ describe( const center = Cartesian3.fromRadians( centerLongitude, - centerLatitude + centerLatitude, ); scene.camera.lookAt(center, new HeadingPitchRange(0.0, -1.57, 15.0)); return Cesium3DTilesTester.loadTileset( scene, - tilesetWithImplicitContentMetadataUrl + tilesetWithImplicitContentMetadataUrl, ).then(function (result) { tilesetWithImplicitContentMetadata = result; }); @@ -518,13 +518,13 @@ describe( const rootFeature = new Cesium3DTileFeature(subtreeRootContent, 0); const childFeature = new Cesium3DTileFeature( subtreeChildContents["content/1/0/0.b3dm"], - 0 + 0, ); expect(rootFeature.getPropertyInherited("_BUILDING_HEIGHT")).toEqual( - 10 + 10, ); expect(childFeature.getPropertyInherited("_BUILDING_HEIGHT")).toEqual( - 20 + 20, ); }); @@ -532,45 +532,45 @@ describe( const rootFeature = new Cesium3DTileFeature(subtreeRootContent, 0); expect(rootFeature.getPropertyInherited("height")).toEqual(10); expect(rootFeature.getPropertyInherited("color")).toEqual( - new Cartesian3(255, 255, 255) + new Cartesian3(255, 255, 255), ); }); it("getPropertyInherited returns content property by semantic for different contents", function () { const childFeature = new Cesium3DTileFeature( subtreeChildContents["content/1/0/0.b3dm"], - 0 + 0, ); const secondChildFeature = new Cesium3DTileFeature( subtreeChildContents["content/1/1/1.b3dm"], - 0 + 0, ); expect(childFeature.getPropertyInherited("_BUILDING_HEIGHT")).toEqual( - 20 + 20, ); expect( - secondChildFeature.getPropertyInherited("_BUILDING_HEIGHT") + secondChildFeature.getPropertyInherited("_BUILDING_HEIGHT"), ).toEqual(40); }); it("getPropertyInherited returns content property for different contents", function () { const childFeature = new Cesium3DTileFeature( subtreeChildContents["content/1/0/0.b3dm"], - 0 + 0, ); const secondChildFeature = new Cesium3DTileFeature( subtreeChildContents["content/1/1/1.b3dm"], - 0 + 0, ); expect(childFeature.getPropertyInherited("height")).toEqual(20); expect(secondChildFeature.getPropertyInherited("height")).toEqual(40); expect(childFeature.getPropertyInherited("color")).toEqual( - new Cartesian3(255, 0, 0) + new Cartesian3(255, 0, 0), ); expect(secondChildFeature.getPropertyInherited("color")).toEqual( - new Cartesian3(0, 0, 255) + new Cartesian3(0, 0, 255), ); }); @@ -582,5 +582,5 @@ describe( }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Cesium3DTileFeatureTableSpec.js b/packages/engine/Specs/Scene/Cesium3DTileFeatureTableSpec.js index 20bf100afb6e..cb814fb32fc9 100644 --- a/packages/engine/Specs/Scene/Cesium3DTileFeatureTableSpec.js +++ b/packages/engine/Specs/Scene/Cesium3DTileFeatureTableSpec.js @@ -11,7 +11,7 @@ describe("Scene/Cesium3DTileFeatureTable", function () { const all = featureTable.getGlobalProperty( "TEST", - ComponentDatatype.UNSIGNED_BYTE + ComponentDatatype.UNSIGNED_BYTE, ); expect(all).toEqual([0, 1, 2, 3, 4, 5]); const feature = featureTable.getProperty( @@ -19,13 +19,13 @@ describe("Scene/Cesium3DTileFeatureTable", function () { ComponentDatatype.UNSIGNED_BYTE, 2, 1, - new Array(2) + new Array(2), ); expect(feature).toEqual([2, 3]); const properties = featureTable.getPropertyArray( "TEST", ComponentDatatype.UNSIGNED_BYTE, - 2 + 2, ); expect(properties).toEqual([0, 1, 2, 3, 4, 5]); }); @@ -37,7 +37,7 @@ describe("Scene/Cesium3DTileFeatureTable", function () { byteOffset: 4, }, }, - new Uint8Array([0, 0, 0, 0, 0, 1, 2, 3, 4, 5]) + new Uint8Array([0, 0, 0, 0, 0, 1, 2, 3, 4, 5]), ); featureTable.featuresLength = 3; @@ -46,7 +46,7 @@ describe("Scene/Cesium3DTileFeatureTable", function () { const all = featureTable.getGlobalProperty( "TEST", ComponentDatatype.UNSIGNED_BYTE, - 6 + 6, ); expect(all).toEqual([0, 1, 2, 3, 4, 5]); const feature = featureTable.getProperty( @@ -54,13 +54,13 @@ describe("Scene/Cesium3DTileFeatureTable", function () { ComponentDatatype.UNSIGNED_BYTE, 2, 1, - new Array(2) + new Array(2), ); expect(feature).toEqual([2, 3]); const properties = featureTable.getPropertyArray( "TEST", ComponentDatatype.UNSIGNED_BYTE, - 2 + 2, ); expect(properties).toEqual([0, 1, 2, 3, 4, 5]); }); diff --git a/packages/engine/Specs/Scene/Cesium3DTileSpec.js b/packages/engine/Specs/Scene/Cesium3DTileSpec.js index cb5270986461..48f036cef4d8 100644 --- a/packages/engine/Specs/Scene/Cesium3DTileSpec.js +++ b/packages/engine/Specs/Scene/Cesium3DTileSpec.js @@ -135,12 +135,12 @@ describe( const transformCenter = Cartesian3.fromRadians( longitude, latitude, - height + height, ); const hpr = new HeadingPitchRoll(); const transformMatrix = Transforms.headingPitchRollToFixedFrame( transformCenter, - hpr + hpr, ); return Matrix4.pack(transformMatrix, new Array(16)); } @@ -150,7 +150,7 @@ describe( mockTileset, "/some_url", tileWithBoundingSphere, - undefined + undefined, ); expect(tile.isDestroyed()).toEqual(false); tile.destroy(); @@ -165,7 +165,7 @@ describe( mockTileset, "/some_url", tileWithoutBoundingVolume, - undefined + undefined, ); }).toThrowError(RuntimeError); }); @@ -178,7 +178,7 @@ describe( mockTileset, "/some_url", tileWithoutBoundingVolume, - undefined + undefined, ); }).toThrowError(RuntimeError); }); @@ -191,7 +191,7 @@ describe( mockTileset, "/some_url", header, - undefined + undefined, ); expect(tile.refine).toBe(Cesium3DTileRefine.REPLACE); expect(Cesium3DTile._deprecationWarning).toHaveBeenCalled(); @@ -204,7 +204,7 @@ describe( mockTileset, "/some_url", header, - undefined + undefined, ); expect(tile.content).toBeDefined(); expect(tile.content).toBeInstanceOf(Empty3DTileContent); @@ -221,13 +221,13 @@ describe( mockTileset, "/some_url", tileWithBoundingSphere, - undefined + undefined, ); const child = new Cesium3DTile( mockTileset, "/some_url", geometricErrorMissing, - parent + parent, ); expect(child.geometricError).toBe(parent.geometricError); expect(child.geometricError).toBe(1); @@ -236,7 +236,7 @@ describe( mockTileset, "/some_url", geometricErrorMissing, - undefined + undefined, ); expect(tile.geometricError).toBe(mockTileset._geometricError); expect(tile.geometricError).toBe(2); @@ -250,7 +250,7 @@ describe( mockTileset, "/some_url", tileWithBoundingSphere, - undefined + undefined, ); expect(tile.boundingVolume).toBeDefined(); expect(tile.contentBoundingVolume).toBe(tile.boundingVolume); @@ -261,13 +261,13 @@ describe( mockTileset, "/some_url", tileWithBoundingSphere, - undefined + undefined, ); const radius = tileWithBoundingSphere.boundingVolume.sphere[3]; expect(tile.boundingVolume).toBeDefined(); expect(tile.boundingVolume.boundingVolume.radius).toEqual(radius); expect(tile.boundingVolume.boundingVolume.center).toEqual( - Cartesian3.ZERO + Cartesian3.ZERO, ); }); @@ -276,16 +276,16 @@ describe( mockTileset, "/some_url", tileWithContentBoundingSphere, - undefined + undefined, ); const radius = tileWithContentBoundingSphere.content.boundingVolume.sphere[3]; expect(tile.contentBoundingVolume).toBeDefined(); expect(tile.contentBoundingVolume.boundingVolume.radius).toEqual( - radius + radius, ); expect(tile.contentBoundingVolume.boundingVolume.center).toEqual( - new Cartesian3(0.0, 0.0, 1.0) + new Cartesian3(0.0, 0.0, 1.0), ); }); @@ -298,7 +298,7 @@ describe( mockTileset, "/some_url", tileWithBoundingRegion, - undefined + undefined, ); const tbr = new TileBoundingRegion({ rectangle: rectangle, @@ -316,7 +316,7 @@ describe( mockTileset, "/some_url", tileWithContentBoundingRegion, - undefined + undefined, ); expect(tile.contentBoundingVolume).toBeDefined(); const tbb = new TileBoundingRegion({ @@ -333,7 +333,7 @@ describe( mockTileset, "/some_url", tileWithBoundingBox, - undefined + undefined, ); expect(tile.boundingVolume).toBeDefined(); const center = new Cartesian3(box[0], box[1], box[2]); @@ -345,7 +345,7 @@ describe( it("does not crash for bounding box with 0 volume", function () { // Create a copy of the tile with bounding box. const tileWithBoundingBox0Volume = JSON.parse( - JSON.stringify(tileWithBoundingBox) + JSON.stringify(tileWithBoundingBox), ); // Generate all the combinations of missing axes. const boxes = []; @@ -379,7 +379,7 @@ describe( mockTileset, "/some_url", tileWithBoundingBox0Volume, - undefined + undefined, ); expect(tile.boundingVolume).toBeDefined(); const center = new Cartesian3(box[0], box[1], box[2]); @@ -395,7 +395,7 @@ describe( mockTileset, "/some_url", tileWithContentBoundingBox, - undefined + undefined, ); expect(tile.contentBoundingVolume).toBeDefined(); const center = new Cartesian3(box[0], box[1], box[2]); @@ -411,7 +411,7 @@ describe( mockTileset, "/some_url", header, - undefined + undefined, ); const boundingSphere = tile.boundingVolume.boundingVolume; const contentBoundingSphere = tile.contentBoundingVolume.boundingVolume; @@ -419,17 +419,17 @@ describe( const boundingVolumeCenter = Cartesian3.fromRadians( centerLongitude, centerLatitude, - 1.0 + 1.0, ); expect(boundingSphere.center).toEqualEpsilon( boundingVolumeCenter, - CesiumMath.EPSILON4 + CesiumMath.EPSILON4, ); expect(boundingSphere.radius).toEqual(5.0); // No change expect(contentBoundingSphere.center).toEqualEpsilon( boundingVolumeCenter, - CesiumMath.EPSILON4 + CesiumMath.EPSILON4, ); expect(contentBoundingSphere.radius).toEqual(5.0); // No change }); @@ -441,7 +441,7 @@ describe( mockTileset, "/some_url", header, - undefined + undefined, ); const boundingBox = tile.boundingVolume.boundingVolume; const contentBoundingBox = tile.contentBoundingVolume.boundingVolume; @@ -449,15 +449,15 @@ describe( const boundingVolumeCenter = Cartesian3.fromRadians( centerLongitude, centerLatitude, - 1.0 + 1.0, ); expect(boundingBox.center).toEqualEpsilon( boundingVolumeCenter, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(contentBoundingBox.center).toEqualEpsilon( boundingVolumeCenter, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); @@ -468,7 +468,7 @@ describe( mockTileset, "/some_url", header, - undefined + undefined, ); const boundingRegion = tile.boundingVolume; const contentBoundingRegion = tile.contentBoundingVolume; @@ -486,17 +486,17 @@ describe( mockTileset, "/some_url", header, - undefined + undefined, ); const requestVolume = tile._viewerRequestVolume.boundingVolume; const requestVolumeCenter = Cartesian3.fromRadians( centerLongitude, centerLatitude, - 1.0 + 1.0, ); expect(requestVolume.center).toEqualEpsilon( requestVolumeCenter, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); @@ -510,18 +510,18 @@ describe( mockTileset, "/some_url", header, - undefined + undefined, ); const boundingSphere = tile.boundingVolume.boundingVolume; // Check the original transform const boundingVolumeCenter = Cartesian3.fromRadians( centerLongitude, - centerLatitude + centerLatitude, ); expect(boundingSphere.center).toEqualEpsilon( boundingVolumeCenter, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); // Change the transform @@ -534,7 +534,7 @@ describe( const newCenter = Cartesian3.fromRadians(newLongitude, newLatitude); expect(boundingSphere.center).toEqualEpsilon( newCenter, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); @@ -594,28 +594,20 @@ describe( mockTileset, "/some_url", header, - undefined + undefined, ); const boundingBox = tile.boundingVolume.boundingVolume; const boundingVolumeCenter = Cartesian3.fromRadians(0.0, 0.0, 101.0); expect(boundingBox.center).toEqualEpsilon( boundingVolumeCenter, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); const boundingVolumeHalfAxes = Matrix3.fromArray([ - 0.0, - 1.0, - 0.0, - 0.0, - 0.0, - 1.0, - 2.0, - 0.0, - 0.0, + 0.0, 1.0, 0.0, 0.0, 0.0, 1.0, 2.0, 0.0, 0.0, ]); expect(boundingBox.halfAxes).toEqualEpsilon( boundingVolumeHalfAxes, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); scene.verticalExaggeration = 2.0; @@ -625,23 +617,15 @@ describe( const exaggeratedCenter = Cartesian3.fromRadians(0.0, 0.0, 202.0); expect(boundingBox.center).toEqualEpsilon( exaggeratedCenter, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); // Note orientation flip due to re-computing the box after exaggeration const exaggeratedHalfAxes = Matrix3.fromArray([ - 4.0, - 0.0, - 0.0, - 0.0, - 1.0, - 0.0, - 0.0, - 0.0, - 1.0, + 4.0, 0.0, 0.0, 0.0, 1.0, 0.0, 0.0, 0.0, 1.0, ]); expect(boundingBox.halfAxes).toEqualEpsilon( exaggeratedHalfAxes, - CesiumMath.EPSILON4 + CesiumMath.EPSILON4, ); }); @@ -650,24 +634,24 @@ describe( mockTileset, "/some_url", tileWithBoundingRegion, - undefined + undefined, ); const tileBoundingRegion = tile.boundingVolume; expect(tileBoundingRegion.minimumHeight).toEqualEpsilon( -34.0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(tileBoundingRegion.maximumHeight).toEqualEpsilon( -30.0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); const rectangle = Rectangle.pack( tileBoundingRegion.rectangle, - new Array(4) + new Array(4), ); expect(rectangle).toEqualEpsilon( [-1.2, -1.2, 0.0, 0.0], - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); scene.verticalExaggeration = 2.0; @@ -677,19 +661,19 @@ describe( expect(tileBoundingRegion.minimumHeight).toEqualEpsilon( -34.0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(tileBoundingRegion.maximumHeight).toEqualEpsilon( -26.0, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); const exaggeratedRectangle = Rectangle.pack( tileBoundingRegion.rectangle, - new Array(4) + new Array(4), ); expect(exaggeratedRectangle).toEqualEpsilon( [-1.2, -1.2, 0.0, 0.0], - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); @@ -698,24 +682,24 @@ describe( header.transform = getTileTransform( centerLongitude, centerLatitude, - 100.0 + 100.0, ); const tile = new Cesium3DTile( mockTileset, "/some_url", header, - undefined + undefined, ); const boundingSphere = tile.boundingVolume.boundingVolume; const boundingVolumeCenter = Cartesian3.fromRadians( centerLongitude, centerLatitude, - 100.0 + 100.0, ); expect(boundingSphere.center).toEqualEpsilon( boundingVolumeCenter, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(boundingSphere.radius).toEqualEpsilon(5.0, CesiumMath.EPSILON7); @@ -726,11 +710,11 @@ describe( const exaggeratedCenter = Cartesian3.fromRadians( centerLongitude, centerLatitude, - 200.0 + 200.0, ); expect(boundingSphere.center).toEqualEpsilon( exaggeratedCenter, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(boundingSphere.radius).toEqualEpsilon(10.0, CesiumMath.EPSILON7); }); @@ -752,10 +736,10 @@ describe( mockTileset, "/some_url", tileWithBoundingRegion, - undefined + undefined, ); const passOptions = Cesium3DTilePass.getPassOptions( - Cesium3DTilePass.RENDER + Cesium3DTilePass.RENDER, ); tile.update(mockTileset, scene.frameState, passOptions); expect(tile._debugBoundingVolume).toBeDefined(); @@ -766,10 +750,10 @@ describe( mockTileset, "/some_url", tileWithBoundingBox, - undefined + undefined, ); const passOptions = Cesium3DTilePass.getPassOptions( - Cesium3DTilePass.RENDER + Cesium3DTilePass.RENDER, ); tile.update(mockTileset, scene.frameState, passOptions); expect(tile._debugBoundingVolume).toBeDefined(); @@ -780,10 +764,10 @@ describe( mockTileset, "/some_url", tileWithBoundingSphere, - undefined + undefined, ); const passOptions = Cesium3DTilePass.getPassOptions( - Cesium3DTilePass.RENDER + Cesium3DTilePass.RENDER, ); tile.update(mockTileset, scene.frameState, passOptions); expect(tile._debugBoundingVolume).toBeDefined(); @@ -794,10 +778,10 @@ describe( mockTileset, "/some_url", tileWithViewerRequestVolume, - undefined + undefined, ); const passOptions = Cesium3DTilePass.getPassOptions( - Cesium3DTilePass.RENDER + Cesium3DTilePass.RENDER, ); tile.update(mockTileset, scene.frameState, passOptions); expect(tile._debugViewerRequestVolume).toBeDefined(); @@ -809,7 +793,7 @@ describe( mockTileset, "/some_url", tileWithBoundingSphere, - undefined + undefined, ); tile1._priorityHolder = tile1; tile1._foveatedFactor = 0.0; @@ -821,7 +805,7 @@ describe( mockTileset, "/some_url", tileWithBoundingSphere, - undefined + undefined, ); tile2._priorityHolder = tile1; tile2._foveatedFactor = 1.0; // foveatedFactor (when considered for priority in certain modes) is actually 0 since its linked up to tile1 @@ -850,15 +834,15 @@ describe( CesiumMath.equalsEpsilon( tile1._priority, tile1ExpectedPriority, - CesiumMath.EPSILON2 - ) + CesiumMath.EPSILON2, + ), ).toBe(true); expect( CesiumMath.equalsEpsilon( tile2._priority, tile2ExpectedPriority, - CesiumMath.EPSILON2 - ) + CesiumMath.EPSILON2, + ), ).toBe(true); // Penalty for not being a progressive resolution @@ -880,7 +864,7 @@ describe( const header = clone(tileWithContentBoundingSphere, true); header.transform = Matrix4.pack( Matrix4.fromUniformScale(2.0), - new Array(16) + new Array(16), ); const mockTilesetScaled = clone(mockTileset, true); @@ -889,7 +873,7 @@ describe( mockTilesetScaled, "/some_url", header, - undefined + undefined, ); expect(tile._geometricError).toBe(1); @@ -898,5 +882,5 @@ describe( expect(mockTilesetScaled._scaledGeometricError).toBe(4); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Cesium3DTileStyleSpec.js b/packages/engine/Specs/Scene/Cesium3DTileStyleSpec.js index 957d4d261457..d762d34bfbad 100644 --- a/packages/engine/Specs/Scene/Cesium3DTileStyleSpec.js +++ b/packages/engine/Specs/Scene/Cesium3DTileStyleSpec.js @@ -97,7 +97,7 @@ describe("Scene/Cesium3DTileStyle", function () { expect(style.pointOutlineWidth).toEqual(new Expression("5.0")); expect(style.labelColor).toEqual(new Expression("color('yellow')")); expect(style.labelOutlineColor).toEqual( - new Expression("color('orange')") + new Expression("color('orange')"), ); expect(style.labelOutlineWidth).toEqual(new Expression("6.0")); expect(style.font).toEqual(new Expression("'24px Helvetica'")); @@ -107,13 +107,13 @@ describe("Scene/Cesium3DTileStyle", function () { expect(style.backgroundPadding).toEqual(new Expression("vec2(1.0, 2.0)")); expect(style.backgroundEnabled).toEqual(new Expression("true")); expect(style.scaleByDistance).toEqual( - new Expression("vec4(1.0, 2.0, 3.0, 4.0)") + new Expression("vec4(1.0, 2.0, 3.0, 4.0)"), ); expect(style.translucencyByDistance).toEqual( - new Expression("vec4(5.0, 6.0, 7.0, 8.0)") + new Expression("vec4(5.0, 6.0, 7.0, 8.0)"), ); expect(style.distanceDisplayCondition).toEqual( - new Expression("vec2(3.0, 4.0)") + new Expression("vec2(3.0, 4.0)"), ); expect(style.heightOffset).toEqual(new Expression("10.0")); expect(style.anchorLineEnabled).toEqual(new Expression("true")); @@ -131,7 +131,7 @@ describe("Scene/Cesium3DTileStyle", function () { return Cesium3DTileStyle.fromUrl( new Resource({ url: styleUrl, - }) + }), ).then(function (style) { expect(style.style).toEqual({ color: "color('red')", @@ -168,7 +168,7 @@ describe("Scene/Cesium3DTileStyle", function () { expect(style.pointOutlineWidth).toEqual(new Expression("5.0")); expect(style.labelColor).toEqual(new Expression("color('yellow')")); expect(style.labelOutlineColor).toEqual( - new Expression("color('orange')") + new Expression("color('orange')"), ); expect(style.labelOutlineWidth).toEqual(new Expression("6.0")); expect(style.font).toEqual(new Expression("'24px Helvetica'")); @@ -178,13 +178,13 @@ describe("Scene/Cesium3DTileStyle", function () { expect(style.backgroundPadding).toEqual(new Expression("vec2(1.0, 2.0)")); expect(style.backgroundEnabled).toEqual(new Expression("true")); expect(style.scaleByDistance).toEqual( - new Expression("vec4(1.0, 2.0, 3.0, 4.0)") + new Expression("vec4(1.0, 2.0, 3.0, 4.0)"), ); expect(style.translucencyByDistance).toEqual( - new Expression("vec4(5.0, 6.0, 7.0, 8.0)") + new Expression("vec4(5.0, 6.0, 7.0, 8.0)"), ); expect(style.distanceDisplayCondition).toEqual( - new Expression("vec2(3.0, 4.0)") + new Expression("vec2(3.0, 4.0)"), ); expect(style.heightOffset).toEqual(new Expression("10.0")); expect(style.anchorLineEnabled).toEqual(new Expression("true")); @@ -279,7 +279,7 @@ describe("Scene/Cesium3DTileStyle", function () { const style = new Cesium3DTileStyle({ defines: defines }); style.show = "${height} * ${showFactor} >= 1000"; expect(style.show).toEqual( - new Expression("${height} * ${showFactor} >= 1000", defines) + new Expression("${height} * ${showFactor} >= 1000", defines), ); style.show = false; @@ -349,8 +349,8 @@ describe("Scene/Cesium3DTileStyle", function () { expect(style.color).toEqual( new Expression( - '(${height} * 10 >= 1000) ? rgba(0.0, 0.0, 1.0, 0.5) : color("blue")' - ) + '(${height} * 10 >= 1000) ? rgba(0.0, 0.0, 1.0, 0.5) : color("blue")', + ), ); }); @@ -417,7 +417,7 @@ describe("Scene/Cesium3DTileStyle", function () { const style = new Cesium3DTileStyle({ defines: defines }); style.color = 'color("${targetColor}")'; expect(style.color).toEqual( - new Expression('color("${targetColor}")', defines) + new Expression('color("${targetColor}")', defines), ); const jsonExp = { @@ -545,7 +545,7 @@ describe("Scene/Cesium3DTileStyle", function () { style.pointSize = "${targetPointSize} + 1.0"; expect(style.pointSize).toEqual( - new Expression("${targetPointSize} + 1.0", defines) + new Expression("${targetPointSize} + 1.0", defines), ); const jsonExp = { @@ -599,7 +599,7 @@ describe("Scene/Cesium3DTileStyle", function () { pointOutlineColor: "rgba(30, 30, 30, 0.5)", }); expect(style.pointOutlineColor).toEqual( - new Expression("rgba(30, 30, 30, 0.5)") + new Expression("rgba(30, 30, 30, 0.5)"), ); style = new Cesium3DTileStyle({ @@ -608,8 +608,8 @@ describe("Scene/Cesium3DTileStyle", function () { }); expect(style.pointOutlineColor).toEqual( new Expression( - '(${height} * 10 >= 1000) ? rgba(0.0, 0.0, 1.0, 0.5) : color("blue")' - ) + '(${height} * 10 >= 1000) ? rgba(0.0, 0.0, 1.0, 0.5) : color("blue")', + ), ); }); @@ -684,7 +684,7 @@ describe("Scene/Cesium3DTileStyle", function () { const style = new Cesium3DTileStyle({ defines: defines }); style.pointOutlineColor = 'color("${targetColor}")'; expect(style.pointOutlineColor).toEqual( - new Expression('color("${targetColor}")', defines) + new Expression('color("${targetColor}")', defines), ); const jsonExp = { @@ -696,7 +696,7 @@ describe("Scene/Cesium3DTileStyle", function () { style.pointOutlineColor = jsonExp; expect(style.pointOutlineColor).toEqual( - new ConditionsExpression(jsonExp, defines) + new ConditionsExpression(jsonExp, defines), ); }); @@ -817,7 +817,7 @@ describe("Scene/Cesium3DTileStyle", function () { style.pointOutlineWidth = "${targetPointSize} + 1.0"; expect(style.pointOutlineWidth).toEqual( - new Expression("${targetPointSize} + 1.0", defines) + new Expression("${targetPointSize} + 1.0", defines), ); const jsonExp = { @@ -829,7 +829,7 @@ describe("Scene/Cesium3DTileStyle", function () { style.pointOutlineWidth = jsonExp; expect(style.pointOutlineWidth).toEqual( - new ConditionsExpression(jsonExp, defines) + new ConditionsExpression(jsonExp, defines), ); }); @@ -880,8 +880,8 @@ describe("Scene/Cesium3DTileStyle", function () { }); expect(style.labelColor).toEqual( new Expression( - '(${height} * 10 >= 1000) ? rgba(0.0, 0.0, 1.0, 0.5) : color("blue")' - ) + '(${height} * 10 >= 1000) ? rgba(0.0, 0.0, 1.0, 0.5) : color("blue")', + ), ); }); @@ -955,7 +955,7 @@ describe("Scene/Cesium3DTileStyle", function () { const style = new Cesium3DTileStyle({ defines: defines }); style.labelColor = 'color("${targetColor}")'; expect(style.labelColor).toEqual( - new Expression('color("${targetColor}")', defines) + new Expression('color("${targetColor}")', defines), ); const jsonExp = { @@ -967,7 +967,7 @@ describe("Scene/Cesium3DTileStyle", function () { style.labelColor = jsonExp; expect(style.labelColor).toEqual( - new ConditionsExpression(jsonExp, defines) + new ConditionsExpression(jsonExp, defines), ); }); @@ -1008,7 +1008,7 @@ describe("Scene/Cesium3DTileStyle", function () { labelOutlineColor: "rgba(30, 30, 30, 0.5)", }); expect(style.labelOutlineColor).toEqual( - new Expression("rgba(30, 30, 30, 0.5)") + new Expression("rgba(30, 30, 30, 0.5)"), ); style = new Cesium3DTileStyle({ @@ -1017,8 +1017,8 @@ describe("Scene/Cesium3DTileStyle", function () { }); expect(style.labelOutlineColor).toEqual( new Expression( - '(${height} * 10 >= 1000) ? rgba(0.0, 0.0, 1.0, 0.5) : color("blue")' - ) + '(${height} * 10 >= 1000) ? rgba(0.0, 0.0, 1.0, 0.5) : color("blue")', + ), ); }); @@ -1093,7 +1093,7 @@ describe("Scene/Cesium3DTileStyle", function () { const style = new Cesium3DTileStyle({ defines: defines }); style.labelOutlineColor = 'color("${targetColor}")'; expect(style.labelOutlineColor).toEqual( - new Expression('color("${targetColor}")', defines) + new Expression('color("${targetColor}")', defines), ); const jsonExp = { @@ -1105,7 +1105,7 @@ describe("Scene/Cesium3DTileStyle", function () { style.labelOutlineColor = jsonExp; expect(style.labelOutlineColor).toEqual( - new ConditionsExpression(jsonExp, defines) + new ConditionsExpression(jsonExp, defines), ); }); @@ -1225,7 +1225,7 @@ describe("Scene/Cesium3DTileStyle", function () { style.labelOutlineWidth = "${targetLabelSize} + 1.0"; expect(style.labelOutlineWidth).toEqual( - new Expression("${targetLabelSize} + 1.0", defines) + new Expression("${targetLabelSize} + 1.0", defines), ); const jsonExp = { @@ -1237,7 +1237,7 @@ describe("Scene/Cesium3DTileStyle", function () { style.labelOutlineWidth = jsonExp; expect(style.labelOutlineWidth).toEqual( - new ConditionsExpression(jsonExp, defines) + new ConditionsExpression(jsonExp, defines), ); }); @@ -1484,7 +1484,7 @@ describe("Scene/Cesium3DTileStyle", function () { style.labelStyle = "${targetLabelStyle}"; expect(style.labelStyle).toEqual( - new Expression("${targetLabelStyle}", defines) + new Expression("${targetLabelStyle}", defines), ); const jsonExp = { @@ -1496,7 +1496,7 @@ describe("Scene/Cesium3DTileStyle", function () { style.labelStyle = jsonExp; expect(style.labelStyle).toEqual( - new ConditionsExpression(jsonExp, defines) + new ConditionsExpression(jsonExp, defines), ); }); @@ -1673,7 +1673,7 @@ describe("Scene/Cesium3DTileStyle", function () { backgroundColor: "rgba(30, 30, 30, 0.5)", }); expect(style.backgroundColor).toEqual( - new Expression("rgba(30, 30, 30, 0.5)") + new Expression("rgba(30, 30, 30, 0.5)"), ); style = new Cesium3DTileStyle({ @@ -1682,8 +1682,8 @@ describe("Scene/Cesium3DTileStyle", function () { }); expect(style.backgroundColor).toEqual( new Expression( - '(${height} * 10 >= 1000) ? rgba(0.0, 0.0, 1.0, 0.5) : color("blue")' - ) + '(${height} * 10 >= 1000) ? rgba(0.0, 0.0, 1.0, 0.5) : color("blue")', + ), ); }); @@ -1758,7 +1758,7 @@ describe("Scene/Cesium3DTileStyle", function () { const style = new Cesium3DTileStyle({ defines: defines }); style.backgroundColor = 'color("${targetColor}")'; expect(style.backgroundColor).toEqual( - new Expression('color("${targetColor}")', defines) + new Expression('color("${targetColor}")', defines), ); const jsonExp = { @@ -1770,7 +1770,7 @@ describe("Scene/Cesium3DTileStyle", function () { style.backgroundColor = jsonExp; expect(style.backgroundColor).toEqual( - new ConditionsExpression(jsonExp, defines) + new ConditionsExpression(jsonExp, defines), ); }); @@ -1818,8 +1818,8 @@ describe("Scene/Cesium3DTileStyle", function () { }); expect(style.backgroundPadding).toEqual( new Expression( - "(${height} * 10 >= 1000) ? vec2(1.0, 2.0) : vec2(3.0, 4.0)" - ) + "(${height} * 10 >= 1000) ? vec2(1.0, 2.0) : vec2(3.0, 4.0)", + ), ); }); @@ -1891,7 +1891,7 @@ describe("Scene/Cesium3DTileStyle", function () { const style = new Cesium3DTileStyle({ defines: defines }); style.backgroundPadding = 'vec2("${targetPadding}")'; expect(style.backgroundPadding).toEqual( - new Expression('vec2("${targetPadding}")', defines) + new Expression('vec2("${targetPadding}")', defines), ); const jsonExp = { @@ -1903,7 +1903,7 @@ describe("Scene/Cesium3DTileStyle", function () { style.backgroundPadding = jsonExp; expect(style.backgroundPadding).toEqual( - new ConditionsExpression(jsonExp, defines) + new ConditionsExpression(jsonExp, defines), ); }); @@ -1949,7 +1949,7 @@ describe("Scene/Cesium3DTileStyle", function () { backgroundEnabled: "${height} * 10 >= 1000", }); expect(style.backgroundEnabled).toEqual( - new Expression("${height} * 10 >= 1000") + new Expression("${height} * 10 >= 1000"), ); style = new Cesium3DTileStyle({ @@ -2025,7 +2025,7 @@ describe("Scene/Cesium3DTileStyle", function () { const style = new Cesium3DTileStyle({ defines: defines }); style.backgroundEnabled = "${height} * ${backgroundFactor} >= 1000"; expect(style.backgroundEnabled).toEqual( - new Expression("${height} * ${backgroundFactor} >= 1000", defines) + new Expression("${height} * ${backgroundFactor} >= 1000", defines), ); style.backgroundEnabled = false; @@ -2040,7 +2040,7 @@ describe("Scene/Cesium3DTileStyle", function () { style.backgroundEnabled = jsonExp; expect(style.backgroundEnabled).toEqual( - new ConditionsExpression(jsonExp, defines) + new ConditionsExpression(jsonExp, defines), ); style.backgroundEnabled = undefined; @@ -2054,7 +2054,7 @@ describe("Scene/Cesium3DTileStyle", function () { const style = new Cesium3DTileStyle({ defines: defines }); style.backgroundEnabled = "${height} * ${backgroundFactor} >= 1000"; expect(style.style.backgroundEnabled).toEqual( - "${height} * ${backgroundFactor} >= 1000" + "${height} * ${backgroundFactor} >= 1000", ); style.backgroundEnabled = false; @@ -2088,7 +2088,7 @@ describe("Scene/Cesium3DTileStyle", function () { }); expect(style.scaleByDistance).toEqual( - new Expression("vec4(1.0, 2.0, 3.0, 4.0)") + new Expression("vec4(1.0, 2.0, 3.0, 4.0)"), ); style = new Cesium3DTileStyle({ @@ -2096,7 +2096,7 @@ describe("Scene/Cesium3DTileStyle", function () { }); expect(style.scaleByDistance).toEqual( - new Expression("vec4(5.0, 6.0, 7.0, 8.0)") + new Expression("vec4(5.0, 6.0, 7.0, 8.0)"), ); style = new Cesium3DTileStyle({ @@ -2105,8 +2105,8 @@ describe("Scene/Cesium3DTileStyle", function () { }); expect(style.scaleByDistance).toEqual( new Expression( - "(${height} * 10 >= 1000) ? vec4(1.0, 2.0, 3.0, 4.0) : vec4(5.0, 6.0, 7.0, 8.0)" - ) + "(${height} * 10 >= 1000) ? vec4(1.0, 2.0, 3.0, 4.0) : vec4(5.0, 6.0, 7.0, 8.0)", + ), ); }); @@ -2179,7 +2179,7 @@ describe("Scene/Cesium3DTileStyle", function () { const style = new Cesium3DTileStyle({ defines: defines }); style.scaleByDistance = 'vec4("${targetScale}")'; expect(style.scaleByDistance).toEqual( - new Expression('vec4("${targetScale}")', defines) + new Expression('vec4("${targetScale}")', defines), ); const jsonExp = { @@ -2191,7 +2191,7 @@ describe("Scene/Cesium3DTileStyle", function () { style.scaleByDistance = jsonExp; expect(style.scaleByDistance).toEqual( - new ConditionsExpression(jsonExp, defines) + new ConditionsExpression(jsonExp, defines), ); }); @@ -2227,14 +2227,14 @@ describe("Scene/Cesium3DTileStyle", function () { distanceDisplayCondition: "vec4(1.0, 2.0, 3.0, 4.0)", }); expect(style.distanceDisplayCondition).toEqual( - new Expression("vec4(1.0, 2.0, 3.0, 4.0)") + new Expression("vec4(1.0, 2.0, 3.0, 4.0)"), ); style = new Cesium3DTileStyle({ distanceDisplayCondition: "vec4(5.0, 6.0, 7.0, 8.0)", }); expect(style.distanceDisplayCondition).toEqual( - new Expression("vec4(5.0, 6.0, 7.0, 8.0)") + new Expression("vec4(5.0, 6.0, 7.0, 8.0)"), ); style = new Cesium3DTileStyle({ @@ -2243,8 +2243,8 @@ describe("Scene/Cesium3DTileStyle", function () { }); expect(style.distanceDisplayCondition).toEqual( new Expression( - "(${height} * 10 >= 1000) ? vec4(1.0, 2.0, 3.0, 4.0) : vec4(5.0, 6.0, 7.0, 8.0)" - ) + "(${height} * 10 >= 1000) ? vec4(1.0, 2.0, 3.0, 4.0) : vec4(5.0, 6.0, 7.0, 8.0)", + ), ); }); @@ -2260,7 +2260,7 @@ describe("Scene/Cesium3DTileStyle", function () { distanceDisplayCondition: jsonExp, }); expect(style.distanceDisplayCondition).toEqual( - new ConditionsExpression(jsonExp) + new ConditionsExpression(jsonExp), ); }); @@ -2288,7 +2288,7 @@ describe("Scene/Cesium3DTileStyle", function () { const style = new Cesium3DTileStyle(); style.distanceDisplayCondition = new Expression("vec4(5.0, 6.0, 7.0, 8.0)"); expect(style.style.distanceDisplayCondition).toEqual( - "vec4(5.0, 6.0, 7.0, 8.0)" + "vec4(5.0, 6.0, 7.0, 8.0)", ); const jsonExp = { @@ -2320,7 +2320,7 @@ describe("Scene/Cesium3DTileStyle", function () { const style = new Cesium3DTileStyle({ defines: defines }); style.distanceDisplayCondition = 'vec4("${targetTranslucency}")'; expect(style.distanceDisplayCondition).toEqual( - new Expression('vec4("${targetTranslucency}")', defines) + new Expression('vec4("${targetTranslucency}")', defines), ); const jsonExp = { @@ -2332,7 +2332,7 @@ describe("Scene/Cesium3DTileStyle", function () { style.distanceDisplayCondition = jsonExp; expect(style.distanceDisplayCondition).toEqual( - new ConditionsExpression(jsonExp, defines) + new ConditionsExpression(jsonExp, defines), ); }); @@ -2343,7 +2343,7 @@ describe("Scene/Cesium3DTileStyle", function () { const style = new Cesium3DTileStyle({ defines: defines }); style.distanceDisplayCondition = 'vec4("${targetTranslucency}")'; expect(style.style.distanceDisplayCondition).toEqual( - 'vec4("${targetTranslucency}")' + 'vec4("${targetTranslucency}")', ); const jsonExp = { @@ -2456,7 +2456,7 @@ describe("Scene/Cesium3DTileStyle", function () { style.heightOffset = "${targetHeight} + 1.0"; expect(style.heightOffset).toEqual( - new Expression("${targetHeight} + 1.0", defines) + new Expression("${targetHeight} + 1.0", defines), ); const jsonExp = { @@ -2468,7 +2468,7 @@ describe("Scene/Cesium3DTileStyle", function () { style.heightOffset = jsonExp; expect(style.heightOffset).toEqual( - new ConditionsExpression(jsonExp, defines) + new ConditionsExpression(jsonExp, defines), ); }); @@ -2514,7 +2514,7 @@ describe("Scene/Cesium3DTileStyle", function () { anchorLineEnabled: "${height} * 10 >= 1000", }); expect(style.anchorLineEnabled).toEqual( - new Expression("${height} * 10 >= 1000") + new Expression("${height} * 10 >= 1000"), ); style = new Cesium3DTileStyle({ @@ -2590,7 +2590,7 @@ describe("Scene/Cesium3DTileStyle", function () { const style = new Cesium3DTileStyle({ defines: defines }); style.anchorLineEnabled = "${height} * ${anchorFactor} >= 1000"; expect(style.anchorLineEnabled).toEqual( - new Expression("${height} * ${anchorFactor} >= 1000", defines) + new Expression("${height} * ${anchorFactor} >= 1000", defines), ); style.anchorLineEnabled = false; @@ -2605,7 +2605,7 @@ describe("Scene/Cesium3DTileStyle", function () { style.anchorLineEnabled = jsonExp; expect(style.anchorLineEnabled).toEqual( - new ConditionsExpression(jsonExp, defines) + new ConditionsExpression(jsonExp, defines), ); style.anchorLineEnabled = undefined; @@ -2619,7 +2619,7 @@ describe("Scene/Cesium3DTileStyle", function () { const style = new Cesium3DTileStyle({ defines: defines }); style.anchorLineEnabled = "${height} * ${anchorFactor} >= 1000"; expect(style.style.anchorLineEnabled).toEqual( - "${height} * ${anchorFactor} >= 1000" + "${height} * ${anchorFactor} >= 1000", ); style.anchorLineEnabled = false; @@ -2657,7 +2657,7 @@ describe("Scene/Cesium3DTileStyle", function () { anchorLineColor: "rgba(30, 30, 30, 0.5)", }); expect(style.anchorLineColor).toEqual( - new Expression("rgba(30, 30, 30, 0.5)") + new Expression("rgba(30, 30, 30, 0.5)"), ); style = new Cesium3DTileStyle({ @@ -2666,8 +2666,8 @@ describe("Scene/Cesium3DTileStyle", function () { }); expect(style.anchorLineColor).toEqual( new Expression( - '(${height} * 10 >= 1000) ? rgba(0.0, 0.0, 1.0, 0.5) : color("blue")' - ) + '(${height} * 10 >= 1000) ? rgba(0.0, 0.0, 1.0, 0.5) : color("blue")', + ), ); }); @@ -2742,7 +2742,7 @@ describe("Scene/Cesium3DTileStyle", function () { const style = new Cesium3DTileStyle({ defines: defines }); style.anchorLineColor = 'color("${targetColor}")'; expect(style.anchorLineColor).toEqual( - new Expression('color("${targetColor}")', defines) + new Expression('color("${targetColor}")', defines), ); const jsonExp = { @@ -2754,7 +2754,7 @@ describe("Scene/Cesium3DTileStyle", function () { style.anchorLineColor = jsonExp; expect(style.anchorLineColor).toEqual( - new ConditionsExpression(jsonExp, defines) + new ConditionsExpression(jsonExp, defines), ); }); @@ -2928,7 +2928,7 @@ describe("Scene/Cesium3DTileStyle", function () { disableDepthTestDistance: "${height} / 10", }); expect(style.disableDepthTestDistance).toEqual( - new Expression("${height} / 10") + new Expression("${height} / 10"), ); style = new Cesium3DTileStyle({ @@ -2949,7 +2949,7 @@ describe("Scene/Cesium3DTileStyle", function () { disableDepthTestDistance: jsonExp, }); expect(style.disableDepthTestDistance).toEqual( - new ConditionsExpression(jsonExp) + new ConditionsExpression(jsonExp), ); }); @@ -3013,7 +3013,7 @@ describe("Scene/Cesium3DTileStyle", function () { style.disableDepthTestDistance = "${targetDistance} + 1.0"; expect(style.disableDepthTestDistance).toEqual( - new Expression("${targetDistance} + 1.0", defines) + new Expression("${targetDistance} + 1.0", defines), ); const jsonExp = { @@ -3025,7 +3025,7 @@ describe("Scene/Cesium3DTileStyle", function () { style.disableDepthTestDistance = jsonExp; expect(style.disableDepthTestDistance).toEqual( - new ConditionsExpression(jsonExp, defines) + new ConditionsExpression(jsonExp, defines), ); }); @@ -3118,7 +3118,7 @@ describe("Scene/Cesium3DTileStyle", function () { style.horizontalOrigin = "${targetOrigin}"; expect(style.horizontalOrigin).toEqual( - new Expression("${targetOrigin}", defines) + new Expression("${targetOrigin}", defines), ); const jsonExp = { @@ -3130,7 +3130,7 @@ describe("Scene/Cesium3DTileStyle", function () { style.horizontalOrigin = jsonExp; expect(style.horizontalOrigin).toEqual( - new ConditionsExpression(jsonExp, defines) + new ConditionsExpression(jsonExp, defines), ); }); @@ -3244,7 +3244,7 @@ describe("Scene/Cesium3DTileStyle", function () { style.verticalOrigin = "${targetOrigin}"; expect(style.verticalOrigin).toEqual( - new Expression("${targetOrigin}", defines) + new Expression("${targetOrigin}", defines), ); const jsonExp = { @@ -3256,7 +3256,7 @@ describe("Scene/Cesium3DTileStyle", function () { style.verticalOrigin = jsonExp; expect(style.verticalOrigin).toEqual( - new ConditionsExpression(jsonExp, defines) + new ConditionsExpression(jsonExp, defines), ); }); @@ -3308,7 +3308,7 @@ describe("Scene/Cesium3DTileStyle", function () { labelHorizontalOrigin: jsonExp, }); expect(style.labelHorizontalOrigin).toEqual( - new ConditionsExpression(jsonExp) + new ConditionsExpression(jsonExp), ); }); @@ -3372,7 +3372,7 @@ describe("Scene/Cesium3DTileStyle", function () { style.labelHorizontalOrigin = "${targetOrigin}"; expect(style.labelHorizontalOrigin).toEqual( - new Expression("${targetOrigin}", defines) + new Expression("${targetOrigin}", defines), ); const jsonExp = { @@ -3384,7 +3384,7 @@ describe("Scene/Cesium3DTileStyle", function () { style.labelHorizontalOrigin = jsonExp; expect(style.labelHorizontalOrigin).toEqual( - new ConditionsExpression(jsonExp, defines) + new ConditionsExpression(jsonExp, defines), ); }); @@ -3436,7 +3436,7 @@ describe("Scene/Cesium3DTileStyle", function () { labelVerticalOrigin: jsonExp, }); expect(style.labelVerticalOrigin).toEqual( - new ConditionsExpression(jsonExp) + new ConditionsExpression(jsonExp), ); }); @@ -3501,7 +3501,7 @@ describe("Scene/Cesium3DTileStyle", function () { style.labelVerticalOrigin = "${targetOrigin}"; expect(style.labelVerticalOrigin).toEqual( - new Expression("${targetOrigin}", defines) + new Expression("${targetOrigin}", defines), ); const jsonExp = { @@ -3513,7 +3513,7 @@ describe("Scene/Cesium3DTileStyle", function () { style.labelVerticalOrigin = jsonExp; expect(style.labelVerticalOrigin).toEqual( - new ConditionsExpression(jsonExp, defines) + new ConditionsExpression(jsonExp, defines), ); }); @@ -3554,7 +3554,7 @@ describe("Scene/Cesium3DTileStyle", function () { }, }); expect(style.meta.featureColor.evaluateColor(feature1)).toEqual( - Color.fromBytes(38, 255, 82) + Color.fromBytes(38, 255, 82), ); expect(style.meta.volume.evaluate(feature1)).toEqual(20 * 20 * 100); }); @@ -3631,10 +3631,10 @@ describe("Scene/Cesium3DTileStyle", function () { color: "rgba(${red}, ${green}, ${blue}, (${volume} > 100 ? 0.5 : 1.0))", }); expect(style.color.evaluateColor(feature1)).toEqual( - new Color(38 / 255, 255 / 255, 82 / 255, 0.5) + new Color(38 / 255, 255 / 255, 82 / 255, 0.5), ); expect(style.color.evaluateColor(feature2)).toEqual( - new Color(255 / 255, 30 / 255, 30 / 255, 1.0) + new Color(255 / 255, 30 / 255, 30 / 255, 1.0), ); }); @@ -3734,10 +3734,10 @@ describe("Scene/Cesium3DTileStyle", function () { expect(style.pointSize.evaluate(feature1)).toEqual(114); expect(style.pointSize.evaluate(feature2)).toEqual(44); expect(style.meta.description.evaluate(feature1)).toEqual( - "Half height is 50" + "Half height is 50", ); expect(style.meta.description.evaluate(feature2)).toEqual( - "Half height is 19" + "Half height is 19", ); }); @@ -3750,7 +3750,7 @@ describe("Scene/Cesium3DTileStyle", function () { const pointSizeFunction = style.getPointSizeShaderFunction( "getPointSize", {}, - {} + {}, ); expect(colorFunction).toBeUndefined(); expect(showFunction).toBeUndefined(); diff --git a/packages/engine/Specs/Scene/Cesium3DTilesVoxelProviderSpec.js b/packages/engine/Specs/Scene/Cesium3DTilesVoxelProviderSpec.js index 214de3d8d101..49f5ff44fdbc 100644 --- a/packages/engine/Specs/Scene/Cesium3DTilesVoxelProviderSpec.js +++ b/packages/engine/Specs/Scene/Cesium3DTilesVoxelProviderSpec.js @@ -28,7 +28,7 @@ describe("Scene/Cesium3DTilesVoxelProvider", function () { expect(provider.globalTransform).toEqual(Matrix4.IDENTITY); expect(provider.shapeTransform).toEqualEpsilon( Matrix4.fromScale(Ellipsoid.WGS84.radii), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(provider.shape).toEqual(VoxelShapeType.ELLIPSOID); expect(provider.minBounds).toEqual(new Cartesian3(0.0, 0.0, -1.0)); diff --git a/packages/engine/Specs/Scene/Cesium3DTilesetBaseTraversalSpec.js b/packages/engine/Specs/Scene/Cesium3DTilesetBaseTraversalSpec.js index 3c4782ee83c0..ceb616fb9c1d 100644 --- a/packages/engine/Specs/Scene/Cesium3DTilesetBaseTraversalSpec.js +++ b/packages/engine/Specs/Scene/Cesium3DTilesetBaseTraversalSpec.js @@ -6,7 +6,7 @@ import { describe("Scene/Cesium3DTilesetBaseTraversal", function () { it("conforms to Cesium3DTilesetTraversal interface", function () { expect(Cesium3DTilesetBaseTraversal).toConformToInterface( - Cesium3DTilesetTraversal + Cesium3DTilesetTraversal, ); }); }); diff --git a/packages/engine/Specs/Scene/Cesium3DTilesetHeatmapSpec.js b/packages/engine/Specs/Scene/Cesium3DTilesetHeatmapSpec.js index eb34b52e2cde..57815219fe30 100644 --- a/packages/engine/Specs/Scene/Cesium3DTilesetHeatmapSpec.js +++ b/packages/engine/Specs/Scene/Cesium3DTilesetHeatmapSpec.js @@ -40,7 +40,7 @@ describe("Scene/Cesium3DTilesetHeatmap", function () { const diff = new Color( Math.abs(expectedColor.red - tileColor.red), Math.abs(expectedColor.green - tileColor.green), - Math.abs(expectedColor.blue - tileColor.blue) + Math.abs(expectedColor.blue - tileColor.blue), ); const threshold = 0.11; @@ -71,13 +71,13 @@ describe("Scene/Cesium3DTilesetHeatmap", function () { JulianDate.addSeconds( referenceMinimumJulianDate, 10, - referenceMaximumJulianDate + referenceMaximumJulianDate, ); heatmap.setReferenceMinimumMaximum( referenceMinimumJulianDate, referenceMaximumJulianDate, - tilePropertyName + tilePropertyName, ); // User wants to colorize to a fixed reference. const referenceMinimum = heatmap._referenceMinimum[tilePropertyName]; const referenceMaximum = heatmap._referenceMaximum[tilePropertyName]; @@ -99,7 +99,7 @@ describe("Scene/Cesium3DTilesetHeatmap", function () { mockTileset, "/some_url", tileWithBoundingSphere, - undefined + undefined, ); tile._contentState = Cesium3DTileContentState.READY; tile.hasEmptyContent = false; diff --git a/packages/engine/Specs/Scene/Cesium3DTilesetMostDetailedTraversalSpec.js b/packages/engine/Specs/Scene/Cesium3DTilesetMostDetailedTraversalSpec.js index 364c9369df1a..bf41868f39dd 100644 --- a/packages/engine/Specs/Scene/Cesium3DTilesetMostDetailedTraversalSpec.js +++ b/packages/engine/Specs/Scene/Cesium3DTilesetMostDetailedTraversalSpec.js @@ -6,7 +6,7 @@ import { describe("Scene/Cesium3DTilesetMostDetailedTraversal", function () { it("conforms to Cesium3DTilesetTraversal interface", function () { expect(Cesium3DTilesetMostDetailedTraversal).toConformToInterface( - Cesium3DTilesetTraversal + Cesium3DTilesetTraversal, ); }); }); diff --git a/packages/engine/Specs/Scene/Cesium3DTilesetSkipTraversalSpec.js b/packages/engine/Specs/Scene/Cesium3DTilesetSkipTraversalSpec.js index bb1418cebfcf..414a52880562 100644 --- a/packages/engine/Specs/Scene/Cesium3DTilesetSkipTraversalSpec.js +++ b/packages/engine/Specs/Scene/Cesium3DTilesetSkipTraversalSpec.js @@ -6,7 +6,7 @@ import { describe("Scene/Cesium3DTilesetSkipTraversal", function () { it("conforms to Cesium3DTilesetTraversal interface", function () { expect(Cesium3DTilesetSkipTraversal).toConformToInterface( - Cesium3DTilesetTraversal + Cesium3DTilesetTraversal, ); }); }); diff --git a/packages/engine/Specs/Scene/Cesium3DTilesetSpec.js b/packages/engine/Specs/Scene/Cesium3DTilesetSpec.js index 0419ed73eb27..1377623b5161 100644 --- a/packages/engine/Specs/Scene/Cesium3DTilesetSpec.js +++ b/packages/engine/Specs/Scene/Cesium3DTilesetSpec.js @@ -241,7 +241,7 @@ describe( const center = Cartesian3.fromRadians( centerLongitude, centerLatitude, - 100 + 100, ); scene.camera.lookAt(center, new HeadingPitchRange(0.0, 1.57, 10.0)); } @@ -277,15 +277,15 @@ describe( const uri = `data:text/plain;base64,${btoa(JSON.stringify(tilesetJson))}`; await expectAsync(Cesium3DTileset.loadJson(uri)).toBeResolvedTo( - tilesetJson + tilesetJson, ); }); it("fromUrl throws without url", async function () { await expectAsync( - Cesium3DTileset.fromUrl() + Cesium3DTileset.fromUrl(), ).toBeRejectedWithDeveloperError( - "url is required, actual value was undefined" + "url is required, actual value was undefined", ); }); @@ -299,7 +299,7 @@ describe( const uri = `data:text/plain;base64,${btoa(JSON.stringify(tilesetJson))}`; await expectAsync(Cesium3DTileset.fromUrl(uri)).toBeRejectedWithError( RuntimeError, - "The tileset must be 3D Tiles version 0.0, 1.0, or 1.1" + "The tileset must be 3D Tiles version 0.0, 1.0, or 1.1", ); }); @@ -315,7 +315,7 @@ describe( const uri = `data:text/plain;base64,${btoa(JSON.stringify(tilesetJson))}`; await expectAsync(Cesium3DTileset.fromUrl(uri)).toBeRejectedWithError( RuntimeError, - "Unsupported 3D Tiles Extension: unsupported_extension" + "Unsupported 3D Tiles Extension: unsupported_extension", ); }); @@ -347,53 +347,53 @@ describe( it("fromIonAssetId throws without assetId", async function () { await expectAsync( - Cesium3DTileset.fromIonAssetId() + Cesium3DTileset.fromIonAssetId(), ).toBeRejectedWithDeveloperError( - "assetId is required, actual value was undefined" + "assetId is required, actual value was undefined", ); }); it("loads tileset JSON file", function () { - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - const asset = tileset.asset; - expect(asset).toBeDefined(); - expect(asset.version).toEqual("1.0"); - expect(asset.tilesetVersion).toEqual("1.2.3"); - - const properties = tileset.properties; - expect(properties).toBeDefined(); - expect(properties.id).toBeDefined(); - expect(properties.id.minimum).toEqual(0); - expect(properties.id.maximum).toEqual(9); - - expect(tileset._geometricError).toEqual(240.0); - expect(tileset.root).toBeDefined(); - expect(tileset.resource.url).toEqual(tilesetUrl); - }); + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + const asset = tileset.asset; + expect(asset).toBeDefined(); + expect(asset.version).toEqual("1.0"); + expect(asset.tilesetVersion).toEqual("1.2.3"); + + const properties = tileset.properties; + expect(properties).toBeDefined(); + expect(properties.id).toBeDefined(); + expect(properties.id.minimum).toEqual(0); + expect(properties.id.maximum).toEqual(9); + + expect(tileset._geometricError).toEqual(240.0); + expect(tileset.root).toBeDefined(); + expect(tileset.resource.url).toEqual(tilesetUrl); + }, + ); }); it("loads tileset with extras", function () { - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - expect(tileset.extras).toEqual({ name: "Sample Tileset" }); - expect(tileset.root.extras).toBeUndefined(); - - const length = tileset.root.children.length; - let taggedChildren = 0; - for (let i = 0; i < length; ++i) { - if (defined(tileset.root.children[i].extras)) { - expect(tileset.root.children[i].extras).toEqual({ - id: "Special Tile", - }); - ++taggedChildren; + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + expect(tileset.extras).toEqual({ name: "Sample Tileset" }); + expect(tileset.root.extras).toBeUndefined(); + + const length = tileset.root.children.length; + let taggedChildren = 0; + for (let i = 0; i < length; ++i) { + if (defined(tileset.root.children[i].extras)) { + expect(tileset.root.children[i].extras).toEqual({ + id: "Special Tile", + }); + ++taggedChildren; + } } - } - expect(taggedChildren).toEqual(1); - }); + expect(taggedChildren).toEqual(1); + }, + ); }); it("gets root tile", async function () { @@ -404,27 +404,27 @@ describe( it("hasExtension returns true if the tileset JSON file uses the specified extension", function () { return Cesium3DTilesTester.loadTileset( scene, - withBatchTableHierarchyUrl + withBatchTableHierarchyUrl, ).then(function (tileset) { expect(tileset.hasExtension("3DTILES_batch_table_hierarchy")).toBe( - true + true, ); expect(tileset.hasExtension("3DTILES_nonexistant_extension")).toBe( - false + false, ); }); }); it("passes version in query string to tiles", function () { - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - expect(tileset.root.content._resource.url).toEqual( - getAbsoluteUri( - tilesetUrl.replace("tileset.json", "parent.b3dm?v=1.2.3") - ) - ); - }); + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + expect(tileset.root.content._resource.url).toEqual( + getAbsoluteUri( + tilesetUrl.replace("tileset.json", "parent.b3dm?v=1.2.3"), + ), + ); + }, + ); }); it("passes version in query string to all external resources", function () { @@ -435,7 +435,7 @@ describe( const queryParamsWithVersion = "?a=1&b=boy&v=1.2.3"; return Cesium3DTilesTester.loadTileset( scene, - tilesetWithExternalResourcesUrl + queryParams + tilesetWithExternalResourcesUrl + queryParams, ).then(function (tileset) { const calls = Resource._Implementations.loadWithXhr.calls.all(); const callsLength = calls.length; @@ -466,7 +466,7 @@ describe( // Start spying after the tileset json has been loaded spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(invalidMagicBuffer) + Promise.resolve(invalidMagicBuffer), ); scene.renderForSpecs(); // Request root @@ -479,7 +479,7 @@ describe( expect(failedSpy).toHaveBeenCalledWith( jasmine.objectContaining({ message: "Invalid tile content.", - }) + }), ); expect(root.contentFailed).toBeTrue(); }); @@ -507,7 +507,7 @@ describe( expect(failedSpy).toHaveBeenCalledWith( jasmine.objectContaining({ message: "404", - }) + }), ); const statistics = tileset.statistics; expect(statistics.numberOfAttemptedRequests).toBe(0); @@ -529,8 +529,8 @@ describe( Promise.resolve( Cesium3DTilesTester.generateBatchedTileBuffer({ version: 0, // Invalid version - }) - ) + }), + ), ); scene.renderForSpecs(); // Request root const root = tileset.root; @@ -543,7 +543,7 @@ describe( jasmine.objectContaining({ message: "Only Batched 3D Model version 1 is supported. Version 0 is not.", - }) + }), ); const statistics = tileset.statistics; expect(statistics.numberOfAttemptedRequests).toBe(0); @@ -553,35 +553,35 @@ describe( }); it("renders tileset", function () { - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - const statistics = tileset._statistics; - expect(statistics.visited).toEqual(5); - expect(statistics.numberOfCommands).toEqual(5); - }); + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + const statistics = tileset._statistics; + expect(statistics.visited).toEqual(5); + expect(statistics.numberOfCommands).toEqual(5); + }, + ); }); function checkAnimation(url) { - return Cesium3DTilesTester.loadTileset(scene, url).then(function ( - tileset - ) { - const renderOptions = { - scene: scene, - time: new JulianDate(271.828), - }; + return Cesium3DTilesTester.loadTileset(scene, url).then( + function (tileset) { + const renderOptions = { + scene: scene, + time: new JulianDate(271.828), + }; - expect(renderOptions).toRenderAndCall(function (rgba) { - const commandList = scene.frameState.commandList; - const modelMatrix1 = Matrix4.clone(commandList[0].modelMatrix); - // Check that the scene changes after .5 seconds. (it animates) - renderOptions.time.secondsOfDay += 0.5; expect(renderOptions).toRenderAndCall(function (rgba) { - const modelMatrix2 = Matrix4.clone(commandList[0].modelMatrix); - expect(modelMatrix1).not.toEqual(modelMatrix2); + const commandList = scene.frameState.commandList; + const modelMatrix1 = Matrix4.clone(commandList[0].modelMatrix); + // Check that the scene changes after .5 seconds. (it animates) + renderOptions.time.secondsOfDay += 0.5; + expect(renderOptions).toRenderAndCall(function (rgba) { + const modelMatrix2 = Matrix4.clone(commandList[0].modelMatrix); + expect(modelMatrix1).not.toEqual(modelMatrix2); + }); }); - }); - }); + }, + ); } it("animates instanced tileset", function () { return checkAnimation(instancedAnimationUrl); @@ -592,15 +592,15 @@ describe( }); it("renders tileset in CV", function () { - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - scene.morphToColumbusView(0.0); - scene.renderForSpecs(); - const statistics = tileset._statistics; - expect(statistics.visited).toEqual(5); - expect(statistics.numberOfCommands).toEqual(5); - }); + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + scene.morphToColumbusView(0.0); + scene.renderForSpecs(); + const statistics = tileset._statistics; + expect(statistics.visited).toEqual(5); + expect(statistics.numberOfCommands).toEqual(5); + }, + ); }); it("renders tileset in CV with projectTo2D option", function () { @@ -616,16 +616,16 @@ describe( }); it("renders tileset in 2D", function () { - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - scene.morphTo2D(0.0); - tileset.maximumScreenSpaceError = 3; - scene.renderForSpecs(); - const statistics = tileset._statistics; - expect(statistics.visited).toEqual(5); - expect(statistics.numberOfCommands).toEqual(5); - }); + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + scene.morphTo2D(0.0); + tileset.maximumScreenSpaceError = 3; + scene.renderForSpecs(); + const statistics = tileset._statistics; + expect(statistics.visited).toEqual(5); + expect(statistics.numberOfCommands).toEqual(5); + }, + ); }); it("renders tileset in 2D with projectTo2D option", function () { @@ -642,16 +642,16 @@ describe( }); it("does not render during morph", function () { - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - const commandList = scene.frameState.commandList; - scene.renderForSpecs(); - expect(commandList.length).toBeGreaterThan(0); - scene.morphToColumbusView(1.0); - scene.renderForSpecs(); - expect(commandList.length).toBe(0); - }); + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + const commandList = scene.frameState.commandList; + scene.renderForSpecs(); + expect(commandList.length).toBeGreaterThan(0); + scene.morphToColumbusView(1.0); + scene.renderForSpecs(); + expect(commandList.length).toBe(0); + }, + ); }); it("renders tileset with empty root tile", function () { @@ -660,7 +660,7 @@ describe( const statistics = tileset._statistics; expect(statistics.visited).toEqual(5); expect(statistics.numberOfCommands).toEqual(4); // Empty tile doesn't issue a command - } + }, ); }); @@ -668,7 +668,7 @@ describe( const center = Cartesian3.fromRadians( centerLongitude, centerLatitude, - 10.0 + 10.0, ); // 3 different views of the sides of the colored cube. @@ -696,7 +696,7 @@ describe( return Cesium3DTilesTester.loadTileset( scene, tilesetEastNorthUpUrl, - tilesetOptions + tilesetOptions, ).then(function (tileset) { // The east (+x) face of the cube is red scene.camera.lookAt(center, viewEast); @@ -781,7 +781,7 @@ describe( expect(statistics.numberOfPointsSelected).toEqual(0); expect(statistics.numberOfPointsLoaded).toEqual(0); expect(statistics.numberOfTrianglesSelected).toEqual(0); - } + }, ); } @@ -802,7 +802,7 @@ describe( it("verify instanced features statistics", async function () { const tileset = await Cesium3DTileset.fromUrl( instancedRedMaterialUrl, - options + options, ); scene.primitives.add(tileset); @@ -819,7 +819,7 @@ describe( it("verify tileset of tilesets features statistics", async function () { const tileset = await Cesium3DTileset.fromUrl( tilesetOfTilesetsUrl, - options + options, ); scene.primitives.add(tileset); @@ -838,7 +838,7 @@ describe( it("verify triangle statistics", async function () { const tileset = await Cesium3DTileset.fromUrl( tilesetEmptyRootUrl, - options + options, ); scene.primitives.add(tileset); @@ -850,7 +850,7 @@ describe( const tileset = await Cesium3DTileset.fromUrl( pointCloudBatchedUrl, - options + options, ); scene.primitives.add(tileset); @@ -869,37 +869,38 @@ describe( viewNothing(); - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - const statistics = tileset._statistics; + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + const statistics = tileset._statistics; - // No tiles loaded - expect(statistics.geometryByteLength).toEqual(0); - expect(statistics.texturesByteLength).toEqual(0); - expect(statistics.batchTableByteLength).toEqual(0); + // No tiles loaded + expect(statistics.geometryByteLength).toEqual(0); + expect(statistics.texturesByteLength).toEqual(0); + expect(statistics.batchTableByteLength).toEqual(0); - viewRootOnly(); - return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset).then( - function () { - // Root tile loaded - expect(statistics.geometryByteLength).toEqual( - singleTileGeometryMemory - ); - expect(statistics.texturesByteLength).toEqual( - singleTileTextureMemory - ); - expect(statistics.batchTableByteLength).toEqual(0); + viewRootOnly(); + return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset).then( + function () { + // Root tile loaded + expect(statistics.geometryByteLength).toEqual( + singleTileGeometryMemory, + ); + expect(statistics.texturesByteLength).toEqual( + singleTileTextureMemory, + ); + expect(statistics.batchTableByteLength).toEqual(0); - viewAllTiles(); - return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset).then( - function () { + viewAllTiles(); + return Cesium3DTilesTester.waitForTilesLoaded( + scene, + tileset, + ).then(function () { // All tiles loaded expect(statistics.geometryByteLength).toEqual( - singleTileGeometryMemory * tilesLength + singleTileGeometryMemory * tilesLength, ); expect(statistics.texturesByteLength).toEqual( - singleTileTextureMemory * tilesLength + singleTileTextureMemory * tilesLength, ); expect(statistics.batchTableByteLength).toEqual(0); @@ -907,40 +908,40 @@ describe( tileset.root.content.getFeature(0).color = Color.RED; scene.renderForSpecs(); expect(statistics.geometryByteLength).toEqual( - singleTileGeometryMemory * tilesLength + singleTileGeometryMemory * tilesLength, ); expect(statistics.texturesByteLength).toEqual( - singleTileTextureMemory * tilesLength + singleTileTextureMemory * tilesLength, ); expect(statistics.batchTableByteLength).toEqual( - singleTileBatchTextureMemory + singleTileBatchTextureMemory, ); // All tiles picked, the texture memory is now higher scene.pickForSpecs(); expect(statistics.geometryByteLength).toEqual( - singleTileGeometryMemory * tilesLength + singleTileGeometryMemory * tilesLength, ); expect(statistics.texturesByteLength).toEqual( - singleTileTextureMemory * tilesLength + singleTileTextureMemory * tilesLength, ); expect(statistics.batchTableByteLength).toEqual( singleTileBatchTextureMemory + - singleTilePickTextureMemory * tilesLength + singleTilePickTextureMemory * tilesLength, ); // Tiles are still in memory when zoomed out viewNothing(); scene.renderForSpecs(); expect(statistics.geometryByteLength).toEqual( - singleTileGeometryMemory * tilesLength + singleTileGeometryMemory * tilesLength, ); expect(statistics.texturesByteLength).toEqual( - singleTileTextureMemory * tilesLength + singleTileTextureMemory * tilesLength, ); expect(statistics.batchTableByteLength).toEqual( singleTileBatchTextureMemory + - singleTilePickTextureMemory * tilesLength + singleTilePickTextureMemory * tilesLength, ); // Trim loaded tiles, expect the memory statistics to be 0 @@ -949,11 +950,11 @@ describe( expect(statistics.geometryByteLength).toEqual(0); expect(statistics.texturesByteLength).toEqual(0); expect(statistics.batchTableByteLength).toEqual(0); - } - ); - } - ); - }); + }); + }, + ); + }, + ); }); it("verify memory usage statistics for shared resources", function () { @@ -976,7 +977,7 @@ describe( return Cesium3DTilesTester.loadTileset( scene, - tilesetWithExternalResourcesUrl + tilesetWithExternalResourcesUrl, ).then(function (tileset) { // Contents are not aware of whether their resources are shared by // other contents, so check ResourceCache. @@ -987,75 +988,75 @@ describe( }); it("does not process tileset when screen space error is not met", function () { - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - const statistics = tileset._statistics; - expect(statistics.visited).toEqual(5); - expect(statistics.numberOfCommands).toEqual(5); + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + const statistics = tileset._statistics; + expect(statistics.visited).toEqual(5); + expect(statistics.numberOfCommands).toEqual(5); - // Set zoom far enough away to not meet sse - viewNothing(); - scene.renderForSpecs(); - expect(statistics.visited).toEqual(0); - expect(statistics.numberOfCommands).toEqual(0); - }); + // Set zoom far enough away to not meet sse + viewNothing(); + scene.renderForSpecs(); + expect(statistics.visited).toEqual(0); + expect(statistics.numberOfCommands).toEqual(0); + }, + ); }); it("does not select tiles when outside of view frustum", function () { - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - const statistics = tileset._statistics; - expect(statistics.visited).toEqual(5); - expect(statistics.numberOfCommands).toEqual(5); + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + const statistics = tileset._statistics; + expect(statistics.visited).toEqual(5); + expect(statistics.numberOfCommands).toEqual(5); - viewSky(); + viewSky(); - scene.renderForSpecs(); - expect(statistics.visited).toEqual(0); - expect(statistics.numberOfCommands).toEqual(0); - expect( - tileset.root.visibility( - scene.frameState, - CullingVolume.MASK_INDETERMINATE - ) - ).toEqual(CullingVolume.MASK_OUTSIDE); - }); + scene.renderForSpecs(); + expect(statistics.visited).toEqual(0); + expect(statistics.numberOfCommands).toEqual(0); + expect( + tileset.root.visibility( + scene.frameState, + CullingVolume.MASK_INDETERMINATE, + ), + ).toEqual(CullingVolume.MASK_OUTSIDE); + }, + ); }); it("does not load additive tiles that are out of view", function () { viewBottomLeft(); - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - const statistics = tileset._statistics; - expect(statistics.numberOfTilesWithContentReady).toEqual(2); - }); + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + const statistics = tileset._statistics; + expect(statistics.numberOfTilesWithContentReady).toEqual(2); + }, + ); }); it("culls with content box", function () { // Root tile has a content box that is half the extents of its box // Expect to cull root tile and three child tiles - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - const statistics = tileset._statistics; - expect(statistics.visited).toEqual(5); - expect(statistics.numberOfCommands).toEqual(5); + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + const statistics = tileset._statistics; + expect(statistics.visited).toEqual(5); + expect(statistics.numberOfCommands).toEqual(5); - viewBottomLeft(); - scene.renderForSpecs(); - expect(statistics.visited).toEqual(2); // Visits root, but does not render it - expect(statistics.numberOfCommands).toEqual(1); - expect(tileset._selectedTiles[0]).not.toBe(tileset.root); + viewBottomLeft(); + scene.renderForSpecs(); + expect(statistics.visited).toEqual(2); // Visits root, but does not render it + expect(statistics.numberOfCommands).toEqual(1); + expect(tileset._selectedTiles[0]).not.toBe(tileset.root); - // Set contents box to undefined, and now root won't be culled - tileset.root._contentBoundingVolume = undefined; - scene.renderForSpecs(); - expect(statistics.visited).toEqual(2); - expect(statistics.numberOfCommands).toEqual(2); - }); + // Set contents box to undefined, and now root won't be culled + tileset.root._contentBoundingVolume = undefined; + scene.renderForSpecs(); + expect(statistics.visited).toEqual(2); + expect(statistics.numberOfCommands).toEqual(2); + }, + ); }); function findTileByUri(tiles, uri) { @@ -1073,32 +1074,32 @@ describe( } it("selects children in front to back order", function () { - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - // After moving the camera left by 1.0 and down by 0.5, the distance from the camera should be in the order: - // 1. lower left - // 2. upper left - // 3. lower right - // 4. upper right - - scene.camera.moveLeft(1.0); - scene.camera.moveDown(0.5); - scene.renderForSpecs(); - - const root = tileset.root; - const llTile = findTileByUri(root.children, "ll.b3dm"); - const lrTile = findTileByUri(root.children, "lr.b3dm"); - const urTile = findTileByUri(root.children, "ur.b3dm"); - const ulTile = findTileByUri(root.children, "ul.b3dm"); + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + // After moving the camera left by 1.0 and down by 0.5, the distance from the camera should be in the order: + // 1. lower left + // 2. upper left + // 3. lower right + // 4. upper right + + scene.camera.moveLeft(1.0); + scene.camera.moveDown(0.5); + scene.renderForSpecs(); - const selectedTiles = tileset._selectedTiles; - expect(selectedTiles[0]).toBe(root); - expect(selectedTiles[1]).toBe(llTile); - expect(selectedTiles[2]).toBe(ulTile); - expect(selectedTiles[3]).toBe(lrTile); - expect(selectedTiles[4]).toBe(urTile); - }); + const root = tileset.root; + const llTile = findTileByUri(root.children, "ll.b3dm"); + const lrTile = findTileByUri(root.children, "lr.b3dm"); + const urTile = findTileByUri(root.children, "ur.b3dm"); + const ulTile = findTileByUri(root.children, "ul.b3dm"); + + const selectedTiles = tileset._selectedTiles; + expect(selectedTiles[0]).toBe(root); + expect(selectedTiles[1]).toBe(llTile); + expect(selectedTiles[2]).toBe(ulTile); + expect(selectedTiles[3]).toBe(lrTile); + expect(selectedTiles[4]).toBe(urTile); + }, + ); }); async function testDynamicScreenSpaceError(url, distance) { @@ -1166,7 +1167,7 @@ describe( const tileset = await Cesium3DTilesTester.loadTileset( scene, withTransformBoxUrl, - options + options, ); // Make sure the values match the constructor, not hard-coded defaults @@ -1190,50 +1191,50 @@ describe( it("additive refinement - selects root when sse is met", function () { viewRootOnly(); - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - // Meets screen space error, only root tile is rendered - const statistics = tileset._statistics; - expect(statistics.visited).toEqual(1); - expect(statistics.numberOfCommands).toEqual(1); - return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset); - }); + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + // Meets screen space error, only root tile is rendered + const statistics = tileset._statistics; + expect(statistics.visited).toEqual(1); + expect(statistics.numberOfCommands).toEqual(1); + return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset); + }, + ); }); it("additive refinement - selects all tiles when sse is not met", function () { - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - // Does not meet screen space error, all tiles are visible - const statistics = tileset._statistics; - expect(statistics.visited).toEqual(5); - expect(statistics.numberOfCommands).toEqual(5); - return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset); - }); + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + // Does not meet screen space error, all tiles are visible + const statistics = tileset._statistics; + expect(statistics.visited).toEqual(5); + expect(statistics.numberOfCommands).toEqual(5); + return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset); + }, + ); }); it("additive refinement - use parent's geometric error on child's box for early refinement", function () { - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - const statistics = tileset._statistics; - expect(statistics.visited).toEqual(5); - expect(statistics.numberOfCommands).toEqual(5); + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + const statistics = tileset._statistics; + expect(statistics.visited).toEqual(5); + expect(statistics.numberOfCommands).toEqual(5); - // Both right tiles don't meet the SSE anymore - scene.camera.moveLeft(50.0); - scene.renderForSpecs(); - expect(statistics.visited).toEqual(3); - expect(statistics.numberOfCommands).toEqual(3); - return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset); - }); + // Both right tiles don't meet the SSE anymore + scene.camera.moveLeft(50.0); + scene.renderForSpecs(); + expect(statistics.visited).toEqual(3); + expect(statistics.numberOfCommands).toEqual(3); + return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset); + }, + ); }); it("additive refinement - selects tile when inside viewer request volume", function () { return Cesium3DTilesTester.loadTileset( scene, - tilesetWithViewerRequestVolumeUrl + tilesetWithViewerRequestVolumeUrl, ).then(function (tileset) { const statistics = tileset._statistics; // Force root tile to always not meet SSE since this is just checking the request volume @@ -1254,58 +1255,58 @@ describe( it("replacement refinement - selects root when sse is met", function () { viewRootOnly(); - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - tileset.root.refine = Cesium3DTileRefine.REPLACE; + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + tileset.root.refine = Cesium3DTileRefine.REPLACE; - // Meets screen space error, only root tile is rendered - scene.renderForSpecs(); + // Meets screen space error, only root tile is rendered + scene.renderForSpecs(); - const statistics = tileset._statistics; - expect(statistics.visited).toEqual(1); - expect(statistics.numberOfCommands).toEqual(1); - return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset); - }); + const statistics = tileset._statistics; + expect(statistics.visited).toEqual(1); + expect(statistics.numberOfCommands).toEqual(1); + return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset); + }, + ); }); it("replacement refinement - selects children when sse is not met", function () { - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - tileset.root.refine = Cesium3DTileRefine.REPLACE; + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + tileset.root.refine = Cesium3DTileRefine.REPLACE; - // Does not meet screen space error, child tiles replace root tile - scene.renderForSpecs(); + // Does not meet screen space error, child tiles replace root tile + scene.renderForSpecs(); - const statistics = tileset._statistics; - expect(statistics.visited).toEqual(5); // Visits root, but does not render it - expect(statistics.numberOfCommands).toEqual(4); - return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset); - }); + const statistics = tileset._statistics; + expect(statistics.visited).toEqual(5); // Visits root, but does not render it + expect(statistics.numberOfCommands).toEqual(4); + return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset); + }, + ); }); it("replacement refinement - selects root when sse is not met and children are not ready", function () { viewRootOnly(); - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - const root = tileset.root; - root.refine = Cesium3DTileRefine.REPLACE; + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + const root = tileset.root; + root.refine = Cesium3DTileRefine.REPLACE; - // Set zoom to start loading child tiles - viewAllTiles(); - scene.renderForSpecs(); + // Set zoom to start loading child tiles + viewAllTiles(); + scene.renderForSpecs(); - const statistics = tileset._statistics; - // LOD skipping visits all visible - expect(statistics.visited).toEqual(5); - // no stencil clear command because only the root tile - expect(statistics.numberOfCommands).toEqual(1); - expect(statistics.numberOfPendingRequests).toEqual(4); - expect(numberOfChildrenWithoutContent(root)).toEqual(4); - return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset); - }); + const statistics = tileset._statistics; + // LOD skipping visits all visible + expect(statistics.visited).toEqual(5); + // no stencil clear command because only the root tile + expect(statistics.numberOfCommands).toEqual(1); + expect(statistics.numberOfPendingRequests).toEqual(4); + expect(numberOfChildrenWithoutContent(root)).toEqual(4); + return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset); + }, + ); }); it("replacement refinement - selects tile when inside viewer request volume", function () { @@ -1314,7 +1315,7 @@ describe( tilesetWithViewerRequestVolumeUrl, { skipLevelOfDetail: false, - } + }, ).then(function (tileset) { const statistics = tileset._statistics; @@ -1348,7 +1349,7 @@ describe( viewRootOnly(); return Cesium3DTilesTester.loadTileset( scene, - tilesetReplacement1Url + tilesetReplacement1Url, ).then(function (tileset) { tileset.skipLevelOfDetail = false; viewAllTiles(); @@ -1365,7 +1366,7 @@ describe( function () { scene.renderForSpecs(); expect(statistics.numberOfCommands).toEqual(4); // Render children - } + }, ); }); }); @@ -1382,7 +1383,7 @@ describe( viewRootOnly(); return Cesium3DTilesTester.loadTileset( scene, - tilesetReplacement2Url + tilesetReplacement2Url, ).then(function (tileset) { tileset.skipLevelOfDetail = false; const statistics = tileset._statistics; @@ -1396,9 +1397,9 @@ describe( return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset).then( function () { expect(statistics.numberOfCommands).toEqual(2); // Renders two content tiles - } + }, ); - } + }, ); }); }); @@ -1415,7 +1416,7 @@ describe( viewRootOnly(); const tileset = await Cesium3DTilesTester.loadTileset( scene, - tilesetReplacement3Url + tilesetReplacement3Url, ); tileset.skipLevelOfDetail = false; const statistics = tileset._statistics; @@ -1455,14 +1456,14 @@ describe( }); }); - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - tileset.skipLevelOfDetail = false; - const statistics = tileset._statistics; - scene.renderForSpecs(); - expect(statistics.numberOfCommands).toEqual(3); - }); + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + tileset.skipLevelOfDetail = false; + const statistics = tileset._statistics; + scene.renderForSpecs(); + expect(statistics.numberOfCommands).toEqual(3); + }, + ); }); it("replacement and additive refinement", function () { @@ -1475,7 +1476,7 @@ describe( const statistics = tileset._statistics; expect(statistics.visited).toEqual(7); expect(statistics.numberOfCommands).toEqual(6); - } + }, ); }); @@ -1483,12 +1484,12 @@ describe( it("does not select visible tiles with invisible children", function () { return Cesium3DTilesTester.loadTileset( scene, - tilesetReplacementWithViewerRequestVolumeUrl + tilesetReplacementWithViewerRequestVolumeUrl, ).then(function (tileset) { const center = Cartesian3.fromRadians( centerLongitude, centerLatitude, - 22.0 + 22.0, ); scene.camera.lookAt(center, new HeadingPitchRange(0.0, 1.57, 1.0)); @@ -1500,33 +1501,33 @@ describe( expect( childRoot.visibility( scene.frameState, - CullingVolume.MASK_INDETERMINATE - ) + CullingVolume.MASK_INDETERMINATE, + ), ).not.toEqual(CullingVolume.MASK_OUTSIDE); expect( childRoot.children[0].visibility( scene.frameState, - CullingVolume.MASK_INDETERMINATE - ) + CullingVolume.MASK_INDETERMINATE, + ), ).toEqual(CullingVolume.MASK_OUTSIDE); expect( childRoot.children[1].visibility( scene.frameState, - CullingVolume.MASK_INDETERMINATE - ) + CullingVolume.MASK_INDETERMINATE, + ), ).toEqual(CullingVolume.MASK_OUTSIDE); expect( childRoot.children[2].visibility( scene.frameState, - CullingVolume.MASK_INDETERMINATE - ) + CullingVolume.MASK_INDETERMINATE, + ), ).toEqual(CullingVolume.MASK_OUTSIDE); expect( childRoot.children[3].visibility( scene.frameState, - CullingVolume.MASK_INDETERMINATE - ) + CullingVolume.MASK_INDETERMINATE, + ), ).toEqual(CullingVolume.MASK_OUTSIDE); expect(tileset._selectedTiles.length).toEqual(0); @@ -1537,12 +1538,12 @@ describe( it("does not select external tileset whose root has invisible children", function () { return Cesium3DTilesTester.loadTileset( scene, - tilesetOfTilesetsUrl + tilesetOfTilesetsUrl, ).then(function (tileset) { const center = Cartesian3.fromRadians( centerLongitude, centerLatitude, - 50.0 + 50.0, ); scene.camera.lookAt(center, new HeadingPitchRange(0.0, 1.57, 1.0)); const root = tileset.root; @@ -1555,7 +1556,7 @@ describe( expect(root._visible).toBe(false); expect(externalRoot._visible).toBe(false); expect(tileset.statistics.numberOfTilesCulledWithChildrenUnion).toBe( - 1 + 1, ); }); }); @@ -1563,7 +1564,7 @@ describe( it("does not select visible tiles not meeting SSE with visible children", function () { return Cesium3DTilesTester.loadTileset( scene, - tilesetReplacementWithViewerRequestVolumeUrl + tilesetReplacementWithViewerRequestVolumeUrl, ).then(function (tileset) { const root = tileset.root; const childRoot = root.children[0]; @@ -1574,33 +1575,33 @@ describe( expect( childRoot.visibility( scene.frameState, - CullingVolume.MASK_INDETERMINATE - ) + CullingVolume.MASK_INDETERMINATE, + ), ).not.toEqual(CullingVolume.MASK_OUTSIDE); expect( childRoot.children[0].visibility( scene.frameState, - CullingVolume.MASK_INDETERMINATE - ) + CullingVolume.MASK_INDETERMINATE, + ), ).not.toEqual(CullingVolume.MASK_OUTSIDE); expect( childRoot.children[1].visibility( scene.frameState, - CullingVolume.MASK_INDETERMINATE - ) + CullingVolume.MASK_INDETERMINATE, + ), ).not.toEqual(CullingVolume.MASK_OUTSIDE); expect( childRoot.children[2].visibility( scene.frameState, - CullingVolume.MASK_INDETERMINATE - ) + CullingVolume.MASK_INDETERMINATE, + ), ).not.toEqual(CullingVolume.MASK_OUTSIDE); expect( childRoot.children[3].visibility( scene.frameState, - CullingVolume.MASK_INDETERMINATE - ) + CullingVolume.MASK_INDETERMINATE, + ), ).not.toEqual(CullingVolume.MASK_OUTSIDE); expect(isSelected(tileset, childRoot)).toBe(false); @@ -1610,7 +1611,7 @@ describe( it("does select visible tiles meeting SSE with visible children", function () { return Cesium3DTilesTester.loadTileset( scene, - tilesetReplacementWithViewerRequestVolumeUrl + tilesetReplacementWithViewerRequestVolumeUrl, ).then(function (tileset) { const root = tileset.root; const childRoot = root.children[0]; @@ -1623,37 +1624,37 @@ describe( expect( childRoot.visibility( scene.frameState, - CullingVolume.MASK_INDETERMINATE - ) + CullingVolume.MASK_INDETERMINATE, + ), ).not.toEqual(CullingVolume.MASK_OUTSIDE); expect( childRoot.children[0].visibility( scene.frameState, - CullingVolume.MASK_INDETERMINATE - ) + CullingVolume.MASK_INDETERMINATE, + ), ).not.toEqual(CullingVolume.MASK_OUTSIDE); expect( childRoot.children[1].visibility( scene.frameState, - CullingVolume.MASK_INDETERMINATE - ) + CullingVolume.MASK_INDETERMINATE, + ), ).not.toEqual(CullingVolume.MASK_OUTSIDE); expect( childRoot.children[2].visibility( scene.frameState, - CullingVolume.MASK_INDETERMINATE - ) + CullingVolume.MASK_INDETERMINATE, + ), ).not.toEqual(CullingVolume.MASK_OUTSIDE); expect( childRoot.children[3].visibility( scene.frameState, - CullingVolume.MASK_INDETERMINATE - ) + CullingVolume.MASK_INDETERMINATE, + ), ).not.toEqual(CullingVolume.MASK_OUTSIDE); expect(isSelected(tileset, childRoot)).toBe(true); - } + }, ); }); }); @@ -1665,7 +1666,7 @@ describe( tilesetReplacementWithViewerRequestVolumeUrl, { cullWithChildrenBounds: false, - } + }, ).then(function (tileset) { const root = tileset.root; const childRoot = root.children[0]; @@ -1673,33 +1674,33 @@ describe( expect( childRoot.visibility( scene.frameState, - CullingVolume.MASK_INDETERMINATE - ) + CullingVolume.MASK_INDETERMINATE, + ), ).not.toEqual(CullingVolume.MASK_OUTSIDE); expect( childRoot.children[0].visibility( scene.frameState, - CullingVolume.MASK_INDETERMINATE - ) + CullingVolume.MASK_INDETERMINATE, + ), ).not.toEqual(CullingVolume.MASK_OUTSIDE); expect( childRoot.children[1].visibility( scene.frameState, - CullingVolume.MASK_INDETERMINATE - ) + CullingVolume.MASK_INDETERMINATE, + ), ).not.toEqual(CullingVolume.MASK_OUTSIDE); expect( childRoot.children[2].visibility( scene.frameState, - CullingVolume.MASK_INDETERMINATE - ) + CullingVolume.MASK_INDETERMINATE, + ), ).not.toEqual(CullingVolume.MASK_OUTSIDE); expect( childRoot.children[3].visibility( scene.frameState, - CullingVolume.MASK_INDETERMINATE - ) + CullingVolume.MASK_INDETERMINATE, + ), ).not.toEqual(CullingVolume.MASK_OUTSIDE); expect(tileset._selectedTiles.length).toEqual(1); @@ -1710,7 +1711,7 @@ describe( it("does select visible tiles with visible children passing request volumes", function () { return Cesium3DTilesTester.loadTileset( scene, - tilesetReplacementWithViewerRequestVolumeUrl + tilesetReplacementWithViewerRequestVolumeUrl, ).then(function (tileset) { const root = tileset.root; const childRoot = root.children[0]; @@ -1722,33 +1723,33 @@ describe( expect( childRoot.visibility( scene.frameState, - CullingVolume.MASK_INDETERMINATE - ) + CullingVolume.MASK_INDETERMINATE, + ), ).not.toEqual(CullingVolume.MASK_OUTSIDE); expect( childRoot.children[0].visibility( scene.frameState, - CullingVolume.MASK_INDETERMINATE - ) + CullingVolume.MASK_INDETERMINATE, + ), ).not.toEqual(CullingVolume.MASK_OUTSIDE); expect( childRoot.children[1].visibility( scene.frameState, - CullingVolume.MASK_INDETERMINATE - ) + CullingVolume.MASK_INDETERMINATE, + ), ).not.toEqual(CullingVolume.MASK_OUTSIDE); expect( childRoot.children[2].visibility( scene.frameState, - CullingVolume.MASK_INDETERMINATE - ) + CullingVolume.MASK_INDETERMINATE, + ), ).not.toEqual(CullingVolume.MASK_OUTSIDE); expect( childRoot.children[3].visibility( scene.frameState, - CullingVolume.MASK_INDETERMINATE - ) + CullingVolume.MASK_INDETERMINATE, + ), ).not.toEqual(CullingVolume.MASK_OUTSIDE); expect(tileset._selectedTiles.length).toEqual(1); @@ -1758,7 +1759,7 @@ describe( scene.renderForSpecs(); expect(tileset._selectedTiles.length).toEqual(4); expect(isSelected(tileset, childRoot)).toBe(false); - } + }, ); }); }); @@ -1770,7 +1771,7 @@ describe( const tileset = await Cesium3DTilesTester.loadTileset( scene, - tilesetOfTilesetsUrl + tilesetOfTilesetsUrl, ); // Root points to an external tileset JSON file and has no children until it is requested const root = tileset.root; @@ -1793,7 +1794,7 @@ describe( const subtreeRoot = root.children[0]; expect(root.refine).toEqual(subtreeRoot.refine); expect(root.contentBoundingVolume.boundingVolume).toEqual( - subtreeRoot.contentBoundingVolume.boundingVolume + subtreeRoot.contentBoundingVolume.boundingVolume, ); // Check that subtree root has 4 children @@ -1812,11 +1813,11 @@ describe( let expectedUrl = `Data/Cesium3DTiles/Tilesets/TilesetOfTilesets/tileset.json?${queryParams}`; const tileset = await Cesium3DTilesTester.loadTileset( scene, - `${tilesetOfTilesetsUrl}?${queryParams}` + `${tilesetOfTilesetsUrl}?${queryParams}`, ); //Make sure tileset JSON file was requested with query parameters expect(Resource._Implementations.loadWithXhr.calls.argsFor(0)[0]).toEqual( - expectedUrl + expectedUrl, ); Resource._Implementations.loadWithXhr.calls.reset(); @@ -1831,10 +1832,10 @@ describe( }); //Make sure tileset2.json was requested with query parameters and does not use parent tilesetVersion expectedUrl = getAbsoluteUri( - `Data/Cesium3DTiles/Tilesets/TilesetOfTilesets/tileset2.json?v=1.2.3&${queryParams}` + `Data/Cesium3DTiles/Tilesets/TilesetOfTilesets/tileset2.json?v=1.2.3&${queryParams}`, ); expect(Resource._Implementations.loadWithXhr.calls.argsFor(0)[0]).toEqual( - expectedUrl + expectedUrl, ); }); @@ -1844,7 +1845,7 @@ describe( const statistics = tileset._statistics; expect(statistics.visited).toEqual(7); // Visits two tiles with tileset content, five tiles with b3dm content expect(statistics.numberOfCommands).toEqual(5); // Render the five tiles with b3dm content - } + }, ); }); @@ -1855,7 +1856,7 @@ describe( const statistics = tileset._statistics; expect(statistics.visited).toEqual(2); // Visits external tileset tile, and external tileset root expect(statistics.numberOfCommands).toEqual(1); // Renders external tileset root - } + }, ); }); @@ -1873,50 +1874,50 @@ describe( Cesium3DTilesTester.expectRender(scene, tileset, function (rgba) { expect(rgba).not.toEqual(color); }); - } + }, ); }); it("debugFreezeFrame", function () { - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - viewRootOnly(); - scene.renderForSpecs(); - const statistics = tileset._statistics; - expect(statistics.visited).toEqual(1); - expect(statistics.numberOfCommands).toEqual(1); + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + viewRootOnly(); + scene.renderForSpecs(); + const statistics = tileset._statistics; + expect(statistics.visited).toEqual(1); + expect(statistics.numberOfCommands).toEqual(1); - tileset.debugFreezeFrame = true; - viewAllTiles(); - scene.renderForSpecs(); - expect(statistics.visited).toEqual(0); // selectTiles returns early, so no tiles are visited - expect(statistics.numberOfCommands).toEqual(1); // root tile is still in selectedTiles list - }); + tileset.debugFreezeFrame = true; + viewAllTiles(); + scene.renderForSpecs(); + expect(statistics.visited).toEqual(0); // selectTiles returns early, so no tiles are visited + expect(statistics.numberOfCommands).toEqual(1); // root tile is still in selectedTiles list + }, + ); }); function checkDebugColorizeTiles(url) { CesiumMath.setRandomNumberSeed(0); - return Cesium3DTilesTester.loadTileset(scene, url).then(function ( - tileset - ) { - // Get initial color - let color; - Cesium3DTilesTester.expectRender(scene, tileset, function (rgba) { - color = rgba; - }); + return Cesium3DTilesTester.loadTileset(scene, url).then( + function (tileset) { + // Get initial color + let color; + Cesium3DTilesTester.expectRender(scene, tileset, function (rgba) { + color = rgba; + }); - // Check for debug color - tileset.debugColorizeTiles = true; - Cesium3DTilesTester.expectRender(scene, tileset, function (rgba) { - expect(rgba).not.toEqual(color); - }); + // Check for debug color + tileset.debugColorizeTiles = true; + Cesium3DTilesTester.expectRender(scene, tileset, function (rgba) { + expect(rgba).not.toEqual(color); + }); - tileset.debugColorizeTiles = false; - Cesium3DTilesTester.expectRender(scene, tileset, function (rgba) { - expect(rgba).toEqual(color); - }); - }); + tileset.debugColorizeTiles = false; + Cesium3DTilesTester.expectRender(scene, tileset, function (rgba) { + expect(rgba).toEqual(color); + }); + }, + ); } it("debugColorizeTiles for b3dm with batch table", function () { @@ -1980,43 +1981,43 @@ describe( }); it("debugShowBoundingVolume", function () { - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - viewRootOnly(); - tileset.debugShowBoundingVolume = true; - scene.renderForSpecs(); - const statistics = tileset._statistics; - expect(statistics.visited).toEqual(1); - expect(statistics.numberOfCommands).toEqual(2); // Tile command + bounding volume command + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + viewRootOnly(); + tileset.debugShowBoundingVolume = true; + scene.renderForSpecs(); + const statistics = tileset._statistics; + expect(statistics.visited).toEqual(1); + expect(statistics.numberOfCommands).toEqual(2); // Tile command + bounding volume command - tileset.debugShowBoundingVolume = false; - scene.renderForSpecs(); - expect(statistics.numberOfCommands).toEqual(1); - }); + tileset.debugShowBoundingVolume = false; + scene.renderForSpecs(); + expect(statistics.numberOfCommands).toEqual(1); + }, + ); }); it("debugShowContentBoundingVolume", function () { - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - viewRootOnly(); - tileset.debugShowContentBoundingVolume = true; - scene.renderForSpecs(); - const statistics = tileset._statistics; - expect(statistics.visited).toEqual(1); - expect(statistics.numberOfCommands).toEqual(2); // Tile command + bounding volume command + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + viewRootOnly(); + tileset.debugShowContentBoundingVolume = true; + scene.renderForSpecs(); + const statistics = tileset._statistics; + expect(statistics.visited).toEqual(1); + expect(statistics.numberOfCommands).toEqual(2); // Tile command + bounding volume command - tileset.debugShowContentBoundingVolume = false; - scene.renderForSpecs(); - expect(statistics.numberOfCommands).toEqual(1); - }); + tileset.debugShowContentBoundingVolume = false; + scene.renderForSpecs(); + expect(statistics.numberOfCommands).toEqual(1); + }, + ); }); it("debugShowViewerRequestVolume", function () { return Cesium3DTilesTester.loadTileset( scene, - tilesetWithViewerRequestVolumeUrl + tilesetWithViewerRequestVolumeUrl, ).then(function (tileset) { tileset.debugShowViewerRequestVolume = true; scene.renderForSpecs(); @@ -2032,42 +2033,42 @@ describe( it("show tile debug labels with regions", function () { // tilesetUrl has bounding regions - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - tileset.debugShowGeometricError = true; - scene.renderForSpecs(); - expect(tileset._tileDebugLabels).toBeDefined(); - expect(tileset._tileDebugLabels.length).toEqual(5); + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + tileset.debugShowGeometricError = true; + scene.renderForSpecs(); + expect(tileset._tileDebugLabels).toBeDefined(); + expect(tileset._tileDebugLabels.length).toEqual(5); - const root = tileset.root; - expect(tileset._tileDebugLabels._labels[0].text).toEqual( - `Geometric error: ${root.geometricError}` - ); - expect(tileset._tileDebugLabels._labels[1].text).toEqual( - `Geometric error: ${root.children[0].geometricError}` - ); - expect(tileset._tileDebugLabels._labels[2].text).toEqual( - `Geometric error: ${root.children[1].geometricError}` - ); - expect(tileset._tileDebugLabels._labels[3].text).toEqual( - `Geometric error: ${root.children[2].geometricError}` - ); - expect(tileset._tileDebugLabels._labels[4].text).toEqual( - `Geometric error: ${root.children[3].geometricError}` - ); + const root = tileset.root; + expect(tileset._tileDebugLabels._labels[0].text).toEqual( + `Geometric error: ${root.geometricError}`, + ); + expect(tileset._tileDebugLabels._labels[1].text).toEqual( + `Geometric error: ${root.children[0].geometricError}`, + ); + expect(tileset._tileDebugLabels._labels[2].text).toEqual( + `Geometric error: ${root.children[1].geometricError}`, + ); + expect(tileset._tileDebugLabels._labels[3].text).toEqual( + `Geometric error: ${root.children[2].geometricError}`, + ); + expect(tileset._tileDebugLabels._labels[4].text).toEqual( + `Geometric error: ${root.children[3].geometricError}`, + ); - tileset.debugShowGeometricError = false; - scene.renderForSpecs(); - expect(tileset._tileDebugLabels).not.toBeDefined(); - }); + tileset.debugShowGeometricError = false; + scene.renderForSpecs(); + expect(tileset._tileDebugLabels).not.toBeDefined(); + }, + ); }); it("show tile debug labels with boxes", function () { // tilesetWithTransformsUrl has bounding boxes return Cesium3DTilesTester.loadTileset( scene, - tilesetWithTransformsUrl + tilesetWithTransformsUrl, ).then(function (tileset) { tileset.debugShowGeometricError = true; scene.renderForSpecs(); @@ -2076,10 +2077,10 @@ describe( const root = tileset.root; expect(tileset._tileDebugLabels._labels[0].text).toEqual( - `Geometric error: ${root.geometricError}` + `Geometric error: ${root.geometricError}`, ); expect(tileset._tileDebugLabels._labels[1].text).toEqual( - `Geometric error: ${root.children[0].geometricError}` + `Geometric error: ${root.children[0].geometricError}`, ); tileset.debugShowGeometricError = false; @@ -2092,7 +2093,7 @@ describe( // tilesetWithViewerRequestVolumeUrl has bounding sphere return Cesium3DTilesTester.loadTileset( scene, - tilesetWithViewerRequestVolumeUrl + tilesetWithViewerRequestVolumeUrl, ).then(function (tileset) { tileset.debugShowGeometricError = true; scene.renderForSpecs(); @@ -2103,7 +2104,7 @@ describe( for (let i = 0; i < length; ++i) { expect(tileset._tileDebugLabels._labels[i].text).toEqual( - `Geometric error: ${tileset._selectedTiles[i].geometricError}` + `Geometric error: ${tileset._selectedTiles[i].geometricError}`, ); } @@ -2115,133 +2116,133 @@ describe( it("show tile debug labels with rendering statistics", function () { // tilesetUrl has bounding regions - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - tileset.debugShowRenderingStatistics = true; - viewRootOnly(); - scene.renderForSpecs(); - expect(tileset._tileDebugLabels).toBeDefined(); - expect(tileset._tileDebugLabels.length).toEqual(1); + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + tileset.debugShowRenderingStatistics = true; + viewRootOnly(); + scene.renderForSpecs(); + expect(tileset._tileDebugLabels).toBeDefined(); + expect(tileset._tileDebugLabels.length).toEqual(1); - const content = tileset.root.content; - const expected = - `Commands: ${tileset.root.commandsLength}\n` + - `Triangles: ${content.trianglesLength}\n` + - `Features: ${content.featuresLength}`; + const content = tileset.root.content; + const expected = + `Commands: ${tileset.root.commandsLength}\n` + + `Triangles: ${content.trianglesLength}\n` + + `Features: ${content.featuresLength}`; - expect(tileset._tileDebugLabels._labels[0].text).toEqual(expected); + expect(tileset._tileDebugLabels._labels[0].text).toEqual(expected); - tileset.debugShowRenderingStatistics = false; - scene.renderForSpecs(); - expect(tileset._tileDebugLabels).not.toBeDefined(); - }); + tileset.debugShowRenderingStatistics = false; + scene.renderForSpecs(); + expect(tileset._tileDebugLabels).not.toBeDefined(); + }, + ); }); it("show tile debug labels with memory usage", function () { // tilesetUrl has bounding regions - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - tileset.debugShowMemoryUsage = true; - viewRootOnly(); - scene.renderForSpecs(); - expect(tileset._tileDebugLabels).toBeDefined(); - expect(tileset._tileDebugLabels.length).toEqual(1); + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + tileset.debugShowMemoryUsage = true; + viewRootOnly(); + scene.renderForSpecs(); + expect(tileset._tileDebugLabels).toBeDefined(); + expect(tileset._tileDebugLabels.length).toEqual(1); - const expected = - "Texture Memory: 0\n" + - `Geometry Memory: ${(0.007).toLocaleString()}`; + const expected = + "Texture Memory: 0\n" + + `Geometry Memory: ${(0.007).toLocaleString()}`; - expect(tileset._tileDebugLabels._labels[0].text).toEqual(expected); + expect(tileset._tileDebugLabels._labels[0].text).toEqual(expected); - tileset.debugShowMemoryUsage = false; - scene.renderForSpecs(); - expect(tileset._tileDebugLabels).not.toBeDefined(); - }); + tileset.debugShowMemoryUsage = false; + scene.renderForSpecs(); + expect(tileset._tileDebugLabels).not.toBeDefined(); + }, + ); }); it("show tile debug labels with all statistics", function () { // tilesetUrl has bounding regions - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - tileset.debugShowGeometricError = true; - tileset.debugShowRenderingStatistics = true; - tileset.debugShowMemoryUsage = true; - tileset.debugShowUrl = true; - viewRootOnly(); - scene.renderForSpecs(); - expect(tileset._tileDebugLabels).toBeDefined(); + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + tileset.debugShowGeometricError = true; + tileset.debugShowRenderingStatistics = true; + tileset.debugShowMemoryUsage = true; + tileset.debugShowUrl = true; + viewRootOnly(); + scene.renderForSpecs(); + expect(tileset._tileDebugLabels).toBeDefined(); - const expected = - "Geometric error: 70\n" + - "Commands: 1\n" + - "Triangles: 120\n" + - "Features: 10\n" + - "Texture Memory: 0\n" + - `Geometry Memory: ${(0.007).toLocaleString()}\n` + - "Url: parent.b3dm"; - expect(tileset._tileDebugLabels._labels[0].text).toEqual(expected); + const expected = + "Geometric error: 70\n" + + "Commands: 1\n" + + "Triangles: 120\n" + + "Features: 10\n" + + "Texture Memory: 0\n" + + `Geometry Memory: ${(0.007).toLocaleString()}\n` + + "Url: parent.b3dm"; + expect(tileset._tileDebugLabels._labels[0].text).toEqual(expected); - tileset.debugShowGeometricError = false; - tileset.debugShowRenderingStatistics = false; - tileset.debugShowMemoryUsage = false; - tileset.debugShowUrl = false; - scene.renderForSpecs(); - expect(tileset._tileDebugLabels).not.toBeDefined(); - }); + tileset.debugShowGeometricError = false; + tileset.debugShowRenderingStatistics = false; + tileset.debugShowMemoryUsage = false; + tileset.debugShowUrl = false; + scene.renderForSpecs(); + expect(tileset._tileDebugLabels).not.toBeDefined(); + }, + ); }); it("show only picked tile debug label with all stats", function () { // tilesetUrl has bounding regions - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - tileset.debugShowGeometricError = true; - tileset.debugShowRenderingStatistics = true; - tileset.debugShowMemoryUsage = true; - tileset.debugShowUrl = true; - tileset.debugPickedTileLabelOnly = true; + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + tileset.debugShowGeometricError = true; + tileset.debugShowRenderingStatistics = true; + tileset.debugShowMemoryUsage = true; + tileset.debugShowUrl = true; + tileset.debugPickedTileLabelOnly = true; - const scratchPosition = new Cartesian3(1.0, 1.0, 1.0); - tileset.debugPickedTile = tileset.root; - tileset.debugPickPosition = scratchPosition; + const scratchPosition = new Cartesian3(1.0, 1.0, 1.0); + tileset.debugPickedTile = tileset.root; + tileset.debugPickPosition = scratchPosition; - scene.renderForSpecs(); - expect(tileset._tileDebugLabels).toBeDefined(); + scene.renderForSpecs(); + expect(tileset._tileDebugLabels).toBeDefined(); - const expected = - "Geometric error: 70\n" + - "Commands: 1\n" + - "Triangles: 120\n" + - "Features: 10\n" + - "Texture Memory: 0\n" + - `Geometry Memory: ${(0.007).toLocaleString()}\n` + - "Url: parent.b3dm"; - expect(tileset._tileDebugLabels.get(0).text).toEqual(expected); - expect(tileset._tileDebugLabels.get(0).position).toEqual( - scratchPosition - ); + const expected = + "Geometric error: 70\n" + + "Commands: 1\n" + + "Triangles: 120\n" + + "Features: 10\n" + + "Texture Memory: 0\n" + + `Geometry Memory: ${(0.007).toLocaleString()}\n` + + "Url: parent.b3dm"; + expect(tileset._tileDebugLabels.get(0).text).toEqual(expected); + expect(tileset._tileDebugLabels.get(0).position).toEqual( + scratchPosition, + ); - tileset.debugPickedTile = undefined; - scene.renderForSpecs(); - expect(tileset._tileDebugLabels.length).toEqual(0); - }); + tileset.debugPickedTile = undefined; + scene.renderForSpecs(); + expect(tileset._tileDebugLabels.length).toEqual(0); + }, + ); }); it("does not request tiles when picking", function () { viewNothing(); - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - viewRootOnly(); - scene.pickForSpecs(); - expect(tileset._statistics.numberOfPendingRequests).toEqual(0); - scene.renderForSpecs(); - expect(tileset._statistics.numberOfPendingRequests).toEqual(1); - return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset); - }); + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + viewRootOnly(); + scene.pickForSpecs(); + expect(tileset._statistics.numberOfPendingRequests).toEqual(0); + scene.renderForSpecs(); + expect(tileset._statistics.numberOfPendingRequests).toEqual(1); + return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset); + }, + ); }); it("does not process tiles when picking", async function () { @@ -2297,18 +2298,18 @@ describe( const spyUpdate = jasmine.createSpy("listener"); viewNothing(); - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - tileset.loadProgress.addEventListener(spyUpdate); - viewRootOnly(); - return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset).then( - function () { - expect(spyUpdate.calls.count()).toEqual(3); - expect(spyUpdate.calls.allArgs()).toEqual(results); - } - ); - }); + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + tileset.loadProgress.addEventListener(spyUpdate); + viewRootOnly(); + return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset).then( + function () { + expect(spyUpdate.calls.count()).toEqual(3); + expect(spyUpdate.calls.allArgs()).toEqual(results); + }, + ); + }, + ); }); it("tilesLoaded", async function () { @@ -2338,58 +2339,61 @@ describe( expect(spyUpdate2.calls.count()).toEqual(1); }); - it("tile visible event is raised", function () { - viewRootOnly(); - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - const spyUpdate = jasmine.createSpy("listener"); - tileset.tileVisible.addEventListener(spyUpdate); - scene.renderForSpecs(); - expect( - tileset.root.visibility( - scene.frameState, - CullingVolume.MASK_INDETERMINATE - ) - ).not.toEqual(CullingVolume.MASK_OUTSIDE); - expect(spyUpdate.calls.count()).toEqual(1); - expect(spyUpdate.calls.argsFor(0)[0]).toBe(tileset.root); - return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset); - }); - }); - + it("tile visible event is raised", function () { + viewRootOnly(); + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + const spyUpdate = jasmine.createSpy("listener"); + tileset.tileVisible.addEventListener(spyUpdate); + scene.renderForSpecs(); + expect( + tileset.root.visibility( + scene.frameState, + CullingVolume.MASK_INDETERMINATE, + ), + ).not.toEqual(CullingVolume.MASK_OUTSIDE); + expect(spyUpdate.calls.count()).toEqual(1); + expect(spyUpdate.calls.argsFor(0)[0]).toBe(tileset.root); + return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset); + }, + ); + }); + it("tile load event is raised", function () { viewNothing(); - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - const spyUpdate = jasmine.createSpy("listener"); - tileset.tileLoad.addEventListener(spyUpdate); - tileset.cacheBytes = 0; - viewRootOnly(); - return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset).then( - function () { - // Root is loaded - expect(spyUpdate.calls.count()).toEqual(1); - expect(spyUpdate.calls.argsFor(0)[0]).toBe(tileset.root); - spyUpdate.calls.reset(); + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + const spyUpdate = jasmine.createSpy("listener"); + tileset.tileLoad.addEventListener(spyUpdate); + tileset.cacheBytes = 0; + viewRootOnly(); + return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset).then( + function () { + // Root is loaded + expect(spyUpdate.calls.count()).toEqual(1); + expect(spyUpdate.calls.argsFor(0)[0]).toBe(tileset.root); + spyUpdate.calls.reset(); - // Unload from cache - viewNothing(); - scene.renderForSpecs(); - expect(tileset.statistics.numberOfTilesWithContentReady).toEqual(0); + // Unload from cache + viewNothing(); + scene.renderForSpecs(); + expect(tileset.statistics.numberOfTilesWithContentReady).toEqual( + 0, + ); - // Look at root again - viewRootOnly(); - return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset).then( - function () { + // Look at root again + viewRootOnly(); + return Cesium3DTilesTester.waitForTilesLoaded( + scene, + tileset, + ).then(function () { expect(spyUpdate.calls.count()).toEqual(1); expect(spyUpdate.calls.argsFor(0)[0]).toBe(tileset.root); - } - ); - } - ); - }); + }); + }, + ); + }, + ); }); it("tile failed event is raised", function () { @@ -2405,10 +2409,10 @@ describe( data, headers, deferred, - overrideMimeType + overrideMimeType, ) { deferred.reject("404"); - } + }, ); tileset.tileFailed.addEventListener(spyUpdate); tileset.cacheBytes = 0; @@ -2435,18 +2439,18 @@ describe( const ray = scene.camera.getPickRay( new Cartesian2( scene.drawingBufferWidth / 2.0, - scene.drawingBufferHeight / 2.0 - ) + scene.drawingBufferHeight / 2.0, + ), ); const expected = new Cartesian3( 1215026.8094312553, -4736367.339076743, - 4081652.238842398 + 4081652.238842398, ); expect(tileset.pick(ray, scene.frameState)).toEqualEpsilon( expected, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); @@ -2456,7 +2460,7 @@ describe( tilesetOfTilesetsUrl, { enablePick: !scene.frameState.context.webgl2, - } + }, ); viewRootOnly(); scene.renderForSpecs(); @@ -2464,18 +2468,18 @@ describe( const ray = scene.camera.getPickRay( new Cartesian2( scene.drawingBufferWidth / 2.0, - scene.drawingBufferHeight / 2.0 - ) + scene.drawingBufferHeight / 2.0, + ), ); const expected = new Cartesian3( 1215026.8094312553, -4736367.339076743, - 4081652.238842398 + 4081652.238842398, ); expect(tileset.pick(ray, scene.frameState)).toEqualEpsilon( expected, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); @@ -2485,7 +2489,7 @@ describe( instancedUrl, { enablePick: !scene.frameState.context.webgl2, - } + }, ); viewInstances(); scene.renderForSpecs(); @@ -2493,18 +2497,18 @@ describe( const ray = scene.camera.getPickRay( new Cartesian2( scene.drawingBufferWidth / 2.0, - scene.drawingBufferHeight / 2.0 - ) + scene.drawingBufferHeight / 2.0, + ), ); const expected = new Cartesian3( 1215015.7820120894, -4736324.352446682, - 4081615.004915994 + 4081615.004915994, ); expect(tileset.pick(ray, scene.frameState)).toEqualEpsilon( expected, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); @@ -2514,7 +2518,7 @@ describe( translucentUrl, { enablePick: !scene.frameState.context.webgl2, - } + }, ); viewAllTiles(); scene.renderForSpecs(); @@ -2522,18 +2526,18 @@ describe( const ray = scene.camera.getPickRay( new Cartesian2( scene.drawingBufferWidth / 2.0, - scene.drawingBufferHeight / 2.0 - ) + scene.drawingBufferHeight / 2.0, + ), ); const expected = new Cartesian3( 1215013.1035421563, -4736313.911345786, - 4081605.96109977 + 4081605.96109977, ); expect(tileset.pick(ray, scene.frameState)).toEqualEpsilon( expected, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); @@ -2543,7 +2547,7 @@ describe( tilesetWithTransformsUrl, { enablePick: !scene.frameState.context.webgl2, - } + }, ); viewAllTiles(); scene.renderForSpecs(); @@ -2551,18 +2555,18 @@ describe( const ray = scene.camera.getPickRay( new Cartesian2( scene.drawingBufferWidth / 2.0, - scene.drawingBufferHeight / 2.0 - ) + scene.drawingBufferHeight / 2.0, + ), ); const expected = new Cartesian3( 1215013.1035421563, -4736313.911345786, - 4081605.96109977 + 4081605.96109977, ); expect(tileset.pick(ray, scene.frameState)).toEqualEpsilon( expected, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); @@ -2572,7 +2576,7 @@ describe( pointCloudUrl, { enablePick: !scene.frameState.context.webgl2, - } + }, ); viewAllTiles(); scene.renderForSpecs(); @@ -2580,8 +2584,8 @@ describe( const ray = scene.camera.getPickRay( new Cartesian2( scene.drawingBufferWidth / 2.0, - scene.drawingBufferHeight / 2.0 - ) + scene.drawingBufferHeight / 2.0, + ), ); expect(tileset.pick(ray, scene.frameState)).toBeUndefined(); @@ -2596,7 +2600,7 @@ describe( scene.renderForSpecs(); const center = Ellipsoid.WGS84.cartesianToCartographic( - tileset.boundingSphere.center + tileset.boundingSphere.center, ); const height = tileset.getHeight(center, scene); expect(height).toEqualEpsilon(78.1558019795064, CesiumMath.EPSILON8); @@ -2612,35 +2616,35 @@ describe( scene.renderForSpecs(); const center = Ellipsoid.WGS84.cartesianToCartographic( - tileset.boundingSphere.center + tileset.boundingSphere.center, ); const height = tileset.getHeight(center, scene); expect(height).toEqualEpsilon(156.31161477299992, CesiumMath.EPSILON8); }); it("destroys", function () { - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - const root = tileset.root; - expect(tileset.isDestroyed()).toEqual(false); - scene.primitives.remove(tileset); - expect(tileset.isDestroyed()).toEqual(true); - - // Check that all tiles are destroyed - expect(root.isDestroyed()).toEqual(true); - expect(root.children[0].isDestroyed()).toEqual(true); - expect(root.children[1].isDestroyed()).toEqual(true); - expect(root.children[2].isDestroyed()).toEqual(true); - expect(root.children[3].isDestroyed()).toEqual(true); - }); + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + const root = tileset.root; + expect(tileset.isDestroyed()).toEqual(false); + scene.primitives.remove(tileset); + expect(tileset.isDestroyed()).toEqual(true); + + // Check that all tiles are destroyed + expect(root.isDestroyed()).toEqual(true); + expect(root.children[0].isDestroyed()).toEqual(true); + expect(root.children[1].isDestroyed()).toEqual(true); + expect(root.children[2].isDestroyed()).toEqual(true); + expect(root.children[3].isDestroyed()).toEqual(true); + }, + ); }); it("destroys before external tileset JSON file finishes loading", async function () { viewNothing(); const tileset = await Cesium3DTilesTester.loadTileset( scene, - tilesetOfTilesetsUrl + tilesetOfTilesetsUrl, ); const root = tileset.root; @@ -2691,7 +2695,7 @@ describe( ibl.imageBasedLightingFactor = new Cartesian2(0.0, 0.0); expect(renderOptions).notToRender(rgba); }); - } + }, ); }); @@ -2712,7 +2716,7 @@ describe( expect(renderOptions).notToRender(rgba2); }); }); - } + }, ); }); @@ -2721,23 +2725,23 @@ describe( scene: scene, time: new JulianDate(2457522.154792), }; - return Cesium3DTilesTester.loadTileset(scene, url).then(function ( - tileset - ) { - scene.camera.setView(setViewOptions); - // In the glTF and glb tests, the back-face of the model is black, - // so the background color is set to a different color to distinguish - // between the results. - scene.backgroundColor = new Color(0.0, 0.0, 1.0, 1.0); - expect(renderOptions).toRenderAndCall(function (rgba) { - expect(rgba).toEqual([0, 0, 255, 255]); - tileset.backFaceCulling = false; - expect(renderOptions).toRenderAndCall(function (rgba2) { - expect(rgba2).not.toEqual(rgba); + return Cesium3DTilesTester.loadTileset(scene, url).then( + function (tileset) { + scene.camera.setView(setViewOptions); + // In the glTF and glb tests, the back-face of the model is black, + // so the background color is set to a different color to distinguish + // between the results. + scene.backgroundColor = new Color(0.0, 0.0, 1.0, 1.0); + expect(renderOptions).toRenderAndCall(function (rgba) { + expect(rgba).toEqual([0, 0, 255, 255]); + tileset.backFaceCulling = false; + expect(renderOptions).toRenderAndCall(function (rgba2) { + expect(rgba2).not.toEqual(rgba); + }); }); - }); - scene.backgroundColor = new Color(0.0, 0.0, 0.0, 1.0); - }); + scene.backgroundColor = new Color(0.0, 0.0, 0.0, 1.0); + }, + ); } it("renders b3dm tileset when back-face culling is disabled", function () { @@ -2745,12 +2749,12 @@ describe( destination: new Cartesian3( 1215012.6853779217, -4736313.101374343, - 4081603.4657718465 + 4081603.4657718465, ), orientation: new HeadingPitchRoll( 6.283185307179584, -0.49999825387267993, - 6.283185307179586 + 6.283185307179586, ), endTransform: Matrix4.IDENTITY, }; @@ -2763,12 +2767,12 @@ describe( destination: new Cartesian3( 1215012.6853779217, -4736313.101374343, - 4081603.4657718465 + 4081603.4657718465, ), orientation: new HeadingPitchRoll( 6.283185307179584, -0.49999825387267993, - 6.283185307179586 + 6.283185307179586, ), endTransform: Matrix4.IDENTITY, }; @@ -2781,12 +2785,12 @@ describe( destination: new Cartesian3( 1215012.6853779217, -4736313.101374343, - 4081603.4657718465 + 4081603.4657718465, ), orientation: new HeadingPitchRoll( 6.283185307179584, -0.49999825387267993, - 6.283185307179586 + 6.283185307179586, ), endTransform: Matrix4.IDENTITY, }; @@ -2799,12 +2803,12 @@ describe( destination: new Cartesian3( 1215015.8599828142, -4736324.65638894, - 4081609.967056947 + 4081609.967056947, ), orientation: new HeadingPitchRoll( 6.283185307179585, -0.5000006393986758, - 6.283185307179586 + 6.283185307179586, ), endTransform: Matrix4.IDENTITY, }; @@ -2826,7 +2830,7 @@ describe( expect(scene).toRender([0, 0, 0, 255]); tileset.style = new Cesium3DTileStyle({ show: "true" }); expect(scene).notToRender([0, 0, 0, 255]); - } + }, ); }); @@ -2843,7 +2847,7 @@ describe( tileset.style = new Cesium3DTileStyle({ show: "true" }); expect(scene).notToRender([0, 0, 0, 255]); - } + }, ); }); @@ -2860,7 +2864,7 @@ describe( // ${id} < 10 will always evaluate to true tileset.style = new Cesium3DTileStyle({ show: "${id} < 200 / 2" }); expect(scene).notToRender([0, 0, 0, 255]); - } + }, ); }); @@ -2874,7 +2878,7 @@ describe( tileset.style = new Cesium3DTileStyle({ show: "true" }); expect(scene).notToRender([0, 0, 0, 255]); - } + }, ); }); @@ -2892,7 +2896,7 @@ describe( tileset.style = new Cesium3DTileStyle({ show: "true" }); expect(scene).notToRender([0, 0, 0, 255]); - } + }, ); }); @@ -2910,7 +2914,7 @@ describe( tileset.style = new Cesium3DTileStyle({ show: "true" }); expect(scene).notToRender([0, 0, 0, 255]); - } + }, ); }); @@ -2951,7 +2955,7 @@ describe( return Cesium3DTilesTester.loadTileset(scene, withoutBatchTableUrl).then( function (tileset) { return expectColorStyle(tileset); - } + }, ); }); @@ -2959,14 +2963,14 @@ describe( return Cesium3DTilesTester.loadTileset(scene, translucentUrl).then( function (tileset) { return expectColorStyle(tileset); - } + }, ); }); it("applies color style to a tileset with translucent and opaque tiles", function () { return Cesium3DTilesTester.loadTileset( scene, - translucentOpaqueMixUrl + translucentOpaqueMixUrl, ).then(function (tileset) { return expectColorStyle(tileset); }); @@ -2976,7 +2980,7 @@ describe( return Cesium3DTilesTester.loadTileset(scene, noBatchIdsUrl).then( function (tileset) { return expectColorStyle(tileset); - } + }, ); }); @@ -2985,7 +2989,7 @@ describe( function (tileset) { viewGltfContent(); return expectColorStyle(tileset); - } + }, ); }); @@ -2994,7 +2998,7 @@ describe( function (tileset) { viewGltfContent(); return expectColorStyle(tileset); - } + }, ); }); @@ -3024,7 +3028,7 @@ describe( feature.setProperty("id", feature.getProperty("id") - 10); } expect(scene).notToRender([0, 0, 0, 255]); - } + }, ); }); @@ -3061,7 +3065,7 @@ describe( expect(feature.show).toBe(false); viewAllTiles(); expect(feature.show).toBe(false); - } + }, ); }); @@ -3074,14 +3078,14 @@ describe( scene.renderForSpecs(); expect( tileset._statisticsPerPass[Cesium3DTilePass.RENDER] - .numberOfTilesStyled + .numberOfTilesStyled, ).toBe(1); scene.pickForSpecs(); expect( tileset._statisticsPerPass[Cesium3DTilePass.PICK] - .numberOfTilesStyled + .numberOfTilesStyled, ).toBe(0); - } + }, ); }); @@ -3112,7 +3116,7 @@ describe( expect(rgba[2]).toEqual(0); expect(rgba[3]).toEqual(255); }); - } + }, ); }); @@ -3152,7 +3156,7 @@ describe( expect(rgba[2]).toBeGreaterThan(0); expect(rgba[3]).toEqual(255); }); - } + }, ); }); @@ -3169,7 +3173,7 @@ describe( scene.renderForSpecs(); expect(tileset.root.content.getFeature(0).color).toEqual(Color.WHITE); expect(tileset.root.content.getFeature(1).color).toEqual(Color.BLACK); - } + }, ); }); @@ -3195,7 +3199,7 @@ describe( scene.renderForSpecs(); expect(tileset.root.content.getFeature(0).show).toBe(true); expect(tileset.root.content.getFeature(1).show).toBe(false); - } + }, ); }); @@ -3212,7 +3216,7 @@ describe( expect(rgba[3]).toEqual(255); }); }); - } + }, ); }); @@ -3239,7 +3243,7 @@ describe( style.show._value = true; tileset.makeStyleDirty(); expect(scene).notToRender([0, 0, 0, 255]); - } + }, ); }); @@ -3266,7 +3270,7 @@ describe( expect(rgba[2]).toBe(0); expect(rgba[3]).toEqual(255); }); - } + }, ); }); @@ -3287,7 +3291,7 @@ describe( scene.renderForSpecs(); expect(statistics.numberOfTilesStyled).toBe(0); - } + }, ); }); @@ -3309,7 +3313,7 @@ describe( tileset.style = style; scene.renderForSpecs(); expect(statistics.numberOfTilesStyled).toBe(0); - } + }, ); }); @@ -3500,7 +3504,8 @@ describe( ContextLimits._maximumVertexTextureImageUnits = 0; return testColorBlendMode(colorsUrl).then(function () { // Re-enable VTF - ContextLimits._maximumVertexTextureImageUnits = maximumVertexTextureImageUnits; + ContextLimits._maximumVertexTextureImageUnits = + maximumVertexTextureImageUnits; }); }); @@ -3521,134 +3526,136 @@ describe( // Cache replacement tests it("Unload all cached tiles not required to meet SSE using cacheBytes", function () { - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - tileset.cacheBytes = 0; + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + tileset.cacheBytes = 0; - // Render parent and four children (using additive refinement) - viewAllTiles(); - scene.renderForSpecs(); + // Render parent and four children (using additive refinement) + viewAllTiles(); + scene.renderForSpecs(); - const statistics = tileset._statistics; - expect(statistics.numberOfCommands).toEqual(5); - expect(statistics.numberOfTilesWithContentReady).toEqual(5); // Five loaded tiles - expect(tileset.totalMemoryUsageInBytes).toEqual(37200); // Specific to this tileset + const statistics = tileset._statistics; + expect(statistics.numberOfCommands).toEqual(5); + expect(statistics.numberOfTilesWithContentReady).toEqual(5); // Five loaded tiles + expect(tileset.totalMemoryUsageInBytes).toEqual(37200); // Specific to this tileset - // Zoom out so only root tile is needed to meet SSE. This unloads - // the four children since the maximum memory usage is zero. - viewRootOnly(); - scene.renderForSpecs(); + // Zoom out so only root tile is needed to meet SSE. This unloads + // the four children since the maximum memory usage is zero. + viewRootOnly(); + scene.renderForSpecs(); - expect(statistics.numberOfCommands).toEqual(1); - expect(statistics.numberOfTilesWithContentReady).toEqual(1); - expect(tileset.totalMemoryUsageInBytes).toEqual(7440); // Specific to this tileset + expect(statistics.numberOfCommands).toEqual(1); + expect(statistics.numberOfTilesWithContentReady).toEqual(1); + expect(tileset.totalMemoryUsageInBytes).toEqual(7440); // Specific to this tileset - // Zoom back in so all four children are re-requested. - viewAllTiles(); + // Zoom back in so all four children are re-requested. + viewAllTiles(); - return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset).then( - function () { - expect(statistics.numberOfCommands).toEqual(5); - expect(statistics.numberOfTilesWithContentReady).toEqual(5); // Five loaded tiles - expect(tileset.totalMemoryUsageInBytes).toEqual(37200); // Specific to this tileset - } - ); - }); + return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset).then( + function () { + expect(statistics.numberOfCommands).toEqual(5); + expect(statistics.numberOfTilesWithContentReady).toEqual(5); // Five loaded tiles + expect(tileset.totalMemoryUsageInBytes).toEqual(37200); // Specific to this tileset + }, + ); + }, + ); }); it("Unload some cached tiles not required to meet SSE using cacheBytes", function () { - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - tileset.cacheBytes = 0.025 * 1024 * 1024; // Just enough memory to allow 3 tiles to remain - // Render parent and four children (using additive refinement) - viewAllTiles(); - scene.renderForSpecs(); + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + tileset.cacheBytes = 0.025 * 1024 * 1024; // Just enough memory to allow 3 tiles to remain + // Render parent and four children (using additive refinement) + viewAllTiles(); + scene.renderForSpecs(); - const statistics = tileset._statistics; - expect(statistics.numberOfCommands).toEqual(5); - expect(statistics.numberOfTilesWithContentReady).toEqual(5); // Five loaded tiles + const statistics = tileset._statistics; + expect(statistics.numberOfCommands).toEqual(5); + expect(statistics.numberOfTilesWithContentReady).toEqual(5); // Five loaded tiles - // Zoom out so only root tile is needed to meet SSE. This unloads - // two of the four children so three tiles are still loaded (the - // root and two children) since the maximum memory usage is sufficient. - viewRootOnly(); - scene.renderForSpecs(); + // Zoom out so only root tile is needed to meet SSE. This unloads + // two of the four children so three tiles are still loaded (the + // root and two children) since the maximum memory usage is sufficient. + viewRootOnly(); + scene.renderForSpecs(); - expect(statistics.numberOfCommands).toEqual(1); - expect(statistics.numberOfTilesWithContentReady).toEqual(3); + expect(statistics.numberOfCommands).toEqual(1); + expect(statistics.numberOfTilesWithContentReady).toEqual(3); - // Zoom back in so the two children are re-requested. - viewAllTiles(); + // Zoom back in so the two children are re-requested. + viewAllTiles(); - return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset).then( - function () { - expect(statistics.numberOfCommands).toEqual(5); - expect(statistics.numberOfTilesWithContentReady).toEqual(5); // Five loaded tiles - } - ); - }); + return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset).then( + function () { + expect(statistics.numberOfCommands).toEqual(5); + expect(statistics.numberOfTilesWithContentReady).toEqual(5); // Five loaded tiles + }, + ); + }, + ); }); it("Restrict tileset memory usage with maximumCacheOverflowBytes", function () { - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - tileset.cacheBytes = 0.025 * 1024 * 1024; // Just enough memory to allow 3 tiles to remain - tileset.maximumCacheOverflowBytes = 0; - expect(tileset.memoryAdjustedScreenSpaceError).toEqual(16); - - // Zoom out so only root tile is needed to meet SSE. - viewRootOnly(); - scene.renderForSpecs(); - const statistics = tileset._statistics; - expect(statistics.numberOfCommands).toEqual(1); - expect(statistics.numberOfTilesWithContentReady).toEqual(3); + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + tileset.cacheBytes = 0.025 * 1024 * 1024; // Just enough memory to allow 3 tiles to remain + tileset.maximumCacheOverflowBytes = 0; + expect(tileset.memoryAdjustedScreenSpaceError).toEqual(16); - // Zoom back in and attempt to render all tiles - viewAllTiles(); + // Zoom out so only root tile is needed to meet SSE. + viewRootOnly(); + scene.renderForSpecs(); + const statistics = tileset._statistics; + expect(statistics.numberOfCommands).toEqual(1); + expect(statistics.numberOfTilesWithContentReady).toEqual(3); - return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset).then( - function () { - // Only 3 tiles should have been actually loaded - expect(statistics.numberOfCommands).toEqual(3); - expect(statistics.numberOfTilesWithContentReady).toEqual(3); // Three loaded tiles - // SSE should have been adjusted higher - expect(tileset.memoryAdjustedScreenSpaceError).toBeGreaterThan(16); - } - ); - }); + // Zoom back in and attempt to render all tiles + viewAllTiles(); + + return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset).then( + function () { + // Only 3 tiles should have been actually loaded + expect(statistics.numberOfCommands).toEqual(3); + expect(statistics.numberOfTilesWithContentReady).toEqual(3); // Three loaded tiles + // SSE should have been adjusted higher + expect(tileset.memoryAdjustedScreenSpaceError).toBeGreaterThan( + 16, + ); + }, + ); + }, + ); }); it("Unloads cached tiles outside of the view frustum using cacheBytes", function () { - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - tileset.cacheBytes = 0; + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + tileset.cacheBytes = 0; - scene.renderForSpecs(); - const statistics = tileset._statistics; - expect(statistics.numberOfCommands).toEqual(5); - expect(statistics.numberOfTilesWithContentReady).toEqual(5); + scene.renderForSpecs(); + const statistics = tileset._statistics; + expect(statistics.numberOfCommands).toEqual(5); + expect(statistics.numberOfTilesWithContentReady).toEqual(5); - viewSky(); + viewSky(); - // All tiles are unloaded - scene.renderForSpecs(); - expect(statistics.numberOfCommands).toEqual(0); - expect(statistics.numberOfTilesWithContentReady).toEqual(0); + // All tiles are unloaded + scene.renderForSpecs(); + expect(statistics.numberOfCommands).toEqual(0); + expect(statistics.numberOfTilesWithContentReady).toEqual(0); - // Reset camera so all tiles are reloaded - viewAllTiles(); + // Reset camera so all tiles are reloaded + viewAllTiles(); - return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset).then( - function () { - expect(statistics.numberOfCommands).toEqual(5); - expect(statistics.numberOfTilesWithContentReady).toEqual(5); - } - ); - }); + return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset).then( + function () { + expect(statistics.numberOfCommands).toEqual(5); + expect(statistics.numberOfTilesWithContentReady).toEqual(5); + }, + ); + }, + ); }); it("Unloads cached tiles in a tileset with external tileset JSON file using cacheBytes", function () { @@ -3682,9 +3689,9 @@ describe( expect(statistics.numberOfTilesWithContentReady).toEqual(5); expect(cacheList.length - 1).toEqual(5); - } + }, ); - } + }, ); }); @@ -3713,9 +3720,9 @@ describe( function () { expect(statistics.numberOfCommands).toEqual(4); expect(statistics.numberOfTilesWithContentReady).toEqual(4); - } + }, ); - } + }, ); }); @@ -3728,7 +3735,7 @@ describe( // return Cesium3DTilesTester.loadTileset( scene, - tilesetReplacement1Url + tilesetReplacement1Url, ).then(function (tileset) { tileset.cacheBytes = 0; // Only root needs to be visible @@ -3755,74 +3762,74 @@ describe( function () { expect(statistics.numberOfCommands).toEqual(4); expect(statistics.numberOfTilesWithContentReady).toEqual(5); - } + }, ); }); }); it("Explicitly unloads cached tiles with trimLoadedTiles", function () { - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - tileset.cacheBytes = 0.05 * 1024 * 1024; + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + tileset.cacheBytes = 0.05 * 1024 * 1024; - // Render parent and four children (using additive refinement) - viewAllTiles(); - scene.renderForSpecs(); + // Render parent and four children (using additive refinement) + viewAllTiles(); + scene.renderForSpecs(); - const statistics = tileset._statistics; - expect(statistics.numberOfCommands).toEqual(5); - expect(statistics.numberOfTilesWithContentReady).toEqual(5); // Five loaded tiles + const statistics = tileset._statistics; + expect(statistics.numberOfCommands).toEqual(5); + expect(statistics.numberOfTilesWithContentReady).toEqual(5); // Five loaded tiles - // Zoom out so only root tile is needed to meet SSE. The children - // are not unloaded since max number of loaded tiles is five. - viewRootOnly(); - scene.renderForSpecs(); + // Zoom out so only root tile is needed to meet SSE. The children + // are not unloaded since max number of loaded tiles is five. + viewRootOnly(); + scene.renderForSpecs(); - expect(statistics.numberOfCommands).toEqual(1); - expect(statistics.numberOfTilesWithContentReady).toEqual(5); + expect(statistics.numberOfCommands).toEqual(1); + expect(statistics.numberOfTilesWithContentReady).toEqual(5); - tileset.trimLoadedTiles(); - scene.renderForSpecs(); + tileset.trimLoadedTiles(); + scene.renderForSpecs(); - expect(statistics.numberOfCommands).toEqual(1); - expect(statistics.numberOfTilesWithContentReady).toEqual(1); - }); + expect(statistics.numberOfCommands).toEqual(1); + expect(statistics.numberOfTilesWithContentReady).toEqual(1); + }, + ); }); it("tileUnload event is raised", function () { - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - tileset.cacheBytes = 0; + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + tileset.cacheBytes = 0; - // Render parent and four children (using additive refinement) - viewAllTiles(); - scene.renderForSpecs(); + // Render parent and four children (using additive refinement) + viewAllTiles(); + scene.renderForSpecs(); - const statistics = tileset._statistics; - expect(statistics.numberOfCommands).toEqual(5); - expect(statistics.numberOfTilesWithContentReady).toEqual(5); // Five loaded tiles + const statistics = tileset._statistics; + expect(statistics.numberOfCommands).toEqual(5); + expect(statistics.numberOfTilesWithContentReady).toEqual(5); // Five loaded tiles - // Zoom out so only root tile is needed to meet SSE. All the - // children are unloaded since max number of loaded tiles is one. - viewRootOnly(); - const spyUpdate = jasmine.createSpy("listener"); - tileset.tileUnload.addEventListener(spyUpdate); - scene.renderForSpecs(); + // Zoom out so only root tile is needed to meet SSE. All the + // children are unloaded since max number of loaded tiles is one. + viewRootOnly(); + const spyUpdate = jasmine.createSpy("listener"); + tileset.tileUnload.addEventListener(spyUpdate); + scene.renderForSpecs(); - expect( - tileset.root.visibility( - scene.frameState, - CullingVolume.MASK_INDETERMINATE - ) - ).not.toEqual(CullingVolume.MASK_OUTSIDE); - expect(spyUpdate.calls.count()).toEqual(4); - expect(spyUpdate.calls.argsFor(0)[0]).toBe(tileset.root.children[0]); - expect(spyUpdate.calls.argsFor(1)[0]).toBe(tileset.root.children[1]); - expect(spyUpdate.calls.argsFor(2)[0]).toBe(tileset.root.children[2]); - expect(spyUpdate.calls.argsFor(3)[0]).toBe(tileset.root.children[3]); - }); + expect( + tileset.root.visibility( + scene.frameState, + CullingVolume.MASK_INDETERMINATE, + ), + ).not.toEqual(CullingVolume.MASK_OUTSIDE); + expect(spyUpdate.calls.count()).toEqual(4); + expect(spyUpdate.calls.argsFor(0)[0]).toBe(tileset.root.children[0]); + expect(spyUpdate.calls.argsFor(1)[0]).toBe(tileset.root.children[1]); + expect(spyUpdate.calls.argsFor(2)[0]).toBe(tileset.root.children[2]); + expect(spyUpdate.calls.argsFor(3)[0]).toBe(tileset.root.children[3]); + }, + ); }); it("cacheBytes throws when negative", async function () { @@ -3854,7 +3861,7 @@ describe( const totalCommands = b3dmCommands + i3dmCommands; return Cesium3DTilesTester.loadTileset( scene, - tilesetWithTransformsUrl + tilesetWithTransformsUrl, ).then(function (tileset) { const statistics = tileset._statistics; const root = tileset.root; @@ -3865,7 +3872,7 @@ describe( let computedTransform = Matrix4.multiply( rootTransform, childTransform, - new Matrix4() + new Matrix4(), ); expect(statistics.numberOfCommands).toBe(totalCommands); @@ -3874,20 +3881,20 @@ describe( // Set the tileset's modelMatrix const tilesetTransform = Matrix4.fromTranslation( - new Cartesian3(0.0, 1.0, 0.0) + new Cartesian3(0.0, 1.0, 0.0), ); tileset.modelMatrix = tilesetTransform; computedTransform = Matrix4.multiply( tilesetTransform, computedTransform, - computedTransform + computedTransform, ); scene.renderForSpecs(); expect(child.computedTransform).toEqual(computedTransform); // Set the modelMatrix somewhere off screen tileset.modelMatrix = Matrix4.fromTranslation( - new Cartesian3(0.0, 100000.0, 0.0) + new Cartesian3(0.0, 100000.0, 0.0), ); scene.renderForSpecs(); expect(statistics.numberOfCommands).toBe(0); @@ -3899,7 +3906,7 @@ describe( // Do the same steps for a tile transform child.transform = Matrix4.fromTranslation( - new Cartesian3(0.0, 100000.0, 0.0) + new Cartesian3(0.0, 100000.0, 0.0), ); scene.renderForSpecs(); expect(statistics.numberOfCommands).toBe(1); @@ -3918,7 +3925,7 @@ describe( return Cesium3DTilesTester.loadTileset( scene, tilesetUrl, - skipLevelOfDetailOptions + skipLevelOfDetailOptions, ).then(function (tileset) { viewAllTiles(); scene.renderForSpecs(); @@ -3931,7 +3938,7 @@ describe( function (tileset) { expect(statistics.numberOfTilesWithContentReady).toEqual(5); expect(tileset.hasMixedContent).toBe(false); - } + }, ); }); }); @@ -3940,7 +3947,7 @@ describe( return Cesium3DTilesTester.loadTileset( scene, tilesetReplacement3Url, - skipLevelOfDetailOptions + skipLevelOfDetailOptions, ).then(function (tileset) { const statistics = tileset._statistics; @@ -3954,7 +3961,7 @@ describe( expect(tileset.hasMixedContent).toBe(true); expect(statistics.numberOfTilesWithContentReady).toEqual(2); expect( - tileset.root.children[0].children[0].children[3]._selectionDepth + tileset.root.children[0].children[0].children[3]._selectionDepth, ).toEqual(1); expect(tileset.root._selectionDepth).toEqual(0); @@ -3962,7 +3969,7 @@ describe( function (tileset) { expect(statistics.numberOfTilesWithContentReady).toEqual(5); expect(tileset.hasMixedContent).toBe(false); - } + }, ); }); }); @@ -3971,7 +3978,7 @@ describe( return Cesium3DTilesTester.loadTileset( scene, tilesetReplacement3Url, - skipLevelOfDetailOptions + skipLevelOfDetailOptions, ).then(function (tileset) { tileset.root.children[0].children[0].children[0].unloadContent(); tileset.root.children[0].children[0].children[1].unloadContent(); @@ -3990,7 +3997,7 @@ describe( return Cesium3DTilesTester.loadTileset( scene, tilesetReplacement3Url, - skipLevelOfDetailOptions + skipLevelOfDetailOptions, ).then(function (tileset) { const statistics = tileset._statistics; const root = tileset.root; @@ -4007,10 +4014,10 @@ describe( expect(isSelected(tileset, root)).toBe(true); expect(root._finalResolution).toBe(false); expect( - isSelected(tileset, root.children[0].children[0].children[3]) + isSelected(tileset, root.children[0].children[0].children[3]), ).toBe(true); expect(root.children[0].children[0].children[3]._finalResolution).toBe( - true + true, ); expect(tileset.hasMixedContent).toBe(true); @@ -4028,7 +4035,7 @@ describe( return Cesium3DTilesTester.loadTileset( scene, tilesetReplacement3Url, - skipLevelOfDetailOptions + skipLevelOfDetailOptions, ).then(function (tileset) { const statistics = tileset._statistics; const root = tileset.root; @@ -4045,16 +4052,16 @@ describe( expect(isSelected(tileset, root)).toBe(true); expect(root._finalResolution).toBe(true); expect( - isSelected(tileset, root.children[0].children[0].children[0]) + isSelected(tileset, root.children[0].children[0].children[0]), ).toBe(false); expect( - isSelected(tileset, root.children[0].children[0].children[1]) + isSelected(tileset, root.children[0].children[0].children[1]), ).toBe(false); expect( - isSelected(tileset, root.children[0].children[0].children[2]) + isSelected(tileset, root.children[0].children[0].children[2]), ).toBe(false); expect( - isSelected(tileset, root.children[0].children[0].children[3]) + isSelected(tileset, root.children[0].children[0].children[3]), ).toBe(false); expect(tileset.hasMixedContent).toBe(false); @@ -4078,11 +4085,11 @@ describe( return Cesium3DTilesTester.loadTileset( scene, tilesetReplacement3Url, - skipLevelOfDetailOptions + skipLevelOfDetailOptions, ).then(function (tileset) { const statistics = tileset._statistics; expect(statistics.numberOfCommands).toEqual( - tileset._selectedTiles.length + tileset._selectedTiles.length, ); const commandList = scene.frameState.commandList; @@ -4109,7 +4116,7 @@ describe( return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset).then( function (tileset) { expect(statistics.numberOfTilesWithContentReady).toBe(5); - } + }, ); }); }); @@ -4139,9 +4146,9 @@ describe( function (tileset) { expect(!isSelected(tileset, child)); expect(isSelected(tileset, root)); - } + }, ); - } + }, ); }); }); @@ -4150,7 +4157,7 @@ describe( return Cesium3DTilesTester.loadTileset( scene, tilesetOfTilesetsUrl, - skipLevelOfDetailOptions + skipLevelOfDetailOptions, ).then(function (tileset) { const statistics = tileset._statistics; const parent = tileset.root.children[0]; @@ -4181,7 +4188,7 @@ describe( data, headers, deferred, - overrideMimeType + overrideMimeType, ) { Resource._DefaultImplementations.loadWithXhr( batchedColorsB3dmUrl, @@ -4190,9 +4197,9 @@ describe( data, headers, deferred, - overrideMimeType + overrideMimeType, ); - } + }, ); const tile = tileset.root; const statistics = tileset._statistics; @@ -4204,10 +4211,10 @@ describe( const expireDate = JulianDate.addSeconds( JulianDate.now(), 5.0, - new JulianDate() + new JulianDate(), ); expect( - JulianDate.secondsDifference(tile.expireDate, expireDate) + JulianDate.secondsDifference(tile.expireDate, expireDate), ).toEqualEpsilon(0.0, CesiumMath.EPSILON1); expect(tile.expireDuration).toBe(5.0); expect(tile.contentExpired).toBe(false); @@ -4223,7 +4230,7 @@ describe( tile.expireDate = JulianDate.addSeconds( JulianDate.now(), -1.0, - new JulianDate() + new JulianDate(), ); // Stays in the expired state until the request goes through @@ -4249,8 +4256,8 @@ describe( expect(tile._expiredContent).toBeDefined(); // Still holds onto expired content until the content state is READY // Check that url contains a query param with the timestamp - const url = Resource._Implementations.loadWithXhr.calls.first() - .args[0]; + const url = + Resource._Implementations.loadWithXhr.calls.first().args[0]; expect(url.indexOf("expired=") >= 0).toBe(true); // statistics are still the same @@ -4274,7 +4281,7 @@ describe( return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset); }); - } + }, ); }); @@ -4289,33 +4296,35 @@ describe( it("tile with tileset content expires", function () { return Cesium3DTilesTester.loadTileset( scene, - tilesetSubtreeExpirationUrl + tilesetSubtreeExpirationUrl, ).then(async function (tileset) { // Intercept the request and load a subtree with one less child. Still want to make an actual request to simulate // real use cases instead of immediately returning a pre-created array buffer. - spyOn(Resource._Implementations, "loadWithXhr").and.callFake(function ( - url, - responseType, - method, - data, - headers, - deferred, - overrideMimeType - ) { - const newDeferred = defer(); - Resource._DefaultImplementations.loadWithXhr( - tilesetSubtreeUrl, + spyOn(Resource._Implementations, "loadWithXhr").and.callFake( + function ( + url, responseType, method, data, headers, - newDeferred, - overrideMimeType - ); - newDeferred.promise.then(function (arrayBuffer) { - deferred.resolve(modifySubtreeBuffer(arrayBuffer)); - }); - }); + deferred, + overrideMimeType, + ) { + const newDeferred = defer(); + Resource._DefaultImplementations.loadWithXhr( + tilesetSubtreeUrl, + responseType, + method, + data, + headers, + newDeferred, + overrideMimeType, + ); + newDeferred.promise.then(function (arrayBuffer) { + deferred.resolve(modifySubtreeBuffer(arrayBuffer)); + }); + }, + ); const subtreeRoot = tileset.root.children[0]; const subtreeChildren = subtreeRoot.children[0].children; @@ -4331,7 +4340,7 @@ describe( subtreeRoot.expireDate = JulianDate.addSeconds( JulianDate.now(), -1.0, - new JulianDate() + new JulianDate(), ); // Listen to tile unload events @@ -4367,7 +4376,7 @@ describe( it("tile expires and request fails", async function () { const tileset = await Cesium3DTilesTester.loadTileset( scene, - batchedExpirationUrl + batchedExpirationUrl, ); spyOn(Resource.prototype, "fetchArrayBuffer").and.callFake(() => { return Promise.reject(new Error("404")); @@ -4379,7 +4388,7 @@ describe( tile.expireDate = JulianDate.addSeconds( JulianDate.now(), -1.0, - new JulianDate() + new JulianDate(), ); const failedSpy = jasmine.createSpy("listenerSpy"); @@ -4396,48 +4405,48 @@ describe( expect(failedSpy).toHaveBeenCalledWith( jasmine.objectContaining({ message: "404", - }) + }), ); expect(statistics.numberOfCommands).toBe(0); expect(statistics.numberOfTilesTotal).toBe(1); }); it("tile expiration date", function () { - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - const tile = tileset.root; + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + const tile = tileset.root; - // Trigger expiration to happen next frame - tile.expireDate = JulianDate.addSeconds( - JulianDate.now(), - -1.0, - new JulianDate() - ); + // Trigger expiration to happen next frame + tile.expireDate = JulianDate.addSeconds( + JulianDate.now(), + -1.0, + new JulianDate(), + ); - // Stays in the expired state until the request goes through - const originalMaxmimumRequests = RequestScheduler.maximumRequests; - RequestScheduler.maximumRequests = 0; // Artificially limit Request Scheduler so the request won't go through - scene.renderForSpecs(); - RequestScheduler.maximumRequests = originalMaxmimumRequests; + // Stays in the expired state until the request goes through + const originalMaxmimumRequests = RequestScheduler.maximumRequests; + RequestScheduler.maximumRequests = 0; // Artificially limit Request Scheduler so the request won't go through + scene.renderForSpecs(); + RequestScheduler.maximumRequests = originalMaxmimumRequests; - expect(tile.contentExpired).toBe(true); + expect(tile.contentExpired).toBe(true); - return pollToPromise(function () { - scene.renderForSpecs(); - return tile.contentReady; - }).then(function () { - scene.renderForSpecs(); - expect(tile._expiredContent).toBeUndefined(); - expect(tile.expireDate).toBeUndefined(); - }); - }); + return pollToPromise(function () { + scene.renderForSpecs(); + return tile.contentReady; + }).then(function () { + scene.renderForSpecs(); + expect(tile._expiredContent).toBeUndefined(); + expect(tile.expireDate).toBeUndefined(); + }); + }, + ); }); it("supports content data URIs", function () { return Cesium3DTilesTester.loadTileset( scene, - tilesetUrlWithContentUri + tilesetUrlWithContentUri, ).then(function (tileset) { const statistics = tileset._statistics; expect(statistics.visited).toEqual(1); @@ -4446,25 +4455,25 @@ describe( }); it("destroys attached ClippingPlaneCollections and ClippingPlaneCollections that have been detached", function () { - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - const clippingPlaneCollection1 = new ClippingPlaneCollection({ - planes: [new ClippingPlane(Cartesian3.UNIT_Z, -100000000.0)], - }); - expect(clippingPlaneCollection1.owner).not.toBeDefined(); + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + const clippingPlaneCollection1 = new ClippingPlaneCollection({ + planes: [new ClippingPlane(Cartesian3.UNIT_Z, -100000000.0)], + }); + expect(clippingPlaneCollection1.owner).not.toBeDefined(); - tileset.clippingPlanes = clippingPlaneCollection1; - const clippingPlaneCollection2 = new ClippingPlaneCollection({ - planes: [new ClippingPlane(Cartesian3.UNIT_Z, -100000000.0)], - }); + tileset.clippingPlanes = clippingPlaneCollection1; + const clippingPlaneCollection2 = new ClippingPlaneCollection({ + planes: [new ClippingPlane(Cartesian3.UNIT_Z, -100000000.0)], + }); - tileset.clippingPlanes = clippingPlaneCollection2; - expect(clippingPlaneCollection1.isDestroyed()).toBe(true); + tileset.clippingPlanes = clippingPlaneCollection2; + expect(clippingPlaneCollection1.isDestroyed()).toBe(true); - scene.primitives.remove(tileset); - expect(clippingPlaneCollection2.isDestroyed()).toBe(true); - }); + scene.primitives.remove(tileset); + expect(clippingPlaneCollection2.isDestroyed()).toBe(true); + }, + ); }); it("throws a DeveloperError when given a ClippingPlaneCollection attached to another Tileset", function () { @@ -4486,110 +4495,110 @@ describe( }); it("clipping planes cull hidden tiles", function () { - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - let visibility = tileset.root.visibility( - scene.frameState, - CullingVolume.MASK_INSIDE - ); + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + let visibility = tileset.root.visibility( + scene.frameState, + CullingVolume.MASK_INSIDE, + ); - expect(visibility).not.toBe(CullingVolume.MASK_OUTSIDE); + expect(visibility).not.toBe(CullingVolume.MASK_OUTSIDE); - const plane = new ClippingPlane(Cartesian3.UNIT_Z, -100000000.0); - tileset.clippingPlanes = new ClippingPlaneCollection({ - planes: [plane], - }); + const plane = new ClippingPlane(Cartesian3.UNIT_Z, -100000000.0); + tileset.clippingPlanes = new ClippingPlaneCollection({ + planes: [plane], + }); - visibility = tileset.root.visibility( - scene.frameState, - CullingVolume.MASK_INSIDE - ); + visibility = tileset.root.visibility( + scene.frameState, + CullingVolume.MASK_INSIDE, + ); - expect(visibility).toBe(CullingVolume.MASK_OUTSIDE); + expect(visibility).toBe(CullingVolume.MASK_OUTSIDE); - plane.distance = 0.0; - visibility = tileset.root.visibility( - scene.frameState, - CullingVolume.MASK_INSIDE - ); + plane.distance = 0.0; + visibility = tileset.root.visibility( + scene.frameState, + CullingVolume.MASK_INSIDE, + ); - expect(visibility).not.toBe(CullingVolume.MASK_OUTSIDE); - }); + expect(visibility).not.toBe(CullingVolume.MASK_OUTSIDE); + }, + ); }); it("clipping planes cull hidden content", function () { - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - let visibility = tileset.root.contentVisibility(scene.frameState); + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + let visibility = tileset.root.contentVisibility(scene.frameState); - expect(visibility).not.toBe(Intersect.OUTSIDE); + expect(visibility).not.toBe(Intersect.OUTSIDE); - const plane = new ClippingPlane(Cartesian3.UNIT_Z, -100000000.0); - tileset.clippingPlanes = new ClippingPlaneCollection({ - planes: [plane], - }); + const plane = new ClippingPlane(Cartesian3.UNIT_Z, -100000000.0); + tileset.clippingPlanes = new ClippingPlaneCollection({ + planes: [plane], + }); - visibility = tileset.root.contentVisibility(scene.frameState); + visibility = tileset.root.contentVisibility(scene.frameState); - expect(visibility).toBe(Intersect.OUTSIDE); + expect(visibility).toBe(Intersect.OUTSIDE); - plane.distance = 0.0; - visibility = tileset.root.contentVisibility(scene.frameState); + plane.distance = 0.0; + visibility = tileset.root.contentVisibility(scene.frameState); - expect(visibility).not.toBe(Intersect.OUTSIDE); - }); + expect(visibility).not.toBe(Intersect.OUTSIDE); + }, + ); }); it("clipping planes cull tiles completely inside clipping region", function () { - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - const statistics = tileset._statistics; - const root = tileset.root; + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + const statistics = tileset._statistics; + const root = tileset.root; - scene.renderForSpecs(); + scene.renderForSpecs(); - expect(statistics.numberOfCommands).toEqual(5); + expect(statistics.numberOfCommands).toEqual(5); - tileset.update(scene.frameState); + tileset.update(scene.frameState); - const radius = 287.0736139905632; + const radius = 287.0736139905632; - const plane = new ClippingPlane(Cartesian3.UNIT_X, radius); - tileset.clippingPlanes = new ClippingPlaneCollection({ - planes: [plane], - }); + const plane = new ClippingPlane(Cartesian3.UNIT_X, radius); + tileset.clippingPlanes = new ClippingPlaneCollection({ + planes: [plane], + }); - tileset.update(scene.frameState); - scene.renderForSpecs(); + tileset.update(scene.frameState); + scene.renderForSpecs(); - expect(statistics.numberOfCommands).toEqual(5); - expect(root._isClipped).toBe(false); + expect(statistics.numberOfCommands).toEqual(5); + expect(root._isClipped).toBe(false); - plane.distance = -1; + plane.distance = -1; - tileset.update(scene.frameState); - scene.renderForSpecs(); + tileset.update(scene.frameState); + scene.renderForSpecs(); - expect(statistics.numberOfCommands).toEqual(3); - expect(root._isClipped).toBe(true); + expect(statistics.numberOfCommands).toEqual(3); + expect(root._isClipped).toBe(true); - plane.distance = -radius; + plane.distance = -radius; - tileset.update(scene.frameState); - scene.renderForSpecs(); + tileset.update(scene.frameState); + scene.renderForSpecs(); - expect(statistics.numberOfCommands).toEqual(0); - expect(root._isClipped).toBe(true); - }); + expect(statistics.numberOfCommands).toEqual(0); + expect(root._isClipped).toBe(true); + }, + ); }); it("clipping planes cull tiles completely inside clipping region for i3dm", function () { return Cesium3DTilesTester.loadTileset( scene, - tilesetWithExternalResourcesUrl + tilesetWithExternalResourcesUrl, ).then(function (tileset) { const statistics = tileset._statistics; const root = tileset.root; @@ -4639,7 +4648,7 @@ describe( let offsetMatrix = tileset.clippingPlanesOriginMatrix; expect( - Matrix4.equals(offsetMatrix, tileset.root.computedTransform) + Matrix4.equals(offsetMatrix, tileset.root.computedTransform), ).toBe(true); return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( @@ -4648,23 +4657,23 @@ describe( // so we want to apply east-north-up as our best guess. offsetMatrix = tileset.clippingPlanesOriginMatrix; expect( - Matrix4.equals(offsetMatrix, tileset.root.computedTransform) + Matrix4.equals(offsetMatrix, tileset.root.computedTransform), ).toBe(false); // But they have the same translation. const clippingPlanesOrigin = Matrix4.getTranslation( offsetMatrix, - new Cartesian3() + new Cartesian3(), ); expect( Cartesian3.equals( tileset.root.boundingSphere.center, - clippingPlanesOrigin - ) + clippingPlanesOrigin, + ), ).toBe(true); - } + }, ); - } + }, ); }); @@ -4673,48 +4682,41 @@ describe( function (tileset) { let offsetMatrix = Matrix4.clone( tileset.clippingPlanesOriginMatrix, - new Matrix4() + new Matrix4(), ); let boundingSphereEastNorthUp = Transforms.eastNorthUpToFixedFrame( - tileset.root.boundingSphere.center + tileset.root.boundingSphere.center, ); expect(Matrix4.equals(offsetMatrix, boundingSphereEastNorthUp)).toBe( - true + true, ); // Changing the model matrix should change the clipping planes matrix tileset.modelMatrix = Matrix4.fromTranslation( - new Cartesian3(100, 0, 0) + new Cartesian3(100, 0, 0), ); scene.renderForSpecs(); expect( - Matrix4.equals(offsetMatrix, tileset.clippingPlanesOriginMatrix) + Matrix4.equals(offsetMatrix, tileset.clippingPlanesOriginMatrix), ).toBe(false); boundingSphereEastNorthUp = Transforms.eastNorthUpToFixedFrame( - tileset.root.boundingSphere.center + tileset.root.boundingSphere.center, ); offsetMatrix = tileset.clippingPlanesOriginMatrix; expect(offsetMatrix).toEqualEpsilon( boundingSphereEastNorthUp, - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); - } + }, ); }); describe("clippingPolygons", () => { const positions = Cartesian3.fromRadiansArray([ - -1.3194369277314022, - 0.6988062530900625, - -1.31941, - 0.69879, - -1.3193955980204217, - 0.6988091578771254, - -1.3193931220959367, - 0.698743632490865, - -1.3194358224045408, - 0.6987471965556998, + -1.3194369277314022, 0.6988062530900625, -1.31941, 0.69879, + -1.3193955980204217, 0.6988091578771254, -1.3193931220959367, + 0.698743632490865, -1.3194358224045408, 0.6987471965556998, ]); let polygon; @@ -4725,7 +4727,7 @@ describe( it("destroys attached ClippingPolygonCollections and ClippingPolygonCollections that have been detached", async function () { const tileset = await Cesium3DTilesTester.loadTileset( scene, - tilesetUrl + tilesetUrl, ); const collectionA = new ClippingPolygonCollection({ polygons: [polygon], @@ -4747,12 +4749,12 @@ describe( it("throws a DeveloperError when given a ClippingPolygonCollection attached to another tileset", async function () { const tilesetA = await Cesium3DTilesTester.loadTileset( scene, - tilesetUrl + tilesetUrl, ); const tilesetB = await Cesium3DTilesTester.loadTileset( scene, - tilesetUrl + tilesetUrl, ); const collection = new ClippingPolygonCollection({ @@ -4772,7 +4774,7 @@ describe( const tileset = await Cesium3DTilesTester.loadTileset( scene, - tilesetUrl + tilesetUrl, ); let visibility = tileset.root.contentVisibility(scene.frameState); @@ -4797,13 +4799,13 @@ describe( }); it("throws if pointCloudShading is set to undefined", function () { - return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then(function ( - tileset - ) { - expect(function () { - tileset.pointCloudShading = undefined; - }).toThrowDeveloperError(); - }); + return Cesium3DTilesTester.loadTileset(scene, tilesetUrl).then( + function (tileset) { + expect(function () { + tileset.pointCloudShading = undefined; + }).toThrowDeveloperError(); + }, + ); }); describe("updateForPass", function () { @@ -4813,7 +4815,7 @@ describe( const passCullingVolume = passCamera.frustum.computeCullingVolume( passCamera.positionWC, passCamera.directionWC, - passCamera.upWC + passCamera.upWC, ); viewNothing(); // Main camera views nothing, pass camera views all tiles @@ -4828,7 +4830,7 @@ describe( expect(tileset.statistics.selected).toBe(0); tileset.updateForPass(scene.frameState, preloadFlightPassState); expect(tileset._requestedTiles.length).toBe(5); - } + }, ); }); @@ -4846,7 +4848,7 @@ describe( function (tileset) { tileset.updateForPass(scene.frameState, renderPassState); expect(passCommandList.length).toBe(5); - } + }, ); }); @@ -4915,7 +4917,7 @@ describe( const centerCartographic = new Cartographic( -1.3196799798348215, 0.6988740001506679, - 2.4683731133709323 + 2.4683731133709323, ); const cartographics = [centerCartographic]; @@ -4924,7 +4926,7 @@ describe( return sampleHeightMostDetailed(cartographics).then(function () { expect(centerCartographic.height).toEqualEpsilon( 2.47, - CesiumMath.EPSILON1 + CesiumMath.EPSILON1, ); const statisticsMostDetailedPick = tileset._statisticsPerPass[Cesium3DTilePass.MOST_DETAILED_PICK]; @@ -4932,14 +4934,14 @@ describe( tileset._statisticsPerPass[Cesium3DTilePass.RENDER]; expect(statisticsMostDetailedPick.numberOfCommands).toBe(1); expect( - statisticsMostDetailedPick.numberOfTilesWithContentReady + statisticsMostDetailedPick.numberOfTilesWithContentReady, ).toBe(1); expect(statisticsMostDetailedPick.selected).toBe(1); expect(statisticsMostDetailedPick.visited).toBeGreaterThan(1); expect(statisticsMostDetailedPick.numberOfTilesTotal).toBe(21); expect(statisticsRender.selected).toBe(0); }); - } + }, ); }); @@ -4954,7 +4956,7 @@ describe( const centerCartographic = new Cartographic( -1.3196799798348215, 0.6988740001506679, - 2.4683731133709323 + 2.4683731133709323, ); const cartographics = [centerCartographic]; @@ -4963,7 +4965,7 @@ describe( return sampleHeightMostDetailed(cartographics).then(function () { expect(centerCartographic.height).toEqualEpsilon( 2.47, - CesiumMath.EPSILON1 + CesiumMath.EPSILON1, ); const statisticsMostDetailedPick = tileset._statisticsPerPass[Cesium3DTilePass.MOST_DETAILED_PICK]; @@ -4971,14 +4973,14 @@ describe( tileset._statisticsPerPass[Cesium3DTilePass.RENDER]; expect(statisticsMostDetailedPick.numberOfCommands).toBe(1); expect( - statisticsMostDetailedPick.numberOfTilesWithContentReady + statisticsMostDetailedPick.numberOfTilesWithContentReady, ).toBeGreaterThan(1); expect(statisticsMostDetailedPick.selected).toBe(1); expect(statisticsMostDetailedPick.visited).toBeGreaterThan(1); expect(statisticsMostDetailedPick.numberOfTilesTotal).toBe(21); expect(statisticsRender.selected).toBeGreaterThan(0); }); - } + }, ); }); @@ -5000,7 +5002,7 @@ describe( const offcenterCartographic = new Cartographic( -1.3196754112739246, 0.6988705057695633, - 2.467395745774971 + 2.467395745774971, ); const cartographics = [offcenterCartographic]; @@ -5011,17 +5013,17 @@ describe( tileset._statisticsPerPass[Cesium3DTilePass.MOST_DETAILED_PICK]; expect(offcenterCartographic.height).toEqualEpsilon( 7.407, - CesiumMath.EPSILON1 + CesiumMath.EPSILON1, ); expect(statistics.numberOfCommands).toBe(3); // One for each level of the tree expect( - statistics.numberOfTilesWithContentReady + statistics.numberOfTilesWithContentReady, ).toBeGreaterThanOrEqual(3); expect(statistics.selected).toBe(3); expect(statistics.visited).toBeGreaterThan(3); expect(statistics.numberOfTilesTotal).toBe(21); }); - } + }, ); }); @@ -5046,16 +5048,16 @@ describe( return drillPickFromRayMostDetailed(ray).then(function (results) { expect(results.length).toBe(3); expect( - results[0].object.content.url.indexOf("0_0_0.b3dm") > -1 + results[0].object.content.url.indexOf("0_0_0.b3dm") > -1, ).toBe(true); expect( - results[1].object.content.url.indexOf("1_1_1.b3dm") > -1 + results[1].object.content.url.indexOf("1_1_1.b3dm") > -1, ).toBe(true); expect( - results[2].object.content.url.indexOf("2_4_4.b3dm") > -1 + results[2].object.content.url.indexOf("2_4_4.b3dm") > -1, ).toBe(true); }); - } + }, ); }); @@ -5067,7 +5069,7 @@ describe( const centerCartographic = new Cartographic( -1.3196799798348215, 0.6988740001506679, - 2.4683731133709323 + 2.4683731133709323, ); const cartographics = [centerCartographic]; return Cesium3DTilesTester.loadTileset(scene, tilesetUniform).then( @@ -5076,10 +5078,10 @@ describe( return sampleHeightMostDetailed(cartographics).then(function () { expect(centerCartographic.height).toEqualEpsilon( 2.47, - CesiumMath.EPSILON1 + CesiumMath.EPSILON1, ); }); - } + }, ); }); @@ -5092,15 +5094,15 @@ describe( const centerCartographic = new Cartographic( -1.3196799798348215, - 0.6988740001506679 + 0.6988740001506679, ); const offcenterCartographic = new Cartographic( -1.3196754112739246, - 0.6988705057695633 + 0.6988705057695633, ); const missCartographic = new Cartographic( -1.3196096042084076, - 0.6988703290845706 + 0.6988703290845706, ); const cartographics = [ centerCartographic, @@ -5113,11 +5115,11 @@ describe( return sampleHeightMostDetailed(cartographics).then(function () { expect(centerCartographic.height).toEqualEpsilon( 2.47, - CesiumMath.EPSILON1 + CesiumMath.EPSILON1, ); expect(offcenterCartographic.height).toEqualEpsilon( 2.47, - CesiumMath.EPSILON1 + CesiumMath.EPSILON1, ); expect(missCartographic.height).toBeUndefined(); @@ -5125,7 +5127,7 @@ describe( tileset._statisticsPerPass[Cesium3DTilePass.MOST_DETAILED_PICK]; expect(statistics.numberOfTilesWithContentReady).toBe(2); }); - } + }, ); }); }); @@ -5162,7 +5164,7 @@ describe( allCancelled = allCancelled && tile._request.cancelled; } expect(allCancelled).toBe(true); - } + }, ); }); @@ -5192,7 +5194,7 @@ describe( expect(lastPriority).not.toEqual(requestedTilesInFlight[0]._priority); // Not all the same value return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset); - } + }, ); }); @@ -5218,7 +5220,7 @@ describe( expect(requestedTilesInFlight[0].priorityDeferred).toBe(true); return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset); - } + }, ); }); @@ -5246,12 +5248,12 @@ describe( return tileset._requestedTilesInFlight.length !== 0; }).then(function () { expect(tileset._requestedTilesInFlight[0].priorityDeferred).toBe( - true + true, ); return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset); }); - } + }, ); }); @@ -5259,11 +5261,12 @@ describe( // Flight destination viewAllTiles(); scene.preloadFlightCamera = Camera.clone(scene.camera); - scene.preloadFlightCullingVolume = scene.camera.frustum.computeCullingVolume( - scene.camera.positionWC, - scene.camera.directionWC, - scene.camera.upWC - ); + scene.preloadFlightCullingVolume = + scene.camera.frustum.computeCullingVolume( + scene.camera.positionWC, + scene.camera.directionWC, + scene.camera.upWC, + ); // Reset view viewNothing(); @@ -5276,7 +5279,7 @@ describe( scene.renderForSpecs(); expect(tileset._requestedTilesInFlight.length).toBeGreaterThan(0); return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset); - } + }, ); }); @@ -5288,7 +5291,7 @@ describe( viewAllTiles(); scene.renderForSpecs(); expect(tileset._requestedTilesInFlight.length).toEqual(0); // Big camera delta so no fetches should occur. - } + }, ); }); @@ -5302,7 +5305,7 @@ describe( scene.renderForSpecs(); expect(tileset._requestedTilesInFlight.length).toEqual(2); return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset); - } + }, ); }); @@ -5320,14 +5323,14 @@ describe( scene.renderForSpecs(); expect(tileset.statistics.selected).toBe(selectedLength); expect(tileset.statistics.numberOfPendingRequests).toBe(0); - } + }, ); }); it("displays copyrights for all glTF content", function () { return Cesium3DTilesTester.loadTileset( scene, - gltfContentWithCopyrightUrl + gltfContentWithCopyrightUrl, ).then(function (tileset) { setZoom(10.0); scene.renderForSpecs(); @@ -5357,7 +5360,7 @@ describe( it("displays copyrights only for glTF content in view", function () { return Cesium3DTilesTester.loadTileset( scene, - gltfContentWithCopyrightUrl + gltfContentWithCopyrightUrl, ).then(function (tileset) { const creditDisplay = scene.frameState.creditDisplay; const credits = creditDisplay._currentFrameCredits.lightboxCredits; @@ -5375,10 +5378,10 @@ describe( scene.renderForSpecs(); expect(credits.values.length).toEqual(2); expect(credits.values[0].credit.html).toEqual( - "Lower Right Copyright 1" + "Lower Right Copyright 1", ); expect(credits.values[1].credit.html).toEqual( - "Lower Right Copyright 2" + "Lower Right Copyright 2", ); setZoom(10.0); @@ -5400,7 +5403,7 @@ describe( it("displays copyrights for glTF content in sorted order", function () { return Cesium3DTilesTester.loadTileset( scene, - gltfContentWithRepeatedCopyrightsUrl + gltfContentWithRepeatedCopyrightsUrl, ).then(function (tileset) { setZoom(10.0); scene.renderForSpecs(); @@ -5438,7 +5441,7 @@ describe( return Cesium3DTilesTester.loadTileset( scene, gltfContentWithCopyrightUrl, - options + options, ).then(function (tileset) { setZoom(10.0); scene.renderForSpecs(); @@ -5471,7 +5474,7 @@ describe( return Cesium3DTilesTester.loadTileset( scene, gltfContentWithCopyrightUrl, - options + options, ).then(function (tileset) { setZoom(10.0); scene.renderForSpecs(); @@ -5542,14 +5545,14 @@ describe( expect(statistics.visited).toEqual(6); // the implicit placeholder tile is not rendered expect(statistics.numberOfCommands).toEqual(5); - } + }, ); }); it("renders tileset with JSON subtree file", function () { return Cesium3DTilesTester.loadTileset( scene, - implicitTilesetWithJsonUrl + implicitTilesetWithJsonUrl, ).then(function (tileset) { const statistics = tileset._statistics; // root + implicit placeholder + 4 child tiles @@ -5567,8 +5570,8 @@ describe( expect( endsWith( implicitTile._contentResource.url, - "subtrees/0/0/0/0.subtree" - ) + "subtrees/0/0/0/0.subtree", + ), ).toEqual(true); expect(implicitTile.implicitTileset).toBeDefined(); expect(implicitTile.implicitCoordinates).toBeDefined(); @@ -5576,7 +5579,7 @@ describe( expect(implicitTile.implicitCoordinates.x).toEqual(0); expect(implicitTile.implicitCoordinates.y).toEqual(0); expect(implicitTile.implicitCoordinates.z).toEqual(0); - } + }, ); }); @@ -5589,15 +5592,15 @@ describe( expect( endsWith( implicitTile._contentResource.url, - "subtrees/0/0/0.subtree" - ) + "subtrees/0/0/0.subtree", + ), ).toEqual(true); expect(implicitTile.implicitTileset).toBeDefined(); expect(implicitTile.implicitCoordinates).toBeDefined(); expect(implicitTile.implicitCoordinates.level).toEqual(0); expect(implicitTile.implicitCoordinates.x).toEqual(0); expect(implicitTile.implicitCoordinates.y).toEqual(0); - } + }, ); }); @@ -5624,7 +5627,7 @@ describe( tileset.debugShowUrl = false; scene.renderForSpecs(); expect(tileset._tileDebugLabels).not.toBeDefined(); - } + }, ); }); }); @@ -5642,7 +5645,7 @@ describe( it("renders tileset (legacy)", function () { return Cesium3DTilesTester.loadTileset( scene, - implicitTilesetLegacyUrl + implicitTilesetLegacyUrl, ).then(function (tileset) { const statistics = tileset._statistics; // root + implicit placeholder + 4 child tiles @@ -5655,7 +5658,7 @@ describe( it("renders tileset with JSON subtree file (legacy)", function () { return Cesium3DTilesTester.loadTileset( scene, - implicitTilesetWithJsonLegacyUrl + implicitTilesetWithJsonLegacyUrl, ).then(function (tileset) { const statistics = tileset._statistics; // root + implicit placeholder + 4 child tiles @@ -5669,14 +5672,14 @@ describe( viewNothing(); return Cesium3DTilesTester.loadTileset( scene, - implicitRootLegacyUrl + implicitRootLegacyUrl, ).then(function (tileset) { const implicitTile = tileset.root; expect( endsWith( implicitTile._contentResource.url, - "subtrees/0/0/0/0.subtree" - ) + "subtrees/0/0/0/0.subtree", + ), ).toEqual(true); expect(implicitTile.implicitTileset).toBeDefined(); expect(implicitTile.implicitCoordinates).toBeDefined(); @@ -5691,15 +5694,15 @@ describe( viewNothing(); return Cesium3DTilesTester.loadTileset( scene, - implicitChildLegacyUrl + implicitChildLegacyUrl, ).then(function (tileset) { const parentTile = tileset.root; const implicitTile = parentTile.children[0]; expect( endsWith( implicitTile._contentResource.url, - "subtrees/0/0/0.subtree" - ) + "subtrees/0/0/0.subtree", + ), ).toEqual(true); expect(implicitTile.implicitTileset).toBeDefined(); expect(implicitTile.implicitCoordinates).toBeDefined(); @@ -5712,7 +5715,7 @@ describe( it("debugShowUrl works for implicit tiling (legacy)", function () { return Cesium3DTilesTester.loadTileset( scene, - implicitTilesetLegacyUrl + implicitTilesetLegacyUrl, ).then(function (tileset) { tileset.debugShowUrl = true; scene.renderForSpecs(); @@ -5752,7 +5755,7 @@ describe( expect(statistics.numberOfPendingRequests).toBe(0); expect(statistics.numberOfTilesProcessing).toBe(0); expect(statistics.numberOfTilesWithContentReady).toBe(1); - } + }, ); }); @@ -5819,8 +5822,9 @@ describe( expect(statistics.numberOfTilesProcessing).toBe(0); expect(statistics.numberOfTilesWithContentReady).toBe(0); - RequestScheduler.maximumRequestsPerServer = oldMaximumRequestsPerServer; - } + RequestScheduler.maximumRequestsPerServer = + oldMaximumRequestsPerServer; + }, ); }); @@ -5828,7 +5832,7 @@ describe( viewNothing(); const tileset = await Cesium3DTilesTester.loadTileset( scene, - multipleContentsUrl + multipleContentsUrl, ); let callCount = 0; tileset.tileFailed.addEventListener(function (event) { @@ -5873,7 +5877,7 @@ describe( it("verify multiple content statistics", async function () { const tileset = await Cesium3DTileset.fromUrl( multipleContentsUrl, - options + options, ); scene.primitives.add(tileset); @@ -5923,9 +5927,9 @@ describe( for (let i = 0; i < expected.length; i++) { expect(endsWith(uris[i], expected[i])).toBe(true); } - } + }, ); - } + }, ); }); @@ -5955,7 +5959,7 @@ describe( errorCount++; expect(endsWith(event.url, ".json")).toBe(true); expect(event.message).toEqual( - "External tilesets are disallowed inside multiple contents" + "External tilesets are disallowed inside multiple contents", ); }); scene.primitives.add(tileset); @@ -5993,7 +5997,7 @@ describe( tileset.debugShowUrl = false; scene.renderForSpecs(); expect(tileset._tileDebugLabels).not.toBeDefined(); - } + }, ); }); @@ -6008,14 +6012,14 @@ describe( const statistics = tileset._statistics; expect(statistics.visited).toEqual(1); expect(statistics.numberOfCommands).toEqual(totalCommands); - } + }, ); }); it("renders implicit tileset with multiple contents", function () { return Cesium3DTilesTester.loadTileset( scene, - implicitMultipleContentsUrl + implicitMultipleContentsUrl, ).then(function (tileset) { scene.renderForSpecs(); const statistics = tileset._statistics; @@ -6042,7 +6046,7 @@ describe( it("request statistics are updated correctly on success (legacy)", function () { return Cesium3DTilesTester.loadTileset( scene, - multipleContentsLegacyUrl + multipleContentsLegacyUrl, ).then(function (tileset) { const statistics = tileset.statistics; expect(statistics.numberOfAttemptedRequests).toBe(0); @@ -6070,7 +6074,7 @@ describe( viewNothing(); const tileset = await Cesium3DTilesTester.loadTileset( scene, - multipleContentsLegacyUrl + multipleContentsLegacyUrl, ); viewAllTiles(); scene.renderForSpecs(); @@ -6104,7 +6108,7 @@ describe( viewNothing(); return Cesium3DTilesTester.loadTileset( scene, - multipleContentsLegacyUrl + multipleContentsLegacyUrl, ).then(function (tileset) { const oldMaximumRequestsPerServer = RequestScheduler.maximumRequestsPerServer; @@ -6119,7 +6123,8 @@ describe( expect(statistics.numberOfTilesProcessing).toBe(0); expect(statistics.numberOfTilesWithContentReady).toBe(0); - RequestScheduler.maximumRequestsPerServer = oldMaximumRequestsPerServer; + RequestScheduler.maximumRequestsPerServer = + oldMaximumRequestsPerServer; }); }); @@ -6127,7 +6132,7 @@ describe( viewNothing(); const tileset = await Cesium3DTilesTester.loadTileset( scene, - multipleContentsLegacyUrl + multipleContentsLegacyUrl, ); let callCount = 0; tileset.tileFailed.addEventListener(function (event) { @@ -6171,7 +6176,7 @@ describe( it("verify multiple content statistics (legacy)", async function () { const tileset = await Cesium3DTileset.fromUrl( multipleContentsLegacyUrl, - options + options, ); scene.primitives.add(tileset); @@ -6205,7 +6210,7 @@ describe( viewNothing(); return Cesium3DTilesTester.loadTileset( scene, - multipleContentsLegacyUrl + multipleContentsLegacyUrl, ).then(function (tileset) { tileset.tileFailed.addEventListener(function (event) { uris.push(event.url); @@ -6225,7 +6230,7 @@ describe( for (let i = 0; i < expected.length; i++) { expect(endsWith(uris[i], expected[i])).toBe(true); } - } + }, ); }); }); @@ -6263,14 +6268,14 @@ describe( viewNothing(); return Cesium3DTilesTester.loadTileset( scene, - multipleContentsLegacyUrl + multipleContentsLegacyUrl, ).then(function (tileset) { let errorCount = 0; tileset.tileFailed.addEventListener(function (event) { errorCount++; expect(endsWith(event.url, ".json")).toBe(true); expect(event.message).toEqual( - "External tilesets are disallowed inside multiple contents" + "External tilesets are disallowed inside multiple contents", ); }); @@ -6280,7 +6285,7 @@ describe( return Cesium3DTilesTester.waitForTilesLoaded(scene, tileset).then( function () { expect(errorCount).toBe(2); - } + }, ); }); }); @@ -6292,7 +6297,7 @@ describe( it("debugShowUrl lists each URI (legacy)", function () { return Cesium3DTilesTester.loadTileset( scene, - multipleContentsLegacyUrl + multipleContentsLegacyUrl, ).then(function (tileset) { tileset.debugShowUrl = true; scene.renderForSpecs(); @@ -6315,7 +6320,7 @@ describe( const totalCommands = b3dmCommands + i3dmCommands; return Cesium3DTilesTester.loadTileset( scene, - multipleContentsLegacyUrl + multipleContentsLegacyUrl, ).then(function (tileset) { const statistics = tileset._statistics; expect(statistics.visited).toEqual(1); @@ -6331,7 +6336,7 @@ describe( const totalCommands = b3dmCommands + i3dmCommands; return Cesium3DTilesTester.loadTileset( scene, - multipleContentsLegacyWithContentUrl + multipleContentsLegacyWithContentUrl, ).then(function (tileset) { const statistics = tileset._statistics; expect(statistics.visited).toEqual(1); @@ -6342,7 +6347,7 @@ describe( it("renders implicit tileset with multiple contents (legacy)", function () { return Cesium3DTilesTester.loadTileset( scene, - implicitMultipleContentsLegacyUrl + implicitMultipleContentsLegacyUrl, ).then(function (tileset) { const statistics = tileset._statistics; // implicit placeholder + transcoded root + 4 child tiles @@ -6355,7 +6360,7 @@ describe( it("renders implicit tileset with multiple contents (legacy with 'content')", function () { return Cesium3DTilesTester.loadTileset( scene, - implicitMultipleContentsLegacyWithContentUrl + implicitMultipleContentsLegacyWithContentUrl, ).then(function (tileset) { const statistics = tileset._statistics; // implicit placeholder + transcoded root + 4 child tiles @@ -6370,9 +6375,7 @@ describe( author: "Cesium", date: "2021-03-23", centerCartographic: [ - -1.3196816996258511, - 0.6988767486400521, - 45.78600543644279, + -1.3196816996258511, 0.6988767486400521, 45.78600543644279, ], tileCount: 5, }; @@ -6384,7 +6387,7 @@ describe( it("featureIdLabel sets from string", function () { return Cesium3DTilesTester.loadTileset( scene, - tilesetWithFeatureIdsUrl + tilesetWithFeatureIdsUrl, ).then(function (tileset) { expect(tileset.featureIdLabel).toBe("featureId_0"); tileset.featureIdLabel = "buildings"; @@ -6395,7 +6398,7 @@ describe( it("featureIdLabel sets from integer", function () { return Cesium3DTilesTester.loadTileset( scene, - tilesetWithFeatureIdsUrl + tilesetWithFeatureIdsUrl, ).then(function (tileset) { expect(tileset.featureIdLabel).toBe("featureId_0"); tileset.featureIdLabel = 1; @@ -6406,7 +6409,7 @@ describe( it("instanceFeatureIdLabel sets from string", function () { return Cesium3DTilesTester.loadTileset( scene, - tilesetWithFeatureIdsUrl + tilesetWithFeatureIdsUrl, ).then(function (tileset) { expect(tileset.instanceFeatureIdLabel).toBe("instanceFeatureId_0"); tileset.instanceFeatureIdLabel = "perInstance"; @@ -6417,7 +6420,7 @@ describe( it("instanceFeatureIdLabel sets from integer", function () { return Cesium3DTilesTester.loadTileset( scene, - tilesetWithFeatureIdsUrl + tilesetWithFeatureIdsUrl, ).then(function (tileset) { expect(tileset.instanceFeatureIdLabel).toBe("instanceFeatureId_0"); tileset.instanceFeatureIdLabel = 1; @@ -6458,25 +6461,25 @@ describe( return tilesetMetadata.getProperty("name"); }).toThrowDeveloperError(); expect(tilesetMetadata.getProperty("author")).toBe( - tilesetProperties.author + tilesetProperties.author, ); expect(tilesetMetadata.getPropertyBySemantic("DATE_ISO_8601")).toBe( - tilesetProperties.date + tilesetProperties.date, ); expect(tilesetMetadata.getProperty("centerCartographic")).toEqual( - Cartesian3.unpack(tilesetProperties.centerCartographic) + Cartesian3.unpack(tilesetProperties.centerCartographic), ); expect(tilesetMetadata.getProperty("tileCount")).toBe( - tilesetProperties.tileCount + tilesetProperties.tileCount, ); - } + }, ); }); it("loads group metadata", function () { return Cesium3DTilesTester.loadTileset( scene, - tilesetWithGroupMetadataUrl + tilesetWithGroupMetadataUrl, ).then(function (tileset) { const metadata = tileset.metadataExtension; expect(metadata).toBeDefined(); @@ -6502,7 +6505,7 @@ describe( it("can access group metadata through contents", function () { return Cesium3DTilesTester.loadTileset( scene, - tilesetWithGroupMetadataUrl + tilesetWithGroupMetadataUrl, ).then(function (tileset) { const metadata = tileset.metadataExtension; const commercialDistrict = metadata.groups[1]; @@ -6537,14 +6540,14 @@ describe( const classes = schema.classes; expect(classes.tileset).toBeDefined(); - } + }, ); }); it("loads metadata with external schema", function () { return Cesium3DTilesTester.loadTileset( scene, - tilesetWithExternalSchemaUrl + tilesetWithExternalSchemaUrl, ).then(function (tileset) { const schema = tileset.schema; expect(schema).toBeDefined(); @@ -6557,7 +6560,7 @@ describe( it("loads explicit tileset with tile metadata", function () { return Cesium3DTilesTester.loadTileset( scene, - tilesetWithExplicitTileMetadataUrl + tilesetWithExplicitTileMetadataUrl, ).then(function (tileset) { const expected = { "parent.b3dm": { @@ -6595,12 +6598,12 @@ describe( const metadata = tile.metadata; expect(metadata.getProperty("color")).toEqual(expectedValues.color); expect(metadata.getProperty("population")).toEqual( - expectedValues.population + expectedValues.population, ); // 25 can't be represented exactly when quantized as a UINT16 expect(metadata.getProperty("areaPercentage")).toEqualEpsilon( expectedValues.areaPercentage, - CesiumMath.EPSILON2 + CesiumMath.EPSILON2, ); }); }); @@ -6611,7 +6614,7 @@ describe( // one tile is removed return Cesium3DTilesTester.loadTileset( scene, - tilesetWithImplicitTileMetadataUrl + tilesetWithImplicitTileMetadataUrl, ).then(function (tileset) { const placeholderTile = tileset.root; @@ -6654,10 +6657,10 @@ describe( expect(metadata.getProperty("color")).not.toBeDefined(); } else { expect(metadata.getProperty("quadrant")).toBe( - expectedQuadrants[index] + expectedQuadrants[index], ); expect(metadata.getProperty("color")).toEqual( - expectedColors[index] + expectedColors[index], ); } } @@ -6668,7 +6671,7 @@ describe( spyOn(findTileMetadata, "_oneTimeWarning"); return Cesium3DTilesTester.loadTileset( scene, - tilesetWithoutRootSchemaTileMetadataUrl + tilesetWithoutRootSchemaTileMetadataUrl, ).then(function (tileset) { expect(findTileMetadata._oneTimeWarning).toHaveBeenCalledTimes(5); @@ -6684,7 +6687,7 @@ describe( it("loads explicit tileset with content metadata", function () { return Cesium3DTilesTester.loadTileset( scene, - tilesetWithExplicitContentMetadataUrl + tilesetWithExplicitContentMetadataUrl, ).then(function (tileset) { const expected = { "parent.b3dm": { @@ -6717,10 +6720,10 @@ describe( const expectedValues = expected[uri]; const metadata = content.metadata; expect(metadata.getProperty("highlightColor")).toEqual( - expectedValues.highlightColor + expectedValues.highlightColor, ); expect(metadata.getProperty("author")).toEqual( - expectedValues.author + expectedValues.author, ); }); }); @@ -6731,7 +6734,7 @@ describe( // one tile is removed return Cesium3DTilesTester.loadTileset( scene, - tilesetWithImplicitContentMetadataUrl + tilesetWithImplicitContentMetadataUrl, ).then(function (tileset) { const placeholderTile = tileset.root; @@ -6773,10 +6776,10 @@ describe( expect(metadata.getProperty("color")).not.toBeDefined(); } else { expect(metadata.getProperty("height")).toBe( - expectedHeights[index] + expectedHeights[index], ); expect(metadata.getProperty("color")).toEqual( - expectedColors[index] + expectedColors[index], ); } } @@ -6787,7 +6790,7 @@ describe( spyOn(findContentMetadata, "_oneTimeWarning"); return Cesium3DTilesTester.loadTileset( scene, - tilesetWithoutRootSchemaContentMetadataUrl + tilesetWithoutRootSchemaContentMetadataUrl, ).then(function (tileset) { expect(findContentMetadata._oneTimeWarning).toHaveBeenCalledTimes(5); @@ -6804,33 +6807,33 @@ describe( it("loads explicit tileset with multiple contents with metadata", function () { return Cesium3DTilesTester.loadTileset( scene, - tilesetWithExplicitMultipleContentsMetadataUrl + tilesetWithExplicitMultipleContentsMetadataUrl, ).then(function (tileset) { const content = tileset.root.content; const batchedContent = content.innerContents[0]; const batchedContentMetadata = batchedContent.metadata; expect(batchedContentMetadata.getProperty("highlightColor")).toEqual( - new Cartesian3(0, 0, 255) + new Cartesian3(0, 0, 255), ); expect(batchedContentMetadata.getProperty("author")).toEqual( - "Cesium" + "Cesium", ); expect(batchedContentMetadata.hasProperty("numberOfInstances")).toBe( - false + false, ); const instancedContent = content.innerContents[1]; const instancedContentMetadata = instancedContent.metadata; expect( - instancedContentMetadata.getProperty("numberOfInstances") + instancedContentMetadata.getProperty("numberOfInstances"), ).toEqual(50); expect(instancedContentMetadata.getProperty("author")).toEqual( - "Sample Author" + "Sample Author", ); expect(instancedContentMetadata.hasProperty("highlightColor")).toBe( - false + false, ); }); }); @@ -6840,7 +6843,7 @@ describe( // one tile is removed return Cesium3DTilesTester.loadTileset( scene, - tilesetWithImplicitMultipleContentsMetadataUrl + tilesetWithImplicitMultipleContentsMetadataUrl, ).then(function (tileset) { const placeholderTile = tileset.root; @@ -6893,10 +6896,10 @@ describe( } expect(buildingMetadata.getProperty("height")).toBe( - expectedHeights[index] + expectedHeights[index], ); expect(buildingMetadata.getProperty("color")).toEqual( - expectedColors[index] + expectedColors[index], ); if (i === 0) { @@ -6906,7 +6909,7 @@ describe( const treeContent = tile.content.innerContents[1]; const treeMetadata = treeContent.metadata; expect(treeMetadata.getProperty("age")).toEqual( - expectedAges[index - 1] + expectedAges[index - 1], ); } }); @@ -6936,7 +6939,7 @@ describe( it("loads tileset metadata (legacy)", function () { return Cesium3DTilesTester.loadTileset( scene, - tilesetMetadataLegacyUrl + tilesetMetadataLegacyUrl, ).then(function (tileset) { const metadata = tileset.metadataExtension; expect(metadata).toBeDefined(); @@ -6946,16 +6949,16 @@ describe( return tilesetMetadata.getProperty("name"); }).toThrowDeveloperError(); expect(tilesetMetadata.getProperty("author")).toBe( - tilesetProperties.author + tilesetProperties.author, ); expect(tilesetMetadata.getPropertyBySemantic("DATE_ISO_8601")).toBe( - tilesetProperties.date + tilesetProperties.date, ); expect(tilesetMetadata.getProperty("centerCartographic")).toEqual( - Cartesian3.unpack(tilesetProperties.centerCartographic) + Cartesian3.unpack(tilesetProperties.centerCartographic), ); expect(tilesetMetadata.getProperty("tileCount")).toBe( - tilesetProperties.tileCount + tilesetProperties.tileCount, ); }); }); @@ -6963,7 +6966,7 @@ describe( it("loads group metadata (legacy)", function () { return Cesium3DTilesTester.loadTileset( scene, - tilesetWithGroupMetadataLegacyUrl + tilesetWithGroupMetadataLegacyUrl, ).then(function (tileset) { const metadata = tileset.metadataExtension; expect(metadata).toBeDefined(); @@ -6994,7 +6997,7 @@ describe( it("can access group metadata through contents (legacy)", function () { return Cesium3DTilesTester.loadTileset( scene, - tilesetWithGroupMetadataLegacyUrl + tilesetWithGroupMetadataLegacyUrl, ).then(function (tileset) { const metadata = tileset.metadataExtension; const commercialDistrict = metadata.groups[0]; @@ -7024,7 +7027,7 @@ describe( it("loads metadata with embedded schema (legacy)", function () { return Cesium3DTilesTester.loadTileset( scene, - tilesetMetadataLegacyUrl + tilesetMetadataLegacyUrl, ).then(function (tileset) { const schema = tileset.schema; expect(schema).toBeDefined(); @@ -7037,7 +7040,7 @@ describe( it("loads metadata with external schema and extension (legacy)", function () { return Cesium3DTilesTester.loadTileset( scene, - tilesetWithExternalSchemaLegacyUrl + tilesetWithExternalSchemaLegacyUrl, ).then(function (tileset) { const schema = tileset.schema; expect(schema).toBeDefined(); @@ -7050,7 +7053,7 @@ describe( it("loads explicit tileset with tile metadata (legacy)", function () { return Cesium3DTilesTester.loadTileset( scene, - tilesetWithExplicitTileMetadataLegacyUrl + tilesetWithExplicitTileMetadataLegacyUrl, ).then(function (tileset) { const expected = { "parent.b3dm": { @@ -7088,12 +7091,12 @@ describe( const metadata = tile.metadata; expect(metadata.getProperty("color")).toEqual(expectedValues.color); expect(metadata.getProperty("population")).toEqual( - expectedValues.population + expectedValues.population, ); // 25 can't be represented exactly when quantized as a UINT16 expect(metadata.getProperty("areaPercentage")).toEqualEpsilon( expectedValues.areaPercentage, - CesiumMath.EPSILON2 + CesiumMath.EPSILON2, ); }); }); @@ -7104,7 +7107,7 @@ describe( // one tile is removed return Cesium3DTilesTester.loadTileset( scene, - tilesetWithImplicitTileMetadataLegacyUrl + tilesetWithImplicitTileMetadataLegacyUrl, ).then(function (tileset) { const placeholderTile = tileset.root; @@ -7147,10 +7150,10 @@ describe( expect(metadata.getProperty("color")).not.toBeDefined(); } else { expect(metadata.getProperty("quadrant")).toBe( - expectedQuadrants[index] + expectedQuadrants[index], ); expect(metadata.getProperty("color")).toEqual( - expectedColors[index] + expectedColors[index], ); } } @@ -7160,7 +7163,7 @@ describe( it("loads explicit tileset with content metadata (legacy)", function () { return Cesium3DTilesTester.loadTileset( scene, - tilesetWithExplicitContentMetadataLegacyUrl + tilesetWithExplicitContentMetadataLegacyUrl, ).then(function (tileset) { const expected = { "parent.b3dm": { @@ -7193,10 +7196,10 @@ describe( const expectedValues = expected[uri]; const metadata = content.metadata; expect(metadata.getProperty("highlightColor")).toEqual( - expectedValues.highlightColor + expectedValues.highlightColor, ); expect(metadata.getProperty("author")).toEqual( - expectedValues.author + expectedValues.author, ); }); }); @@ -7207,7 +7210,7 @@ describe( // one tile is removed return Cesium3DTilesTester.loadTileset( scene, - tilesetWithImplicitContentMetadataLegacyUrl + tilesetWithImplicitContentMetadataLegacyUrl, ).then(function (tileset) { const placeholderTile = tileset.root; @@ -7249,10 +7252,10 @@ describe( expect(metadata.getProperty("color")).not.toBeDefined(); } else { expect(metadata.getProperty("height")).toBe( - expectedHeights[index] + expectedHeights[index], ); expect(metadata.getProperty("color")).toEqual( - expectedColors[index] + expectedColors[index], ); } } @@ -7262,33 +7265,33 @@ describe( it("loads explicit tileset with multiple contents with metadata (legacy)", function () { return Cesium3DTilesTester.loadTileset( scene, - tilesetWithExplicitMultipleContentsMetadataLegacyUrl + tilesetWithExplicitMultipleContentsMetadataLegacyUrl, ).then(function (tileset) { const content = tileset.root.content; const batchedContent = content.innerContents[0]; const batchedContentMetadata = batchedContent.metadata; expect(batchedContentMetadata.getProperty("highlightColor")).toEqual( - new Cartesian3(0, 0, 255) + new Cartesian3(0, 0, 255), ); expect(batchedContentMetadata.getProperty("author")).toEqual( - "Cesium" + "Cesium", ); expect(batchedContentMetadata.hasProperty("numberOfInstances")).toBe( - false + false, ); const instancedContent = content.innerContents[1]; const instancedContentMetadata = instancedContent.metadata; expect( - instancedContentMetadata.getProperty("numberOfInstances") + instancedContentMetadata.getProperty("numberOfInstances"), ).toEqual(50); expect(instancedContentMetadata.getProperty("author")).toEqual( - "Sample Author" + "Sample Author", ); expect(instancedContentMetadata.hasProperty("highlightColor")).toBe( - false + false, ); }); }); @@ -7298,7 +7301,7 @@ describe( // one tile is removed return Cesium3DTilesTester.loadTileset( scene, - tilesetWithImplicitMultipleContentsMetadataLegacyUrl + tilesetWithImplicitMultipleContentsMetadataLegacyUrl, ).then(function (tileset) { const placeholderTile = tileset.root; @@ -7351,10 +7354,10 @@ describe( } expect(buildingMetadata.getProperty("height")).toBe( - expectedHeights[index] + expectedHeights[index], ); expect(buildingMetadata.getProperty("color")).toEqual( - expectedColors[index] + expectedColors[index], ); if (i === 0) { @@ -7364,12 +7367,12 @@ describe( const treeContent = tile.content.innerContents[1]; const treeMetadata = treeContent.metadata; expect(treeMetadata.getProperty("age")).toEqual( - expectedAges[index - 1] + expectedAges[index - 1], ); } }); }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/CircleEmitterSpec.js b/packages/engine/Specs/Scene/CircleEmitterSpec.js index a5ea6957b880..b556efb86b64 100644 --- a/packages/engine/Specs/Scene/CircleEmitterSpec.js +++ b/packages/engine/Specs/Scene/CircleEmitterSpec.js @@ -48,7 +48,7 @@ describe("Scene/CircleEmitter", function () { for (let i = 0; i < 1000; ++i) { emitter.emit(particle); expect(Cartesian3.magnitude(particle.position)).toBeLessThanOrEqual( - emitter.radius + emitter.radius, ); expect(particle.position.z).toEqual(0.0); expect(particle.velocity).toEqual(Cartesian3.UNIT_Z); diff --git a/packages/engine/Specs/Scene/ClassificationPrimitiveSpec.js b/packages/engine/Specs/Scene/ClassificationPrimitiveSpec.js index 903c4effd0fb..858abb93b31a 100644 --- a/packages/engine/Specs/Scene/ClassificationPrimitiveSpec.js +++ b/packages/engine/Specs/Scene/ClassificationPrimitiveSpec.js @@ -55,7 +55,7 @@ describe( }); } const depthColorAttribute = ColorGeometryInstanceAttribute.fromColor( - new Color(0.0, 0.0, 1.0, 1.0) + new Color(0.0, 0.0, 1.0, 1.0), ); depthColor = depthColorAttribute.value; return new Primitive({ @@ -117,7 +117,7 @@ describe( reusableGlobePrimitive = createPrimitive(rectangle, Pass.GLOBE); reusableTilesetPrimitive = createPrimitive( rectangle, - Pass.CESIUM_3D_TILE + Pass.CESIUM_3D_TILE, ); }); @@ -134,7 +134,7 @@ describe( globePrimitive = new MockPrimitive(reusableGlobePrimitive, Pass.GLOBE); tilesetPrimitive = new MockPrimitive( reusableTilesetPrimitive, - Pass.CESIUM_3D_TILE + Pass.CESIUM_3D_TILE, ); const center = Rectangle.center(rectangle); @@ -144,7 +144,7 @@ describe( const dimensions = new Cartesian3(1000000.0, 1000000.0, 1000000.0); const boxColorAttribute = ColorGeometryInstanceAttribute.fromColor( - new Color(1.0, 1.0, 0.0, 1.0) + new Color(1.0, 1.0, 0.0, 1.0), ); boxColor = boxColorAttribute.value; boxInstance = new GeometryInstance({ @@ -291,7 +291,7 @@ describe( primitive = scene.primitives.add( new ClassificationPrimitive({ geometryInstances: boxInstance, - }) + }), ); primitive.show = false; @@ -438,7 +438,7 @@ describe( const dimensions = new Cartesian3(500000.0, 1000000.0, 1000000.0); const boxColorAttribute = ColorGeometryInstanceAttribute.fromColor( - new Color(0.0, 1.0, 1.0, 1.0) + new Color(0.0, 1.0, 1.0, 1.0), ); const boxInstance1 = new GeometryInstance({ geometry: BoxGeometry.fromDimensions({ @@ -492,13 +492,14 @@ describe( const invertedColor = new Array(4); invertedColor[0] = Color.floatToByte( - Color.byteToFloat(depthColor[0]) * scene.invertClassificationColor.red + Color.byteToFloat(depthColor[0]) * scene.invertClassificationColor.red, ); invertedColor[1] = Color.floatToByte( - Color.byteToFloat(depthColor[1]) * scene.invertClassificationColor.green + Color.byteToFloat(depthColor[1]) * + scene.invertClassificationColor.green, ); invertedColor[2] = Color.floatToByte( - Color.byteToFloat(depthColor[2]) * scene.invertClassificationColor.blue + Color.byteToFloat(depthColor[2]) * scene.invertClassificationColor.blue, ); invertedColor[3] = 255; @@ -539,17 +540,17 @@ describe( invertedColor[0] = Color.floatToByte( Color.byteToFloat(depthColor[0]) * scene.invertClassificationColor.red * - scene.invertClassificationColor.alpha + scene.invertClassificationColor.alpha, ); invertedColor[1] = Color.floatToByte( Color.byteToFloat(depthColor[1]) * scene.invertClassificationColor.green * - scene.invertClassificationColor.alpha + scene.invertClassificationColor.alpha, ); invertedColor[2] = Color.floatToByte( Color.byteToFloat(depthColor[2]) * scene.invertClassificationColor.blue * - scene.invertClassificationColor.alpha + scene.invertClassificationColor.alpha, ); invertedColor[3] = 255; @@ -845,7 +846,7 @@ describe( const dimensions = new Cartesian3(500000.0, 1000000.0, 1000000.0); const boxColorAttribute = ColorGeometryInstanceAttribute.fromColor( - new Color(0.0, 1.0, 1.0, 1.0) + new Color(0.0, 1.0, 1.0, 1.0), ); const boxInstance1 = new GeometryInstance({ geometry: BoxGeometry.fromDimensions({ @@ -855,7 +856,7 @@ describe( id: "box1", attributes: { color: ColorGeometryInstanceAttribute.fromColor( - new Color(0.0, 1.0, 1.0, 1.0) + new Color(0.0, 1.0, 1.0, 1.0), ), }, }); @@ -872,7 +873,7 @@ describe( id: "box2", attributes: { color: ColorGeometryInstanceAttribute.fromColor( - new Color(1.0, 0.0, 1.0, 1.0) + new Color(1.0, 0.0, 1.0, 1.0), ), }, }); @@ -912,7 +913,7 @@ describe( const dimensions = new Cartesian3(500000.0, 1000000.0, 1000000.0); const boxColorAttribute = ColorGeometryInstanceAttribute.fromColor( - new Color(0.0, 1.0, 1.0, 1.0) + new Color(0.0, 1.0, 1.0, 1.0), ); const boxInstance1 = new GeometryInstance({ geometry: BoxGeometry.fromDimensions({ @@ -922,7 +923,7 @@ describe( id: "box1", attributes: { color: ColorGeometryInstanceAttribute.fromColor( - new Color(0.0, 1.0, 1.0, 1.0) + new Color(0.0, 1.0, 1.0, 1.0), ), }, }); @@ -988,7 +989,7 @@ describe( }); const boxColorAttribute = ColorGeometryInstanceAttribute.fromColor( - new Color(0.0, 1.0, 1.0, 1.0) + new Color(0.0, 1.0, 1.0, 1.0), ); expect(function () { @@ -1125,7 +1126,7 @@ describe( verifyClassificationPrimitiveRender(primitive, boxColor); expect( - primitive.getGeometryInstanceAttributes("unknown") + primitive.getGeometryInstanceAttributes("unknown"), ).not.toBeDefined(); }); @@ -1181,5 +1182,5 @@ describe( scene.primitives.destroyPrimitives = true; }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/ClippingPlaneCollectionSpec.js b/packages/engine/Specs/Scene/ClippingPlaneCollectionSpec.js index 2fb563e969d6..958eaf3bd4ce 100644 --- a/packages/engine/Specs/Scene/ClippingPlaneCollectionSpec.js +++ b/packages/engine/Specs/Scene/ClippingPlaneCollectionSpec.js @@ -33,7 +33,7 @@ describe("Scene/ClippingPlaneCollection", function () { // expect pixel1 to be the normal const normal = AttributeCompression.octDecodeFromCartesian4( pixel1, - new Cartesian3() + new Cartesian3(), ); // expect pixel2 to be the distance @@ -110,10 +110,10 @@ describe("Scene/ClippingPlaneCollection", function () { expect(clippingPlanes.contains(planes[0])).toBe(true); expect( - clippingPlanes.contains(new ClippingPlane(Cartesian3.UNIT_Y, 2.0)) + clippingPlanes.contains(new ClippingPlane(Cartesian3.UNIT_Y, 2.0)), ).toBe(true); expect( - clippingPlanes.contains(new ClippingPlane(Cartesian3.UNIT_Z, 3.0)) + clippingPlanes.contains(new ClippingPlane(Cartesian3.UNIT_Z, 3.0)), ).toBe(false); }); @@ -202,10 +202,10 @@ describe("Scene/ClippingPlaneCollection", function () { expect(sampler.wrapS).toEqual(TextureWrap.CLAMP_TO_EDGE); expect(sampler.wrapT).toEqual(TextureWrap.CLAMP_TO_EDGE); expect(sampler.minificationFilter).toEqual( - TextureMinificationFilter.NEAREST + TextureMinificationFilter.NEAREST, ); expect(sampler.magnificationFilter).toEqual( - TextureMinificationFilter.NEAREST + TextureMinificationFilter.NEAREST, ); clippingPlanes.destroy(); @@ -241,19 +241,21 @@ describe("Scene/ClippingPlaneCollection", function () { let rgba; const gl = scene.frameState.context._gl; - spyOn(gl, "texImage2D").and.callFake(function ( - target, - level, - xoffset, - yoffset, - width, - height, - format, - type, - arrayBufferView - ) { - rgba = arrayBufferView; - }); + spyOn(gl, "texImage2D").and.callFake( + function ( + target, + level, + xoffset, + yoffset, + width, + height, + format, + type, + arrayBufferView, + ) { + rgba = arrayBufferView; + }, + ); clippingPlanes.update(scene.frameState); expect(rgba).toBeDefined(); @@ -275,29 +277,29 @@ describe("Scene/ClippingPlaneCollection", function () { Cartesian3.equalsEpsilon( plane1.normal, planes[0].normal, - CesiumMath.EPSILON3 - ) + CesiumMath.EPSILON3, + ), ).toEqual(true); expect( Cartesian3.equalsEpsilon( plane2.normal, planes[1].normal, - CesiumMath.EPSILON3 - ) + CesiumMath.EPSILON3, + ), ).toEqual(true); expect( CesiumMath.equalsEpsilon( plane1.distance, planes[0].distance, - CesiumMath.EPSILON3 - ) + CesiumMath.EPSILON3, + ), ).toEqual(true); expect( CesiumMath.equalsEpsilon( plane2.distance, planes[1].distance, - CesiumMath.EPSILON3 - ) + CesiumMath.EPSILON3, + ), ).toEqual(true); clippingPlanes.destroy(); @@ -361,20 +363,22 @@ describe("Scene/ClippingPlaneCollection", function () { const gl = scene.frameState.context._gl; let copyWidth; let copyHeight; - spyOn(gl, "texSubImage2D").and.callFake(function ( - target, - level, - xoffset, - yoffset, - width, - height, - format, - type, - arrayBufferView - ) { - copyWidth = width; - copyHeight = height; - }); + spyOn(gl, "texSubImage2D").and.callFake( + function ( + target, + level, + xoffset, + yoffset, + width, + height, + format, + type, + arrayBufferView, + ) { + copyWidth = width; + copyHeight = height; + }, + ); clippingPlanes = new ClippingPlaneCollection({ planes: planes, @@ -447,10 +451,10 @@ describe("Scene/ClippingPlaneCollection", function () { expect(sampler.wrapS).toEqual(TextureWrap.CLAMP_TO_EDGE); expect(sampler.wrapT).toEqual(TextureWrap.CLAMP_TO_EDGE); expect(sampler.minificationFilter).toEqual( - TextureMinificationFilter.NEAREST + TextureMinificationFilter.NEAREST, ); expect(sampler.magnificationFilter).toEqual( - TextureMinificationFilter.NEAREST + TextureMinificationFilter.NEAREST, ); clippingPlanes.destroy(); @@ -499,19 +503,21 @@ describe("Scene/ClippingPlaneCollection", function () { let rgba; const gl = scene.frameState.context._gl; - spyOn(gl, "texImage2D").and.callFake(function ( - target, - level, - xoffset, - yoffset, - width, - height, - format, - type, - arrayBufferView - ) { - rgba = arrayBufferView; - }); + spyOn(gl, "texImage2D").and.callFake( + function ( + target, + level, + xoffset, + yoffset, + width, + height, + format, + type, + arrayBufferView, + ) { + rgba = arrayBufferView; + }, + ); clippingPlanes.update(scene.frameState); expect(rgba).toBeDefined(); @@ -528,29 +534,29 @@ describe("Scene/ClippingPlaneCollection", function () { Cartesian3.equalsEpsilon( plane1.normal, planes[0].normal, - CesiumMath.EPSILON3 - ) + CesiumMath.EPSILON3, + ), ).toEqual(true); expect( Cartesian3.equalsEpsilon( plane2.normal, planes[1].normal, - CesiumMath.EPSILON3 - ) + CesiumMath.EPSILON3, + ), ).toEqual(true); expect( CesiumMath.equalsEpsilon( plane1.distance, planes[0].distance, - CesiumMath.EPSILON3 - ) + CesiumMath.EPSILON3, + ), ).toEqual(true); expect( CesiumMath.equalsEpsilon( plane2.distance, planes[1].distance, - CesiumMath.EPSILON3 - ) + CesiumMath.EPSILON3, + ), ).toEqual(true); clippingPlanes.destroy(); @@ -627,20 +633,22 @@ describe("Scene/ClippingPlaneCollection", function () { const gl = scene.frameState.context._gl; let copyWidth; let copyHeight; - spyOn(gl, "texSubImage2D").and.callFake(function ( - target, - level, - xoffset, - yoffset, - width, - height, - format, - type, - arrayBufferView - ) { - copyWidth = width; - copyHeight = height; - }); + spyOn(gl, "texSubImage2D").and.callFake( + function ( + target, + level, + xoffset, + yoffset, + width, + height, + format, + type, + arrayBufferView, + ) { + copyWidth = width; + copyHeight = height; + }, + ); clippingPlanes = new ClippingPlaneCollection({ planes: planes, @@ -725,7 +733,7 @@ describe("Scene/ClippingPlaneCollection", function () { ClippingPlaneCollection.setOwner( clippingPlanes1, clippedObject1, - "clippingPlanes" + "clippingPlanes", ); expect(clippedObject1.clippingPlanes).toBe(clippingPlanes1); expect(clippingPlanes1._owner).toBe(clippedObject1); @@ -741,7 +749,7 @@ describe("Scene/ClippingPlaneCollection", function () { ClippingPlaneCollection.setOwner( clippingPlanes2, clippedObject1, - "clippingPlanes" + "clippingPlanes", ); expect(clippingPlanes1.isDestroyed()).toBe(true); @@ -749,7 +757,7 @@ describe("Scene/ClippingPlaneCollection", function () { ClippingPlaneCollection.setOwner( clippingPlanes2, clippedObject1, - "clippingPlanes" + "clippingPlanes", ); expect(clippingPlanes2.isDestroyed()).toBe(false); @@ -758,7 +766,7 @@ describe("Scene/ClippingPlaneCollection", function () { ClippingPlaneCollection.setOwner( clippingPlanes2, clippedObject2, - "clippingPlanes" + "clippingPlanes", ); }).toThrowDeveloperError(); }); @@ -772,40 +780,35 @@ describe("Scene/ClippingPlaneCollection", function () { clippingPlanes.unionClippingRegions = true; expect(clippingPlanes._testIntersection).not.toBe( - originalIntersectFunction + originalIntersectFunction, ); }); it("computes intersections with bounding volumes when clipping regions are combined with an intersect operation", function () { clippingPlanes = new ClippingPlaneCollection(); - let intersect = clippingPlanes.computeIntersectionWithBoundingVolume( - boundingVolume - ); + let intersect = + clippingPlanes.computeIntersectionWithBoundingVolume(boundingVolume); expect(intersect).toEqual(Intersect.INSIDE); clippingPlanes.add(new ClippingPlane(Cartesian3.UNIT_X, -2.0)); - intersect = clippingPlanes.computeIntersectionWithBoundingVolume( - boundingVolume - ); + intersect = + clippingPlanes.computeIntersectionWithBoundingVolume(boundingVolume); expect(intersect).toEqual(Intersect.OUTSIDE); clippingPlanes.add(new ClippingPlane(Cartesian3.UNIT_Y, 0.0)); - intersect = clippingPlanes.computeIntersectionWithBoundingVolume( - boundingVolume - ); + intersect = + clippingPlanes.computeIntersectionWithBoundingVolume(boundingVolume); expect(intersect).toEqual(Intersect.INTERSECTING); clippingPlanes.add(new ClippingPlane(Cartesian3.UNIT_Z, 1.0)); - intersect = clippingPlanes.computeIntersectionWithBoundingVolume( - boundingVolume - ); + intersect = + clippingPlanes.computeIntersectionWithBoundingVolume(boundingVolume); expect(intersect).toEqual(Intersect.INSIDE); clippingPlanes.add(new ClippingPlane(Cartesian3.UNIT_Z, 0.0)); - intersect = clippingPlanes.computeIntersectionWithBoundingVolume( - boundingVolume - ); + intersect = + clippingPlanes.computeIntersectionWithBoundingVolume(boundingVolume); expect(intersect).toEqual(Intersect.INSIDE); }); @@ -814,34 +817,29 @@ describe("Scene/ClippingPlaneCollection", function () { unionClippingRegions: true, }); - let intersect = clippingPlanes.computeIntersectionWithBoundingVolume( - boundingVolume - ); + let intersect = + clippingPlanes.computeIntersectionWithBoundingVolume(boundingVolume); expect(intersect).toEqual(Intersect.INSIDE); clippingPlanes.add(new ClippingPlane(Cartesian3.UNIT_Z, 1.0)); - intersect = clippingPlanes.computeIntersectionWithBoundingVolume( - boundingVolume - ); + intersect = + clippingPlanes.computeIntersectionWithBoundingVolume(boundingVolume); expect(intersect).toEqual(Intersect.INSIDE); const temp = new ClippingPlane(Cartesian3.UNIT_Y, -2.0); clippingPlanes.add(temp); - intersect = clippingPlanes.computeIntersectionWithBoundingVolume( - boundingVolume - ); + intersect = + clippingPlanes.computeIntersectionWithBoundingVolume(boundingVolume); expect(intersect).toEqual(Intersect.OUTSIDE); clippingPlanes.add(new ClippingPlane(Cartesian3.UNIT_X, 0.0)); - intersect = clippingPlanes.computeIntersectionWithBoundingVolume( - boundingVolume - ); + intersect = + clippingPlanes.computeIntersectionWithBoundingVolume(boundingVolume); expect(intersect).toEqual(Intersect.OUTSIDE); clippingPlanes.remove(temp); - intersect = clippingPlanes.computeIntersectionWithBoundingVolume( - boundingVolume - ); + intersect = + clippingPlanes.computeIntersectionWithBoundingVolume(boundingVolume); expect(intersect).toEqual(Intersect.INTERSECTING); }); @@ -850,14 +848,14 @@ describe("Scene/ClippingPlaneCollection", function () { let intersect = clippingPlanes.computeIntersectionWithBoundingVolume( boundingVolume, - transform + transform, ); expect(intersect).toEqual(Intersect.INSIDE); clippingPlanes.add(new ClippingPlane(Cartesian3.UNIT_X, -1.0)); intersect = clippingPlanes.computeIntersectionWithBoundingVolume( boundingVolume, - transform + transform, ); expect(intersect).not.toEqual(Intersect.INSIDE); }); @@ -894,7 +892,7 @@ describe("Scene/ClippingPlaneCollection", function () { const predictedResolution = ClippingPlaneCollection.getTextureResolution( clippingPlanes, scene.frameState.context, - new Cartesian2() + new Cartesian2(), ); expect(predictedResolution.x).toEqual(4); @@ -904,7 +902,7 @@ describe("Scene/ClippingPlaneCollection", function () { const actualResolution = ClippingPlaneCollection.getTextureResolution( clippingPlanes, scene.frameState.context, - new Cartesian2() + new Cartesian2(), ); expect(predictedResolution.x).toEqual(actualResolution.x); diff --git a/packages/engine/Specs/Scene/ClippingPlaneSpec.js b/packages/engine/Specs/Scene/ClippingPlaneSpec.js index fae79735ef87..658d1e1e88f5 100644 --- a/packages/engine/Specs/Scene/ClippingPlaneSpec.js +++ b/packages/engine/Specs/Scene/ClippingPlaneSpec.js @@ -65,17 +65,17 @@ describe("Scene/ClippingPlane", function () { const clippingPlane = new ClippingPlane(Cartesian3.UNIT_X, 1.0); let cloneClippingPlane = ClippingPlane.clone(clippingPlane); expect( - Cartesian3.equals(clippingPlane.normal, cloneClippingPlane.normal) + Cartesian3.equals(clippingPlane.normal, cloneClippingPlane.normal), ).toBe(true); expect(clippingPlane.distance).toEqual(cloneClippingPlane.distance); const scratchClippingPlane = new ClippingPlane(Cartesian3.UNIT_Y, 0.0); cloneClippingPlane = ClippingPlane.clone( clippingPlane, - scratchClippingPlane + scratchClippingPlane, ); expect( - Cartesian3.equals(clippingPlane.normal, cloneClippingPlane.normal) + Cartesian3.equals(clippingPlane.normal, cloneClippingPlane.normal), ).toBe(true); expect(clippingPlane.distance).toEqual(cloneClippingPlane.distance); expect(cloneClippingPlane).toBe(scratchClippingPlane); @@ -90,14 +90,14 @@ describe("Scene/ClippingPlane", function () { transform = Matrix4.multiplyByMatrix3( transform, Matrix3.fromRotationY(Math.PI), - transform + transform, ); const transformedPlane = Plane.transform(clippingPlane, transform); expect(transformedPlane.distance).toEqual(clippingPlane.distance * 2.0); expect(transformedPlane.normal.x).toEqualEpsilon( -clippingPlane.normal.x, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(transformedPlane.normal.y).toEqual(clippingPlane.normal.y); expect(transformedPlane.normal.z).toEqual(-clippingPlane.normal.z); diff --git a/packages/engine/Specs/Scene/ClippingPolygonCollectionSpec.js b/packages/engine/Specs/Scene/ClippingPolygonCollectionSpec.js index 9ea882abc1f2..2e13ecb1804d 100644 --- a/packages/engine/Specs/Scene/ClippingPolygonCollectionSpec.js +++ b/packages/engine/Specs/Scene/ClippingPolygonCollectionSpec.js @@ -18,24 +18,13 @@ import createScene from "../../../../Specs/createScene.js"; describe("Scene/ClippingPolygonCollection", function () { const positions = Cartesian3.fromRadiansArray([ - -1.3194369277314022, - 0.6988062530900625, - -1.31941, - 0.69879, - -1.3193955980204217, - 0.6988091578771254, - -1.3193931220959367, - 0.698743632490865, - -1.3194358224045408, - 0.6987471965556998, + -1.3194369277314022, 0.6988062530900625, -1.31941, 0.69879, + -1.3193955980204217, 0.6988091578771254, -1.3193931220959367, + 0.698743632490865, -1.3194358224045408, 0.6987471965556998, ]); const positionsB = Cartesian3.fromRadiansArray([ - -1.3194369277314022, - 0.6988062530900625, - -1.31941, - 0.69879, - -1.3193931220959367, - 0.698743632490865, + -1.3194369277314022, 0.6988062530900625, -1.31941, 0.69879, + -1.3193931220959367, 0.698743632490865, ]); it("default constructor", function () { @@ -176,7 +165,7 @@ describe("Scene/ClippingPolygonCollection", function () { expect(() => { polygons.update(scene.frameState); }).toThrowError( - "ClippingPolygonCollections are only supported for WebGL 2." + "ClippingPolygonCollections are only supported for WebGL 2.", ); scene.destroyForSpecs(); @@ -258,19 +247,19 @@ describe("Scene/ClippingPolygonCollection", function () { expect(arrayBufferView[1]).toBe(0); // extents index expect(arrayBufferView[2]).toEqualEpsilon( 0.6969271302223206, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // first position in spherical coordinates expect(arrayBufferView[3]).toEqualEpsilon( -1.3191630840301514, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(arrayBufferView[10]).toEqualEpsilon( 0.6968677043914795, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // last position in spherical coordinates expect(arrayBufferView[11]).toEqualEpsilon( -1.3191620111465454, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(arrayBufferView[12]).toBe(0); // padding @@ -300,19 +289,19 @@ describe("Scene/ClippingPolygonCollection", function () { expect(arrayBufferView).toBeDefined(); expect(arrayBufferView[0]).toEqualEpsilon( 0.6958641409873962, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // south expect(arrayBufferView[1]).toEqualEpsilon( -1.3201631307601929, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // west expect(arrayBufferView[2]).toEqualEpsilon( 484.0434265136719, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // 1 / (north - south) expect(arrayBufferView[3]).toEqualEpsilon( 489.4261779785156, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // 1 / (east - west) expect(arrayBufferView[4]).toBe(0); // padding expect(arrayBufferView[5]).toBe(0); // padding @@ -352,19 +341,19 @@ describe("Scene/ClippingPolygonCollection", function () { expect(arrayBufferView).toBeDefined(); expect(arrayBufferView[0]).toEqualEpsilon( 0.6958641409873962, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // south expect(arrayBufferView[1]).toEqualEpsilon( -1.3201631307601929, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // west expect(arrayBufferView[2]).toEqualEpsilon( 484.0434265136719, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // north - south expect(arrayBufferView[3]).toEqualEpsilon( 489.4261779785156, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); // east - west expect(arrayBufferView[4]).toBe(0); // padding expect(arrayBufferView[5]).toBe(0); // padding @@ -447,10 +436,11 @@ describe("Scene/ClippingPolygonCollection", function () { // Set this to the minimum possible value so texture sizes can be consistently tested ContextLimits._maximumTextureSize = 64; - const result = ClippingPolygonCollection.getClippingDistanceTextureResolution( - polygons, - new Cartesian2() - ); + const result = + ClippingPolygonCollection.getClippingDistanceTextureResolution( + polygons, + new Cartesian2(), + ); expect(result.x).toBe(64); expect(result.y).toBe(64); @@ -467,10 +457,11 @@ describe("Scene/ClippingPolygonCollection", function () { // Set this to the minimum possible value so texture sizes can be consistently tested ContextLimits._maximumTextureSize = 64; - const result = ClippingPolygonCollection.getClippingExtentsTextureResolution( - polygons, - new Cartesian2() - ); + const result = + ClippingPolygonCollection.getClippingExtentsTextureResolution( + polygons, + new Cartesian2(), + ); expect(result.x).toBe(1); expect(result.y).toBe(2); @@ -484,9 +475,8 @@ describe("Scene/ClippingPolygonCollection", function () { rectangle: Rectangle.fromCartesianArray(positions), }); - let intersect = polygons.computeIntersectionWithBoundingVolume( - boundingVolume - ); + let intersect = + polygons.computeIntersectionWithBoundingVolume(boundingVolume); expect(intersect).toEqual(Intersect.OUTSIDE); polygons.add(new ClippingPolygon({ positions })); @@ -496,7 +486,7 @@ describe("Scene/ClippingPolygonCollection", function () { const boundingSphere = BoundingSphere.fromPoints(positions); boundingVolume = new TileBoundingSphere( boundingSphere.center, - boundingSphere.radius + boundingSphere.radius, ); intersect = polygons.computeIntersectionWithBoundingVolume(boundingVolume); expect(intersect).toEqual(Intersect.INTERSECTING); @@ -515,9 +505,8 @@ describe("Scene/ClippingPolygonCollection", function () { rectangle: Rectangle.fromCartesianArray(positions), }); - let intersect = polygons.computeIntersectionWithBoundingVolume( - boundingVolume - ); + let intersect = + polygons.computeIntersectionWithBoundingVolume(boundingVolume); expect(intersect).toEqual(Intersect.INSIDE); polygons.add(new ClippingPolygon({ positions })); @@ -527,7 +516,7 @@ describe("Scene/ClippingPolygonCollection", function () { const boundingSphere = BoundingSphere.fromPoints(positions); boundingVolume = new TileBoundingSphere( boundingSphere.center, - boundingSphere.radius + boundingSphere.radius, ); intersect = polygons.computeIntersectionWithBoundingVolume(boundingVolume); expect(intersect).toEqual(Intersect.INTERSECTING); diff --git a/packages/engine/Specs/Scene/ClippingPolygonSpec.js b/packages/engine/Specs/Scene/ClippingPolygonSpec.js index 2519e35cb006..22c6e4abb56d 100644 --- a/packages/engine/Specs/Scene/ClippingPolygonSpec.js +++ b/packages/engine/Specs/Scene/ClippingPolygonSpec.js @@ -9,16 +9,9 @@ import { describe("Scene/ClippingPolygon", function () { it("constructs", function () { const positions = Cartesian3.fromRadiansArray([ - -1.3194369277314022, - 0.6988062530900625, - -1.31941, - 0.69879, - -1.3193955980204217, - 0.6988091578771254, - -1.3193931220959367, - 0.698743632490865, - -1.3194358224045408, - 0.6987471965556998, + -1.3194369277314022, 0.6988062530900625, -1.31941, 0.69879, + -1.3193955980204217, 0.6988091578771254, -1.3193931220959367, + 0.698743632490865, -1.3194358224045408, 0.6987471965556998, ]); const polygon = new ClippingPolygon({ @@ -37,10 +30,7 @@ describe("Scene/ClippingPolygon", function () { }).toThrowDeveloperError(); const positions = Cartesian3.fromRadiansArray([ - -1.3194369277314022, - 0.6988062530900625, - -1.31941, - 0.69879, + -1.3194369277314022, 0.6988062530900625, -1.31941, 0.69879, ]); expect(() => { @@ -53,16 +43,9 @@ describe("Scene/ClippingPolygon", function () { it("clones", function () { const positions = Cartesian3.fromRadiansArray([ - -1.3194369277314022, - 0.6988062530900625, - -1.31941, - 0.69879, - -1.3193955980204217, - 0.6988091578771254, - -1.3193931220959367, - 0.698743632490865, - -1.3194358224045408, - 0.6987471965556998, + -1.3194369277314022, 0.6988062530900625, -1.31941, 0.69879, + -1.3193955980204217, 0.6988091578771254, -1.3193931220959367, + 0.698743632490865, -1.3194358224045408, 0.6987471965556998, ]); const polygon = new ClippingPolygon({ @@ -91,16 +74,9 @@ describe("Scene/ClippingPolygon", function () { it("equals verifies equality", function () { const positions = Cartesian3.fromRadiansArray([ - -1.3194369277314022, - 0.6988062530900625, - -1.31941, - 0.69879, - -1.3193955980204217, - 0.6988091578771254, - -1.3193931220959367, - 0.698743632490865, - -1.3194358224045408, - 0.6987471965556998, + -1.3194369277314022, 0.6988062530900625, -1.31941, 0.69879, + -1.3193955980204217, 0.6988091578771254, -1.3193931220959367, + 0.698743632490865, -1.3194358224045408, 0.6987471965556998, ]); const polygonA = new ClippingPolygon({ @@ -117,16 +93,9 @@ describe("Scene/ClippingPolygon", function () { polygonB = new ClippingPolygon({ ellipsoid: Ellipsoid.MOON, positions: Cartesian3.fromRadiansArray([ - -1.3194369277314022, - 0.6988062530900625, - -1.31941, - 0.69879, - -1.3193955980204217, - 0.6988091578771254, - -1.3193931220959367, - 0.698743632490865, - -1.3194358224045408, - 0.6987471965556998, + -1.3194369277314022, 0.6988062530900625, -1.31941, 0.69879, + -1.3193955980204217, 0.6988091578771254, -1.3193931220959367, + 0.698743632490865, -1.3194358224045408, 0.6987471965556998, ]), }); @@ -142,16 +111,9 @@ describe("Scene/ClippingPolygon", function () { it("equals throws without arguments", function () { const positions = Cartesian3.fromRadiansArray([ - -1.3194369277314022, - 0.6988062530900625, - -1.31941, - 0.69879, - -1.3193955980204217, - 0.6988091578771254, - -1.3193931220959367, - 0.698743632490865, - -1.3194358224045408, - 0.6987471965556998, + -1.3194369277314022, 0.6988062530900625, -1.31941, 0.69879, + -1.3193955980204217, 0.6988091578771254, -1.3193931220959367, + 0.698743632490865, -1.3194358224045408, 0.6987471965556998, ]); const polygon = new ClippingPolygon({ @@ -168,16 +130,9 @@ describe("Scene/ClippingPolygon", function () { it("computeRectangle returns rectangle enclosing the polygon", function () { const positions = Cartesian3.fromRadiansArray([ - -1.3194369277314022, - 0.6988062530900625, - -1.31941, - 0.69879, - -1.3193955980204217, - 0.6988091578771254, - -1.3193931220959367, - 0.698743632490865, - -1.3194358224045408, - 0.6987471965556998, + -1.3194369277314022, 0.6988062530900625, -1.31941, 0.69879, + -1.3193955980204217, 0.6988091578771254, -1.3193931220959367, + 0.698743632490865, -1.3194358224045408, 0.6987471965556998, ]); const polygon = new ClippingPolygon({ @@ -188,34 +143,27 @@ describe("Scene/ClippingPolygon", function () { expect(result).toBeInstanceOf(Rectangle); expect(result.west).toEqualEpsilon( -1.3194369277314024, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(result.south).toEqualEpsilon( 0.6987436324908647, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(result.east).toEqualEpsilon( -1.3193931220959367, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(result.north).toEqualEpsilon( 0.6988091578771254, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); it("computeRectangle uses result parameter", function () { const positions = Cartesian3.fromRadiansArray([ - -1.3194369277314022, - 0.6988062530900625, - -1.31941, - 0.69879, - -1.3193955980204217, - 0.6988091578771254, - -1.3193931220959367, - 0.698743632490865, - -1.3194358224045408, - 0.6987471965556998, + -1.3194369277314022, 0.6988062530900625, -1.31941, 0.69879, + -1.3193955980204217, 0.6988091578771254, -1.3193931220959367, + 0.698743632490865, -1.3194358224045408, 0.6987471965556998, ]); const polygon = new ClippingPolygon({ @@ -227,34 +175,27 @@ describe("Scene/ClippingPolygon", function () { expect(returnedValue).toBe(result); expect(result.west).toEqualEpsilon( -1.3194369277314024, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(result.south).toEqualEpsilon( 0.6987436324908647, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(result.east).toEqualEpsilon( -1.3193931220959367, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(result.north).toEqualEpsilon( 0.6988091578771254, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); it("computeSphericalExtents returns rectangle enclosing the polygon defined in spherical coordinates", function () { const positions = Cartesian3.fromRadiansArray([ - -1.3194369277314022, - 0.6988062530900625, - -1.31941, - 0.69879, - -1.3193955980204217, - 0.6988091578771254, - -1.3193931220959367, - 0.698743632490865, - -1.3194358224045408, - 0.6987471965556998, + -1.3194369277314022, 0.6988062530900625, -1.31941, 0.69879, + -1.3193955980204217, 0.6988091578771254, -1.3193931220959367, + 0.698743632490865, -1.3194358224045408, 0.6987471965556998, ]); const polygon = new ClippingPolygon({ @@ -265,34 +206,27 @@ describe("Scene/ClippingPolygon", function () { expect(result).toBeInstanceOf(Rectangle); expect(result.west).toEqualEpsilon( -1.3191630776640944, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(result.south).toEqualEpsilon( 0.6968641167123716, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(result.east).toEqualEpsilon( -1.3191198686316543, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(result.north).toEqualEpsilon( 0.6969300470954187, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); it("computeSphericalExtents uses result parameter", function () { const positions = Cartesian3.fromRadiansArray([ - -1.3194369277314022, - 0.6988062530900625, - -1.31941, - 0.69879, - -1.3193955980204217, - 0.6988091578771254, - -1.3193931220959367, - 0.698743632490865, - -1.3194358224045408, - 0.6987471965556998, + -1.3194369277314022, 0.6988062530900625, -1.31941, 0.69879, + -1.3193955980204217, 0.6988091578771254, -1.3193931220959367, + 0.698743632490865, -1.3194358224045408, 0.6987471965556998, ]); const polygon = new ClippingPolygon({ @@ -304,19 +238,19 @@ describe("Scene/ClippingPolygon", function () { expect(returnedValue).toBe(result); expect(result.west).toEqualEpsilon( -1.3191630776640944, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(result.south).toEqualEpsilon( 0.6968641167123716, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(result.east).toEqualEpsilon( -1.3191198686316543, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(result.north).toEqualEpsilon( 0.6969300470954187, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); }); diff --git a/packages/engine/Specs/Scene/CloudCollectionSpec.js b/packages/engine/Specs/Scene/CloudCollectionSpec.js index a8283482baaa..47ec8db78506 100644 --- a/packages/engine/Specs/Scene/CloudCollectionSpec.js +++ b/packages/engine/Specs/Scene/CloudCollectionSpec.js @@ -641,5 +641,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Composite3DTileContentSpec.js b/packages/engine/Specs/Scene/Composite3DTileContentSpec.js index 2dcea78122bc..42a7f7830e60 100644 --- a/packages/engine/Specs/Scene/Composite3DTileContentSpec.js +++ b/packages/engine/Specs/Scene/Composite3DTileContentSpec.js @@ -90,10 +90,10 @@ describe( version: 2, }); await expectAsync( - Cesium3DTilesTester.createContentForMockTile(arrayBuffer, "cmpt") + Cesium3DTilesTester.createContentForMockTile(arrayBuffer, "cmpt"), ).toBeRejectedWithError( RuntimeError, - "Only Composite Tile version 1 is supported. Version 2 is not." + "Only Composite Tile version 1 is supported. Version 2 is not.", ); }); @@ -106,17 +106,17 @@ describe( ], }); await expectAsync( - Cesium3DTilesTester.createContentForMockTile(arrayBuffer, "cmpt") + Cesium3DTilesTester.createContentForMockTile(arrayBuffer, "cmpt"), ).toBeRejectedWithError( RuntimeError, - "Unknown tile content type, xxxx, inside Composite tile" + "Unknown tile content type, xxxx, inside Composite tile", ); }); it("becomes ready", async function () { const tileset = await Cesium3DTilesTester.loadTileset( scene, - compositeUrl + compositeUrl, ); expect(tileset.root.contentReady).toBeTrue(); expect(tileset.root.content).toBeDefined(); @@ -134,25 +134,25 @@ describe( }); await expectAsync( - Cesium3DTilesTester.createContentForMockTile(arrayBuffer, "cmpt") + Cesium3DTilesTester.createContentForMockTile(arrayBuffer, "cmpt"), ).toBeRejectedWithError(RuntimeError); }); it("renders composite", function () { return Cesium3DTilesTester.loadTileset(scene, compositeUrl).then( - expectRenderComposite + expectRenderComposite, ); }); it("renders composite of composite", function () { return Cesium3DTilesTester.loadTileset(scene, compositeOfComposite).then( - expectRenderComposite + expectRenderComposite, ); }); it("renders multiple instanced tilesets", function () { return Cesium3DTilesTester.loadTileset(scene, compositeOfInstanced).then( - expectRenderComposite + expectRenderComposite, ); }); @@ -240,7 +240,7 @@ describe( for (let i = 0; i < innerContents.length; i++) { expect(innerContents[i].group.metadata).toBe(groupMetadata); } - } + }, ); }); @@ -255,7 +255,7 @@ describe( for (let i = 0; i < innerContents.length; i++) { expect(innerContents[i].metadata).toBe(explicitMetadata); } - } + }, ); }); @@ -270,10 +270,10 @@ describe( for (let i = 0; i < innerContents.length; i++) { expect(innerContents[i].metadata).toBe(implicitMetadata); } - } + }, ); }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/ConditionsExpressionSpec.js b/packages/engine/Specs/Scene/ConditionsExpressionSpec.js index 43daf10cf2c8..133d6df1e7e6 100644 --- a/packages/engine/Specs/Scene/ConditionsExpressionSpec.js +++ b/packages/engine/Specs/Scene/ConditionsExpressionSpec.js @@ -62,7 +62,7 @@ describe("Scene/ConditionsExpression", function () { const expression = new ConditionsExpression( jsonExpWithDefines, defines, - result + result, ); const value = expression.evaluate(new MockFeature(101), result); expect(value).toEqual(new Cartesian4(0.0, 0.0, 1.0, 1.0)); @@ -74,7 +74,7 @@ describe("Scene/ConditionsExpression", function () { const expression = new ConditionsExpression( jsonExpWithDefines, defines, - result + result, ); const value = expression.evaluate(new MockFeature(101), result); expect(value).toEqual(Color.BLUE); @@ -108,7 +108,7 @@ describe("Scene/ConditionsExpression", function () { "getColor()", variableSubstitutionMap, {}, - "vec4" + "vec4", ); const expected = "vec4 getColor()\n" + @@ -136,7 +136,7 @@ describe("Scene/ConditionsExpression", function () { "getColor", {}, {}, - "vec4" + "vec4", ); expect(shaderFunction).toBeUndefined(); }); diff --git a/packages/engine/Specs/Scene/ConeEmitterSpec.js b/packages/engine/Specs/Scene/ConeEmitterSpec.js index f3f94dd75adb..9ae972485fc9 100644 --- a/packages/engine/Specs/Scene/ConeEmitterSpec.js +++ b/packages/engine/Specs/Scene/ConeEmitterSpec.js @@ -38,7 +38,7 @@ describe("Scene/ConeEmitter", function () { expect(particle.position).toEqual(Cartesian3.ZERO); expect(Cartesian3.magnitude(particle.velocity)).toEqualEpsilon( 1.0, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); // acos(dot(unit v, unit z)) <= angle diff --git a/packages/engine/Specs/Scene/ContentMetadataSpec.js b/packages/engine/Specs/Scene/ContentMetadataSpec.js index 29b05222f003..a08f776bf528 100644 --- a/packages/engine/Specs/Scene/ContentMetadataSpec.js +++ b/packages/engine/Specs/Scene/ContentMetadataSpec.js @@ -147,27 +147,23 @@ describe("Scene/ContentMetadata", function () { it("getPropertyBySemantic returns the property value", function () { expect(contentMetadata.getPropertyBySemantic("COLOR")).toEqual([ - 255, - 125, - 0, + 255, 125, 0, ]); }); it("setPropertyBySemantic sets property value", function () { expect(contentMetadata.getPropertyBySemantic("COLOR")).toEqual([ - 255, - 125, - 0, + 255, 125, 0, ]); expect(contentMetadata.setPropertyBySemantic("COLOR", [0, 0, 0])).toBe( - true + true, ); expect(contentMetadata.getPropertyBySemantic("COLOR")).toEqual([0, 0, 0]); }); it("setPropertyBySemantic returns false if the semantic doesn't exist", function () { expect(contentMetadata.setPropertyBySemantic("AUTHOR", "Test Author")).toBe( - false + false, ); }); }); diff --git a/packages/engine/Specs/Scene/CreditDisplaySpec.js b/packages/engine/Specs/Scene/CreditDisplaySpec.js index d194b9abbef7..ad5698092150 100644 --- a/packages/engine/Specs/Scene/CreditDisplaySpec.js +++ b/packages/engine/Specs/Scene/CreditDisplaySpec.js @@ -112,7 +112,7 @@ describe("Scene/CreditDisplay", function () { creditDisplay = new CreditDisplay(container); const credit = new Credit( '<a href="http://cesiumjs.org">CesiumJS.org</a>', - true + true, ); beginFrame(creditDisplay); creditDisplay.addCreditToNextFrame(credit); @@ -512,10 +512,10 @@ describe("Scene/CreditDisplay", function () { const lightboxCreditList = creditDisplay._creditList; expect(lightboxCreditList.childNodes.length).toEqual(2); expect(lightboxCreditList.childNodes[0].childNodes[0]).toEqual( - credit1.element + credit1.element, ); expect(lightboxCreditList.childNodes[1].childNodes[0]).toEqual( - credit2.element + credit2.element, ); // Show credits on screen again @@ -607,10 +607,10 @@ describe("Scene/CreditDisplay", function () { const container2 = document.createElement("div"); const creditDisplay2 = new CreditDisplay(container2); expect(creditDisplay._currentCesiumCredit).toEqual( - creditDisplay2._currentCesiumCredit + creditDisplay2._currentCesiumCredit, ); expect(creditDisplay._currentCesiumCredit).not.toBe( - creditDisplay2._currentCesiumCredit + creditDisplay2._currentCesiumCredit, ); }); } diff --git a/packages/engine/Specs/Scene/DebugAppearanceSpec.js b/packages/engine/Specs/Scene/DebugAppearanceSpec.js index a83ed298e4d6..4301b7025c18 100644 --- a/packages/engine/Specs/Scene/DebugAppearanceSpec.js +++ b/packages/engine/Specs/Scene/DebugAppearanceSpec.js @@ -71,7 +71,7 @@ describe( expect(a.attributeName).toEqual("normal"); expect(a.glslDatatype).toEqual("vec3"); expect(a.renderState).toEqual( - Appearance.getDefaultRenderState(false, false) + Appearance.getDefaultRenderState(false, false), ); expect(a.translucent).toEqual(false); expect(a.closed).toEqual(false); @@ -94,7 +94,7 @@ describe( expect(a.attributeName).toEqual("st"); expect(a.glslDatatype).toEqual("vec2"); expect(a.renderState).toEqual( - Appearance.getDefaultRenderState(false, false) + Appearance.getDefaultRenderState(false, false), ); expect(a.translucent).toEqual(false); expect(a.closed).toEqual(false); @@ -118,7 +118,7 @@ describe( expect(a.attributeName).toEqual("rotation"); expect(a.glslDatatype).toEqual("float"); expect(a.renderState).toEqual( - Appearance.getDefaultRenderState(false, false) + Appearance.getDefaultRenderState(false, false), ); expect(a.translucent).toEqual(false); expect(a.closed).toEqual(false); @@ -142,7 +142,7 @@ describe( expect(a.attributeName).toEqual("str"); expect(a.glslDatatype).toEqual("vec3"); expect(a.renderState).toEqual( - Appearance.getDefaultRenderState(false, false) + Appearance.getDefaultRenderState(false, false), ); expect(a.translucent).toEqual(false); expect(a.closed).toEqual(false); @@ -161,14 +161,14 @@ describe( expect(a.fragmentShaderSource).toBeDefined(); expect(a.fragmentShaderSource.indexOf("v_quaternion")).toBeGreaterThan( - -1 + -1, ); expect(a.material).not.toBeDefined(); expect(a.attributeName).toEqual("quaternion"); expect(a.glslDatatype).toEqual("vec4"); expect(a.renderState).toEqual( - Appearance.getDefaultRenderState(false, false) + Appearance.getDefaultRenderState(false, false), ); expect(a.translucent).toEqual(false); expect(a.closed).toEqual(false); @@ -369,5 +369,5 @@ describe( expect(scene).notToRender([0, 0, 0, 255]); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/DebugCameraPrimitiveSpec.js b/packages/engine/Specs/Scene/DebugCameraPrimitiveSpec.js index 0b84e009b4aa..824066d4887a 100644 --- a/packages/engine/Specs/Scene/DebugCameraPrimitiveSpec.js +++ b/packages/engine/Specs/Scene/DebugCameraPrimitiveSpec.js @@ -21,7 +21,7 @@ describe( scene.camera.position = new Cartesian3(0.0, 0.0, 0.0); scene.camera.direction = Cartesian3.negate( Cartesian3.UNIT_X, - new Cartesian3() + new Cartesian3(), ); scene.camera.up = Cartesian3.clone(Cartesian3.UNIT_Z); scene.camera.frustum.near = 1.0; @@ -73,7 +73,7 @@ describe( scene.primitives.add( new DebugCameraPrimitive({ camera: camera, - }) + }), ); expect(scene).notToRender([0, 0, 0, 255]); }); @@ -83,7 +83,7 @@ describe( new DebugCameraPrimitive({ camera: camera, show: false, - }) + }), ); expect(scene).toRender([0, 0, 0, 255]); }); @@ -92,7 +92,7 @@ describe( const p = scene.primitives.add( new DebugCameraPrimitive({ camera: camera, - }) + }), ); scene.renderForSpecs(); const primitive = p._outlinePrimitives[0]; @@ -105,7 +105,7 @@ describe( new DebugCameraPrimitive({ camera: camera, updateOnChange: false, - }) + }), ); scene.renderForSpecs(); const primitive = p._primitive; @@ -118,7 +118,7 @@ describe( new DebugCameraPrimitive({ camera: camera, id: "id", - }) + }), ); expect(scene).toPickAndCall(function (result) { @@ -131,12 +131,12 @@ describe( const p = scene.primitives.add( new DebugCameraPrimitive({ camera: camera, - }) + }), ); expect(p.isDestroyed()).toEqual(false); scene.primitives.remove(p); expect(p.isDestroyed()).toEqual(true); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/DebugModelMatrixPrimitiveSpec.js b/packages/engine/Specs/Scene/DebugModelMatrixPrimitiveSpec.js index a48d463439a1..1ad701b090cd 100644 --- a/packages/engine/Specs/Scene/DebugModelMatrixPrimitiveSpec.js +++ b/packages/engine/Specs/Scene/DebugModelMatrixPrimitiveSpec.js @@ -62,7 +62,7 @@ describe( scene.primitives.add( new DebugModelMatrixPrimitive({ show: false, - }) + }), ); expect(scene).toRender([0, 0, 0, 255]); }); @@ -71,7 +71,7 @@ describe( const p = scene.primitives.add( new DebugModelMatrixPrimitive({ id: "id", - }) + }), ); expect(scene).toPickAndCall(function (result) { @@ -87,5 +87,5 @@ describe( expect(p.isDestroyed()).toEqual(true); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/DeviceOrientationCameraControllerSpec.js b/packages/engine/Specs/Scene/DeviceOrientationCameraControllerSpec.js index af76fa49b6d3..c74612efa46b 100644 --- a/packages/engine/Specs/Scene/DeviceOrientationCameraControllerSpec.js +++ b/packages/engine/Specs/Scene/DeviceOrientationCameraControllerSpec.js @@ -67,12 +67,12 @@ describe("Scene/DeviceOrientationCameraController", function () { expect(camera.position).toEqual(position); expect(camera.direction).toEqualEpsilon( Cartesian3.UNIT_Y, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(camera.up).toEqualEpsilon(up, CesiumMath.EPSILON14); expect(camera.right).toEqualEpsilon( Cartesian3.UNIT_X, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); }); @@ -89,7 +89,7 @@ describe("Scene/DeviceOrientationCameraController", function () { expect(camera.up).toEqualEpsilon(Cartesian3.UNIT_Y, CesiumMath.EPSILON14); expect(camera.right).toEqualEpsilon( Cartesian3.UNIT_Z, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); }); @@ -104,7 +104,7 @@ describe("Scene/DeviceOrientationCameraController", function () { expect(camera.position).toEqual(position); expect(camera.direction).toEqualEpsilon( Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()), - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(camera.up).toEqualEpsilon(Cartesian3.UNIT_X, CesiumMath.EPSILON14); expect(camera.right).toEqualEpsilon(right, CesiumMath.EPSILON14); diff --git a/packages/engine/Specs/Scene/DiscardEmptyTileImagePolicySpec.js b/packages/engine/Specs/Scene/DiscardEmptyTileImagePolicySpec.js index d7e9ec1607d0..6da45e7870a3 100644 --- a/packages/engine/Specs/Scene/DiscardEmptyTileImagePolicySpec.js +++ b/packages/engine/Specs/Scene/DiscardEmptyTileImagePolicySpec.js @@ -20,7 +20,7 @@ describe("Scene/DiscardEmptyTileImagePolicy", function () { promises.push( pollToPromise(function () { return policy.isReady(); - }) + }), ); return Promise.all(promises, function (results) { @@ -39,7 +39,7 @@ describe("Scene/DiscardEmptyTileImagePolicy", function () { promises.push( pollToPromise(function () { return policy.isReady(); - }) + }), ); return Promise.all(promises, function (results) { diff --git a/packages/engine/Specs/Scene/DiscardMissingTileImagePolicySpec.js b/packages/engine/Specs/Scene/DiscardMissingTileImagePolicySpec.js index a10642594831..804d5826e59b 100644 --- a/packages/engine/Specs/Scene/DiscardMissingTileImagePolicySpec.js +++ b/packages/engine/Specs/Scene/DiscardMissingTileImagePolicySpec.js @@ -46,27 +46,25 @@ describe("Scene/DiscardMissingTileImagePolicy", function () { const missingImageUrl = "http://some.host.invalid/missingImage.png"; spyOn(Resource, "createImageBitmapFromBlob").and.callThrough(); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - const url = request.url; - if (/^blob:/.test(url)) { - Resource._DefaultImplementations.createImage( - request, - crossOrigin, - deferred - ); - } else { - expect(url).toEqual(missingImageUrl); - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - } - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + const url = request.url; + if (/^blob:/.test(url)) { + Resource._DefaultImplementations.createImage( + request, + crossOrigin, + deferred, + ); + } else { + expect(url).toEqual(missingImageUrl); + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + } + }, + ); Resource._Implementations.loadWithXhr = function ( url, @@ -75,7 +73,7 @@ describe("Scene/DiscardMissingTileImagePolicy", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { expect(url).toEqual(missingImageUrl); return Resource._DefaultImplementations.loadWithXhr( @@ -84,7 +82,7 @@ describe("Scene/DiscardMissingTileImagePolicy", function () { method, data, headers, - deferred + deferred, ); }; @@ -121,7 +119,7 @@ describe("Scene/DiscardMissingTileImagePolicy", function () { promises.push( pollToPromise(function () { return policy.isReady(); - }) + }), ); return Promise.all(promises, function (results) { @@ -147,7 +145,7 @@ describe("Scene/DiscardMissingTileImagePolicy", function () { promises.push( pollToPromise(function () { return policy.isReady(); - }) + }), ); return Promise.all(promises, function (results) { @@ -171,7 +169,7 @@ describe("Scene/DiscardMissingTileImagePolicy", function () { promises.push( pollToPromise(function () { return policy.isReady(); - }) + }), ); return Promise.all(promises, function (results) { diff --git a/packages/engine/Specs/Scene/DynamicAtmosphereLightingTypeSpec.js b/packages/engine/Specs/Scene/DynamicAtmosphereLightingTypeSpec.js index 71c1802eeca8..7f1f4a555bca 100644 --- a/packages/engine/Specs/Scene/DynamicAtmosphereLightingTypeSpec.js +++ b/packages/engine/Specs/Scene/DynamicAtmosphereLightingTypeSpec.js @@ -13,19 +13,19 @@ describe("Scene/DynamicAtmosphereLightingType", function () { const globe = mockGlobe(); expect(DynamicAtmosphereLightingType.fromGlobeFlags(globe)).toBe( - DynamicAtmosphereLightingType.NONE + DynamicAtmosphereLightingType.NONE, ); globe.enableLighting = true; expect(DynamicAtmosphereLightingType.fromGlobeFlags(globe)).toBe( - DynamicAtmosphereLightingType.NONE + DynamicAtmosphereLightingType.NONE, ); globe.enableLighting = false; globe.dynamicAtmosphereLighting = true; expect(DynamicAtmosphereLightingType.fromGlobeFlags(globe)).toBe( - DynamicAtmosphereLightingType.NONE + DynamicAtmosphereLightingType.NONE, ); }); @@ -36,12 +36,12 @@ describe("Scene/DynamicAtmosphereLightingType", function () { globe.dynamicAtmosphereLightingFromSun = true; expect(DynamicAtmosphereLightingType.fromGlobeFlags(globe)).toBe( - DynamicAtmosphereLightingType.SUNLIGHT + DynamicAtmosphereLightingType.SUNLIGHT, ); globe.dynamicAtmosphereLightingFromSun = false; expect(DynamicAtmosphereLightingType.fromGlobeFlags(globe)).toBe( - DynamicAtmosphereLightingType.SCENE_LIGHT + DynamicAtmosphereLightingType.SCENE_LIGHT, ); }); }); diff --git a/packages/engine/Specs/Scene/EllipsoidPrimitiveSpec.js b/packages/engine/Specs/Scene/EllipsoidPrimitiveSpec.js index c2b7e4092bfc..0452391632c9 100644 --- a/packages/engine/Specs/Scene/EllipsoidPrimitiveSpec.js +++ b/packages/engine/Specs/Scene/EllipsoidPrimitiveSpec.js @@ -81,7 +81,7 @@ describe( it("renders with a custom modelMatrix", function () { ellipsoid.radii = new Cartesian3(0.1, 0.1, 0.1); ellipsoid.modelMatrix = Matrix4.fromScale( - new Cartesian3(10.0, 10.0, 10.0) + new Cartesian3(10.0, 10.0, 10.0), ); expect(scene).toRender([0, 0, 0, 255]); @@ -119,7 +119,7 @@ describe( new EllipsoidPrimitive({ radii: new Cartesian3(1.0, 1.0, 1.0), debugShowBoundingVolume: true, - }) + }), ); const camera = scene.camera; @@ -199,5 +199,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/EllipsoidSurfaceAppearanceSpec.js b/packages/engine/Specs/Scene/EllipsoidSurfaceAppearanceSpec.js index b03e26ec9477..4d0f915cb398 100644 --- a/packages/engine/Specs/Scene/EllipsoidSurfaceAppearanceSpec.js +++ b/packages/engine/Specs/Scene/EllipsoidSurfaceAppearanceSpec.js @@ -47,7 +47,7 @@ describe( expect(a.vertexShaderSource).toBeDefined(); expect(a.fragmentShaderSource).toBeDefined(); expect(a.renderState).toEqual( - Appearance.getDefaultRenderState(true, true) + Appearance.getDefaultRenderState(true, true), ); expect(a.vertexFormat).toEqual(EllipsoidSurfaceAppearance.VERTEX_FORMAT); expect(a.flat).toEqual(false); @@ -78,5 +78,5 @@ describe( expect(scene).notToRender([0, 0, 0, 255]); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/ExpressionSpec.js b/packages/engine/Specs/Scene/ExpressionSpec.js index c1f1d8c9d7be..f5bfda1ca5d3 100644 --- a/packages/engine/Specs/Scene/ExpressionSpec.js +++ b/packages/engine/Specs/Scene/ExpressionSpec.js @@ -115,7 +115,7 @@ describe("Scene/Expression", function () { expect(expression.evaluate(feature)).toEqual(""); expression = new Expression( - "abs(-${height}) + max(${height}, ${width}) + clamp(${height}, 0, 2)" + "abs(-${height}) + max(${height}, ${width}) + clamp(${height}, 0, 2)", ); expect(expression.evaluate(feature)).toEqual(22); @@ -360,82 +360,82 @@ describe("Scene/Expression", function () { it("evaluates literal color", function () { let expression = new Expression("color('#ffffff')"); expect(expression.evaluate(undefined)).toEqual( - Cartesian4.fromColor(Color.WHITE) + Cartesian4.fromColor(Color.WHITE), ); expression = new Expression("color('#00FFFF')"); expect(expression.evaluate(undefined)).toEqual( - Cartesian4.fromColor(Color.CYAN) + Cartesian4.fromColor(Color.CYAN), ); expression = new Expression("color('#fff')"); expect(expression.evaluate(undefined)).toEqual( - Cartesian4.fromColor(Color.WHITE) + Cartesian4.fromColor(Color.WHITE), ); expression = new Expression("color('#0FF')"); expect(expression.evaluate(undefined)).toEqual( - Cartesian4.fromColor(Color.CYAN) + Cartesian4.fromColor(Color.CYAN), ); expression = new Expression("color('white')"); expect(expression.evaluate(undefined)).toEqual( - Cartesian4.fromColor(Color.WHITE) + Cartesian4.fromColor(Color.WHITE), ); expression = new Expression("color('cyan')"); expect(expression.evaluate(undefined)).toEqual( - Cartesian4.fromColor(Color.CYAN) + Cartesian4.fromColor(Color.CYAN), ); expression = new Expression("color('white', 0.5)"); expect(expression.evaluate(undefined)).toEqual( - Cartesian4.fromColor(Color.fromAlpha(Color.WHITE, 0.5)) + Cartesian4.fromColor(Color.fromAlpha(Color.WHITE, 0.5)), ); expression = new Expression("rgb(255, 255, 255)"); expect(expression.evaluate(undefined)).toEqual( - Cartesian4.fromColor(Color.WHITE) + Cartesian4.fromColor(Color.WHITE), ); expression = new Expression("rgb(100, 255, 190)"); expect(expression.evaluate(undefined)).toEqual( - Cartesian4.fromColor(Color.fromBytes(100, 255, 190)) + Cartesian4.fromColor(Color.fromBytes(100, 255, 190)), ); expression = new Expression("hsl(0, 0, 1)"); expect(expression.evaluate(undefined)).toEqual( - Cartesian4.fromColor(Color.WHITE) + Cartesian4.fromColor(Color.WHITE), ); expression = new Expression("hsl(1.0, 0.6, 0.7)"); expect(expression.evaluate(undefined)).toEqual( - Cartesian4.fromColor(Color.fromHsl(1.0, 0.6, 0.7)) + Cartesian4.fromColor(Color.fromHsl(1.0, 0.6, 0.7)), ); expression = new Expression("rgba(255, 255, 255, 0.5)"); expect(expression.evaluate(undefined)).toEqual( - Cartesian4.fromColor(Color.fromAlpha(Color.WHITE, 0.5)) + Cartesian4.fromColor(Color.fromAlpha(Color.WHITE, 0.5)), ); expression = new Expression("rgba(100, 255, 190, 0.25)"); expect(expression.evaluate(undefined)).toEqual( - Cartesian4.fromColor(Color.fromBytes(100, 255, 190, 0.25 * 255)) + Cartesian4.fromColor(Color.fromBytes(100, 255, 190, 0.25 * 255)), ); expression = new Expression("hsla(0, 0, 1, 0.5)"); expect(expression.evaluate(undefined)).toEqual( - Cartesian4.fromColor(new Color(1.0, 1.0, 1.0, 0.5)) + Cartesian4.fromColor(new Color(1.0, 1.0, 1.0, 0.5)), ); expression = new Expression("hsla(1.0, 0.6, 0.7, 0.75)"); expect(expression.evaluate(undefined)).toEqual( - Cartesian4.fromColor(Color.fromHsl(1.0, 0.6, 0.7, 0.75)) + Cartesian4.fromColor(Color.fromHsl(1.0, 0.6, 0.7, 0.75)), ); expression = new Expression("color()"); expect(expression.evaluate(undefined)).toEqual( - Cartesian4.fromColor(Color.WHITE) + Cartesian4.fromColor(Color.WHITE), ); }); @@ -456,7 +456,7 @@ describe("Scene/Expression", function () { expression = new Expression("color('white', 0.5)"); expect(expression.evaluate(undefined, color)).toEqual( - new Color(1.0, 1.0, 1.0, 0.5) + new Color(1.0, 1.0, 1.0, 0.5), ); expect(color).toEqual(new Color(1.0, 1.0, 1.0, 0.5)); @@ -470,13 +470,13 @@ describe("Scene/Expression", function () { expression = new Expression("rgba(255, 0, 255, 0.5)"); expect(expression.evaluate(undefined, color)).toEqual( - new Color(1.0, 0, 1.0, 0.5) + new Color(1.0, 0, 1.0, 0.5), ); expect(color).toEqual(new Color(1.0, 0, 1.0, 0.5)); expression = new Expression("hsla(0, 0, 1, 0.5)"); expect(expression.evaluate(undefined, color)).toEqual( - new Color(1.0, 1.0, 1.0, 0.5) + new Color(1.0, 1.0, 1.0, 0.5), ); expect(color).toEqual(new Color(1.0, 1.0, 1.0, 0.5)); @@ -494,17 +494,17 @@ describe("Scene/Expression", function () { let expression = new Expression("color(${hex6})"); expect(expression.evaluate(feature)).toEqual( - Cartesian4.fromColor(Color.WHITE) + Cartesian4.fromColor(Color.WHITE), ); expression = new Expression("color(${hex3})"); expect(expression.evaluate(feature)).toEqual( - Cartesian4.fromColor(Color.WHITE) + Cartesian4.fromColor(Color.WHITE), ); expression = new Expression("color(${keyword})"); expect(expression.evaluate(feature)).toEqual( - Cartesian4.fromColor(Color.WHITE) + Cartesian4.fromColor(Color.WHITE), ); expression = new Expression("color(${keyword}, ${alpha} + 0.6)"); @@ -522,12 +522,12 @@ describe("Scene/Expression", function () { let expression = new Expression("rgb(${red}, ${green}, ${blue})"); expect(expression.evaluate(feature)).toEqual( - Cartesian4.fromColor(Color.fromBytes(100, 200, 255)) + Cartesian4.fromColor(Color.fromBytes(100, 200, 255)), ); expression = new Expression("rgb(${red}/2, ${green}/2, ${blue})"); expect(expression.evaluate(feature)).toEqual( - Cartesian4.fromColor(Color.fromBytes(50, 100, 255)) + Cartesian4.fromColor(Color.fromBytes(50, 100, 255)), ); }); @@ -539,12 +539,12 @@ describe("Scene/Expression", function () { let expression = new Expression("hsl(${h}, ${s}, ${l})"); expect(expression.evaluate(feature)).toEqual( - Cartesian4.fromColor(Color.WHITE) + Cartesian4.fromColor(Color.WHITE), ); expression = new Expression("hsl(${h} + 0.2, ${s} + 1.0, ${l} - 0.5)"); expect(expression.evaluate(feature)).toEqual( - Cartesian4.fromColor(Color.fromHsl(0.2, 1.0, 0.5)) + Cartesian4.fromColor(Color.fromHsl(0.2, 1.0, 0.5)), ); }); @@ -557,14 +557,14 @@ describe("Scene/Expression", function () { let expression = new Expression("rgba(${red}, ${green}, ${blue}, ${a})"); expect(expression.evaluate(feature)).toEqual( - Cartesian4.fromColor(Color.fromBytes(100, 200, 255, 0.3 * 255)) + Cartesian4.fromColor(Color.fromBytes(100, 200, 255, 0.3 * 255)), ); expression = new Expression( - "rgba(${red}/2, ${green}/2, ${blue}, ${a} * 2)" + "rgba(${red}/2, ${green}/2, ${blue}, ${a} * 2)", ); expect(expression.evaluate(feature)).toEqual( - Cartesian4.fromColor(Color.fromBytes(50, 100, 255, 0.6 * 255)) + Cartesian4.fromColor(Color.fromBytes(50, 100, 255, 0.6 * 255)), ); }); @@ -577,14 +577,14 @@ describe("Scene/Expression", function () { let expression = new Expression("hsla(${h}, ${s}, ${l}, ${a})"); expect(expression.evaluate(feature)).toEqual( - Cartesian4.fromColor(Color.WHITE) + Cartesian4.fromColor(Color.WHITE), ); expression = new Expression( - "hsla(${h} + 0.2, ${s} + 1.0, ${l} - 0.5, ${a} / 4)" + "hsla(${h} + 0.2, ${s} + 1.0, ${l} - 0.5, ${a} / 4)", ); expect(expression.evaluate(feature)).toEqual( - Cartesian4.fromColor(Color.fromHsl(0.2, 1.0, 0.5, 0.25)) + Cartesian4.fromColor(Color.fromHsl(0.2, 1.0, 0.5, 0.25)), ); }); @@ -596,17 +596,17 @@ describe("Scene/Expression", function () { feature.addProperty("alpha", 0.5); let expression = new Expression( - "rgba(${red}, ${green}, ${blue}, ${alpha})" + "rgba(${red}, ${green}, ${blue}, ${alpha})", ); expect(expression.evaluate(feature)).toEqual( - Cartesian4.fromColor(Color.fromBytes(100, 200, 255, 0.5 * 255)) + Cartesian4.fromColor(Color.fromBytes(100, 200, 255, 0.5 * 255)), ); expression = new Expression( - "rgba(${red}/2, ${green}/2, ${blue}, ${alpha} + 0.1)" + "rgba(${red}/2, ${green}/2, ${blue}, ${alpha} + 0.1)", ); expect(expression.evaluate(feature)).toEqual( - Cartesian4.fromColor(Color.fromBytes(50, 100, 255, 0.6 * 255)) + Cartesian4.fromColor(Color.fromBytes(50, 100, 255, 0.6 * 255)), ); }); @@ -742,32 +742,32 @@ describe("Scene/Expression", function () { it("evaluates vec3", function () { let expression = new Expression("vec3(2.0)"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian3(2.0, 2.0, 2.0) + new Cartesian3(2.0, 2.0, 2.0), ); expression = new Expression("vec3(3.0, 4.0, 5.0)"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian3(3.0, 4.0, 5.0) + new Cartesian3(3.0, 4.0, 5.0), ); expression = new Expression("vec3(vec2(3.0, 4.0), 5.0)"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian3(3.0, 4.0, 5.0) + new Cartesian3(3.0, 4.0, 5.0), ); expression = new Expression("vec3(3.0, vec2(4.0, 5.0))"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian3(3.0, 4.0, 5.0) + new Cartesian3(3.0, 4.0, 5.0), ); expression = new Expression("vec3(vec3(3.0, 4.0, 5.0))"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian3(3.0, 4.0, 5.0) + new Cartesian3(3.0, 4.0, 5.0), ); expression = new Expression("vec3(vec4(3.0, 4.0, 5.0, 6.0))"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian3(3.0, 4.0, 5.0) + new Cartesian3(3.0, 4.0, 5.0), ); }); @@ -808,42 +808,42 @@ describe("Scene/Expression", function () { it("evaluates vec4", function () { let expression = new Expression("vec4(2.0)"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian4(2.0, 2.0, 2.0, 2.0) + new Cartesian4(2.0, 2.0, 2.0, 2.0), ); expression = new Expression("vec4(3.0, 4.0, 5.0, 6.0)"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian4(3.0, 4.0, 5.0, 6.0) + new Cartesian4(3.0, 4.0, 5.0, 6.0), ); expression = new Expression("vec4(vec2(3.0, 4.0), 5.0, 6.0)"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian4(3.0, 4.0, 5.0, 6.0) + new Cartesian4(3.0, 4.0, 5.0, 6.0), ); expression = new Expression("vec4(3.0, vec2(4.0, 5.0), 6.0)"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian4(3.0, 4.0, 5.0, 6.0) + new Cartesian4(3.0, 4.0, 5.0, 6.0), ); expression = new Expression("vec4(3.0, 4.0, vec2(5.0, 6.0))"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian4(3.0, 4.0, 5.0, 6.0) + new Cartesian4(3.0, 4.0, 5.0, 6.0), ); expression = new Expression("vec4(vec3(3.0, 4.0, 5.0), 6.0)"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian4(3.0, 4.0, 5.0, 6.0) + new Cartesian4(3.0, 4.0, 5.0, 6.0), ); expression = new Expression("vec4(3.0, vec3(4.0, 5.0, 6.0))"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian4(3.0, 4.0, 5.0, 6.0) + new Cartesian4(3.0, 4.0, 5.0, 6.0), ); expression = new Expression("vec4(vec4(3.0, 4.0, 5.0, 6.0))"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian4(3.0, 4.0, 5.0, 6.0) + new Cartesian4(3.0, 4.0, 5.0, 6.0), ); }); @@ -889,19 +889,19 @@ describe("Scene/Expression", function () { feature.addProperty("scale", 1); const expression = new Expression( - "vec4(${height}, ${width}, ${depth}, ${scale})" + "vec4(${height}, ${width}, ${depth}, ${scale})", ); expect(expression.evaluate(feature)).toEqual( - new Cartesian4(2.0, 4.0, 3.0, 1.0) + new Cartesian4(2.0, 4.0, 3.0, 1.0), ); }); it("evaluates expression with multiple nested vectors", function () { const expression = new Expression( - "vec4(vec2(1, 2)[vec3(6, 1, 5).y], 2, vec4(1.0).w, 5)" + "vec4(vec2(1, 2)[vec3(6, 1, 5).y], 2, vec4(1.0).w, 5)", ); expect(expression.evaluate(undefined)).toEqual( - new Cartesian4(2.0, 2.0, 1.0, 5.0) + new Cartesian4(2.0, 2.0, 1.0, 5.0), ); }); @@ -1396,53 +1396,53 @@ describe("Scene/Expression", function () { it("evaluates color operations", function () { let expression = new Expression("+rgba(255, 0, 0, 1.0)"); expect(expression.evaluate(undefined)).toEqual( - Cartesian4.fromColor(Color.RED) + Cartesian4.fromColor(Color.RED), ); expression = new Expression("rgba(255, 0, 0, 0.5) + rgba(0, 0, 255, 0.5)"); expect(expression.evaluate(undefined)).toEqual( - Cartesian4.fromColor(Color.MAGENTA) + Cartesian4.fromColor(Color.MAGENTA), ); expression = new Expression("rgba(0, 255, 255, 1.0) - rgba(0, 255, 0, 0)"); expect(expression.evaluate(undefined)).toEqual( - Cartesian4.fromColor(Color.BLUE) + Cartesian4.fromColor(Color.BLUE), ); expression = new Expression( - "rgba(255, 255, 255, 1.0) * rgba(255, 0, 0, 1.0)" + "rgba(255, 255, 255, 1.0) * rgba(255, 0, 0, 1.0)", ); expect(expression.evaluate(undefined)).toEqual( - Cartesian4.fromColor(Color.RED) + Cartesian4.fromColor(Color.RED), ); expression = new Expression("rgba(255, 255, 0, 1.0) * 1.0"); expect(expression.evaluate(undefined)).toEqual( - Cartesian4.fromColor(Color.YELLOW) + Cartesian4.fromColor(Color.YELLOW), ); expression = new Expression("1 * rgba(255, 255, 0, 1.0)"); expect(expression.evaluate(undefined)).toEqual( - Cartesian4.fromColor(Color.YELLOW) + Cartesian4.fromColor(Color.YELLOW), ); expression = new Expression( - "rgba(255, 255, 255, 1.0) / rgba(255, 255, 255, 1.0)" + "rgba(255, 255, 255, 1.0) / rgba(255, 255, 255, 1.0)", ); expect(expression.evaluate(undefined)).toEqual( - Cartesian4.fromColor(Color.WHITE) + Cartesian4.fromColor(Color.WHITE), ); expression = new Expression("rgba(255, 255, 255, 1.0) / 2"); expect(expression.evaluate(undefined)).toEqual( - Cartesian4.fromColor(new Color(0.5, 0.5, 0.5, 0.5)) + Cartesian4.fromColor(new Color(0.5, 0.5, 0.5, 0.5)), ); expression = new Expression( - "rgba(255, 255, 255, 1.0) % rgba(255, 255, 255, 1.0)" + "rgba(255, 255, 255, 1.0) % rgba(255, 255, 255, 1.0)", ); expect(expression.evaluate(undefined)).toEqual( - Cartesian4.fromColor(new Color(0, 0, 0, 0)) + Cartesian4.fromColor(new Color(0, 0, 0, 0)), ); expression = new Expression("color('green') === color('green')"); @@ -1470,7 +1470,7 @@ describe("Scene/Expression", function () { expression = new Expression("-vec4(1, 2, 3, 4)"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian4(-1, -2, -3, -4) + new Cartesian4(-1, -2, -3, -4), ); expression = new Expression("vec2(1, 2) + vec2(3, 4)"); @@ -1490,7 +1490,7 @@ describe("Scene/Expression", function () { expression = new Expression("vec4(1, 2, 3, 4) - vec4(3, 4, 5, 6)"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian4(-2, -2, -2, -2) + new Cartesian4(-2, -2, -2, -2), ); expression = new Expression("vec2(1, 2) * vec2(3, 4)"); @@ -1513,7 +1513,7 @@ describe("Scene/Expression", function () { expression = new Expression("vec4(1, 2, 3, 4) * vec4(3, 4, 5, 6)"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian4(3, 8, 15, 24) + new Cartesian4(3, 8, 15, 24), ); expression = new Expression("vec4(1, 2, 3, 4) * 3.0"); @@ -1530,22 +1530,22 @@ describe("Scene/Expression", function () { expression = new Expression("vec3(1, 2, 3) / vec3(2, 5, 3)"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian3(0.5, 0.4, 1.0) + new Cartesian3(0.5, 0.4, 1.0), ); expression = new Expression("vec3(1, 2, 3) / 2.0"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian3(0.5, 1.0, 1.5) + new Cartesian3(0.5, 1.0, 1.5), ); expression = new Expression("vec4(1, 2, 3, 4) / vec4(2, 5, 3, 2)"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian4(0.5, 0.4, 1.0, 2.0) + new Cartesian4(0.5, 0.4, 1.0, 2.0), ); expression = new Expression("vec4(1, 2, 3, 4) / 2.0"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian4(0.5, 1.0, 1.5, 2.0) + new Cartesian4(0.5, 1.0, 1.5, 2.0), ); expression = new Expression("vec2(2, 3) % vec2(3, 3)"); @@ -1732,12 +1732,12 @@ describe("Scene/Expression", function () { expression = new Expression("abs(vec3(-1.0, 1.0, 0.0))"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian3(1.0, 1.0, 0.0) + new Cartesian3(1.0, 1.0, 0.0), ); expression = new Expression("abs(vec4(-1.0, 1.0, 0.0, -1.2))"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian4(1.0, 1.0, 0.0, 1.2) + new Cartesian4(1.0, 1.0, 0.0, 1.2), ); }); @@ -1758,19 +1758,19 @@ describe("Scene/Expression", function () { expression = new Expression("cos(vec2(0, Math.PI))"); expect(expression.evaluate(undefined)).toEqualEpsilon( new Cartesian2(1.0, -1.0), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expression = new Expression("cos(vec3(0, Math.PI, -Math.PI))"); expect(expression.evaluate(undefined)).toEqualEpsilon( new Cartesian3(1.0, -1.0, -1.0), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expression = new Expression("cos(vec4(0, Math.PI, -Math.PI, 0))"); expect(expression.evaluate(undefined)).toEqualEpsilon( new Cartesian4(1.0, -1.0, -1.0, 1.0), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); @@ -1791,19 +1791,19 @@ describe("Scene/Expression", function () { expression = new Expression("sin(vec2(0, Math.PI/2))"); expect(expression.evaluate(undefined)).toEqualEpsilon( new Cartesian2(0.0, 1.0), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expression = new Expression("sin(vec3(0, Math.PI/2, -Math.PI/2))"); expect(expression.evaluate(undefined)).toEqualEpsilon( new Cartesian3(0.0, 1.0, -1.0), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expression = new Expression("sin(vec4(0, Math.PI/2, -Math.PI/2, 0))"); expect(expression.evaluate(undefined)).toEqualEpsilon( new Cartesian4(0.0, 1.0, -1.0, 0.0), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); @@ -1824,19 +1824,19 @@ describe("Scene/Expression", function () { expression = new Expression("tan(vec2(0, Math.PI/4))"); expect(expression.evaluate(undefined)).toEqualEpsilon( new Cartesian2(0.0, 1.0), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expression = new Expression("tan(vec3(0, Math.PI/4, Math.PI))"); expect(expression.evaluate(undefined)).toEqualEpsilon( new Cartesian3(0.0, 1.0, 0.0), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expression = new Expression("tan(vec4(0, Math.PI/4, Math.PI, -Math.PI/4))"); expect(expression.evaluate(undefined)).toEqualEpsilon( new Cartesian4(0.0, 1.0, 0.0, -1.0), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); @@ -1857,13 +1857,13 @@ describe("Scene/Expression", function () { expression = new Expression("acos(vec2(1, 0))"); expect(expression.evaluate(undefined)).toEqualEpsilon( new Cartesian2(0.0, CesiumMath.PI_OVER_TWO), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expression = new Expression("acos(vec3(1, 0, 1))"); expect(expression.evaluate(undefined)).toEqualEpsilon( new Cartesian3(0.0, CesiumMath.PI_OVER_TWO, 0.0, CesiumMath.PI_OVER_TWO), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expression = new Expression("acos(vec4(1, 0, 1, 0))"); @@ -1873,9 +1873,9 @@ describe("Scene/Expression", function () { CesiumMath.PI_OVER_TWO, 0.0, CesiumMath.PI_OVER_TWO, - 0.0 + 0.0, ), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); @@ -1896,13 +1896,13 @@ describe("Scene/Expression", function () { expression = new Expression("asin(vec2(0, 1))"); expect(expression.evaluate(undefined)).toEqualEpsilon( new Cartesian2(0.0, CesiumMath.PI_OVER_TWO), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expression = new Expression("asin(vec3(0, 1, 0))"); expect(expression.evaluate(undefined)).toEqualEpsilon( new Cartesian3(0.0, CesiumMath.PI_OVER_TWO, 0.0, CesiumMath.PI_OVER_TWO), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expression = new Expression("asin(vec4(0, 1, 0, 1))"); @@ -1912,9 +1912,9 @@ describe("Scene/Expression", function () { CesiumMath.PI_OVER_TWO, 0.0, CesiumMath.PI_OVER_TWO, - 0.0 + 0.0, ), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); @@ -1935,7 +1935,7 @@ describe("Scene/Expression", function () { expression = new Expression("atan(vec2(0, 1))"); expect(expression.evaluate(undefined)).toEqualEpsilon( new Cartesian2(0.0, CesiumMath.PI_OVER_FOUR), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expression = new Expression("atan(vec3(0, 1, 0))"); @@ -1944,9 +1944,9 @@ describe("Scene/Expression", function () { 0.0, CesiumMath.PI_OVER_FOUR, 0.0, - CesiumMath.PI_OVER_FOUR + CesiumMath.PI_OVER_FOUR, ), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expression = new Expression("atan(vec4(0, 1, 0, 1))"); @@ -1956,9 +1956,9 @@ describe("Scene/Expression", function () { CesiumMath.PI_OVER_FOUR, 0.0, CesiumMath.PI_OVER_FOUR, - 0.0 + 0.0, ), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); @@ -1976,19 +1976,19 @@ describe("Scene/Expression", function () { let expression = new Expression("radians(180)"); expect(expression.evaluate(undefined)).toEqualEpsilon( Math.PI, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expression = new Expression("radians(vec2(180, 90))"); expect(expression.evaluate(undefined)).toEqualEpsilon( new Cartesian2(Math.PI, CesiumMath.PI_OVER_TWO), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expression = new Expression("radians(vec3(180, 90, 180))"); expect(expression.evaluate(undefined)).toEqualEpsilon( new Cartesian3(Math.PI, CesiumMath.PI_OVER_TWO, Math.PI), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expression = new Expression("radians(vec4(180, 90, 180, 90))"); @@ -1997,9 +1997,9 @@ describe("Scene/Expression", function () { Math.PI, CesiumMath.PI_OVER_TWO, Math.PI, - CesiumMath.PI_OVER_TWO + CesiumMath.PI_OVER_TWO, ), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); @@ -2017,29 +2017,29 @@ describe("Scene/Expression", function () { let expression = new Expression("degrees(2 * Math.PI)"); expect(expression.evaluate(undefined)).toEqualEpsilon( 360, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expression = new Expression("degrees(vec2(2 * Math.PI, Math.PI))"); expect(expression.evaluate(undefined)).toEqualEpsilon( new Cartesian2(360, 180), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expression = new Expression( - "degrees(vec3(2 * Math.PI, Math.PI, 2 * Math.PI))" + "degrees(vec3(2 * Math.PI, Math.PI, 2 * Math.PI))", ); expect(expression.evaluate(undefined)).toEqualEpsilon( new Cartesian3(360, 180, 360), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expression = new Expression( - "degrees(vec4(2 * Math.PI, Math.PI, 2 * Math.PI, Math.PI))" + "degrees(vec4(2 * Math.PI, Math.PI, 2 * Math.PI, Math.PI))", ); expect(expression.evaluate(undefined)).toEqualEpsilon( new Cartesian4(360, 180, 360, 180), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); @@ -2068,12 +2068,12 @@ describe("Scene/Expression", function () { expression = new Expression("sqrt(vec3(1.0, 4.0, 9.0))"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian3(1.0, 2.0, 3.0) + new Cartesian3(1.0, 2.0, 3.0), ); expression = new Expression("sqrt(vec4(1.0, 4.0, 9.0, 16.0))"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian4(1.0, 2.0, 3.0, 4.0) + new Cartesian4(1.0, 2.0, 3.0, 4.0), ); }); @@ -2102,12 +2102,12 @@ describe("Scene/Expression", function () { expression = new Expression("sign(vec3(5.0, -5.0, 0.0))"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian3(1.0, -1.0, 0.0) + new Cartesian3(1.0, -1.0, 0.0), ); expression = new Expression("sign(vec4(5.0, -5.0, 0.0, 1.0))"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian4(1.0, -1.0, 0.0, 1.0) + new Cartesian4(1.0, -1.0, 0.0, 1.0), ); }); @@ -2136,12 +2136,12 @@ describe("Scene/Expression", function () { expression = new Expression("floor(vec3(5.5, -1.2, 0.0))"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian3(5.0, -2.0, 0.0) + new Cartesian3(5.0, -2.0, 0.0), ); expression = new Expression("floor(vec4(5.5, -1.2, 0.0, -2.9))"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian4(5.0, -2.0, 0.0, -3.0) + new Cartesian4(5.0, -2.0, 0.0, -3.0), ); }); @@ -2170,12 +2170,12 @@ describe("Scene/Expression", function () { expression = new Expression("ceil(vec3(5.5, -1.2, 0.0))"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian3(6.0, -1.0, 0.0) + new Cartesian3(6.0, -1.0, 0.0), ); expression = new Expression("ceil(vec4(5.5, -1.2, 0.0, -2.9))"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian4(6.0, -1.0, 0.0, -2.0) + new Cartesian4(6.0, -1.0, 0.0, -2.0), ); }); @@ -2204,12 +2204,12 @@ describe("Scene/Expression", function () { expression = new Expression("round(vec3(5.5, -1.2, 0.0))"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian3(6.0, -1.0, 0.0) + new Cartesian3(6.0, -1.0, 0.0), ); expression = new Expression("round(vec4(5.5, -1.2, 0.0, -2.9))"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian4(6.0, -1.0, 0.0, -3.0) + new Cartesian4(6.0, -1.0, 0.0, -3.0), ); }); @@ -2227,31 +2227,31 @@ describe("Scene/Expression", function () { let expression = new Expression("exp(1.0)"); expect(expression.evaluate(undefined)).toEqualEpsilon( Math.E, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expression = new Expression("exp(0.0)"); expect(expression.evaluate(undefined)).toEqualEpsilon( 1.0, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expression = new Expression("exp(vec2(1.0, 0.0))"); expect(expression.evaluate(undefined)).toEqualEpsilon( new Cartesian2(Math.E, 1.0), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expression = new Expression("exp(vec3(1.0, 0.0, 1.0))"); expect(expression.evaluate(undefined)).toEqualEpsilon( new Cartesian3(Math.E, 1.0, Math.E), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expression = new Expression("exp(vec4(1.0, 0.0, 1.0, 0.0))"); expect(expression.evaluate(undefined)).toEqualEpsilon( new Cartesian4(Math.E, 1.0, Math.E, 1.0), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -2280,12 +2280,12 @@ describe("Scene/Expression", function () { expression = new Expression("exp2(vec3(1.0, 0.0, 2.0))"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian3(2.0, 1.0, 4.0) + new Cartesian3(2.0, 1.0, 4.0), ); expression = new Expression("exp2(vec4(1.0, 0.0, 2.0, 3.0))"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian4(2.0, 1.0, 4.0, 8.0) + new Cartesian4(2.0, 1.0, 4.0, 8.0), ); }); @@ -2306,7 +2306,7 @@ describe("Scene/Expression", function () { expression = new Expression("log(10.0)"); expect(expression.evaluate(undefined)).toEqualEpsilon( 2.302585092994046, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expression = new Expression("log(vec2(1.0, Math.E))"); @@ -2314,12 +2314,12 @@ describe("Scene/Expression", function () { expression = new Expression("log(vec3(1.0, Math.E, 1.0))"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian3(0.0, 1.0, 0.0) + new Cartesian3(0.0, 1.0, 0.0), ); expression = new Expression("log(vec4(1.0, Math.E, 1.0, Math.E))"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian4(0.0, 1.0, 0.0, 1.0) + new Cartesian4(0.0, 1.0, 0.0, 1.0), ); }); @@ -2348,13 +2348,13 @@ describe("Scene/Expression", function () { expression = new Expression("log2(vec3(1.0, 2.0, 4.0))"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian3(0.0, 1.0, 2.0) + new Cartesian3(0.0, 1.0, 2.0), ); expression = new Expression("log2(vec4(1.0, 2.0, 4.0, 8.0))"); expect(expression.evaluate(undefined)).toEqualEpsilon( new Cartesian4(0.0, 1.0, 2.0, 3.0), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -2383,12 +2383,12 @@ describe("Scene/Expression", function () { expression = new Expression("fract(vec3(1.0, 2.25, -2.25))"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian3(0.0, 0.25, 0.75) + new Cartesian3(0.0, 0.25, 0.75), ); expression = new Expression("fract(vec4(1.0, 2.25, -2.25, 1.0))"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian4(0.0, 0.25, 0.75, 0.0) + new Cartesian4(0.0, 0.25, 0.75, 0.0), ); }); @@ -2437,14 +2437,14 @@ describe("Scene/Expression", function () { let length = Math.sqrt(2 * 2 + 3 * 3 + 4 * 4); expect(expression.evaluate(undefined)).toEqualEpsilon( new Cartesian3(2.0 / length, 3.0 / length, -4.0 / length), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expression = new Expression("normalize(vec4(-2.0, 3.0, -4.0, 5.0))"); length = Math.sqrt(2 * 2 + 3 * 3 + 4 * 4 + 5 * 5); expect(expression.evaluate(undefined)).toEqual( new Cartesian4(-2.0 / length, 3.0 / length, -4.0 / length, 5.0 / length), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -2469,27 +2469,27 @@ describe("Scene/Expression", function () { expect(expression.evaluate(undefined)).toEqual(75.0); expression = new Expression( - "clamp(vec2(50.0,50.0), vec2(0.0,75.0), 100.0)" + "clamp(vec2(50.0,50.0), vec2(0.0,75.0), 100.0)", ); expect(expression.evaluate(undefined)).toEqual(new Cartesian2(50.0, 75.0)); expression = new Expression( - "clamp(vec2(50.0,50.0), vec2(0.0,75.0), vec2(25.0,100.0))" + "clamp(vec2(50.0,50.0), vec2(0.0,75.0), vec2(25.0,100.0))", ); expect(expression.evaluate(undefined)).toEqual(new Cartesian2(25.0, 75.0)); expression = new Expression( - "clamp(vec3(50.0, 50.0, 50.0), vec3(0.0, 0.0, 75.0), vec3(100.0, 25.0, 100.0))" + "clamp(vec3(50.0, 50.0, 50.0), vec3(0.0, 0.0, 75.0), vec3(100.0, 25.0, 100.0))", ); expect(expression.evaluate(undefined)).toEqual( - new Cartesian3(50.0, 25.0, 75.0) + new Cartesian3(50.0, 25.0, 75.0), ); expression = new Expression( - "clamp(vec4(50.0, 50.0, 50.0, 100.0), vec4(0.0, 0.0, 75.0, 75.0), vec4(100.0, 25.0, 100.0, 85.0))" + "clamp(vec4(50.0, 50.0, 50.0, 100.0), vec4(0.0, 0.0, 75.0, 75.0), vec4(100.0, 25.0, 100.0, 85.0))", ); expect(expression.evaluate(undefined)).toEqual( - new Cartesian4(50.0, 25.0, 75.0, 85.0) + new Cartesian4(50.0, 25.0, 75.0, 85.0), ); }); @@ -2536,22 +2536,22 @@ describe("Scene/Expression", function () { expect(expression.evaluate(undefined)).toEqual(new Cartesian2(1.0, 2.0)); expression = new Expression( - "mix(vec2(0.0,1.0), vec2(2.0,3.0), vec2(0.5,4.0))" + "mix(vec2(0.0,1.0), vec2(2.0,3.0), vec2(0.5,4.0))", ); expect(expression.evaluate(undefined)).toEqual(new Cartesian2(1.0, 9.0)); expression = new Expression( - "mix(vec3(0.0,1.0,2.0), vec3(2.0,3.0,4.0), vec3(0.5,4.0,5.0))" + "mix(vec3(0.0,1.0,2.0), vec3(2.0,3.0,4.0), vec3(0.5,4.0,5.0))", ); expect(expression.evaluate(undefined)).toEqual( - new Cartesian3(1.0, 9.0, 12.0) + new Cartesian3(1.0, 9.0, 12.0), ); expression = new Expression( - "mix(vec4(0.0,1.0,2.0,1.5), vec4(2.0,3.0,4.0,2.5), vec4(0.5,4.0,5.0,3.5))" + "mix(vec4(0.0,1.0,2.0,1.5), vec4(2.0,3.0,4.0,2.5), vec4(0.5,4.0,5.0,3.5))", ); expect(expression.evaluate(undefined)).toEqual( - new Cartesian4(1.0, 9.0, 12.0, 5.0) + new Cartesian4(1.0, 9.0, 12.0, 5.0), ); }); @@ -2594,31 +2594,31 @@ describe("Scene/Expression", function () { let expression = new Expression("atan2(0,1)"); expect(expression.evaluate(undefined)).toEqualEpsilon( 0.0, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expression = new Expression("atan2(1,0)"); expect(expression.evaluate(undefined)).toEqualEpsilon( 0.5 * Math.PI, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expression = new Expression("atan2(vec2(0,1),vec2(1,0))"); expect(expression.evaluate(undefined)).toEqualEpsilon( new Cartesian2(0.0, 0.5 * Math.PI), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expression = new Expression("atan2(vec3(0,1,0.5),vec3(1,0,0.5))"); expect(expression.evaluate(undefined)).toEqualEpsilon( new Cartesian3(0.0, 0.5 * Math.PI, 0.25 * Math.PI), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expression = new Expression("atan2(vec4(0,1,0.5,1),vec4(1,0,0.5,0))"); expect(expression.evaluate(undefined)).toEqualEpsilon( new Cartesian4(0.0, 0.5 * Math.PI, 0.25 * Math.PI, 0.5 * Math.PI), - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); }); @@ -2661,12 +2661,12 @@ describe("Scene/Expression", function () { expression = new Expression("pow(vec3(5,4,3),vec3(0,2,3))"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian3(1.0, 16.0, 27.0) + new Cartesian3(1.0, 16.0, 27.0), ); expression = new Expression("pow(vec4(5,4,3,2),vec4(0,2,3,5))"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian4(1.0, 16.0, 27.0, 32.0) + new Cartesian4(1.0, 16.0, 27.0, 32.0), ); }); @@ -2712,12 +2712,12 @@ describe("Scene/Expression", function () { expression = new Expression("min(vec3(-1,2,1),vec3(0,1,2))"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian3(-1.0, 1.0, 1.0) + new Cartesian3(-1.0, 1.0, 1.0), ); expression = new Expression("min(vec4(-1,2,1,4),vec4(0,1,2,3))"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian4(-1.0, 1.0, 1.0, 3.0) + new Cartesian4(-1.0, 1.0, 1.0, 3.0), ); }); @@ -2761,7 +2761,7 @@ describe("Scene/Expression", function () { expression = new Expression("max(vec4(-1,2,1,4),vec4(0,1,2,3))"); expect(expression.evaluate(undefined)).toEqual( - new Cartesian4(0, 2.0, 2.0, 4.0) + new Cartesian4(0, 2.0, 2.0, 4.0), ); }); @@ -2795,12 +2795,12 @@ describe("Scene/Expression", function () { expect(expression.evaluate(undefined)).toEqual(1.0); expression = new Expression( - "distance(vec3(3.0, 2.0, 1.0), vec3(1.0, 0.0, 0.0))" + "distance(vec3(3.0, 2.0, 1.0), vec3(1.0, 0.0, 0.0))", ); expect(expression.evaluate(undefined)).toEqual(3.0); expression = new Expression( - "distance(vec4(5.0, 5.0, 5.0, 5.0), vec4(0.0, 0.0, 0.0, 0.0))" + "distance(vec4(5.0, 5.0, 5.0, 5.0), vec4(0.0, 0.0, 0.0, 0.0))", ); expect(expression.evaluate(undefined)).toEqual(10.0); }); @@ -2822,7 +2822,7 @@ describe("Scene/Expression", function () { expect(function () { return new Expression( - "distance(vec4(5.0, 2.0, 3.0, 1.0), vec3(4.0, 4.0, 4.0))" + "distance(vec4(5.0, 2.0, 3.0, 1.0), vec3(4.0, 4.0, 4.0))", ).evaluate(undefined); }).toThrowError(RuntimeError); }); @@ -2835,12 +2835,12 @@ describe("Scene/Expression", function () { expect(expression.evaluate(undefined)).toEqual(4.0); expression = new Expression( - "dot(vec3(1.0, 2.0, 3.0), vec3(2.0, 2.0, 1.0))" + "dot(vec3(1.0, 2.0, 3.0), vec3(2.0, 2.0, 1.0))", ); expect(expression.evaluate(undefined)).toEqual(9.0); expression = new Expression( - "dot(vec4(5.0, 5.0, 2.0, 3.0), vec4(1.0, 2.0, 1.0, 1.0))" + "dot(vec4(5.0, 5.0, 2.0, 3.0), vec4(1.0, 2.0, 1.0, 1.0))", ); expect(expression.evaluate(undefined)).toEqual(20.0); }); @@ -2862,31 +2862,31 @@ describe("Scene/Expression", function () { expect(function () { return new Expression( - "dot(vec4(5.0, 2.0, 3.0, 1.0), vec3(4.0, 4.0, 4.0))" + "dot(vec4(5.0, 2.0, 3.0, 1.0), vec3(4.0, 4.0, 4.0))", ).evaluate(undefined); }).toThrowError(RuntimeError); }); it("evaluates the cross function", function () { let expression = new Expression( - "cross(vec3(1.0, 1.0, 1.0), vec3(2.0, 2.0, 2.0))" + "cross(vec3(1.0, 1.0, 1.0), vec3(2.0, 2.0, 2.0))", ); expect(expression.evaluate(undefined)).toEqual( - new Cartesian3(0.0, 0.0, 0.0) + new Cartesian3(0.0, 0.0, 0.0), ); expression = new Expression( - "cross(vec3(-1.0, -1.0, -1.0), vec3(0.0, -2.0, -5.0))" + "cross(vec3(-1.0, -1.0, -1.0), vec3(0.0, -2.0, -5.0))", ); expect(expression.evaluate(undefined)).toEqual( - new Cartesian3(3.0, -5.0, 2.0) + new Cartesian3(3.0, -5.0, 2.0), ); expression = new Expression( - "cross(vec3(5.0, -2.0, 1.0), vec3(-2.0, -6.0, -8.0))" + "cross(vec3(5.0, -2.0, 1.0), vec3(-2.0, -6.0, -8.0))", ); expect(expression.evaluate(undefined)).toEqual( - new Cartesian3(22.0, 38.0, -34.0) + new Cartesian3(22.0, 38.0, -34.0), ); }); @@ -2897,7 +2897,7 @@ describe("Scene/Expression", function () { expect(function () { return new Expression( - "cross(vec3(0.0, 0.0, 0.0), vec3(1.0, 1.0, 1.0), vec3(2.0, 2.0, 2.0))" + "cross(vec3(0.0, 0.0, 0.0), vec3(1.0, 1.0, 1.0), vec3(2.0, 2.0, 2.0))", ); }).toThrowError(RuntimeError); }); @@ -2905,13 +2905,13 @@ describe("Scene/Expression", function () { it("throws if cross function does not take vec3 arguments", function () { expect(function () { return new Expression("cross(vec2(1.0, 2.0), vec2(3.0, 2.0)").evaluate( - undefined + undefined, ); }).toThrowError(RuntimeError); expect(function () { return new Expression( - "cross(vec4(5.0, 2.0, 3.0, 1.0), vec3(4.0, 4.0, 4.0))" + "cross(vec4(5.0, 2.0, 3.0, 1.0), vec3(4.0, 4.0, 4.0))", ).evaluate(undefined); }).toThrowError(RuntimeError); }); @@ -2924,7 +2924,7 @@ describe("Scene/Expression", function () { expect(expression.evaluate(undefined)).toEqual("second"); expression = new Expression( - "(!(1 + 2 > 3)) ? (2 > 1 ? 1 + 1 : 0) : (2 > 1 ? -1 + -1 : 0)" + "(!(1 + 2 > 3)) ? (2 > 1 ? 1 + 1 : 0) : (2 > 1 ? -1 + -1 : 0)", ); expect(expression.evaluate(undefined)).toEqual(2); }); @@ -3092,13 +3092,13 @@ describe("Scene/Expression", function () { let expression = new Expression('regExp("a")'); expect(expression.evaluate(undefined)).toEqual(/a/); expect(expression._runtimeAst._type).toEqual( - ExpressionNodeType.LITERAL_REGEX + ExpressionNodeType.LITERAL_REGEX, ); expression = new Expression('regExp("\\w")'); expect(expression.evaluate(undefined)).toEqual(/\w/); expect(expression._runtimeAst._type).toEqual( - ExpressionNodeType.LITERAL_REGEX + ExpressionNodeType.LITERAL_REGEX, ); expression = new Expression("regExp(1 + 1)"); @@ -3108,13 +3108,13 @@ describe("Scene/Expression", function () { expression = new Expression("regExp(true)"); expect(expression.evaluate(undefined)).toEqual(/true/); expect(expression._runtimeAst._type).toEqual( - ExpressionNodeType.LITERAL_REGEX + ExpressionNodeType.LITERAL_REGEX, ); expression = new Expression("regExp()"); expect(expression.evaluate(undefined)).toEqual(/(?:)/); expect(expression._runtimeAst._type).toEqual( - ExpressionNodeType.LITERAL_REGEX + ExpressionNodeType.LITERAL_REGEX, ); expression = new Expression("regExp(${pattern})"); @@ -3126,7 +3126,7 @@ describe("Scene/Expression", function () { let expression = new Expression('regExp("a", "i")'); expect(expression.evaluate(undefined)).toEqual(/a/i); expect(expression._runtimeAst._type).toEqual( - ExpressionNodeType.LITERAL_REGEX + ExpressionNodeType.LITERAL_REGEX, ); expression = new Expression('regExp("a", "m" + "g")'); @@ -3167,7 +3167,7 @@ describe("Scene/Expression", function () { expect(expression.evaluate(undefined)).toEqual(false); expression = new Expression( - 'regExp("quick\\s(brown).+?(jumps)", "ig").test("The Quick Brown Fox Jumps Over The Lazy Dog")' + 'regExp("quick\\s(brown).+?(jumps)", "ig").test("The Quick Brown Fox Jumps Over The Lazy Dog")', ); expect(expression.evaluate(undefined)).toEqual(true); @@ -3205,12 +3205,12 @@ describe("Scene/Expression", function () { expect(expression.evaluate(undefined)).toEqual(null); expression = new Expression( - 'regExp("quick\\s(b.*n).+?(jumps)", "ig").exec("The Quick Brown Fox Jumps Over The Lazy Dog")' + 'regExp("quick\\s(b.*n).+?(jumps)", "ig").exec("The Quick Brown Fox Jumps Over The Lazy Dog")', ); expect(expression.evaluate(undefined)).toEqual("Brown"); expression = new Expression( - 'regExp("(" + ${property} + ")").exec(${property})' + 'regExp("(" + ${property} + ")").exec(${property})', ); expect(expression.evaluate(feature)).toEqual("abc"); @@ -3247,7 +3247,7 @@ describe("Scene/Expression", function () { expect(expression.evaluate(undefined)).toEqual(false); expression = new Expression( - 'regExp("quick\\s(brown).+?(jumps)", "ig") =~ "The Quick Brown Fox Jumps Over The Lazy Dog"' + 'regExp("quick\\s(brown).+?(jumps)", "ig") =~ "The Quick Brown Fox Jumps Over The Lazy Dog"', ); expect(expression.evaluate(undefined)).toEqual(true); @@ -3292,7 +3292,7 @@ describe("Scene/Expression", function () { expect(expression.evaluate(undefined)).toEqual(true); expression = new Expression( - 'regExp("quick\\s(brown).+?(jumps)", "ig") !~ "The Quick Brown Fox Jumps Over The Lazy Dog"' + 'regExp("quick\\s(brown).+?(jumps)", "ig") !~ "The Quick Brown Fox Jumps Over The Lazy Dog"', ); expect(expression.evaluate(undefined)).toEqual(false); @@ -3378,7 +3378,7 @@ describe("Scene/Expression", function () { expect(expression.evaluate(undefined)).toEqual([1, 2, 3]); expression = new Expression( - '[1+2, "hello", 2 < 3, color("blue"), ${property}]' + '[1+2, "hello", 2 < 3, color("blue"), ${property}]', ); expect(expression.evaluate(feature)).toEqual([ 3, @@ -3422,7 +3422,7 @@ describe("Scene/Expression", function () { "getShow()", {}, {}, - "bool" + "bool", ); const expected = "bool getShow()\n" + "{\n" + " return true;\n" + "}\n"; expect(shaderFunction).toEqual(expected); @@ -3435,7 +3435,7 @@ describe("Scene/Expression", function () { }; const shaderExpression = expression.getShaderExpression( variableSubstitutionMap, - {} + {}, ); const expected = "a_property"; expect(shaderExpression).toEqual(expected); @@ -3448,7 +3448,7 @@ describe("Scene/Expression", function () { }; const shaderExpression = expression.getShaderExpression( variableSubstitutionMap, - {} + {}, ); const expected = "a_property"; expect(shaderExpression).toEqual(expected); @@ -3461,7 +3461,7 @@ describe("Scene/Expression", function () { }; const shaderExpression = expression.getShaderExpression( variableSubstitutionMap, - {} + {}, ); const expected = "a_property"; expect(shaderExpression).toEqual(expected); @@ -3613,7 +3613,7 @@ describe("Scene/Expression", function () { let expression = new Expression("${property[0]}"); let shaderExpression = expression.getShaderExpression( variableSubstitutionMap, - {} + {}, ); let expected = "property[0]"; expect(shaderExpression).toEqual(expected); @@ -3621,7 +3621,7 @@ describe("Scene/Expression", function () { expression = new Expression("${property[4 / 2]}"); shaderExpression = expression.getShaderExpression( variableSubstitutionMap, - {} + {}, ); expected = "property[int((4.0 / 2.0))]"; expect(shaderExpression).toEqual(expected); @@ -3688,7 +3688,7 @@ describe("Scene/Expression", function () { let expression = new Expression("color()"); let shaderExpression = expression.getShaderExpression( variableSubstitutionMap, - shaderState + shaderState, ); let expected = "vec4(1.0)"; expect(shaderExpression).toEqual(expected); @@ -3698,7 +3698,7 @@ describe("Scene/Expression", function () { expression = new Expression('color("red")'); shaderExpression = expression.getShaderExpression( variableSubstitutionMap, - shaderState + shaderState, ); expected = "vec4(vec3(1.0, 0.0, 0.0), 1.0)"; expect(shaderExpression).toEqual(expected); @@ -3708,7 +3708,7 @@ describe("Scene/Expression", function () { expression = new Expression('color("#FFF")'); shaderExpression = expression.getShaderExpression( variableSubstitutionMap, - shaderState + shaderState, ); expected = "vec4(vec3(1.0, 1.0, 1.0), 1.0)"; expect(shaderExpression).toEqual(expected); @@ -3718,7 +3718,7 @@ describe("Scene/Expression", function () { expression = new Expression('color("#FF0000")'); shaderExpression = expression.getShaderExpression( variableSubstitutionMap, - shaderState + shaderState, ); expected = "vec4(vec3(1.0, 0.0, 0.0), 1.0)"; expect(shaderExpression).toEqual(expected); @@ -3728,7 +3728,7 @@ describe("Scene/Expression", function () { expression = new Expression('color("rgb(255, 0, 0)")'); shaderExpression = expression.getShaderExpression( variableSubstitutionMap, - shaderState + shaderState, ); expected = "vec4(vec3(1.0, 0.0, 0.0), 1.0)"; expect(shaderExpression).toEqual(expected); @@ -3738,7 +3738,7 @@ describe("Scene/Expression", function () { expression = new Expression('color("red", 0.5)'); shaderExpression = expression.getShaderExpression( variableSubstitutionMap, - shaderState + shaderState, ); expected = "vec4(vec3(1.0, 0.0, 0.0), 0.5)"; expect(shaderExpression).toEqual(expected); @@ -3748,7 +3748,7 @@ describe("Scene/Expression", function () { expression = new Expression("rgb(255, 0, 0)"); shaderExpression = expression.getShaderExpression( variableSubstitutionMap, - shaderState + shaderState, ); expected = "vec4(1.0, 0.0, 0.0, 1.0)"; expect(shaderExpression).toEqual(expected); @@ -3758,7 +3758,7 @@ describe("Scene/Expression", function () { expression = new Expression("rgb(255, ${property}, 0)"); shaderExpression = expression.getShaderExpression( variableSubstitutionMap, - shaderState + shaderState, ); expected = "vec4(255.0 / 255.0, property / 255.0, 0.0 / 255.0, 1.0)"; expect(shaderExpression).toEqual(expected); @@ -3768,7 +3768,7 @@ describe("Scene/Expression", function () { expression = new Expression("rgba(255, 0, 0, 0.5)"); shaderExpression = expression.getShaderExpression( variableSubstitutionMap, - shaderState + shaderState, ); expected = "vec4(1.0, 0.0, 0.0, 0.5)"; expect(shaderExpression).toEqual(expected); @@ -3778,7 +3778,7 @@ describe("Scene/Expression", function () { expression = new Expression("rgba(255, ${property}, 0, 0.5)"); shaderExpression = expression.getShaderExpression( variableSubstitutionMap, - shaderState + shaderState, ); expected = "vec4(255.0 / 255.0, property / 255.0, 0.0 / 255.0, 0.5)"; expect(shaderExpression).toEqual(expected); @@ -3788,7 +3788,7 @@ describe("Scene/Expression", function () { expression = new Expression("hsl(1.0, 0.5, 0.5)"); shaderExpression = expression.getShaderExpression( variableSubstitutionMap, - shaderState + shaderState, ); expected = "vec4(0.75, 0.25, 0.25, 1.0)"; expect(shaderExpression).toEqual(expected); @@ -3798,7 +3798,7 @@ describe("Scene/Expression", function () { expression = new Expression("hsla(1.0, 0.5, 0.5, 0.5)"); shaderExpression = expression.getShaderExpression( variableSubstitutionMap, - shaderState + shaderState, ); expected = "vec4(0.75, 0.25, 0.25, 0.5)"; expect(shaderExpression).toEqual(expected); @@ -3808,7 +3808,7 @@ describe("Scene/Expression", function () { expression = new Expression("hsl(1.0, ${property}, 0.5)"); shaderExpression = expression.getShaderExpression( variableSubstitutionMap, - shaderState + shaderState, ); expected = "vec4(czm_HSLToRGB(vec3(1.0, property, 0.5)), 1.0)"; expect(shaderExpression).toEqual(expected); @@ -3818,7 +3818,7 @@ describe("Scene/Expression", function () { expression = new Expression("hsla(1.0, ${property}, 0.5, 0.5)"); shaderExpression = expression.getShaderExpression( variableSubstitutionMap, - shaderState + shaderState, ); expected = "vec4(czm_HSLToRGB(vec3(1.0, property, 0.5)), 0.5)"; expect(shaderExpression).toEqual(expected); @@ -3828,7 +3828,7 @@ describe("Scene/Expression", function () { it("gets shader expression for color components", function () { // .r, .g, .b, .a let expression = new Expression( - "color().r + color().g + color().b + color().a" + "color().r + color().g + color().b + color().a", ); let shaderExpression = expression.getShaderExpression({}, {}); const expected = @@ -3837,14 +3837,14 @@ describe("Scene/Expression", function () { // .x, .y, .z, .w expression = new Expression( - "color().x + color().y + color().z + color().w" + "color().x + color().y + color().z + color().w", ); shaderExpression = expression.getShaderExpression({}, {}); expect(shaderExpression).toEqual(expected); // [0], [1], [2], [3] expression = new Expression( - "color()[0] + color()[1] + color()[2] + color()[3]" + "color()[0] + color()[1] + color()[2] + color()[3]", ); shaderExpression = expression.getShaderExpression({}, {}); expect(shaderExpression).toEqual(expected); @@ -3858,30 +3858,30 @@ describe("Scene/Expression", function () { let expression = new Expression("vec4(1, 2, 3, 4)"); let shaderExpression = expression.getShaderExpression( variableSubstitutionMap, - {} + {}, ); expect(shaderExpression).toEqual("vec4(1.0, 2.0, 3.0, 4.0)"); expression = new Expression("vec4(1) + vec4(2)"); shaderExpression = expression.getShaderExpression( variableSubstitutionMap, - {} + {}, ); expect(shaderExpression).toEqual("(vec4(1.0) + vec4(2.0))"); expression = new Expression("vec4(1, ${property}, vec2(1, 2).x, 0)"); shaderExpression = expression.getShaderExpression( variableSubstitutionMap, - {} + {}, ); expect(shaderExpression).toEqual( - "vec4(1.0, property, vec2(1.0, 2.0)[0], 0.0)" + "vec4(1.0, property, vec2(1.0, 2.0)[0], 0.0)", ); expression = new Expression("vec4(vec3(2), 1.0)"); shaderExpression = expression.getShaderExpression( variableSubstitutionMap, - {} + {}, ); expect(shaderExpression).toEqual("vec4(vec3(2.0), 1.0)"); }); @@ -3889,7 +3889,7 @@ describe("Scene/Expression", function () { it("gets shader expression for vector components", function () { // .x, .y, .z, .w let expression = new Expression( - "vec4(1).x + vec4(1).y + vec4(1).z + vec4(1).w" + "vec4(1).x + vec4(1).y + vec4(1).z + vec4(1).w", ); let shaderExpression = expression.getShaderExpression({}, {}); const expected = @@ -3898,7 +3898,7 @@ describe("Scene/Expression", function () { // [0], [1], [2], [3] expression = new Expression( - "vec4(1)[0] + vec4(1)[1] + vec4(1)[2] + vec4(1)[3]" + "vec4(1)[0] + vec4(1)[1] + vec4(1)[2] + vec4(1)[3]", ); shaderExpression = expression.getShaderExpression({}, {}); expect(shaderExpression).toEqual(expected); @@ -4102,7 +4102,7 @@ describe("Scene/Expression", function () { it("gets shader expression for cross", function () { const expression = new Expression( - "cross(vec3(1.0, 1.0, 1.0), vec3(2.0, 2.0, 2.0))" + "cross(vec3(1.0, 1.0, 1.0), vec3(2.0, 2.0, 2.0))", ); const shaderExpression = expression.getShaderExpression({}, {}); const expected = "cross(vec3(1.0, 1.0, 1.0), vec3(2.0, 2.0, 2.0))"; @@ -4139,7 +4139,7 @@ describe("Scene/Expression", function () { it("gets variables", function () { const expression = new Expression( - '${feature["w"]} + ${feature.x} + ${y} + ${y} + "${z}"' + '${feature["w"]} + ${feature.x} + ${y} + ${y} + "${z}"', ); const variables = expression.getVariables(); expect(variables.sort()).toEqual(["w", "x", "y", "z"]); diff --git a/packages/engine/Specs/Scene/FrameRateMonitorSpec.js b/packages/engine/Specs/Scene/FrameRateMonitorSpec.js index aee28edae5e0..3de2f8a5b8d9 100644 --- a/packages/engine/Specs/Scene/FrameRateMonitorSpec.js +++ b/packages/engine/Specs/Scene/FrameRateMonitorSpec.js @@ -252,5 +252,5 @@ describe( expect(nominalListener).toHaveBeenCalled(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Geometry3DTileContentSpec.js b/packages/engine/Specs/Scene/Geometry3DTileContentSpec.js index 344dca690ce5..6516c9ee6ed3 100644 --- a/packages/engine/Specs/Scene/Geometry3DTileContentSpec.js +++ b/packages/engine/Specs/Scene/Geometry3DTileContentSpec.js @@ -106,7 +106,7 @@ describe( }); } const depthColorAttribute = ColorGeometryInstanceAttribute.fromColor( - new Color(1.0, 0.0, 0.0, 1.0) + new Color(1.0, 0.0, 0.0, 1.0), ); depthColor = depthColorAttribute.value; return new Primitive({ @@ -165,7 +165,7 @@ describe( reusableGlobePrimitive = createPrimitive(rectangle, Pass.GLOBE); reusableTilesetPrimitive = createPrimitive( rectangle, - Pass.CESIUM_3D_TILE + Pass.CESIUM_3D_TILE, ); }); @@ -180,11 +180,11 @@ describe( globePrimitive = new MockPrimitive(reusableGlobePrimitive, Pass.GLOBE); tilesetPrimitive = new MockPrimitive( reusableTilesetPrimitive, - Pass.CESIUM_3D_TILE + Pass.CESIUM_3D_TILE, ); scene.camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(tilesetRectangle)), - new Cartesian3(0.0, 0.0, 0.01) + new Cartesian3(0.0, 0.0, 0.01), ); }); @@ -233,45 +233,45 @@ describe( tilesetRectangle.west, center.latitude, center.longitude, - tilesetRectangle.north + tilesetRectangle.north, ); const urRect = new Rectangle( center.longitude, center.longitude, tilesetRectangle.east, - tilesetRectangle.north + tilesetRectangle.north, ); const llRect = new Rectangle( tilesetRectangle.west, tilesetRectangle.south, center.longitude, - center.latitude + center.latitude, ); const lrRect = new Rectangle( center.longitude, tilesetRectangle.south, tilesetRectangle.east, - center.latitude + center.latitude, ); scene.camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(ulRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expectPick(scene); scene.camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(urRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expectPick(scene); scene.camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(llRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expectPick(scene); scene.camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(lrRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expectPick(scene); } @@ -282,45 +282,45 @@ describe( tilesetRectangle.west, center.latitude, center.longitude, - tilesetRectangle.north + tilesetRectangle.north, ); const urRect = new Rectangle( center.longitude, center.longitude, tilesetRectangle.east, - tilesetRectangle.north + tilesetRectangle.north, ); const llRect = new Rectangle( tilesetRectangle.west, tilesetRectangle.south, center.longitude, - center.latitude + center.latitude, ); const lrRect = new Rectangle( center.longitude, tilesetRectangle.south, tilesetRectangle.east, - center.latitude + center.latitude, ); scene.camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(ulRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(color); scene.camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(urRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(color); scene.camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(llRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(color); scene.camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(lrRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(color); } @@ -429,7 +429,7 @@ describe( scene.primitives.add(globePrimitive); return Cesium3DTilesTester.loadTileset( scene, - geometryBoxesBatchedChildren + geometryBoxesBatchedChildren, ) .then(function (tileset) { return verifyRender(tileset, scene); @@ -454,7 +454,7 @@ describe( scene.primitives.add(globePrimitive); return Cesium3DTilesTester.loadTileset( scene, - geometryBoxesBatchedChildrenWithBatchTable + geometryBoxesBatchedChildrenWithBatchTable, ) .then(function (tileset) { return verifyRender(tileset, scene); @@ -490,7 +490,7 @@ describe( scene.primitives.add(globePrimitive); return Cesium3DTilesTester.loadTileset( scene, - geometryCylindersBatchedChildren + geometryCylindersBatchedChildren, ) .then(function (tileset) { return verifyRender(tileset, scene); @@ -504,7 +504,7 @@ describe( scene.primitives.add(globePrimitive); return Cesium3DTilesTester.loadTileset( scene, - geometryCylindersWithBatchTable + geometryCylindersWithBatchTable, ) .then(function (tileset) { return verifyRender(tileset, scene); @@ -518,7 +518,7 @@ describe( scene.primitives.add(globePrimitive); return Cesium3DTilesTester.loadTileset( scene, - geometryCylindersBatchedChildrenWithBatchTable + geometryCylindersBatchedChildrenWithBatchTable, ) .then(function (tileset) { return verifyRender(tileset, scene); @@ -532,7 +532,7 @@ describe( scene.primitives.add(globePrimitive); return Cesium3DTilesTester.loadTileset( scene, - geometryCylindersWithBatchIds + geometryCylindersWithBatchIds, ) .then(function (tileset) { return verifyRender(tileset, scene); @@ -557,7 +557,7 @@ describe( scene.primitives.add(globePrimitive); return Cesium3DTilesTester.loadTileset( scene, - geometryEllipsoidsBatchedChildren + geometryEllipsoidsBatchedChildren, ) .then(function (tileset) { return verifyRender(tileset, scene); @@ -571,7 +571,7 @@ describe( scene.primitives.add(globePrimitive); return Cesium3DTilesTester.loadTileset( scene, - geometryEllipsoidsWithBatchTable + geometryEllipsoidsWithBatchTable, ) .then(function (tileset) { return verifyRender(tileset, scene); @@ -585,7 +585,7 @@ describe( scene.primitives.add(globePrimitive); return Cesium3DTilesTester.loadTileset( scene, - geometryEllipsoidsBatchedChildrenWithBatchTable + geometryEllipsoidsBatchedChildrenWithBatchTable, ) .then(function (tileset) { return verifyRender(tileset, scene); @@ -599,7 +599,7 @@ describe( scene.primitives.add(globePrimitive); return Cesium3DTilesTester.loadTileset( scene, - geometryEllipsoidsWithBatchIds + geometryEllipsoidsWithBatchIds, ) .then(function (tileset) { return verifyRender(tileset, scene); @@ -624,7 +624,7 @@ describe( scene.primitives.add(globePrimitive); return Cesium3DTilesTester.loadTileset( scene, - geometrySpheresBatchedChildren + geometrySpheresBatchedChildren, ) .then(function (tileset) { return verifyRender(tileset, scene); @@ -638,7 +638,7 @@ describe( scene.primitives.add(globePrimitive); return Cesium3DTilesTester.loadTileset( scene, - geometrySpheresWithBatchTable + geometrySpheresWithBatchTable, ) .then(function (tileset) { return verifyRender(tileset, scene); @@ -652,7 +652,7 @@ describe( scene.primitives.add(globePrimitive); return Cesium3DTilesTester.loadTileset( scene, - geometrySpheresBatchedChildrenWithBatchTable + geometrySpheresBatchedChildrenWithBatchTable, ) .then(function (tileset) { return verifyRender(tileset, scene); @@ -710,7 +710,7 @@ describe( scene.primitives.add(globePrimitive); return Cesium3DTilesTester.loadTileset( scene, - geometryAllBatchedChildrenWithBatchTable + geometryAllBatchedChildrenWithBatchTable, ) .then(function (tileset) { return verifyRender(tileset, scene); @@ -741,30 +741,30 @@ describe( tilesetRectangle.west, center.latitude, center.longitude, - tilesetRectangle.north + tilesetRectangle.north, ); const urRect = new Rectangle( center.longitude, center.longitude, tilesetRectangle.east, - tilesetRectangle.north + tilesetRectangle.north, ); const llRect = new Rectangle( tilesetRectangle.west, tilesetRectangle.south, center.longitude, - center.latitude + center.latitude, ); const lrRect = new Rectangle( center.longitude, tilesetRectangle.south, tilesetRectangle.east, - center.latitude + center.latitude, ); scene.camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(ulRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRenderAndCall(function (rgba) { expect(rgba).not.toEqual([0, 0, 0, 255]); @@ -772,7 +772,7 @@ describe( }); scene.camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(urRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRenderAndCall(function (rgba) { expect(rgba).not.toEqual([0, 0, 0, 255]); @@ -780,7 +780,7 @@ describe( }); scene.camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(llRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRenderAndCall(function (rgba) { expect(rgba).not.toEqual([0, 0, 0, 255]); @@ -788,7 +788,7 @@ describe( }); scene.camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(lrRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRenderAndCall(function (rgba) { expect(rgba).not.toEqual([0, 0, 0, 255]); @@ -800,7 +800,7 @@ describe( it("can get features and properties", function () { return Cesium3DTilesTester.loadTileset( scene, - geometryBoxesWithBatchTable + geometryBoxesWithBatchTable, ).then(function (tileset) { const content = tileset.root.content; expect(content.featuresLength).toBe(1); @@ -813,7 +813,7 @@ describe( it("throws when calling getFeature with invalid index", function () { return Cesium3DTilesTester.loadTileset( scene, - geometryBoxesWithBatchTable + geometryBoxesWithBatchTable, ).then(function (tileset) { const content = tileset.root.content; expect(function () { @@ -833,10 +833,10 @@ describe( version: 2, }); await expectAsync( - Cesium3DTilesTester.createContentForMockTile(arrayBuffer, "geom") + Cesium3DTilesTester.createContentForMockTile(arrayBuffer, "geom"), ).toBeRejectedWithError( RuntimeError, - "Only Geometry tile version 1 is supported. Version 2 is not." + "Only Geometry tile version 1 is supported. Version 2 is not.", ); }); @@ -845,10 +845,10 @@ describe( defineFeatureTable: false, }); await expectAsync( - Cesium3DTilesTester.createContentForMockTile(arrayBuffer, "geom") + Cesium3DTilesTester.createContentForMockTile(arrayBuffer, "geom"), ).toBeRejectedWithError( RuntimeError, - "Feature table must have a byte length greater than zero" + "Feature table must have a byte length greater than zero", ); }); @@ -863,17 +863,17 @@ describe( ellipsoidBatchIds: [2], }); await expectAsync( - Cesium3DTilesTester.createContentForMockTile(arrayBuffer, "geom") + Cesium3DTilesTester.createContentForMockTile(arrayBuffer, "geom"), ).toBeRejectedWithError( RuntimeError, - "If one group of batch ids is defined, then all batch ids must be defined" + "If one group of batch ids is defined, then all batch ids must be defined", ); }); it("destroys", function () { return Cesium3DTilesTester.loadTileset( scene, - geometryBoxesWithBatchTable + geometryBoxesWithBatchTable, ).then(function (tileset) { expect(tileset.isDestroyed()).toEqual(false); scene.primitives.remove(tileset); @@ -948,7 +948,7 @@ describe( metadata: groupMetadata, }); expect(content.group.metadata).toBe(groupMetadata); - } + }, ); }); @@ -958,10 +958,10 @@ describe( const content = tileset.root.content; content.metadata = contentMetadata; expect(content.metadata).toBe(contentMetadata); - } + }, ); }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/GeometryRenderingSpec.js b/packages/engine/Specs/Scene/GeometryRenderingSpec.js index 316131be6ef1..8afb4f78b93f 100644 --- a/packages/engine/Specs/Scene/GeometryRenderingSpec.js +++ b/packages/engine/Specs/Scene/GeometryRenderingSpec.js @@ -232,10 +232,10 @@ describe( }), modelMatrix: Matrix4.multiplyByTranslation( Transforms.eastNorthUpToFixedFrame( - Cartesian3.fromDegrees(-75.59777, 40.03883) + Cartesian3.fromDegrees(-75.59777, 40.03883), ), new Cartesian3(0.0, 0.0, 100000.0), - new Matrix4() + new Matrix4(), ), id: "box", attributes: { @@ -245,7 +245,7 @@ describe( geometry = BoxGeometry.createGeometry(instance.geometry); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - instance.modelMatrix + instance.modelMatrix, ); }); @@ -269,7 +269,7 @@ describe( return renderAsync(instance); }); }, - "WebGL" + "WebGL", ); describe( @@ -283,10 +283,10 @@ describe( }), modelMatrix: Matrix4.multiplyByTranslation( Transforms.eastNorthUpToFixedFrame( - Cartesian3.fromDegrees(-75.59777, 40.03883) + Cartesian3.fromDegrees(-75.59777, 40.03883), ), new Cartesian3(0.0, 0.0, 100000.0), - new Matrix4() + new Matrix4(), ), id: "plane", attributes: { @@ -296,7 +296,7 @@ describe( geometry = PlaneGeometry.createGeometry(instance.geometry); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - instance.modelMatrix + instance.modelMatrix, ); }); @@ -320,7 +320,7 @@ describe( return renderAsync(instance); }); }, - "WebGL" + "WebGL", ); describe( @@ -343,7 +343,7 @@ describe( geometry = CircleGeometry.createGeometry(instance.geometry); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - instance.modelMatrix + instance.modelMatrix, ); }); @@ -367,7 +367,7 @@ describe( return renderAsync(instance); }); }, - "WebGL" + "WebGL", ); describe("CoplanarPolygonGeometry", function () { @@ -376,18 +376,8 @@ describe( instance = new GeometryInstance({ geometry: CoplanarPolygonGeometry.fromPositions({ positions: Cartesian3.fromDegreesArrayHeights([ - 71.0, - -10.0, - 0.0, - 70.0, - 0.0, - 20000.0, - 69.0, - 0.0, - 20000.0, - 68.0, - -10.0, - 0.0, + 71.0, -10.0, 0.0, 70.0, 0.0, 20000.0, 69.0, 0.0, 20000.0, 68.0, + -10.0, 0.0, ]), vertexFormat: PerInstanceColorAppearance.FLAT_VERTEX_FORMAT, }), @@ -397,14 +387,14 @@ describe( Math.random(), Math.random(), Math.random(), - 0.5 + 0.5, ), }, }); geometry = CoplanarPolygonGeometry.createGeometry(instance.geometry); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - instance.modelMatrix + instance.modelMatrix, ); }); @@ -445,27 +435,27 @@ describe( modelMatrix: Matrix4.multiplyByUniformScale( Matrix4.multiplyByTranslation( Transforms.eastNorthUpToFixedFrame( - Cartesian3.fromDegrees(-90.0, 45.0) + Cartesian3.fromDegrees(-90.0, 45.0), ), new Cartesian3(0.0, 0.0, 500000.0), - new Matrix4() + new Matrix4(), ), 90000.0, - new Matrix4() + new Matrix4(), ), attributes: { color: new ColorGeometryInstanceAttribute( Math.random(), Math.random(), Math.random(), - 0.5 + 0.5, ), }, }); geometry = CylinderGeometry.createGeometry(instance.geometry); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - instance.modelMatrix + instance.modelMatrix, ); }); @@ -489,7 +479,7 @@ describe( return renderAsync(instance); }); }, - "WebGL" + "WebGL", ); describe( @@ -513,7 +503,7 @@ describe( geometry = EllipseGeometry.createGeometry(instance.geometry); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - instance.modelMatrix + instance.modelMatrix, ); }); @@ -555,7 +545,7 @@ describe( geometry = EllipseGeometry.createGeometry(rotated.geometry); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - instance.modelMatrix + instance.modelMatrix, ); render3D(rotated); }); @@ -578,12 +568,12 @@ describe( geometry = EllipseGeometry.createGeometry(atHeight.geometry); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - instance.modelMatrix + instance.modelMatrix, ); render3D(atHeight); }); }, - "WebGL" + "WebGL", ); describe( @@ -613,7 +603,7 @@ describe( geometry = EllipseGeometry.createGeometry(instance.geometry); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - instance.modelMatrix + instance.modelMatrix, ); }); @@ -642,14 +632,14 @@ describe( const height = (extrudedHeight - geometryHeight) * 0.5; const transform = Matrix4.multiplyByTranslation( Transforms.eastNorthUpToFixedFrame( - geometry.boundingSphere.center + geometry.boundingSphere.center, ), new Cartesian3(0.0, 0.0, height), - new Matrix4() + new Matrix4(), ); scene.camera.lookAtTransform( transform, - new Cartesian3(0.0, 0.0, geometry.boundingSphere.radius) + new Cartesian3(0.0, 0.0, geometry.boundingSphere.radius), ); scene.camera.rotateDown(CesiumMath.PI); } @@ -659,18 +649,18 @@ describe( it("renders wall", function () { function afterView() { const transform = Transforms.eastNorthUpToFixedFrame( - geometry.boundingSphere.center + geometry.boundingSphere.center, ); scene.camera.lookAtTransform( transform, - new Cartesian3(0.0, 0.0, geometry.boundingSphere.radius) + new Cartesian3(0.0, 0.0, geometry.boundingSphere.radius), ); scene.camera.rotateDown(CesiumMath.PI_OVER_TWO); } render3D(instance, afterView); }); }, - "WebGL" + "WebGL", ); describe( @@ -685,10 +675,10 @@ describe( }), modelMatrix: Matrix4.multiplyByTranslation( Transforms.eastNorthUpToFixedFrame( - Cartesian3.fromDegrees(-100, 20) + Cartesian3.fromDegrees(-100, 20), ), new Cartesian3(0.0, 0.0, 1000000.0), - new Matrix4() + new Matrix4(), ), id: "ellipsoid", attributes: { @@ -698,7 +688,7 @@ describe( geometry = EllipsoidGeometry.createGeometry(instance.geometry); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - instance.modelMatrix + instance.modelMatrix, ); }); @@ -722,7 +712,7 @@ describe( return renderAsync(instance); }); }, - "WebGL" + "WebGL", ); describe( @@ -737,10 +727,10 @@ describe( }), modelMatrix: Matrix4.multiplyByTranslation( Transforms.eastNorthUpToFixedFrame( - Cartesian3.fromDegrees(-100, 20) + Cartesian3.fromDegrees(-100, 20), ), new Cartesian3(0.0, 0.0, 1000000.0), - new Matrix4() + new Matrix4(), ), id: "sphere", attributes: { @@ -750,7 +740,7 @@ describe( geometry = SphereGeometry.createGeometry(instance.geometry); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - instance.modelMatrix + instance.modelMatrix, ); }); @@ -774,7 +764,7 @@ describe( return renderAsync(instance); }); }, - "WebGL" + "WebGL", ); describe( @@ -798,7 +788,7 @@ describe( geometry = RectangleGeometry.createGeometry(instance.geometry); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - instance.modelMatrix + instance.modelMatrix, ); }); @@ -837,7 +827,7 @@ describe( geometry = RectangleGeometry.createGeometry(rotated.geometry); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - instance.modelMatrix + instance.modelMatrix, ); render3D(rotated); }); @@ -857,7 +847,7 @@ describe( geometry = RectangleGeometry.createGeometry(rotated.geometry); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - instance.modelMatrix + instance.modelMatrix, ); render3D(rotated, undefined, appearance); }); @@ -877,12 +867,12 @@ describe( geometry = RectangleGeometry.createGeometry(atHeight.geometry); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - instance.modelMatrix + instance.modelMatrix, ); render3D(atHeight); }); }, - "WebGL" + "WebGL", ); describe( @@ -912,7 +902,7 @@ describe( geometry = RectangleGeometry.createGeometry(instance.geometry); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - instance.modelMatrix + instance.modelMatrix, ); }); @@ -939,11 +929,11 @@ describe( it("renders bottom", function () { function afterView() { const transform = Transforms.eastNorthUpToFixedFrame( - geometry.boundingSphereWC.center + geometry.boundingSphereWC.center, ); scene.camera.lookAtTransform( transform, - new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius) + new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius), ); scene.camera.rotateDown(CesiumMath.PI); } @@ -953,11 +943,11 @@ describe( it("renders north wall", function () { function afterView() { const transform = Transforms.eastNorthUpToFixedFrame( - geometry.boundingSphereWC.center + geometry.boundingSphereWC.center, ); scene.camera.lookAtTransform( transform, - new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius) + new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius), ); scene.camera.rotateDown(-CesiumMath.PI_OVER_TWO); } @@ -967,11 +957,11 @@ describe( it("renders south wall", function () { function afterView() { const transform = Transforms.eastNorthUpToFixedFrame( - geometry.boundingSphereWC.center + geometry.boundingSphereWC.center, ); scene.camera.lookAtTransform( transform, - new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius) + new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius), ); scene.camera.rotateDown(CesiumMath.PI_OVER_TWO); } @@ -981,11 +971,11 @@ describe( it("renders west wall", function () { function afterView() { const transform = Transforms.eastNorthUpToFixedFrame( - geometry.boundingSphereWC.center + geometry.boundingSphereWC.center, ); scene.camera.lookAtTransform( transform, - new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius) + new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius), ); scene.camera.rotateRight(-CesiumMath.PI_OVER_TWO); } @@ -995,18 +985,18 @@ describe( it("renders east wall", function () { function afterView() { const transform = Transforms.eastNorthUpToFixedFrame( - geometry.boundingSphereWC.center + geometry.boundingSphereWC.center, ); scene.camera.lookAtTransform( transform, - new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius) + new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius), ); scene.camera.rotateRight(CesiumMath.PI_OVER_TWO); } render3D(instance, afterView); }); }, - "WebGL" + "WebGL", ); describe( @@ -1019,14 +1009,7 @@ describe( vertexFormat: PerInstanceColorAppearance.FLAT_VERTEX_FORMAT, ellipsoid: ellipsoid, positions: Cartesian3.fromDegreesArray([ - 0.0, - 45.0, - 10.0, - 45.0, - 10.0, - 55.0, - 0.0, - 55.0, + 0.0, 45.0, 10.0, 45.0, 10.0, 55.0, 0.0, 55.0, ]), }), id: "polygon", @@ -1037,7 +1020,7 @@ describe( geometry = PolygonGeometry.createGeometry(instance.geometry); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - instance.modelMatrix + instance.modelMatrix, ); }); @@ -1067,14 +1050,7 @@ describe( vertexFormat: PerInstanceColorAppearance.FLAT_VERTEX_FORMAT, ellipsoid: ellipsoid, positions: Cartesian3.fromDegreesArray([ - 0.0, - 45.0, - 10.0, - 45.0, - 10.0, - 55.0, - 0.0, - 55.0, + 0.0, 45.0, 10.0, 45.0, 10.0, 55.0, 0.0, 55.0, ]), height: 3000000.0, }), @@ -1086,7 +1062,7 @@ describe( geometry = PolygonGeometry.createGeometry(atHeight.geometry); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - atHeight.modelMatrix + atHeight.modelMatrix, ); render3D(atHeight); }); @@ -1097,38 +1073,17 @@ describe( vertexFormat: PerInstanceColorAppearance.FLAT_VERTEX_FORMAT, polygonHierarchy: { positions: Cartesian3.fromDegreesArray([ - -109.0, - 30.0, - -95.0, - 30.0, - -95.0, - 40.0, - -109.0, - 40.0, + -109.0, 30.0, -95.0, 30.0, -95.0, 40.0, -109.0, 40.0, ]), holes: [ { positions: Cartesian3.fromDegreesArray([ - -107.0, - 31.0, - -107.0, - 39.0, - -97.0, - 39.0, - -97.0, - 31.0, + -107.0, 31.0, -107.0, 39.0, -97.0, 39.0, -97.0, 31.0, ]), holes: [ { positions: Cartesian3.fromDegreesArray([ - -106.5, - 31.5, - -97.5, - 31.5, - -97.5, - 38.5, - -106.5, - 38.5, + -106.5, 31.5, -97.5, 31.5, -97.5, 38.5, -106.5, 38.5, ]), }, ], @@ -1144,12 +1099,12 @@ describe( geometry = PolygonGeometry.createGeometry(hierarchy.geometry); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - hierarchy.modelMatrix + hierarchy.modelMatrix, ); render3D(hierarchy); }); }, - "WebGL" + "WebGL", ); describe( @@ -1168,14 +1123,7 @@ describe( vertexFormat: PerInstanceColorAppearance.FLAT_VERTEX_FORMAT, ellipsoid: ellipsoid, positions: Cartesian3.fromDegreesArray([ - -1.0, - -1.0, - 1.0, - -1.0, - 1.0, - 1.0, - -1.0, - 1.0, + -1.0, -1.0, 1.0, -1.0, 1.0, 1.0, -1.0, 1.0, ]), height: geometryHeight, extrudedHeight: extrudedHeight, @@ -1188,7 +1136,7 @@ describe( geometry = PolygonGeometry.createGeometry(instance.geometry); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - instance.modelMatrix + instance.modelMatrix, ); }); @@ -1217,14 +1165,14 @@ describe( const height = (extrudedHeight - geometryHeight) * 0.5; const transform = Matrix4.multiplyByTranslation( Transforms.eastNorthUpToFixedFrame( - geometry.boundingSphere.center + geometry.boundingSphere.center, ), new Cartesian3(0.0, 0.0, height), - new Matrix4() + new Matrix4(), ); scene.camera.lookAtTransform( transform, - new Cartesian3(0.0, 0.0, geometry.boundingSphere.radius) + new Cartesian3(0.0, 0.0, geometry.boundingSphere.radius), ); scene.camera.rotateDown(CesiumMath.PI); } @@ -1234,11 +1182,11 @@ describe( it("renders wall 1", function () { function afterView() { const transform = Transforms.eastNorthUpToFixedFrame( - geometry.boundingSphere.center + geometry.boundingSphere.center, ); scene.camera.lookAtTransform( transform, - new Cartesian3(0.0, 0.0, geometry.boundingSphere.radius) + new Cartesian3(0.0, 0.0, geometry.boundingSphere.radius), ); scene.camera.rotateUp(CesiumMath.PI_OVER_TWO); } @@ -1248,11 +1196,11 @@ describe( it("renders wall 2", function () { function afterView() { const transform = Transforms.eastNorthUpToFixedFrame( - geometry.boundingSphere.center + geometry.boundingSphere.center, ); scene.camera.lookAtTransform( transform, - new Cartesian3(0.0, 0.0, geometry.boundingSphere.radius) + new Cartesian3(0.0, 0.0, geometry.boundingSphere.radius), ); scene.camera.rotateDown(-CesiumMath.PI_OVER_TWO); } @@ -1262,11 +1210,11 @@ describe( it("renders wall 3", function () { function afterView() { const transform = Transforms.eastNorthUpToFixedFrame( - geometry.boundingSphere.center + geometry.boundingSphere.center, ); scene.camera.lookAtTransform( transform, - new Cartesian3(0.0, 0.0, geometry.boundingSphere.radius) + new Cartesian3(0.0, 0.0, geometry.boundingSphere.radius), ); scene.camera.rotateRight(-CesiumMath.PI_OVER_TWO); } @@ -1276,11 +1224,11 @@ describe( it("renders wall 4", function () { function afterView() { const transform = Transforms.eastNorthUpToFixedFrame( - geometry.boundingSphere.center + geometry.boundingSphere.center, ); scene.camera.lookAtTransform( transform, - new Cartesian3(0.0, 0.0, geometry.boundingSphere.radius) + new Cartesian3(0.0, 0.0, geometry.boundingSphere.radius), ); scene.camera.rotateRight(CesiumMath.PI_OVER_TWO); } @@ -1293,18 +1241,8 @@ describe( vertexFormat: PerInstanceColorAppearance.VERTEX_FORMAT, ellipsoid: ellipsoid, positions: Cartesian3.fromDegreesArrayHeights([ - -108.0, - -25.0, - 500000, - -100.0, - -25.0, - 500000, - -100.0, - -30.0, - 500000, - -108.0, - -30.0, - 500000, + -108.0, -25.0, 500000, -100.0, -25.0, 500000, -100.0, -30.0, + 500000, -108.0, -30.0, 500000, ]), perPositionHeight: true, extrudedHeight: 0, @@ -1317,16 +1255,16 @@ describe( geometry = PolygonGeometry.createGeometry(instance.geometry); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - instance.modelMatrix + instance.modelMatrix, ); function afterView() { const transform = Transforms.eastNorthUpToFixedFrame( - geometry.boundingSphereWC.center + geometry.boundingSphereWC.center, ); scene.camera.lookAtTransform( transform, - new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius) + new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius), ); scene.camera.rotateDown(-CesiumMath.PI_OVER_TWO); scene.camera.moveForward(geometry.boundingSphereWC.radius * 0.75); @@ -1334,7 +1272,7 @@ describe( render3D(instance, afterView); }); }, - "WebGL" + "WebGL", ); describe( @@ -1367,23 +1305,23 @@ describe( geometry = WallGeometry.createGeometry(instance.geometry); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - instance.modelMatrix + instance.modelMatrix, ); afterView3D = function () { const transform = Transforms.eastNorthUpToFixedFrame( - geometry.boundingSphereWC.center + geometry.boundingSphereWC.center, ); scene.camera.lookAtTransform( transform, - new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius) + new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius), ); scene.camera.rotateDown(-CesiumMath.PI_OVER_TWO); }; afterViewCV = function () { const transform = Transforms.eastNorthUpToFixedFrame( - geometry.boundingSphereWC.center + geometry.boundingSphereWC.center, ); Matrix4.clone(transform, scene.camera._transform); scene.camera.rotateDown(-CesiumMath.PI_OVER_TWO); @@ -1410,7 +1348,7 @@ describe( return renderAsync(instance, afterView3D); }); }, - "WebGL" + "WebGL", ); describe( @@ -1438,7 +1376,7 @@ describe( geometry = CorridorGeometry.createGeometry(instance.geometry); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - instance.modelMatrix + instance.modelMatrix, ); }); @@ -1479,12 +1417,12 @@ describe( geometry = CorridorGeometry.createGeometry(atHeight.geometry); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - atHeight.modelMatrix + atHeight.modelMatrix, ); render3D(atHeight); }); }, - "WebGL" + "WebGL", ); describe( @@ -1517,7 +1455,7 @@ describe( geometry = CorridorGeometry.createGeometry(instance.geometry); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - instance.modelMatrix + instance.modelMatrix, ); }); @@ -1546,14 +1484,14 @@ describe( const height = (extrudedHeight - geometryHeight) * 0.5; const transform = Matrix4.multiplyByTranslation( Transforms.eastNorthUpToFixedFrame( - geometry.boundingSphereWC.center + geometry.boundingSphereWC.center, ), new Cartesian3(0.0, 0.0, height), - new Matrix4() + new Matrix4(), ); scene.camera.lookAtTransform( transform, - new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius) + new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius), ); scene.camera.rotateDown(CesiumMath.PI); } @@ -1563,11 +1501,11 @@ describe( it("renders north wall", function () { function afterView() { const transform = Transforms.eastNorthUpToFixedFrame( - geometry.boundingSphereWC.center + geometry.boundingSphereWC.center, ); scene.camera.lookAtTransform( transform, - new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius) + new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius), ); scene.camera.rotateDown(-CesiumMath.PI_OVER_TWO); } @@ -1577,11 +1515,11 @@ describe( it("renders south wall", function () { function afterView() { const transform = Transforms.eastNorthUpToFixedFrame( - geometry.boundingSphereWC.center + geometry.boundingSphereWC.center, ); scene.camera.lookAtTransform( transform, - new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius) + new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius), ); scene.camera.rotateDown(CesiumMath.PI_OVER_TWO); } @@ -1591,11 +1529,11 @@ describe( it("renders west wall", function () { function afterView() { const transform = Transforms.eastNorthUpToFixedFrame( - geometry.boundingSphereWC.center + geometry.boundingSphereWC.center, ); scene.camera.lookAtTransform( transform, - new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius) + new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius), ); scene.camera.rotateRight(-CesiumMath.PI_OVER_TWO); } @@ -1605,18 +1543,18 @@ describe( it("renders east wall", function () { function afterView() { const transform = Transforms.eastNorthUpToFixedFrame( - geometry.boundingSphereWC.center + geometry.boundingSphereWC.center, ); scene.camera.lookAtTransform( transform, - new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius) + new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius), ); scene.camera.rotateRight(CesiumMath.PI_OVER_TWO); } render3D(instance, afterView); }); }, - "WebGL" + "WebGL", ); describe( @@ -1652,7 +1590,7 @@ describe( geometry = PolylineVolumeGeometry.createGeometry(instance.geometry); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - instance.modelMatrix + instance.modelMatrix, ); }); @@ -1681,14 +1619,14 @@ describe( const height = geometryHeight * 0.5; const transform = Matrix4.multiplyByTranslation( Transforms.eastNorthUpToFixedFrame( - geometry.boundingSphereWC.center + geometry.boundingSphereWC.center, ), new Cartesian3(0.0, 0.0, height), - new Matrix4() + new Matrix4(), ); scene.camera.lookAtTransform( transform, - new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius) + new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius), ); scene.camera.rotateDown(CesiumMath.PI); } @@ -1698,11 +1636,11 @@ describe( it("renders north wall", function () { function afterView() { const transform = Transforms.eastNorthUpToFixedFrame( - geometry.boundingSphereWC.center + geometry.boundingSphereWC.center, ); scene.camera.lookAtTransform( transform, - new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius) + new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius), ); scene.camera.rotateDown(-CesiumMath.PI_OVER_TWO); } @@ -1712,11 +1650,11 @@ describe( it("renders south wall", function () { function afterView() { const transform = Transforms.eastNorthUpToFixedFrame( - geometry.boundingSphereWC.center + geometry.boundingSphereWC.center, ); scene.camera.lookAtTransform( transform, - new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius) + new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius), ); scene.camera.rotateDown(CesiumMath.PI_OVER_TWO); } @@ -1726,11 +1664,11 @@ describe( it("renders west wall", function () { function afterView() { const transform = Transforms.eastNorthUpToFixedFrame( - geometry.boundingSphereWC.center + geometry.boundingSphereWC.center, ); scene.camera.lookAtTransform( transform, - new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius) + new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius), ); scene.camera.rotateRight(-CesiumMath.PI_OVER_TWO); } @@ -1740,18 +1678,18 @@ describe( it("renders east wall", function () { function afterView() { const transform = Transforms.eastNorthUpToFixedFrame( - geometry.boundingSphereWC.center + geometry.boundingSphereWC.center, ); scene.camera.lookAtTransform( transform, - new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius) + new Cartesian3(0.0, 0.0, geometry.boundingSphereWC.radius), ); scene.camera.rotateRight(CesiumMath.PI_OVER_TWO); } render3D(instance, afterView); }); }, - "WebGL" + "WebGL", ); describe( @@ -1771,7 +1709,7 @@ describe( geometry = SimplePolylineGeometry.createGeometry(instance.geometry); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - instance.modelMatrix + instance.modelMatrix, ); }); @@ -1809,7 +1747,7 @@ describe( geometry = SimplePolylineGeometry.createGeometry(instance.geometry); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - instance.modelMatrix + instance.modelMatrix, ); render3D(instance); }); @@ -1829,12 +1767,12 @@ describe( geometry = SimplePolylineGeometry.createGeometry(instance.geometry); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - instance.modelMatrix + instance.modelMatrix, ); render3D(instance); }); }, - "WebGL" + "WebGL", ); describe( @@ -1861,7 +1799,7 @@ describe( geometry = PolylineGeometry.createGeometry(instance.geometry); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - instance.modelMatrix + instance.modelMatrix, ); }); @@ -1901,7 +1839,7 @@ describe( geometry = PolylineGeometry.createGeometry(instance.geometry); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - instance.modelMatrix + instance.modelMatrix, ); render3D(instance, undefined, appearance); }); @@ -1923,12 +1861,12 @@ describe( geometry = PolylineGeometry.createGeometry(instance.geometry); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - instance.modelMatrix + instance.modelMatrix, ); render3D(instance, undefined, appearance); }); }, - "WebGL" + "WebGL", ); describe("Custom geometry", function () { @@ -1944,18 +1882,8 @@ describe( componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - 1000000.0, - 0.0, - 0.0, - 1000000.0, - 1000000.0, - 0.0, - 1000000.0, - 0.0, - 1000000.0, - 1000000.0, - 1000000.0, - 1000000.0, + 1000000.0, 0.0, 0.0, 1000000.0, 1000000.0, 0.0, 1000000.0, + 0.0, 1000000.0, 1000000.0, 1000000.0, 1000000.0, ]), }), }, @@ -1964,10 +1892,10 @@ describe( }), modelMatrix: Matrix4.multiplyByTranslation( Transforms.eastNorthUpToFixedFrame( - Cartesian3.fromDegrees(0, 0) + Cartesian3.fromDegrees(0, 0), ), new Cartesian3(0.0, 0.0, 10000.0), - new Matrix4() + new Matrix4(), ), id: "customWithIndices", attributes: { @@ -1976,11 +1904,11 @@ describe( }); geometry = instance.geometry; geometry.boundingSphere = BoundingSphere.fromVertices( - instance.geometry.attributes.position.values + instance.geometry.attributes.position.values, ); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - instance.modelMatrix + instance.modelMatrix, ); }); @@ -2000,7 +1928,7 @@ describe( pickGeometry(instance); }); }, - "WebGL" + "WebGL", ); describe( @@ -2015,24 +1943,9 @@ describe( componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: new Float64Array([ - 1000000.0, - 0.0, - 0.0, - 1000000.0, - 1000000.0, - 0.0, - 1000000.0, - 0.0, - 1000000.0, - 1000000.0, - 0.0, - 1000000.0, - 1000000.0, - 1000000.0, - 0.0, - 1000000.0, - 1000000.0, - 1000000.0, + 1000000.0, 0.0, 0.0, 1000000.0, 1000000.0, 0.0, 1000000.0, + 0.0, 1000000.0, 1000000.0, 0.0, 1000000.0, 1000000.0, + 1000000.0, 0.0, 1000000.0, 1000000.0, 1000000.0, ]), }), }, @@ -2040,10 +1953,10 @@ describe( }), modelMatrix: Matrix4.multiplyByTranslation( Transforms.eastNorthUpToFixedFrame( - Cartesian3.fromDegrees(0, 0) + Cartesian3.fromDegrees(0, 0), ), new Cartesian3(0.0, 0.0, 10000.0), - new Matrix4() + new Matrix4(), ), id: "customWithoutIndices", attributes: { @@ -2052,11 +1965,11 @@ describe( }); geometry = instance.geometry; geometry.boundingSphere = BoundingSphere.fromVertices( - instance.geometry.attributes.position.values + instance.geometry.attributes.position.values, ); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - instance.modelMatrix + instance.modelMatrix, ); }); @@ -2076,7 +1989,7 @@ describe( pickGeometry(instance); }); }, - "WebGL" + "WebGL", ); describe( @@ -2091,18 +2004,8 @@ describe( componentDatatype: ComponentDatatype.DOUBLE, componentsPerAttribute: 3, values: [ - 1000000.0, - 0.0, - 0.0, - 1000000.0, - 1000000.0, - 0.0, - 1000000.0, - 0.0, - 1000000.0, - 1000000.0, - 1000000.0, - 1000000.0, + 1000000.0, 0.0, 0.0, 1000000.0, 1000000.0, 0.0, 1000000.0, + 0.0, 1000000.0, 1000000.0, 1000000.0, 1000000.0, ], }), }, @@ -2111,10 +2014,10 @@ describe( }), modelMatrix: Matrix4.multiplyByTranslation( Transforms.eastNorthUpToFixedFrame( - Cartesian3.fromDegrees(0, 0) + Cartesian3.fromDegrees(0, 0), ), new Cartesian3(0.0, 0.0, 10000.0), - new Matrix4() + new Matrix4(), ), id: "customWithIndices", attributes: { @@ -2123,11 +2026,11 @@ describe( }); geometry = instance.geometry; geometry.boundingSphere = BoundingSphere.fromVertices( - instance.geometry.attributes.position.values + instance.geometry.attributes.position.values, ); geometry.boundingSphereWC = BoundingSphere.transform( geometry.boundingSphere, - instance.modelMatrix + instance.modelMatrix, ); }); @@ -2147,9 +2050,9 @@ describe( pickGeometry(instance); }); }, - "WebGL" + "WebGL", ); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/GlobeSpec.js b/packages/engine/Specs/Scene/GlobeSpec.js index af2863a7a40f..4a4d25f4ce25 100644 --- a/packages/engine/Specs/Scene/GlobeSpec.js +++ b/packages/engine/Specs/Scene/GlobeSpec.js @@ -48,7 +48,7 @@ describe( data, headers, deferred, - overrideMimeType + overrideMimeType, ) { if (url.indexOf("layer.json") >= 0) { Resource._DefaultImplementations.loadWithXhr( @@ -57,7 +57,7 @@ describe( method, data, headers, - deferred + deferred, ); } else { return oldLoad( @@ -67,7 +67,7 @@ describe( data, headers, deferred, - overrideMimeType + overrideMimeType, ); } }; @@ -75,7 +75,7 @@ describe( function returnVertexNormalTileJson() { return returnTileJson( - "Data/CesiumTerrainTileJson/VertexNormals.tile.json" + "Data/CesiumTerrainTileJson/VertexNormals.tile.json", ); } @@ -98,7 +98,7 @@ describe( const layerCollection = globe.imageryLayers; layerCollection.removeAll(); const provider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); layerCollection.addImageryProvider(provider); @@ -121,7 +121,7 @@ describe( const layerCollection = globe.imageryLayers; layerCollection.removeAll(); const provider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); layerCollection.addImageryProvider(provider); @@ -145,7 +145,7 @@ describe( const layerCollection = globe.imageryLayers; layerCollection.removeAll(); const provider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); layerCollection.addImageryProvider(provider); @@ -167,7 +167,7 @@ describe( const layerCollection = globe.imageryLayers; layerCollection.removeAll(); const provider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); layerCollection.addImageryProvider(provider); @@ -193,7 +193,7 @@ describe( const layerCollection = globe.imageryLayers; layerCollection.removeAll(); const provider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); const layer = layerCollection.addImageryProvider(provider); layerCollection.addImageryProvider(provider); @@ -232,7 +232,7 @@ describe( "made/up/url", { requestVertexNormals: true, - } + }, ); const spyListener = jasmine.createSpy("listener"); @@ -268,7 +268,7 @@ describe( "made/up/url", { requestVertexNormals: true, - } + }, ); globe.terrainProvider = terrainProvider; @@ -286,7 +286,7 @@ describe( const layerCollection = globe.imageryLayers; layerCollection.removeAll(); const imageryProvider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); layerCollection.addImageryProvider(imageryProvider); Resource._Implementations.loadWithXhr = function ( @@ -296,7 +296,7 @@ describe( data, headers, deferred, - overrideMimeType + overrideMimeType, ) { Resource._DefaultImplementations.loadWithXhr( "Data/CesiumTerrainTileJson/tile.vertexnormals.terrain", @@ -304,7 +304,7 @@ describe( method, data, headers, - deferred + deferred, ); }; @@ -314,7 +314,7 @@ describe( "made/up/url", { requestVertexNormals: true, - } + }, ); globe.terrainProvider = terrainProvider; @@ -339,7 +339,7 @@ describe( const layerCollection = globe.imageryLayers; layerCollection.removeAll(); const imageryProvider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); layerCollection.addImageryProvider(imageryProvider); Resource._Implementations.loadWithXhr = function ( @@ -349,7 +349,7 @@ describe( data, headers, deferred, - overrideMimeType + overrideMimeType, ) { Resource._DefaultImplementations.loadWithXhr( "Data/CesiumTerrainTileJson/tile.vertexnormals.terrain", @@ -357,7 +357,7 @@ describe( method, data, headers, - deferred + deferred, ); }; @@ -367,7 +367,7 @@ describe( "made/up/url", { requestVertexNormals: true, - } + }, ); globe.terrainProvider = terrainProvider; @@ -395,7 +395,7 @@ describe( const layerCollection = globe.imageryLayers; layerCollection.removeAll(); const imageryProvider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); layerCollection.addImageryProvider(imageryProvider); Resource._Implementations.loadWithXhr = function ( @@ -405,7 +405,7 @@ describe( data, headers, deferred, - overrideMimeType + overrideMimeType, ) { Resource._DefaultImplementations.loadWithXhr( "Data/CesiumTerrainTileJson/tile.vertexnormals.terrain", @@ -413,7 +413,7 @@ describe( method, data, headers, - deferred + deferred, ); }; @@ -423,7 +423,7 @@ describe( "made/up/url", { requestVertexNormals: true, - } + }, ); globe.terrainProvider = terrainProvider; @@ -445,7 +445,7 @@ describe( const layerCollection = globe.imageryLayers; layerCollection.removeAll(); const provider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Blue.png" + "Data/Images/Blue.png", ); layerCollection.addImageryProvider(provider); @@ -467,7 +467,7 @@ describe( const layerCollection = globe.imageryLayers; layerCollection.removeAll(); const provider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Blue.png" + "Data/Images/Blue.png", ); layerCollection.addImageryProvider(provider); @@ -489,7 +489,7 @@ describe( const layerCollection = globe.imageryLayers; layerCollection.removeAll(); const provider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Blue.png" + "Data/Images/Blue.png", ); layerCollection.addImageryProvider(provider); @@ -541,7 +541,7 @@ describe( command = scene.frameState.commandList[0]; expect(command.count).toBeLessThan(indexCount); expect(command.count).toBe( - command.owner.data.renderedMesh.indexCountWithoutSkirts + command.owner.data.renderedMesh.indexCountWithoutSkirts, ); }); }); @@ -557,12 +557,12 @@ describe( destination: new Cartesian3( -524251.65918537375, -5316355.5357514685, - 3400179.253223899 + 3400179.253223899, ), orientation: new HeadingPitchRoll( 0.22779127099032603, -0.7030060668670961, - 0.0024147223687949193 + 0.0024147223687949193, ), }); @@ -583,19 +583,19 @@ describe( radius * 0.25, 0.0, radius * 2.0, - 1.0 + 1.0, ); scene.camera.setView({ destination: new Cartesian3( -524251.65918537375, -5316355.5357514685, - 3400179.253223899 + 3400179.253223899, ), orientation: new HeadingPitchRoll( 0.24245689061958142, -0.445653254172905, - 0.0024147223687949193 + 0.0024147223687949193, ), }); @@ -613,10 +613,10 @@ describe( 1.0, 0.0, 0.0, - 1.0 + 1.0, ); }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/GlobeSurfaceTileProviderSpec.js b/packages/engine/Specs/Scene/GlobeSurfaceTileProviderSpec.js index b591e61c10c6..a108ae40c1e0 100644 --- a/packages/engine/Specs/Scene/GlobeSurfaceTileProviderSpec.js +++ b/packages/engine/Specs/Scene/GlobeSurfaceTileProviderSpec.js @@ -47,7 +47,7 @@ describe( quadtreePrimitive, minimumTiles, maximumTiles, - callback + callback, ) { let tileCount = 0; quadtreePrimitive.forEachRenderedTile(function (tile) { @@ -113,7 +113,7 @@ describe( it("conforms to QuadtreeTileProvider interface", function () { expect(GlobeSurfaceTileProvider).toConformToInterface( - QuadtreeTileProvider + QuadtreeTileProvider, ); }); @@ -138,7 +138,7 @@ describe( }); } expect( - constructWithoutImageryLayerCollection + constructWithoutImageryLayerCollection, ).toThrowDeveloperError(); }); @@ -150,11 +150,11 @@ describe( }); } expect( - constructWithoutImageryLayerCollection + constructWithoutImageryLayerCollection, ).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); describe( @@ -162,181 +162,202 @@ describe( function () { it("removing a layer removes it from all tiles", async function () { const provider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); const layer = scene.imageryLayers.addImageryProvider(provider); await updateUntilDone(scene.globe); // All tiles should have one or more associated images. - forEachRenderedTile(scene.globe._surface, 1, undefined, function ( - tile - ) { - expect(tile.data.imagery.length).toBeGreaterThan(0); - for (let i = 0; i < tile.data.imagery.length; ++i) { - const imagery = defaultValue( - tile.data.imagery[i].readyImagery, - tile.data.imagery[i].loadingImagery - ); - expect(imagery.imageryLayer).toEqual(layer); - } - }); + forEachRenderedTile( + scene.globe._surface, + 1, + undefined, + function (tile) { + expect(tile.data.imagery.length).toBeGreaterThan(0); + for (let i = 0; i < tile.data.imagery.length; ++i) { + const imagery = defaultValue( + tile.data.imagery[i].readyImagery, + tile.data.imagery[i].loadingImagery, + ); + expect(imagery.imageryLayer).toEqual(layer); + } + }, + ); scene.imageryLayers.remove(layer); // All associated images should be gone. - forEachRenderedTile(scene.globe._surface, 1, undefined, function ( - tile - ) { - expect(tile.data.imagery.length).toEqual(0); - }); + forEachRenderedTile( + scene.globe._surface, + 1, + undefined, + function (tile) { + expect(tile.data.imagery.length).toEqual(0); + }, + ); }); it("adding a layer adds it to all tiles after update", async function () { const provider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); scene.imageryLayers.addImageryProvider(provider); await updateUntilDone(scene.globe); const provider2 = await SingleTileImageryProvider.fromUrl( - "Data/Images/Green4x4.png" + "Data/Images/Green4x4.png", ); // Add another layer const layer2 = scene.imageryLayers.addImageryProvider(provider2); await updateUntilDone(scene.globe); // All tiles should have one or more associated images. - forEachRenderedTile(scene.globe._surface, 1, undefined, function ( - tile - ) { - expect(tile.data.imagery.length).toBeGreaterThan(0); - let hasImageFromLayer2 = false; - for (let i = 0; i < tile.data.imagery.length; ++i) { - let imageryTile = tile.data.imagery[i].readyImagery; - if (!defined(imageryTile)) { - imageryTile = tile.data.imagery[i].loadingImagery; - } - if (imageryTile.imageryLayer === layer2) { - hasImageFromLayer2 = true; + forEachRenderedTile( + scene.globe._surface, + 1, + undefined, + function (tile) { + expect(tile.data.imagery.length).toBeGreaterThan(0); + let hasImageFromLayer2 = false; + for (let i = 0; i < tile.data.imagery.length; ++i) { + let imageryTile = tile.data.imagery[i].readyImagery; + if (!defined(imageryTile)) { + imageryTile = tile.data.imagery[i].loadingImagery; + } + if (imageryTile.imageryLayer === layer2) { + hasImageFromLayer2 = true; + } } - } - expect(hasImageFromLayer2).toEqual(true); - }); + expect(hasImageFromLayer2).toEqual(true); + }, + ); }); it("moving a layer moves the corresponding TileImagery instances on every tile", async function () { const provider1 = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); const layer1 = scene.imageryLayers.addImageryProvider(provider1); const provider2 = await SingleTileImageryProvider.fromUrl( - "Data/Images/Green4x4.png" + "Data/Images/Green4x4.png", ); const layer2 = scene.imageryLayers.addImageryProvider(provider2); await updateUntilDone(scene.globe); - forEachRenderedTile(scene.globe._surface, 1, undefined, function ( - tile - ) { - expect(tile.data.imagery.length).toBeGreaterThan(0); - let indexOfFirstLayer1 = tile.data.imagery.length; - let indexOfLastLayer1 = -1; - let indexOfFirstLayer2 = tile.data.imagery.length; - for (let i = 0; i < tile.data.imagery.length; ++i) { - const imagery = defaultValue( - tile.data.imagery[i].readyImagery, - tile.data.imagery[i].loadingImagery - ); - if (imagery.imageryLayer === layer1) { - indexOfFirstLayer1 = Math.min(indexOfFirstLayer1, i); - indexOfLastLayer1 = i; - } else { - expect(imagery.imageryLayer).toEqual(layer2); - indexOfFirstLayer2 = Math.min(indexOfFirstLayer2, i); + forEachRenderedTile( + scene.globe._surface, + 1, + undefined, + function (tile) { + expect(tile.data.imagery.length).toBeGreaterThan(0); + let indexOfFirstLayer1 = tile.data.imagery.length; + let indexOfLastLayer1 = -1; + let indexOfFirstLayer2 = tile.data.imagery.length; + for (let i = 0; i < tile.data.imagery.length; ++i) { + const imagery = defaultValue( + tile.data.imagery[i].readyImagery, + tile.data.imagery[i].loadingImagery, + ); + if (imagery.imageryLayer === layer1) { + indexOfFirstLayer1 = Math.min(indexOfFirstLayer1, i); + indexOfLastLayer1 = i; + } else { + expect(imagery.imageryLayer).toEqual(layer2); + indexOfFirstLayer2 = Math.min(indexOfFirstLayer2, i); + } } - } - expect(indexOfFirstLayer1).toBeLessThan(indexOfFirstLayer2); - expect(indexOfLastLayer1).toBeLessThan(indexOfFirstLayer2); - }); + expect(indexOfFirstLayer1).toBeLessThan(indexOfFirstLayer2); + expect(indexOfLastLayer1).toBeLessThan(indexOfFirstLayer2); + }, + ); scene.imageryLayers.raiseToTop(layer1); await updateUntilDone(scene.globe); - forEachRenderedTile(scene.globe._surface, 1, undefined, function ( - tile - ) { - expect(tile.data.imagery.length).toBeGreaterThan(0); - let indexOfFirstLayer2 = tile.data.imagery.length; - let indexOfLastLayer2 = -1; - let indexOfFirstLayer1 = tile.data.imagery.length; - for (let i = 0; i < tile.data.imagery.length; ++i) { - if (tile.data.imagery[i].readyImagery.imageryLayer === layer2) { - indexOfFirstLayer2 = Math.min(indexOfFirstLayer2, i); - indexOfLastLayer2 = i; - } else { - expect(tile.data.imagery[i].readyImagery.imageryLayer).toEqual( - layer1 - ); - indexOfFirstLayer1 = Math.min(indexOfFirstLayer1, i); + forEachRenderedTile( + scene.globe._surface, + 1, + undefined, + function (tile) { + expect(tile.data.imagery.length).toBeGreaterThan(0); + let indexOfFirstLayer2 = tile.data.imagery.length; + let indexOfLastLayer2 = -1; + let indexOfFirstLayer1 = tile.data.imagery.length; + for (let i = 0; i < tile.data.imagery.length; ++i) { + if (tile.data.imagery[i].readyImagery.imageryLayer === layer2) { + indexOfFirstLayer2 = Math.min(indexOfFirstLayer2, i); + indexOfLastLayer2 = i; + } else { + expect( + tile.data.imagery[i].readyImagery.imageryLayer, + ).toEqual(layer1); + indexOfFirstLayer1 = Math.min(indexOfFirstLayer1, i); + } } - } - expect(indexOfFirstLayer2).toBeLessThan(indexOfFirstLayer1); - expect(indexOfLastLayer2).toBeLessThan(indexOfFirstLayer1); - }); + expect(indexOfFirstLayer2).toBeLessThan(indexOfFirstLayer1); + expect(indexOfLastLayer2).toBeLessThan(indexOfFirstLayer1); + }, + ); }); it("adding a layer creates its skeletons only once", async function () { const provider1 = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); scene.imageryLayers.addImageryProvider(provider1); await updateUntilDone(scene.globe); // Add another layer const provider2 = await SingleTileImageryProvider.fromUrl( - "Data/Images/Green4x4.png" + "Data/Images/Green4x4.png", ); const layer2 = scene.imageryLayers.addImageryProvider(provider2); await updateUntilDone(scene.globe); // All tiles should have one or more associated images. - forEachRenderedTile(scene.globe._surface, 1, undefined, function ( - tile - ) { - expect(tile.data.imagery.length).toBeGreaterThan(0); - let tilesFromLayer2 = 0; - for (let i = 0; i < tile.data.imagery.length; ++i) { - let imageryTile = tile.data.imagery[i].readyImagery; - if (!defined(imageryTile)) { - imageryTile = tile.data.imagery[i].loadingImagery; + forEachRenderedTile( + scene.globe._surface, + 1, + undefined, + function (tile) { + expect(tile.data.imagery.length).toBeGreaterThan(0); + let tilesFromLayer2 = 0; + for (let i = 0; i < tile.data.imagery.length; ++i) { + let imageryTile = tile.data.imagery[i].readyImagery; + if (!defined(imageryTile)) { + imageryTile = tile.data.imagery[i].loadingImagery; + } + if (imageryTile.imageryLayer === layer2) { + ++tilesFromLayer2; + } } - if (imageryTile.imageryLayer === layer2) { - ++tilesFromLayer2; - } - } - expect(tilesFromLayer2).toBe(1); - }); + expect(tilesFromLayer2).toBe(1); + }, + ); }); it("calling _reload adds a callback per layer per tile", async function () { const provider1 = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); const layer1 = scene.imageryLayers.addImageryProvider(provider1); const provider2 = await SingleTileImageryProvider.fromUrl( - "Data/Images/Green4x4.png" + "Data/Images/Green4x4.png", ); const layer2 = scene.imageryLayers.addImageryProvider(provider2); await updateUntilDone(scene.globe); // Verify that each tile has 2 imagery objects and no loaded callbacks - forEachRenderedTile(scene.globe._surface, 1, undefined, function ( - tile - ) { - expect(tile.data.imagery.length).toBe(2); - expect(Object.keys(tile._loadedCallbacks).length).toBe(0); - }); + forEachRenderedTile( + scene.globe._surface, + 1, + undefined, + function (tile) { + expect(tile.data.imagery.length).toBe(2); + expect(Object.keys(tile._loadedCallbacks).length).toBe(0); + }, + ); // Reload each layer layer1._imageryProvider._reload(); @@ -348,37 +369,43 @@ describe( // Verify that each tile has 4 imagery objects (the old imagery and the reloaded imagery for each layer) // and also has 2 callbacks so the old imagery will be removed once loaded. - forEachRenderedTile(scene.globe._surface, 1, undefined, function ( - tile - ) { - expect(tile.data.imagery.length).toBe(4); - expect(Object.keys(tile._loadedCallbacks).length).toBe(2); - }); + forEachRenderedTile( + scene.globe._surface, + 1, + undefined, + function (tile) { + expect(tile.data.imagery.length).toBe(4); + expect(Object.keys(tile._loadedCallbacks).length).toBe(2); + }, + ); await updateUntilDone(scene.globe); // Verify the old imagery was removed and the callbacks are no longer there - forEachRenderedTile(scene.globe._surface, 1, undefined, function ( - tile - ) { - expect(tile.data.imagery.length).toBe(2); - expect(Object.keys(tile._loadedCallbacks).length).toBe(0); - }); + forEachRenderedTile( + scene.globe._surface, + 1, + undefined, + function (tile) { + expect(tile.data.imagery.length).toBe(2); + expect(Object.keys(tile._loadedCallbacks).length).toBe(0); + }, + ); }); }, - "WebGL" + "WebGL", ); it("renders in 2D geographic", async function () { expect(scene).toRender([0, 0, 0, 255]); const provider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); scene.imageryLayers.addImageryProvider(provider); switchViewMode( SceneMode.SCENE2D, - new GeographicProjection(Ellipsoid.WGS84) + new GeographicProjection(Ellipsoid.WGS84), ); await updateUntilDone(scene.globe); @@ -389,13 +416,13 @@ describe( expect(scene).toRender([0, 0, 0, 255]); const provider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); scene.imageryLayers.addImageryProvider(provider); switchViewMode( SceneMode.SCENE2D, - new WebMercatorProjection(Ellipsoid.WGS84) + new WebMercatorProjection(Ellipsoid.WGS84), ); await updateUntilDone(scene.globe); @@ -406,13 +433,13 @@ describe( expect(scene).toRender([0, 0, 0, 255]); const provider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); scene.imageryLayers.addImageryProvider(provider); switchViewMode( SceneMode.COLUMBUS_VIEW, - new GeographicProjection(Ellipsoid.WGS84) + new GeographicProjection(Ellipsoid.WGS84), ); await updateUntilDone(scene.globe); @@ -423,13 +450,13 @@ describe( expect(scene).toRender([0, 0, 0, 255]); const provider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); scene.imageryLayers.addImageryProvider(provider); switchViewMode( SceneMode.COLUMBUS_VIEW, - new WebMercatorProjection(Ellipsoid.WGS84) + new WebMercatorProjection(Ellipsoid.WGS84), ); await updateUntilDone(scene.globe); @@ -440,13 +467,13 @@ describe( expect(scene).toRender([0, 0, 0, 255]); const provider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); scene.imageryLayers.addImageryProvider(provider); switchViewMode( SceneMode.SCENE3D, - new GeographicProjection(Ellipsoid.WGS84) + new GeographicProjection(Ellipsoid.WGS84), ); await updateUntilDone(scene.globe); @@ -457,13 +484,13 @@ describe( expect(scene).toRender([0, 0, 0, 255]); const provider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); scene.imageryLayers.addImageryProvider(provider); switchViewMode( SceneMode.SCENE3D, - new GeographicProjection(Ellipsoid.WGS84) + new GeographicProjection(Ellipsoid.WGS84), ); await updateUntilDone(scene.globe); @@ -476,20 +503,20 @@ describe( expect(scene).toRenderAndCall((rgba) => (renderedRed = rgba[0])); const provider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); const layer = scene.imageryLayers.addImageryProvider(provider); await updateUntilDone(scene.globe); expect(scene).toRenderAndCall((rgba) => - expect(rgba[0]).toBeGreaterThan(renderedRed) + expect(rgba[0]).toBeGreaterThan(renderedRed), ); layer.show = false; await updateUntilDone(scene.globe); expect(scene).toRenderAndCall((rgba) => - expect(rgba[0]).toEqual(renderedRed) + expect(rgba[0]).toEqual(renderedRed), ); }); @@ -501,7 +528,7 @@ describe( "Data/Images/Red16x16.png", { credit: imageryCredit, - } + }, ); const layer = scene.imageryLayers.addImageryProvider(provider); @@ -510,13 +537,13 @@ describe( const creditDisplay = scene.frameState.creditDisplay; creditDisplay.showLightbox(); expect( - creditDisplay._currentFrameCredits.lightboxCredits.values + creditDisplay._currentFrameCredits.lightboxCredits.values, ).toContain(new CreditDisplayElement(imageryCredit)); layer.show = false; await updateUntilDone(scene.globe); expect( - creditDisplay._currentFrameCredits.lightboxCredits.values + creditDisplay._currentFrameCredits.lightboxCredits.values, ).not.toContain(new CreditDisplayElement(imageryCredit)); creditDisplay.hideLightbox(); @@ -526,14 +553,14 @@ describe( it("culls tiles in full fog", async function () { expect(scene).toRender([0, 0, 0, 255]); const provider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); scene.imageryLayers.addImageryProvider(provider); const oldFog = scene.fog; scene.fog = new Fog(); switchViewMode( SceneMode.SCENE3D, - new GeographicProjection(Ellipsoid.WGS84) + new GeographicProjection(Ellipsoid.WGS84), ); scene.camera.lookUp(1.2); // Horizon-view @@ -552,14 +579,14 @@ describe( it("culls tiles but does not render fog visuals when renderable is false", async function () { expect(scene).toRender([0, 0, 0, 255]); const provider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); scene.imageryLayers.addImageryProvider(provider); const oldFog = scene.fog; scene.fog = new Fog(); switchViewMode( SceneMode.SCENE3D, - new GeographicProjection(Ellipsoid.WGS84) + new GeographicProjection(Ellipsoid.WGS84), ); scene.camera.lookUp(1.2); // Horizon-view @@ -586,14 +613,14 @@ describe( it("culls tiles because of increased SSE", async function () { expect(scene).toRender([0, 0, 0, 255]); const provider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); scene.imageryLayers.addImageryProvider(provider); const oldFog = scene.fog; scene.fog = new Fog(); switchViewMode( SceneMode.SCENE3D, - new GeographicProjection(Ellipsoid.WGS84) + new GeographicProjection(Ellipsoid.WGS84), ); scene.camera.lookUp(1.2); // Horizon-view @@ -623,7 +650,7 @@ describe( scene.fog.enabled = false; switchViewMode( SceneMode.SCENE3D, - new GeographicProjection(Ellipsoid.WGS84) + new GeographicProjection(Ellipsoid.WGS84), ); return updateUntilDone(scene.globe).then(function () { @@ -633,12 +660,12 @@ describe( it("renders in 3D and then Columbus View", async function () { const provider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); scene.imageryLayers.addImageryProvider(provider); switchViewMode( SceneMode.SCENE3D, - new GeographicProjection(Ellipsoid.WGS84) + new GeographicProjection(Ellipsoid.WGS84), ); await updateUntilDone(scene.globe); @@ -646,7 +673,7 @@ describe( switchViewMode( SceneMode.COLUMBUS_VIEW, - new GeographicProjection(Ellipsoid.WGS84) + new GeographicProjection(Ellipsoid.WGS84), ); await updateUntilDone(scene.globe); @@ -664,7 +691,7 @@ describe( scene.imageryLayers.addImageryProvider(providerWithInvalidRootTiles); switchViewMode( SceneMode.SCENE3D, - new GeographicProjection(Ellipsoid.WGS84) + new GeographicProjection(Ellipsoid.WGS84), ); return updateUntilDone(scene.globe).then(function () { @@ -676,7 +703,7 @@ describe( expect(scene).toRender([0, 0, 0, 255]); const provider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); const layer = scene.imageryLayers.addImageryProvider(provider); @@ -692,7 +719,7 @@ describe( switchViewMode( SceneMode.SCENE3D, - new GeographicProjection(Ellipsoid.WGS84) + new GeographicProjection(Ellipsoid.WGS84), ); await updateUntilDone(scene.globe); @@ -729,14 +756,14 @@ describe( expect(scene).toRender([0, 0, 0, 255]); const provider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); const layer = scene.imageryLayers.addImageryProvider(provider); layer.cutoutRectangle = cameraDestination; switchViewMode( SceneMode.SCENE3D, - new GeographicProjection(Ellipsoid.WGS84) + new GeographicProjection(Ellipsoid.WGS84), ); let baseColor; @@ -758,13 +785,13 @@ describe( expect(scene).toRender([0, 0, 0, 255]); const provider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); const layer = scene.imageryLayers.addImageryProvider(provider); switchViewMode( SceneMode.SCENE3D, - new GeographicProjection(Ellipsoid.WGS84) + new GeographicProjection(Ellipsoid.WGS84), ); let layerColor; @@ -802,7 +829,7 @@ describe( it("skips layer with uniform alpha value of zero", async function () { const provider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); const layer = scene.imageryLayers.addImageryProvider(provider); @@ -810,7 +837,7 @@ describe( switchViewMode( SceneMode.SCENE3D, - new GeographicProjection(Ellipsoid.WGS84) + new GeographicProjection(Ellipsoid.WGS84), ); await updateUntilDone(scene.globe); @@ -837,7 +864,7 @@ describe( it("can render more imagery layers than the available texture units", async function () { const provider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); for (let i = 0; i < ContextLimits.maximumTextureImageUnits + 1; ++i) { scene.imageryLayers.addImageryProvider(provider); @@ -845,7 +872,7 @@ describe( switchViewMode( SceneMode.SCENE3D, - new GeographicProjection(Ellipsoid.WGS84) + new GeographicProjection(Ellipsoid.WGS84), ); await updateUntilDone(scene.globe); @@ -869,12 +896,12 @@ describe( // The first draw command for each tile should use a non-alpha-blending render state. expect(command.renderState.blending).not.toEqual( - renderStateWithAlphaBlending.blending + renderStateWithAlphaBlending.blending, ); } else { // Successive draw commands per tile should alpha blend. expect(command.renderState.blending).toEqual( - renderStateWithAlphaBlending.blending + renderStateWithAlphaBlending.blending, ); expect(command.uniformMap.u_initialColor().w).toEqual(0.0); } @@ -902,7 +929,7 @@ describe( "Data/Images/Red16x16.png", { credit: imageryCredit, - } + }, ); scene.imageryLayers.addImageryProvider(provider); @@ -916,7 +943,7 @@ describe( data, headers, deferred, - overrideMimeType + overrideMimeType, ) { if (defined(url.match(/\/\d+\/\d+\/\d+\.terrain/))) { Resource._DefaultImplementations.loadWithXhr( @@ -925,7 +952,7 @@ describe( method, data, headers, - deferred + deferred, ); return; } @@ -937,24 +964,24 @@ describe( data, headers, deferred, - overrideMimeType + overrideMimeType, ); }; scene.terrainProvider = await CesiumTerrainProvider.fromUrl( "Data/CesiumTerrainTileJson/QuantizedMesh.tile.json", { credit: terrainCredit, - } + }, ); await updateUntilDone(scene.globe); const creditDisplay = scene.frameState.creditDisplay; creditDisplay.showLightbox(); expect( - creditDisplay._currentFrameCredits.lightboxCredits.values + creditDisplay._currentFrameCredits.lightboxCredits.values, ).toContain(new CreditDisplayElement(imageryCredit)); expect( - creditDisplay._currentFrameCredits.lightboxCredits.values + creditDisplay._currentFrameCredits.lightboxCredits.values, ).toContain(new CreditDisplayElement(terrainCredit)); creditDisplay.hideLightbox(); }); @@ -969,7 +996,8 @@ describe( expect(replacementQueue.count).toBeGreaterThan(0); const oldTile = replacementQueue.head; - surface.tileProvider.terrainProvider = new EllipsoidTerrainProvider(); + surface.tileProvider.terrainProvider = + new EllipsoidTerrainProvider(); scene.renderForSpecs(); @@ -1020,7 +1048,7 @@ describe( expect(levelZeroTiles[1]).toBe(levelZero1); }); }, - "WebGL" + "WebGL", ); it("renders back side of globe when camera is near the poles", function () { @@ -1028,27 +1056,27 @@ describe( camera.position = new Cartesian3( 2909078.1077849553, -38935053.40234136, - -63252400.94628872 + -63252400.94628872, ); camera.direction = new Cartesian3( -0.03928753135806185, 0.44884096070717633, - 0.8927476025569903 + 0.8927476025569903, ); camera.up = new Cartesian3( 0.00002847975895320034, -0.8934368803055558, - 0.4491887577613425 + 0.4491887577613425, ); camera.right = new Cartesian3( 0.99922794650124, 0.017672942642764363, - 0.03508814656908402 + 0.03508814656908402, ); scene.cullingVolume = camera.frustum.computeCullingVolume( camera.position, camera.direction, - camera.up + camera.up, ); return updateUntilDone(scene.globe).then(function () { @@ -1068,7 +1096,7 @@ describe( switchViewMode( SceneMode.SCENE3D, - new GeographicProjection(Ellipsoid.WGS84) + new GeographicProjection(Ellipsoid.WGS84), ); return updateUntilDone(scene.globe).then(function () { @@ -1100,7 +1128,7 @@ describe( switchViewMode( SceneMode.SCENE3D, - new GeographicProjection(Ellipsoid.WGS84) + new GeographicProjection(Ellipsoid.WGS84), ); return updateUntilDone(scene.globe).then(function () { @@ -1134,7 +1162,7 @@ describe( switchViewMode( SceneMode.SCENE3D, - new GeographicProjection(Ellipsoid.WGS84) + new GeographicProjection(Ellipsoid.WGS84), ); return updateUntilDone(scene.globe).then(function () { @@ -1168,7 +1196,7 @@ describe( const globe = scene.globe; switchViewMode( SceneMode.SCENE3D, - new GeographicProjection(Ellipsoid.WGS84) + new GeographicProjection(Ellipsoid.WGS84), ); return updateUntilDone(globe).then(function () { @@ -1184,7 +1212,7 @@ describe( switchViewMode( SceneMode.SCENE3D, - new GeographicProjection(Ellipsoid.WGS84) + new GeographicProjection(Ellipsoid.WGS84), ); return updateUntilDone(globe).then(function () { @@ -1203,7 +1231,7 @@ describe( switchViewMode( SceneMode.SCENE3D, - new GeographicProjection(Ellipsoid.WGS84) + new GeographicProjection(Ellipsoid.WGS84), ); return updateUntilDone(globe).then(function () { @@ -1222,7 +1250,7 @@ describe( switchViewMode( SceneMode.SCENE3D, - new GeographicProjection(Ellipsoid.WGS84) + new GeographicProjection(Ellipsoid.WGS84), ); return updateUntilDone(globe).then(function () { @@ -1252,7 +1280,7 @@ describe( const model = scene.primitives.add( await Model.fromGltfAsync({ url: "./Data/Models/glTF-2.0/BoxTextured/glTF/BoxTextured.gltf", - }) + }), ); model.clippingPlanes = clippingPlanes; const globe = scene.globe; @@ -1264,16 +1292,9 @@ describe( describe("clippingPolygons", () => { const positions = Cartesian3.fromRadiansArray([ - -1.3194369277314022, - 0.6988062530900625, - -1.31941, - 0.69879, - -1.3193955980204217, - 0.6988091578771254, - -1.3193931220959367, - 0.698743632490865, - -1.3194358224045408, - 0.6987471965556998, + -1.3194369277314022, 0.6988062530900625, -1.31941, 0.69879, + -1.3193955980204217, 0.6988091578771254, -1.3193931220959367, + 0.698743632490865, -1.3194358224045408, 0.6987471965556998, ]); let polygon; @@ -1290,7 +1311,7 @@ describe( switchViewMode( SceneMode.SCENE3D, - new GeographicProjection(Ellipsoid.WGS84) + new GeographicProjection(Ellipsoid.WGS84), ); await updateUntilDone(scene.globe); @@ -1324,7 +1345,7 @@ describe( switchViewMode( SceneMode.SCENE3D, - new GeographicProjection(Ellipsoid.WGS84) + new GeographicProjection(Ellipsoid.WGS84), ); await updateUntilDone(scene.globe); @@ -1337,14 +1358,9 @@ describe( }); const positionsB = Cartesian3.fromDegreesArray([ - 153.033834435422932, - -27.569622925766826, - 153.033836082527984, - -27.569616899897252, - 153.033905701988772, - -27.569628939963906, - 153.033999779170614, - -27.569639093357882, + 153.033834435422932, -27.569622925766826, 153.033836082527984, + -27.569616899897252, 153.033905701988772, -27.569628939963906, + 153.033999779170614, -27.569639093357882, ]); scene.globe.clippingPolygons = new ClippingPolygonCollection({ @@ -1373,7 +1389,7 @@ describe( switchViewMode( SceneMode.SCENE3D, - new GeographicProjection(Ellipsoid.WGS84) + new GeographicProjection(Ellipsoid.WGS84), ); await updateUntilDone(globe); @@ -1394,7 +1410,7 @@ describe( switchViewMode( SceneMode.SCENE3D, - new GeographicProjection(Ellipsoid.WGS84) + new GeographicProjection(Ellipsoid.WGS84), ); await updateUntilDone(globe); @@ -1415,7 +1431,7 @@ describe( switchViewMode( SceneMode.SCENE3D, - new GeographicProjection(Ellipsoid.WGS84) + new GeographicProjection(Ellipsoid.WGS84), ); await updateUntilDone(globe); @@ -1443,7 +1459,7 @@ describe( const model = scene.primitives.add( await Model.fromGltfAsync({ url: "./Data/Models/glTF-2.0/BoxTextured/glTF/BoxTextured.gltf", - }) + }), ); model.clippingPolygons = collection; const globe = scene.globe; @@ -1458,7 +1474,7 @@ describe( expect(scene).toRender([0, 0, 0, 255]); switchViewMode( SceneMode.COLUMBUS_VIEW, - new GeographicProjection(Ellipsoid.WGS84) + new GeographicProjection(Ellipsoid.WGS84), ); let result; return updateUntilDone(scene.globe) @@ -1472,7 +1488,7 @@ describe( -2, -2, -1, - -1 + -1, ); expect(scene).notToRender(result); scene.camera.setView({ @@ -1488,14 +1504,14 @@ describe( it("cartographicLimitRectangle defaults to Rectangle.MAX_VALUE", function () { scene.globe.cartographicLimitRectangle = undefined; expect( - scene.globe.cartographicLimitRectangle.equals(Rectangle.MAX_VALUE) + scene.globe.cartographicLimitRectangle.equals(Rectangle.MAX_VALUE), ).toBe(true); }); it("cartographicLimitRectangle culls tiles outside the region", function () { switchViewMode( SceneMode.COLUMBUS_VIEW, - new GeographicProjection(Ellipsoid.WGS84) + new GeographicProjection(Ellipsoid.WGS84), ); let unculledCommandCount; return updateUntilDone(scene.globe) @@ -1505,13 +1521,13 @@ describe( -2, -2, -1, - -1 + -1, ); return updateUntilDone(scene.globe); }) .then(function () { expect(unculledCommandCount).toBeGreaterThan( - scene.frameState.commandList.length + scene.frameState.commandList.length, ); }); }); @@ -1519,7 +1535,7 @@ describe( it("cartographicLimitRectangle may cross the antimeridian", function () { switchViewMode( SceneMode.SCENE2D, - new GeographicProjection(Ellipsoid.WGS84) + new GeographicProjection(Ellipsoid.WGS84), ); let unculledCommandCount; return updateUntilDone(scene.globe) @@ -1529,13 +1545,13 @@ describe( 179, -2, -179, - -1 + -1, ); return updateUntilDone(scene.globe); }) .then(function () { expect(unculledCommandCount).toBeGreaterThan( - scene.frameState.commandList.length + scene.frameState.commandList.length, ); }); }); @@ -1543,14 +1559,14 @@ describe( it("disables skirts and enables back face culling when camera is underground", function () { switchViewMode( SceneMode.SCENE3D, - new GeographicProjection(Ellipsoid.WGS84) + new GeographicProjection(Ellipsoid.WGS84), ); return updateUntilDone(scene.globe) .then(function () { const command = scene.frameState.commandList[0]; expect(command.count).toBe( - command.owner.data.renderedMesh.indices.length + command.owner.data.renderedMesh.indices.length, ); // Has skirts expect(command.renderState.cull.enabled).toBe(true); // Has back face culling @@ -1559,12 +1575,12 @@ describe( destination: new Cartesian3( -746658.0557573901, -5644191.0002196245, - 2863585.099969967 + 2863585.099969967, ), orientation: new HeadingPitchRoll( 0.3019699121236403, 0.07316306869231592, - 0.0007089903642230055 + 0.0007089903642230055, ), }); return updateUntilDone(scene.globe); @@ -1572,7 +1588,7 @@ describe( .then(function () { const command = scene.frameState.commandList[0]; expect(command.count).toBe( - command.owner.data.renderedMesh.indexCountWithoutSkirts + command.owner.data.renderedMesh.indexCountWithoutSkirts, ); // No skirts expect(command.renderState.cull.enabled).toBe(false); // No back face culling }); @@ -1581,7 +1597,7 @@ describe( it("Detects change in vertical exaggeration", function () { switchViewMode( SceneMode.SCENE3D, - new GeographicProjection(Ellipsoid.WGS84) + new GeographicProjection(Ellipsoid.WGS84), ); scene.camera.flyHome(0.0); @@ -1589,48 +1605,58 @@ describe( scene.verticalExaggerationRelativeHeight = 0.0; return updateUntilDone(scene.globe).then(function () { - forEachRenderedTile(scene.globe._surface, 1, undefined, function ( - tile - ) { - const surfaceTile = tile.data; - const encoding = surfaceTile.mesh.encoding; - const boundingSphere = surfaceTile.tileBoundingRegion.boundingSphere; - expect(encoding.exaggeration).toEqual(1.0); - expect(encoding.hasGeodeticSurfaceNormals).toEqual(false); - expect(boundingSphere.radius).toBeLessThan(7000000.0); - }); - - scene.verticalExaggeration = 2.0; - scene.verticalExaggerationRelativeHeight = -1000000.0; - - return updateUntilDone(scene.globe).then(function () { - forEachRenderedTile(scene.globe._surface, 1, undefined, function ( - tile - ) { + forEachRenderedTile( + scene.globe._surface, + 1, + undefined, + function (tile) { const surfaceTile = tile.data; const encoding = surfaceTile.mesh.encoding; const boundingSphere = surfaceTile.tileBoundingRegion.boundingSphere; - expect(encoding.exaggeration).toEqual(2.0); - expect(encoding.hasGeodeticSurfaceNormals).toEqual(true); - expect(boundingSphere.radius).toBeGreaterThan(7000000.0); - }); + expect(encoding.exaggeration).toEqual(1.0); + expect(encoding.hasGeodeticSurfaceNormals).toEqual(false); + expect(boundingSphere.radius).toBeLessThan(7000000.0); + }, + ); - scene.verticalExaggeration = 1.0; - scene.verticalExaggerationRelativeHeight = 0.0; + scene.verticalExaggeration = 2.0; + scene.verticalExaggerationRelativeHeight = -1000000.0; - return updateUntilDone(scene.globe).then(function () { - forEachRenderedTile(scene.globe._surface, 1, undefined, function ( - tile - ) { + return updateUntilDone(scene.globe).then(function () { + forEachRenderedTile( + scene.globe._surface, + 1, + undefined, + function (tile) { const surfaceTile = tile.data; const encoding = surfaceTile.mesh.encoding; const boundingSphere = surfaceTile.tileBoundingRegion.boundingSphere; - expect(encoding.exaggeration).toEqual(1.0); - expect(encoding.hasGeodeticSurfaceNormals).toEqual(false); - expect(boundingSphere.radius).toBeLessThan(7000000.0); - }); + expect(encoding.exaggeration).toEqual(2.0); + expect(encoding.hasGeodeticSurfaceNormals).toEqual(true); + expect(boundingSphere.radius).toBeGreaterThan(7000000.0); + }, + ); + + scene.verticalExaggeration = 1.0; + scene.verticalExaggerationRelativeHeight = 0.0; + + return updateUntilDone(scene.globe).then(function () { + forEachRenderedTile( + scene.globe._surface, + 1, + undefined, + function (tile) { + const surfaceTile = tile.data; + const encoding = surfaceTile.mesh.encoding; + const boundingSphere = + surfaceTile.tileBoundingRegion.boundingSphere; + expect(encoding.exaggeration).toEqual(1.0); + expect(encoding.hasGeodeticSurfaceNormals).toEqual(false); + expect(boundingSphere.radius).toBeLessThan(7000000.0); + }, + ); }); }); }); @@ -1645,7 +1671,7 @@ describe( data, headers, deferred, - overrideMimeType + overrideMimeType, ) { if (defined(url.match(/\/\d+\/\d+\/\d+\.terrain/))) { Resource._DefaultImplementations.loadWithXhr( @@ -1654,7 +1680,7 @@ describe( method, data, headers, - deferred + deferred, ); return; } @@ -1666,14 +1692,14 @@ describe( data, headers, deferred, - overrideMimeType + overrideMimeType, ); }; scene.terrainProvider = await CesiumTerrainProvider.fromUrl( "Data/CesiumTerrainTileJson/QuantizedMesh.tile.json", { requestWaterMask: true, - } + }, ); scene.globe.showWaterEffect = false; @@ -1682,5 +1708,5 @@ describe( expect(scene.globe._surface.tileProvider.hasWaterMask).toBeTrue(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/GlobeSurfaceTileSpec.js b/packages/engine/Specs/Scene/GlobeSurfaceTileSpec.js index 10a31d4201da..3f9f2cf6c013 100644 --- a/packages/engine/Specs/Scene/GlobeSurfaceTileSpec.js +++ b/packages/engine/Specs/Scene/GlobeSurfaceTileSpec.js @@ -45,7 +45,7 @@ describe("Scene/GlobeSurfaceTile", function () { processor = new TerrainTileProcessor( frameState, mockTerrain, - imageryLayerCollection + imageryLayerCollection, ); }); @@ -72,10 +72,10 @@ describe("Scene/GlobeSurfaceTile", function () { return processor.process([rootTile.southwestChild]).then(function () { expect(rootTile.southwestChild.state).toBe( - QuadtreeTileLoadState.LOADING + QuadtreeTileLoadState.LOADING, ); expect(rootTile.southwestChild.data.terrainState).toBe( - TerrainState.UNLOADED + TerrainState.UNLOADED, ); }); }); @@ -85,10 +85,10 @@ describe("Scene/GlobeSurfaceTile", function () { return processor.process([rootTile.southwestChild]).then(function () { expect(rootTile.southwestChild.state).toBe( - QuadtreeTileLoadState.LOADING + QuadtreeTileLoadState.LOADING, ); expect(rootTile.southwestChild.data.terrainState).toBe( - TerrainState.FAILED + TerrainState.FAILED, ); }); }); @@ -135,7 +135,7 @@ describe("Scene/GlobeSurfaceTile", function () { expect(TileProviderError.reportError.calls.count()).toBe(1); // Test that message argument is defined. expect(TileProviderError.reportError.calls.argsFor(0)[3]).toContain( - "RuntimeError: requestTileGeometry failed as requested." + "RuntimeError: requestTileGeometry failed as requested.", ); }); }); @@ -151,10 +151,10 @@ describe("Scene/GlobeSurfaceTile", function () { .process([rootTile, rootTile.southwestChild]) .then(function () { expect(rootTile.data.terrainData.wasCreatedByUpsampling()).toBe( - false + false, ); expect( - rootTile.southwestChild.data.terrainData.wasCreatedByUpsampling() + rootTile.southwestChild.data.terrainData.wasCreatedByUpsampling(), ).toBe(true); }); }); @@ -196,7 +196,7 @@ describe("Scene/GlobeSurfaceTile", function () { expect(rootTile.state).toBe(QuadtreeTileLoadState.DONE); expect(rootTile.upsampledFromParent).toBe(false); expect(rootTile.southwestChild.state).toBe( - QuadtreeTileLoadState.DONE + QuadtreeTileLoadState.DONE, ); expect(rootTile.southwestChild.upsampledFromParent).toBe(true); }); @@ -224,7 +224,7 @@ describe("Scene/GlobeSurfaceTile", function () { expect(rootTile.state).toBe(QuadtreeTileLoadState.DONE); expect(rootTile.upsampledFromParent).toBe(false); expect(rootTile.southwestChild.state).toBe( - QuadtreeTileLoadState.DONE + QuadtreeTileLoadState.DONE, ); expect(rootTile.southwestChild.upsampledFromParent).toBe(false); }); @@ -252,7 +252,7 @@ describe("Scene/GlobeSurfaceTile", function () { expect(rootTile.state).toBe(QuadtreeTileLoadState.DONE); expect(rootTile.upsampledFromParent).toBe(false); expect(rootTile.southwestChild.state).toBe( - QuadtreeTileLoadState.DONE + QuadtreeTileLoadState.DONE, ); expect(rootTile.southwestChild.upsampledFromParent).toBe(false); }); @@ -290,7 +290,7 @@ describe("Scene/GlobeSurfaceTile", function () { .process([rootTile, rootTile.southwestChild]) .then(function () { expect(rootTile.data.waterMaskTexture).toBe( - rootTile.southwestChild.data.waterMaskTexture + rootTile.southwestChild.data.waterMaskTexture, ); }); }); @@ -316,7 +316,7 @@ describe("Scene/GlobeSurfaceTile", function () { .then(function () { expect(rootTile.southwestChild.data.waterMaskTexture).toBeDefined(); expect( - rootTile.southwestChild.data.waterMaskTranslationAndScale + rootTile.southwestChild.data.waterMaskTranslationAndScale, ).toEqual(new Cartesian4(0.0, 0.0, 0.5, 0.5)); }); }); @@ -356,18 +356,17 @@ describe("Scene/GlobeSurfaceTile", function () { new Cartesian3( -5052039.459789615, 2561172.040315167, - -2936276.999965875 + -2936276.999965875, ), new Cartesian3( 0.5036332963145244, 0.6648033332898124, - 0.5517155343926082 - ) + 0.5517155343926082, + ), ); const pickResult = tile.data.pick(ray, undefined, undefined, true); - const cartographic = Ellipsoid.WGS84.cartesianToCartographic( - pickResult - ); + const cartographic = + Ellipsoid.WGS84.cartesianToCartographic(pickResult); expect(cartographic.height).toBeGreaterThan(-500.0); }); @@ -396,7 +395,7 @@ describe("Scene/GlobeSurfaceTile", function () { ray, undefined, undefined, - cullBackFaces + cullBackFaces, ); expect(pickResult.x).toBeGreaterThan(0.0); }); @@ -425,13 +424,13 @@ describe("Scene/GlobeSurfaceTile", function () { ray, undefined, undefined, - cullBackFaces + cullBackFaces, ); expect(pickResult.x).toBeGreaterThan(0.0); }); }); }, - "WebGL" + "WebGL", ); describe("eligibleForUnloading", function () { diff --git a/packages/engine/Specs/Scene/GlobeTranslucencyFramebufferSpec.js b/packages/engine/Specs/Scene/GlobeTranslucencyFramebufferSpec.js index 97b58d8bef0f..8ce1b8ad8502 100644 --- a/packages/engine/Specs/Scene/GlobeTranslucencyFramebufferSpec.js +++ b/packages/engine/Specs/Scene/GlobeTranslucencyFramebufferSpec.js @@ -54,7 +54,7 @@ describe("Scene/GlobeTranslucencyFramebuffer", function () { globeTranslucency.packedDepthFramebuffer; expect(globeTranslucency._clearCommand.framebuffer).toBe(firstFramebuffer); expect(globeTranslucency._packedDepthCommand.framebuffer).toBe( - firstPackedDepthFramebuffer + firstPackedDepthFramebuffer, ); viewport.width = 50; @@ -62,10 +62,10 @@ describe("Scene/GlobeTranslucencyFramebuffer", function () { expect(firstColorTexture.isDestroyed()).toBe(true); expect(globeTranslucency._colorTexture).not.toBe(firstColorTexture); expect(globeTranslucency._clearCommand.framebuffer).not.toBe( - firstFramebuffer + firstFramebuffer, ); expect(globeTranslucency._packedDepthCommand.framebuffer).not.toBe( - firstPackedDepthFramebuffer + firstPackedDepthFramebuffer, ); }); @@ -89,7 +89,7 @@ describe("Scene/GlobeTranslucencyFramebuffer", function () { expect(firstColorTexture.isDestroyed()).toBe(true); expect(globeTranslucency.classificationTexture).not.toBe(firstColorTexture); expect(globeTranslucency.classificationTexture.pixelDatatype).toBe( - expectedPixelDatatype + expectedPixelDatatype, ); }); diff --git a/packages/engine/Specs/Scene/GlobeTranslucencyStateSpec.js b/packages/engine/Specs/Scene/GlobeTranslucencyStateSpec.js index c5a55ddcb988..540d2507e52d 100644 --- a/packages/engine/Specs/Scene/GlobeTranslucencyStateSpec.js +++ b/packages/engine/Specs/Scene/GlobeTranslucencyStateSpec.js @@ -130,7 +130,7 @@ describe("Scene/GlobeTranslucencyState", function () { 0.0, 0.5, 1.0, - 0.75 + 0.75, ); state.update(scene); expect(frontFaceAlphaByDistance.nearValue).toBe(0.25); @@ -630,16 +630,16 @@ describe("Scene/GlobeTranslucencyState", function () { executeCommand, framebuffer, scene, - passState + passState, ); expect(executeCommand).toHaveBeenCalledWith( command.derivedCommands.globeTranslucency.opaqueBackFaceCommand, scene, - passState + passState, ); expect( - GlobeTranslucencyFramebuffer.prototype.clearClassification + GlobeTranslucencyFramebuffer.prototype.clearClassification, ).toHaveBeenCalled(); }); @@ -655,7 +655,7 @@ describe("Scene/GlobeTranslucencyState", function () { executeCommand, framebuffer, scene, - passState + passState, ); expect(executeCommand).not.toHaveBeenCalled(); @@ -684,9 +684,8 @@ describe("Scene/GlobeTranslucencyState", function () { const frustumCommands = new FrustumCommands(); frustumCommands.commands[Pass.GLOBE] = globeCommands; frustumCommands.indices[Pass.GLOBE] = globeCommands.length; - frustumCommands.commands[ - Pass.TERRAIN_CLASSIFICATION - ] = classificationCommands; + frustumCommands.commands[Pass.TERRAIN_CLASSIFICATION] = + classificationCommands; frustumCommands.indices[Pass.TERRAIN_CLASSIFICATION] = classificationCommands.length; @@ -695,23 +694,23 @@ describe("Scene/GlobeTranslucencyState", function () { executeCommand, framebuffer, scene, - passState + passState, ); expect(executeCommand).toHaveBeenCalledWith( classificationCommand, scene, - passState + passState, ); expect(executeCommand).toHaveBeenCalledWith( command.derivedCommands.globeTranslucency.depthOnlyFrontFaceCommand, scene, - passState + passState, ); if (context.depthTexture) { expect( - GlobeTranslucencyFramebuffer.prototype.packDepth + GlobeTranslucencyFramebuffer.prototype.packDepth, ).toHaveBeenCalled(); } }); diff --git a/packages/engine/Specs/Scene/GltfBufferViewLoaderSpec.js b/packages/engine/Specs/Scene/GltfBufferViewLoaderSpec.js index 97fb9610212e..072d77c113f4 100644 --- a/packages/engine/Specs/Scene/GltfBufferViewLoaderSpec.js +++ b/packages/engine/Specs/Scene/GltfBufferViewLoaderSpec.js @@ -92,7 +92,7 @@ describe("Scene/GltfBufferViewLoader", function () { const meshoptPositionBufferBase64 = "oAUZJkCZgAQAAAU/P8D/fn1+fX59fn1+fX7ADAAAfX4FAAhISEgAAAAFAAzMzH1+fX59zAAAAH59BQhAmYBmZgAABQzA/8B9fn1+fX59//8AAH59fn1+fX59AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAP8//z8AAA=="; const meshoptPositionTypedArray = getTypedArrayFromBase64( - meshoptPositionBufferBase64 + meshoptPositionBufferBase64, ); const bufferTypedArray = new Uint8Array([1, 3, 7, 15, 31, 63, 127, 255]); @@ -169,7 +169,7 @@ describe("Scene/GltfBufferViewLoader", function () { it("load throws if buffer fails to load", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.callFake(() => - Promise.reject(new Error("404 Not Found")) + Promise.reject(new Error("404 Not Found")), ); const bufferViewLoader = new GltfBufferViewLoader({ @@ -182,7 +182,7 @@ describe("Scene/GltfBufferViewLoader", function () { await expectAsync(bufferViewLoader.load()).toBeRejectedWithError( RuntimeError, - "Failed to load buffer view\nFailed to load external buffer: https://example.com/external.bin\n404 Not Found" + "Failed to load buffer view\nFailed to load external buffer: https://example.com/external.bin\n404 Not Found", ); }); @@ -210,7 +210,7 @@ describe("Scene/GltfBufferViewLoader", function () { it("loads buffer view for external buffer", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(bufferArrayBuffer) + Promise.resolve(bufferArrayBuffer), ); const bufferViewLoader = new GltfBufferViewLoader({ @@ -228,12 +228,12 @@ describe("Scene/GltfBufferViewLoader", function () { it("destroys buffer view", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(bufferArrayBuffer) + Promise.resolve(bufferArrayBuffer), ); const unloadBuffer = spyOn( BufferLoader.prototype, - "unload" + "unload", ).and.callThrough(); const bufferViewLoader = new GltfBufferViewLoader({ @@ -278,14 +278,14 @@ describe("Scene/GltfBufferViewLoader", function () { await bufferViewLoader.load(); const decodedPositionBase64 = getBase64FromTypedArray( - bufferViewLoader.typedArray + bufferViewLoader.typedArray, ); expect(decodedPositionBase64).toEqual(fallbackPositionBufferBase64); }); it("handles asynchronous load after destroy", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(bufferArrayBuffer) + Promise.resolve(bufferArrayBuffer), ); const bufferViewLoader = new GltfBufferViewLoader({ @@ -308,7 +308,7 @@ describe("Scene/GltfBufferViewLoader", function () { it("handles asynchronous error after destroy", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.callFake(() => - Promise.reject(new Error()) + Promise.reject(new Error()), ); const bufferViewLoader = new GltfBufferViewLoader({ diff --git a/packages/engine/Specs/Scene/GltfBuilder.js b/packages/engine/Specs/Scene/GltfBuilder.js index 7819df4ae663..adb10f2f1d89 100644 --- a/packages/engine/Specs/Scene/GltfBuilder.js +++ b/packages/engine/Specs/Scene/GltfBuilder.js @@ -96,11 +96,10 @@ GltfBuilder.prototype.toGltf = function () { const byteLength = bufferBuilder.viewBuilders.reduce(function ( byteLength, - viewBuilder + viewBuilder, ) { return byteLength + viewBuilder.bufferView.byteLength; - }, - 0); + }, 0); const buffer = new ArrayBuffer(byteLength); let nextStart = 0; @@ -343,9 +342,8 @@ GltfBufferBuilder.prototype.indexBuffer = function (name) { function GltfBufferViewBuilder(bufferBuilder, bufferViewIndex, componentType) { this.bufferBuilder = bufferBuilder; this.bufferViewIndex = bufferViewIndex; - this.bufferView = this.bufferBuilder.gltfBuilder.gltf.bufferViews[ - this.bufferViewIndex - ]; + this.bufferView = + this.bufferBuilder.gltfBuilder.gltf.bufferViews[this.bufferViewIndex]; this.componentType = componentType; this.elementStride = 0; this.nextOffset = 0; diff --git a/packages/engine/Specs/Scene/GltfDracoLoaderSpec.js b/packages/engine/Specs/Scene/GltfDracoLoaderSpec.js index 4d99a8c995f4..d6aada9505b2 100644 --- a/packages/engine/Specs/Scene/GltfDracoLoaderSpec.js +++ b/packages/engine/Specs/Scene/GltfDracoLoaderSpec.js @@ -215,13 +215,13 @@ describe( await expectAsync(dracoLoader.load()).toBeRejectedWithError( RuntimeError, - "Failed to load Draco\nFailed to load buffer view\nFailed to load external buffer: https://example.com/external.bin\n404 Not Found" + "Failed to load Draco\nFailed to load buffer view\nFailed to load external buffer: https://example.com/external.bin\n404 Not Found", ); }); it("process throws if draco decoding fails", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(bufferArrayBuffer) + Promise.resolve(bufferArrayBuffer), ); spyOn(DracoLoader, "decodeBufferView").and.callFake(function () { @@ -240,17 +240,17 @@ describe( await dracoLoader.load(); await expectAsync( - waitForLoaderProcess(dracoLoader, scene) + waitForLoaderProcess(dracoLoader, scene), ).toBeRejectedWithError( RuntimeError, - "Failed to load Draco\nDraco decode failed" + "Failed to load Draco\nDraco decode failed", ); expect(() => loaderProcess(dracoLoader, scene)).not.toThrowError(); }); it("loads draco", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(bufferArrayBuffer) + Promise.resolve(bufferArrayBuffer), ); // Simulate decodeBufferView not being ready for a few frames @@ -276,25 +276,25 @@ describe( expect(() => loaderProcess(dracoLoader, scene)).not.toThrowError(); expect(dracoLoader.decodedData.indices).toBe( - decodeDracoResults.indexArray + decodeDracoResults.indexArray, ); expect(dracoLoader.decodedData.vertexAttributes).toBe( - decodeDracoResults.attributeData + decodeDracoResults.attributeData, ); }); it("destroys draco loader", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(bufferArrayBuffer) + Promise.resolve(bufferArrayBuffer), ); spyOn(DracoLoader, "decodeBufferView").and.returnValue( - Promise.resolve(decodeDracoResults) + Promise.resolve(decodeDracoResults), ); const unloadBufferView = spyOn( GltfBufferViewLoader.prototype, - "unload" + "unload", ).and.callThrough(); const dracoLoader = new GltfDracoLoader({ @@ -328,7 +328,7 @@ describe( }); spyOn(DracoLoader, "decodeBufferView").and.returnValue( - Promise.resolve(decodeDracoResults) + Promise.resolve(decodeDracoResults), ); const dracoLoader = new GltfDracoLoader({ @@ -377,7 +377,7 @@ describe( const decodeBufferView = spyOn( DracoLoader, - "decodeBufferView" + "decodeBufferView", ).and.callFake(function () { return new Promise(function (resolve, reject) { if (rejectPromise) { @@ -406,5 +406,5 @@ describe( return resolveDracoAfterDestroy(true); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/GltfImageLoaderSpec.js b/packages/engine/Specs/Scene/GltfImageLoaderSpec.js index ef1310a09c14..81cfcd325559 100644 --- a/packages/engine/Specs/Scene/GltfImageLoaderSpec.js +++ b/packages/engine/Specs/Scene/GltfImageLoaderSpec.js @@ -20,18 +20,18 @@ describe( "data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAAEAAAABCAQAAAC1HAwCAAAAC0lEQVR42mP8/x8AAwMCAO+ip1sAAAAASUVORK5CYII="; const pngBuffer = dataUriToBuffer( - "data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAAEAAAABCAQAAAC1HAwCAAAAC0lEQVR42mP8/x8AAwMCAO+ip1sAAAAASUVORK5CYII=" + "data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAAEAAAABCAQAAAC1HAwCAAAAC0lEQVR42mP8/x8AAwMCAO+ip1sAAAAASUVORK5CYII=", ); const jpgBuffer = dataUriToBuffer( - "data:image/jpeg;base64,/9j/4AAQSkZJRgABAQEAYABgAAD/2wBDAP//////////////////////////////////////////////////////////////////////////////////////wAALCAABAAEBAREA/8QAJgABAAAAAAAAAAAAAAAAAAAAAxABAAAAAAAAAAAAAAAAAAAAAP/aAAgBAQAAPwBH/9k" + "data:image/jpeg;base64,/9j/4AAQSkZJRgABAQEAYABgAAD/2wBDAP//////////////////////////////////////////////////////////////////////////////////////wAALCAABAAEBAREA/8QAJgABAAAAAAAAAAAAAAAAAAAAAxABAAAAAAAAAAAAAAAAAAAAAP/aAAgBAQAAPwBH/9k", ); const webpBuffer = dataUriToBuffer( - "data:image/webp;base64,UklGRiIAAABXRUJQVlA4IBYAAAAwAQCdASoBAAEADsD+JaQAA3AAAAAA" + "data:image/webp;base64,UklGRiIAAABXRUJQVlA4IBYAAAAwAQCdASoBAAEADsD+JaQAA3AAAAAA", ); const gifBuffer = dataUriToBuffer( - "data:image/gif;base64,R0lGODdhBAAEAIAAAP///////ywAAAAABAAEAAACBISPCQUAOw==" + "data:image/gif;base64,R0lGODdhBAAEAIAAAP///////ywAAAAABAAEAAACBISPCQUAOw==", ); let ktx2BasisBuffer; @@ -186,13 +186,13 @@ describe( await expectAsync(imageLoader.load()).toBeRejectedWithError( RuntimeError, - "Failed to load embedded image\nFailed to load buffer view\nFailed to load external buffer: https://example.com/external.bin\n404 Not Found" + "Failed to load embedded image\nFailed to load buffer view\nFailed to load external buffer: https://example.com/external.bin\n404 Not Found", ); }); it("load throws if image format is not recognized", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(gifBuffer) + Promise.resolve(gifBuffer), ); const imageLoader = new GltfImageLoader({ @@ -205,7 +205,7 @@ describe( await expectAsync(imageLoader.load()).toBeRejectedWithError( RuntimeError, - "Failed to load embedded image\nImage format is not recognized" + "Failed to load embedded image\nImage format is not recognized", ); }); @@ -225,13 +225,13 @@ describe( await expectAsync(imageLoader.load()).toBeRejectedWithError( RuntimeError, - "Failed to load image: image.png\n404 Not Found" + "Failed to load image: image.png\n404 Not Found", ); }); async function loadsFromBufferView(imageBuffer) { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(imageBuffer) + Promise.resolve(imageBuffer), ); const imageLoader = new GltfImageLoader({ @@ -272,7 +272,7 @@ describe( } spyOn(BufferLoader, "_fetchArrayBuffer").and.returnValue( - Promise.resolve(ktx2BasisBuffer) + Promise.resolve(ktx2BasisBuffer), ); const imageLoader = new GltfImageLoader({ @@ -297,7 +297,7 @@ describe( } spyOn(BufferLoader, "_fetchArrayBuffer").and.returnValue( - Promise.resolve(ktx2BasisMipmapBuffer) + Promise.resolve(ktx2BasisMipmapBuffer), ); const imageLoader = new GltfImageLoader({ @@ -318,7 +318,7 @@ describe( it("loads from uri", async function () { spyOn(Resource.prototype, "fetchImage").and.returnValue( - Promise.resolve(image) + Promise.resolve(image), ); const imageLoader = new GltfImageLoader({ @@ -377,12 +377,12 @@ describe( it("destroys image loader", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(pngBuffer) + Promise.resolve(pngBuffer), ); const unloadBufferView = spyOn( GltfBufferViewLoader.prototype, - "unload" + "unload", ).and.callThrough(); const imageLoader = new GltfImageLoader({ @@ -415,7 +415,7 @@ describe( } else { resolve(pngBuffer); } - }) + }), ); const imageLoader = new GltfImageLoader({ @@ -447,7 +447,7 @@ describe( async function resolveImageFromTypedArrayAfterDestroy(rejectPromise) { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(pngBuffer) + Promise.resolve(pngBuffer), ); spyOn(GltfImageLoader, "_loadImageFromTypedArray").and.callFake( @@ -458,7 +458,7 @@ describe( } else { resolve(image); } - }) + }), ); const imageLoader = new GltfImageLoader({ @@ -496,7 +496,7 @@ describe( } else { resolve(image); } - }) + }), ); const imageLoader = new GltfImageLoader({ @@ -526,5 +526,5 @@ describe( return resolveUriAfterDestroy(true); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/GltfIndexBufferLoaderSpec.js b/packages/engine/Specs/Scene/GltfIndexBufferLoaderSpec.js index ecd36f1209d8..18baacf9f881 100644 --- a/packages/engine/Specs/Scene/GltfIndexBufferLoaderSpec.js +++ b/packages/engine/Specs/Scene/GltfIndexBufferLoaderSpec.js @@ -20,14 +20,7 @@ describe( "Scene/GltfIndexBufferLoader", function () { const dracoBufferTypedArray = new Uint8Array([ - 1, - 3, - 7, - 15, - 31, - 63, - 127, - 255, + 1, 3, 7, 15, 31, 63, 127, 255, ]); const dracoArrayBuffer = dracoBufferTypedArray.buffer; @@ -351,7 +344,7 @@ describe( it("load throws if buffer view fails to load", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.callFake(() => - Promise.reject(new Error("404 Not Found")) + Promise.reject(new Error("404 Not Found")), ); const indexBufferLoader = new GltfIndexBufferLoader({ @@ -365,13 +358,13 @@ describe( await expectAsync(indexBufferLoader.load()).toBeRejectedWithError( RuntimeError, - "Failed to load index buffer\nFailed to load buffer view\nFailed to load external buffer: https://example.com/external.bin\n404 Not Found" + "Failed to load index buffer\nFailed to load buffer view\nFailed to load external buffer: https://example.com/external.bin\n404 Not Found", ); }); it("process throws if draco fails to load", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(dracoArrayBuffer) + Promise.resolve(dracoArrayBuffer), ); spyOn(DracoLoader, "decodeBufferView").and.callFake(function () { @@ -391,16 +384,16 @@ describe( await indexBufferLoader.load(); await expectAsync( - waitForLoaderProcess(indexBufferLoader, scene) + waitForLoaderProcess(indexBufferLoader, scene), ).toBeRejectedWithError( RuntimeError, - "Failed to load index buffer\nFailed to load Draco\nDraco decode failed" + "Failed to load index buffer\nFailed to load Draco\nDraco decode failed", ); }); it("loads from accessor into buffer", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(arrayBuffer) + Promise.resolve(arrayBuffer), ); // Simulate JobScheduler not being ready for a few frames @@ -408,15 +401,14 @@ describe( let processCallsCount = 0; const jobScheduler = scene.frameState.jobScheduler; const originalJobSchedulerExecute = jobScheduler.execute; - spyOn(JobScheduler.prototype, "execute").and.callFake(function ( - job, - jobType - ) { - if (processCallsCount++ >= processCallsTotal) { - return originalJobSchedulerExecute.call(jobScheduler, job, jobType); - } - return false; - }); + spyOn(JobScheduler.prototype, "execute").and.callFake( + function (job, jobType) { + if (processCallsCount++ >= processCallsTotal) { + return originalJobSchedulerExecute.call(jobScheduler, job, jobType); + } + return false; + }, + ); const indexBufferLoader = new GltfIndexBufferLoader({ resourceCache: ResourceCache, @@ -432,17 +424,17 @@ describe( expect(() => loaderProcess(indexBufferLoader, scene)).not.toThrow(); expect(indexBufferLoader.buffer.sizeInBytes).toBe( - indicesUint16.byteLength + indicesUint16.byteLength, ); expect(indexBufferLoader.typedArray).toBeUndefined(); expect(ResourceCache.statistics.geometryByteLength).toBe( - indexBufferLoader.buffer.sizeInBytes + indexBufferLoader.buffer.sizeInBytes, ); }); it("loads from accessor as typed array", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(arrayBuffer) + Promise.resolve(arrayBuffer), ); spyOn(Buffer, "createIndexBuffer").and.callThrough(); @@ -460,18 +452,18 @@ describe( await waitForLoaderProcess(indexBufferLoader, scene); expect(indexBufferLoader.typedArray.byteLength).toBe( - indicesUint16.byteLength + indicesUint16.byteLength, ); expect(indexBufferLoader.buffer).toBeUndefined(); expect(Buffer.createIndexBuffer.calls.count()).toBe(0); expect(ResourceCache.statistics.geometryByteLength).toBe( - indexBufferLoader.typedArray.byteLength + indexBufferLoader.typedArray.byteLength, ); }); it("loads from accessor as buffer and typed array", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(arrayBuffer) + Promise.resolve(arrayBuffer), ); const indexBufferLoader = new GltfIndexBufferLoader({ @@ -488,19 +480,19 @@ describe( await waitForLoaderProcess(indexBufferLoader, scene); expect(indexBufferLoader.buffer.sizeInBytes).toBe( - indicesUint16.byteLength + indicesUint16.byteLength, ); expect(indexBufferLoader.typedArray.byteLength).toBe( - indicesUint16.byteLength + indicesUint16.byteLength, ); expect(ResourceCache.statistics.geometryByteLength).toBe( - 2 * indexBufferLoader.typedArray.byteLength + 2 * indexBufferLoader.typedArray.byteLength, ); }); it("creates index buffer synchronously", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(arrayBuffer) + Promise.resolve(arrayBuffer), ); const indexBufferLoader = new GltfIndexBufferLoader({ @@ -517,13 +509,13 @@ describe( await waitForLoaderProcess(indexBufferLoader, scene); expect(indexBufferLoader.buffer.sizeInBytes).toBe( - indicesUint16.byteLength + indicesUint16.byteLength, ); }); async function loadIndices(accessorId, expectedByteLength) { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(arrayBuffer) + Promise.resolve(arrayBuffer), ); const indexBufferLoader = new GltfIndexBufferLoader({ @@ -559,7 +551,7 @@ describe( it("loads from draco", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(arrayBuffer) + Promise.resolve(arrayBuffer), ); // Simulate decodeBufferView not being ready for a few frames @@ -587,20 +579,20 @@ describe( expect(() => loaderProcess(indexBufferLoader, scene)).not.toThrow(); expect(indexBufferLoader.buffer.sizeInBytes).toBe( - decodedIndices.byteLength + decodedIndices.byteLength, ); expect(ResourceCache.statistics.geometryByteLength).toBe( - indexBufferLoader.buffer.sizeInBytes + indexBufferLoader.buffer.sizeInBytes, ); }); it("uses the decoded data's type instead of the accessor component type", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(arrayBuffer) + Promise.resolve(arrayBuffer), ); spyOn(DracoLoader, "decodeBufferView").and.returnValue( - Promise.resolve(decodeDracoResults) + Promise.resolve(decodeDracoResults), ); const clonedGltf = clone(gltfDraco, true); @@ -625,17 +617,17 @@ describe( it("destroys index buffer loaded from buffer view", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(arrayBuffer) + Promise.resolve(arrayBuffer), ); const unloadBufferView = spyOn( GltfBufferViewLoader.prototype, - "unload" + "unload", ).and.callThrough(); const destroyIndexBuffer = spyOn( Buffer.prototype, - "destroy" + "destroy", ).and.callThrough(); const indexBufferLoader = new GltfIndexBufferLoader({ @@ -663,21 +655,21 @@ describe( it("destroys index buffer loaded from draco", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(arrayBuffer) + Promise.resolve(arrayBuffer), ); spyOn(DracoLoader, "decodeBufferView").and.returnValue( - Promise.resolve(decodeDracoResults) + Promise.resolve(decodeDracoResults), ); const unloadDraco = spyOn( GltfDracoLoader.prototype, - "unload" + "unload", ).and.callThrough(); const destroyIndexBuffer = spyOn( Buffer.prototype, - "destroy" + "destroy", ).and.callThrough(); const indexBufferLoader = new GltfIndexBufferLoader({ @@ -717,7 +709,7 @@ describe( spyOn(Resource.prototype, "fetchArrayBuffer").and.callFake(() => rejectPromise ? Promise.reject(new Error()) - : Promise.resolve(arrayBuffer) + : Promise.resolve(arrayBuffer), ); expect(indexBufferLoader.buffer).not.toBeDefined(); @@ -760,7 +752,7 @@ describe( const decodeBufferView = spyOn( DracoLoader, - "decodeBufferView" + "decodeBufferView", ).and.callFake(function () { return new Promise(function (resolve, reject) { if (rejectPromise) { @@ -775,7 +767,7 @@ describe( await indexBufferLoader.load(); // Destroy is called in mock function above await expectAsync( - waitForLoaderProcess(indexBufferLoader, scene) + waitForLoaderProcess(indexBufferLoader, scene), ).toBeResolved(); expect(decodeBufferView).toHaveBeenCalled(); // Make sure the decode actually starts @@ -791,5 +783,5 @@ describe( return resolveDracoAfterDestroy(true); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/GltfJsonLoaderSpec.js b/packages/engine/Specs/Scene/GltfJsonLoaderSpec.js index 84549d70126b..1978618855ae 100644 --- a/packages/engine/Specs/Scene/GltfJsonLoaderSpec.js +++ b/packages/engine/Specs/Scene/GltfJsonLoaderSpec.js @@ -594,7 +594,7 @@ describe("Scene/GltfJsonLoader", function () { await expectAsync(gltfJsonLoader.load()).toBeRejectedWithError( RuntimeError, - "Failed to load glTF: https://example.com/model.glb\n404 Not Found" + "Failed to load glTF: https://example.com/model.glb\n404 Not Found", ); }); @@ -605,11 +605,11 @@ describe("Scene/GltfJsonLoader", function () { }).buffer; spyOn(GltfJsonLoader.prototype, "_fetchGltf").and.returnValue( - Promise.resolve(arrayBuffer) + Promise.resolve(arrayBuffer), ); spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(new Float32Array([0.0, 0.0, 0.0]).buffer) + Promise.resolve(new Float32Array([0.0, 0.0, 0.0]).buffer), ); const gltfJsonLoader = new GltfJsonLoader({ @@ -620,7 +620,7 @@ describe("Scene/GltfJsonLoader", function () { await expectAsync(gltfJsonLoader.load()).toBeRejectedWithError( RuntimeError, - "Failed to load glTF: https://example.com/model.glb\nUnsupported glTF Extension: NOT_supported_extension" + "Failed to load glTF: https://example.com/model.glb\nUnsupported glTF Extension: NOT_supported_extension", ); }); @@ -628,7 +628,7 @@ describe("Scene/GltfJsonLoader", function () { const arrayBuffer = generateJsonBuffer(gltf1).buffer; spyOn(GltfJsonLoader.prototype, "_fetchGltf").and.returnValue( - Promise.resolve(arrayBuffer) + Promise.resolve(arrayBuffer), ); spyOn(Resource.prototype, "fetchArrayBuffer").and.callFake(function () { @@ -644,7 +644,7 @@ describe("Scene/GltfJsonLoader", function () { await expectAsync(gltfJsonLoader.load()).toBeRejectedWithError( RuntimeError, - "Failed to load glTF: https://example.com/model.glb\nFailed to load external buffer: https://example.com/external.bin\n404 Not Found" + "Failed to load glTF: https://example.com/model.glb\nFailed to load external buffer: https://example.com/external.bin\n404 Not Found", ); }); @@ -665,7 +665,7 @@ describe("Scene/GltfJsonLoader", function () { await expectAsync(gltfJsonLoader.load()).toBeRejectedWithError( RuntimeError, - "Failed to load glTF: https://example.com/model.glb\nFailed to load external buffer: https://example.com/external.bin\n404 Not Found" + "Failed to load glTF: https://example.com/model.glb\nFailed to load external buffer: https://example.com/external.bin\n404 Not Found", ); }); @@ -673,11 +673,11 @@ describe("Scene/GltfJsonLoader", function () { const arrayBuffer = generateJsonBuffer(gltf1).buffer; spyOn(GltfJsonLoader.prototype, "_fetchGltf").and.returnValue( - Promise.resolve(arrayBuffer) + Promise.resolve(arrayBuffer), ); spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(new Float32Array([0.0, 0.0, 0.0]).buffer) + Promise.resolve(new Float32Array([0.0, 0.0, 0.0]).buffer), ); const gltfJsonLoader = new GltfJsonLoader({ @@ -696,11 +696,11 @@ describe("Scene/GltfJsonLoader", function () { const arrayBuffer = generateJsonBuffer(gltf1MaterialsCommon).buffer; spyOn(GltfJsonLoader.prototype, "_fetchGltf").and.returnValue( - Promise.resolve(arrayBuffer) + Promise.resolve(arrayBuffer), ); spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(new Float32Array([0.0, 0.0, 0.0]).buffer) + Promise.resolve(new Float32Array([0.0, 0.0, 0.0]).buffer), ); const gltfJsonLoader = new GltfJsonLoader({ @@ -734,7 +734,7 @@ describe("Scene/GltfJsonLoader", function () { const arrayBuffer = createGlb1(gltf1Binary).buffer; spyOn(GltfJsonLoader.prototype, "_fetchGltf").and.returnValue( - Promise.resolve(arrayBuffer) + Promise.resolve(arrayBuffer), ); const gltfJsonLoader = new GltfJsonLoader({ @@ -761,7 +761,7 @@ describe("Scene/GltfJsonLoader", function () { const arrayBuffer = generateJsonBuffer(gltf1DataUri).buffer; spyOn(GltfJsonLoader.prototype, "_fetchGltf").and.returnValue( - Promise.resolve(arrayBuffer) + Promise.resolve(arrayBuffer), ); const gltfJsonLoader = new GltfJsonLoader({ @@ -779,11 +779,11 @@ describe("Scene/GltfJsonLoader", function () { const arrayBuffer = generateJsonBuffer(gltf2).buffer; spyOn(GltfJsonLoader.prototype, "_fetchGltf").and.returnValue( - Promise.resolve(arrayBuffer) + Promise.resolve(arrayBuffer), ); spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(new Float32Array([0.0, 0.0, 0.0]).buffer) + Promise.resolve(new Float32Array([0.0, 0.0, 0.0]).buffer), ); const gltfJsonLoader = new GltfJsonLoader({ @@ -802,11 +802,11 @@ describe("Scene/GltfJsonLoader", function () { const arrayBuffer = generateJsonBuffer(gltf2TechniquesWebgl).buffer; spyOn(GltfJsonLoader.prototype, "_fetchGltf").and.returnValue( - Promise.resolve(arrayBuffer) + Promise.resolve(arrayBuffer), ); spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(new Float32Array([0.0, 0.0, 0.0]).buffer) + Promise.resolve(new Float32Array([0.0, 0.0, 0.0]).buffer), ); const gltfJsonLoader = new GltfJsonLoader({ @@ -830,7 +830,7 @@ describe("Scene/GltfJsonLoader", function () { const arrayBuffer = createGlb2(gltf2Binary).buffer; spyOn(GltfJsonLoader.prototype, "_fetchGltf").and.returnValue( - Promise.resolve(arrayBuffer) + Promise.resolve(arrayBuffer), ); const gltfJsonLoader = new GltfJsonLoader({ @@ -856,7 +856,7 @@ describe("Scene/GltfJsonLoader", function () { const arrayBuffer = generateJsonBuffer(gltf2DataUri).buffer; spyOn(GltfJsonLoader.prototype, "_fetchGltf").and.returnValue( - Promise.resolve(arrayBuffer) + Promise.resolve(arrayBuffer), ); const gltfJsonLoader = new GltfJsonLoader({ @@ -897,7 +897,7 @@ describe("Scene/GltfJsonLoader", function () { const gltf = clone(gltf2, true); spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(new Float32Array([0.0, 0.0, 0.0]).buffer) + Promise.resolve(new Float32Array([0.0, 0.0, 0.0]).buffer), ); const gltfJsonLoader = new GltfJsonLoader({ @@ -920,12 +920,12 @@ describe("Scene/GltfJsonLoader", function () { const arrayBuffer = createGlb2(gltf2Binary).buffer; spyOn(GltfJsonLoader.prototype, "_fetchGltf").and.returnValue( - Promise.resolve(arrayBuffer) + Promise.resolve(arrayBuffer), ); const unloadBuffer = spyOn( BufferLoader.prototype, - "unload" + "unload", ).and.callThrough(); const gltfJsonLoader = new GltfJsonLoader({ @@ -949,7 +949,9 @@ describe("Scene/GltfJsonLoader", function () { async function resolvesGltfAfterDestroy(rejectPromise) { const arrayBuffer = generateJsonBuffer(gltf2).buffer; spyOn(GltfJsonLoader.prototype, "_fetchGltf").and.callFake(() => - rejectPromise ? Promise.reject(new Error()) : Promise.resolve(arrayBuffer) + rejectPromise + ? Promise.reject(new Error()) + : Promise.resolve(arrayBuffer), ); const gltfJsonLoader = new GltfJsonLoader({ @@ -977,7 +979,7 @@ describe("Scene/GltfJsonLoader", function () { async function resolvesProcessedGltfAfterDestroy(rejectPromise) { spyOn(GltfJsonLoader.prototype, "_fetchGltf").and.returnValue( - Promise.resolve(generateJsonBuffer(gltf2).buffer) + Promise.resolve(generateJsonBuffer(gltf2).buffer), ); const buffer = new Float32Array([0.0, 0.0, 0.0]).buffer; diff --git a/packages/engine/Specs/Scene/GltfLoaderSpec.js b/packages/engine/Specs/Scene/GltfLoaderSpec.js index cfcab67f19d3..8a6bae03d5c7 100644 --- a/packages/engine/Specs/Scene/GltfLoaderSpec.js +++ b/packages/engine/Specs/Scene/GltfLoaderSpec.js @@ -163,7 +163,7 @@ describe( it("load throws if glTF JSON fails to load", async function () { const error = new Error("404 Not Found"); spyOn(GltfJsonLoader.prototype, "_fetchGltf").and.returnValue( - Promise.reject(error) + Promise.reject(error), ); const gltfResource = new Resource({ @@ -178,7 +178,7 @@ describe( await expectAsync(gltfLoader.load()).toBeRejectedWithError( RuntimeError, - "Failed to load glTF\nFailed to load glTF: https://example.com/model.glb\n404 Not Found" + "Failed to load glTF\nFailed to load glTF: https://example.com/model.glb\n404 Not Found", ); }); @@ -230,7 +230,7 @@ describe( gltf = modifyFunction(gltf); spyOn(GltfJsonLoader.prototype, "_fetchGltf").and.returnValue( - Promise.resolve(generateJsonBuffer(gltf).buffer) + Promise.resolve(generateJsonBuffer(gltf).buffer), ); const gltfLoader = new GltfLoader(getOptions(gltfPath, options)); @@ -294,11 +294,11 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); const normalAttribute = getAttribute( attributes, - VertexAttributeSemantic.NORMAL + VertexAttributeSemantic.NORMAL, ); expect(positionAttribute.buffer).toBeDefined(); @@ -325,16 +325,16 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); const normalAttribute = getAttribute( attributes, - VertexAttributeSemantic.NORMAL + VertexAttributeSemantic.NORMAL, ); const texcoordAttribute = getAttribute( attributes, VertexAttributeSemantic.TEXCOORD, - 0 + 0, ); const indices = primitive.indices; @@ -370,11 +370,11 @@ describe( expect(positionAttribute.name).toBe("POSITION"); expect(positionAttribute.semantic).toBe( - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); expect(positionAttribute.setIndex).toBeUndefined(); expect(positionAttribute.componentDatatype).toBe( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(positionAttribute.type).toBe(AttributeType.VEC3); expect(positionAttribute.normalized).toBe(false); @@ -406,11 +406,11 @@ describe( expect(texcoordAttribute.name).toBe("TEXCOORD_0"); expect(texcoordAttribute.semantic).toBe( - VertexAttributeSemantic.TEXCOORD + VertexAttributeSemantic.TEXCOORD, ); expect(texcoordAttribute.setIndex).toBe(0); expect(texcoordAttribute.componentDatatype).toBe( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(texcoordAttribute.type).toBe(AttributeType.VEC2); expect(texcoordAttribute.normalized).toBe(false); @@ -436,7 +436,7 @@ describe( expect(texcoordAttribute.buffer.sizeInBytes).toBe(192); expect(metallicRoughness.baseColorFactor).toEqual( - new Cartesian4(1.0, 1.0, 1.0, 1.0) + new Cartesian4(1.0, 1.0, 1.0, 1.0), ); expect(metallicRoughness.metallicFactor).toBe(0.0); expect(metallicRoughness.roughnessFactor).toBe(1.0); @@ -448,10 +448,10 @@ describe( expect(sampler.wrapS).toBe(TextureWrap.REPEAT); expect(sampler.wrapT).toBe(TextureWrap.REPEAT); expect(sampler.magnificationFilter).toBe( - TextureMagnificationFilter.LINEAR + TextureMagnificationFilter.LINEAR, ); expect(sampler.minificationFilter).toBe( - TextureMinificationFilter.NEAREST_MIPMAP_LINEAR + TextureMinificationFilter.NEAREST_MIPMAP_LINEAR, ); expect(nodes.length).toBe(2); @@ -488,7 +488,7 @@ describe( const metallicRoughness = material.metallicRoughness; expect(metallicRoughness.baseColorTexture).toBeUndefined(); - } + }, ); }); @@ -511,10 +511,10 @@ describe( expect(sampler.wrapS).toBe(TextureWrap.REPEAT); expect(sampler.wrapT).toBe(TextureWrap.REPEAT); expect(sampler.magnificationFilter).toBe( - TextureMagnificationFilter.LINEAR + TextureMagnificationFilter.LINEAR, ); expect(sampler.minificationFilter).toBe( - TextureMinificationFilter.LINEAR + TextureMinificationFilter.LINEAR, ); }); } @@ -543,21 +543,21 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); const normalAttribute = getAttribute( attributes, - VertexAttributeSemantic.NORMAL + VertexAttributeSemantic.NORMAL, ); const texcoordAttribute = getAttribute( attributes, VertexAttributeSemantic.TEXCOORD, - 0 + 0, ); const colorAttribute = getAttribute( attributes, VertexAttributeSemantic.COLOR, - 0 + 0, ); expect(positionAttribute.buffer).toBeDefined(); @@ -602,7 +602,7 @@ describe( return loadModifiedGltfAndTest( boxVertexColors, undefined, - modifyGltf + modifyGltf, ).then(function (gltfLoader) { const components = gltfLoader.components; const scene = components.scene; @@ -612,21 +612,21 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); const normalAttribute = getAttribute( attributes, - VertexAttributeSemantic.NORMAL + VertexAttributeSemantic.NORMAL, ); const texcoordAttribute = getAttribute( attributes, VertexAttributeSemantic.TEXCOORD, - 0 + 0, ); const colorAttribute = getAttribute( attributes, VertexAttributeSemantic.COLOR, - 0 + 0, ); expect(positionAttribute.buffer).toBeDefined(); @@ -648,18 +648,18 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); const morphTargets = primitive.morphTargets; const morphTarget0 = morphTargets[0]; const morphTarget1 = morphTargets[1]; const morphPositions0 = getAttribute( morphTarget0.attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); const morphPositions1 = getAttribute( morphTarget1.attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); expect(morphPositions0.name).toBe("POSITION"); @@ -715,7 +715,7 @@ describe( const scene = components.scene; const rootNode = scene.nodes[0]; expect(rootNode.morphWeights).toEqual([0.0, 0.0]); - } + }, ); }); @@ -730,17 +730,17 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); const jointsAttribute = getAttribute( attributes, VertexAttributeSemantic.JOINTS, - 0 + 0, ); const weightsAttribute = getAttribute( attributes, VertexAttributeSemantic.WEIGHTS, - 0 + 0, ); expect(positionAttribute.buffer).toBeDefined(); @@ -751,7 +751,7 @@ describe( expect(jointsAttribute.semantic).toBe(VertexAttributeSemantic.JOINTS); expect(jointsAttribute.setIndex).toBe(0); expect(jointsAttribute.componentDatatype).toBe( - ComponentDatatype.UNSIGNED_SHORT + ComponentDatatype.UNSIGNED_SHORT, ); expect(jointsAttribute.type).toBe(AttributeType.VEC4); expect(jointsAttribute.normalized).toBe(false); @@ -769,7 +769,7 @@ describe( expect(weightsAttribute.semantic).toBe(VertexAttributeSemantic.WEIGHTS); expect(weightsAttribute.setIndex).toBe(0); expect(weightsAttribute.componentDatatype).toBe( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(weightsAttribute.type).toBe(AttributeType.VEC4); expect(weightsAttribute.normalized).toBe(false); @@ -824,7 +824,7 @@ describe( Matrix4.IDENTITY, Matrix4.IDENTITY, ]); - } + }, ); }); @@ -838,7 +838,7 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); expect(positionAttribute.buffer).toBeDefined(); @@ -866,8 +866,8 @@ describe( Quaternion.equalsEpsilon( sampler.output[i], expectedOutput[i], - CesiumMath.EPSILON3 - ) + CesiumMath.EPSILON3, + ), ).toBe(true); } @@ -876,7 +876,7 @@ describe( expect(channel.sampler).toBe(sampler); expect(channel.target.node).toBe(rootNode); expect(channel.target.path).toEqual( - ModelComponents.AnimatedPropertyType.ROTATION + ModelComponents.AnimatedPropertyType.ROTATION, ); }); }); @@ -891,7 +891,7 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); expect(positionAttribute.buffer).toBeDefined(); @@ -911,7 +911,7 @@ describe( expect(channel.sampler).toBe(sampler); expect(channel.target.node).toBe(rootNode); expect(channel.target.path).toEqual( - ModelComponents.AnimatedPropertyType.WEIGHTS + ModelComponents.AnimatedPropertyType.WEIGHTS, ); }); }); @@ -935,7 +935,7 @@ describe( expect(channel.sampler).toBe(sampler); expect(channel.target.node).toBe(nodes[0]); expect(channel.target.path).toEqual( - ModelComponents.AnimatedPropertyType.SCALE + ModelComponents.AnimatedPropertyType.SCALE, ); const cubicSplineRotation = animations[4]; @@ -952,7 +952,7 @@ describe( expect(channel.sampler).toBe(sampler); expect(channel.target.node).toBe(nodes[6]); expect(channel.target.path).toEqual( - ModelComponents.AnimatedPropertyType.ROTATION + ModelComponents.AnimatedPropertyType.ROTATION, ); const linearTranslation = animations[8]; @@ -968,7 +968,7 @@ describe( expect(channel.sampler).toBe(sampler); expect(channel.target.node).toBe(nodes[10]); expect(channel.target.path).toEqual( - ModelComponents.AnimatedPropertyType.TRANSLATION + ModelComponents.AnimatedPropertyType.TRANSLATION, ); }); }); @@ -982,13 +982,13 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); expect(positionAttribute).toBeDefined(); expect(primitive.indices).toBeDefined(); expect(primitive.indices.indexDatatype).toBe( - IndexDatatype.UNSIGNED_SHORT + IndexDatatype.UNSIGNED_SHORT, ); expect(primitive.indices.count).toBe(3); expect(primitive.indices.buffer).toBeDefined(); @@ -1011,12 +1011,12 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); expect(positionAttribute).toBeDefined(); expect(primitive.indices).toBeUndefined(); - } + }, ); }); @@ -1029,7 +1029,7 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); expect(positionAttribute).toBeDefined(); @@ -1048,20 +1048,20 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); const normalAttribute = getAttribute( attributes, - VertexAttributeSemantic.NORMAL + VertexAttributeSemantic.NORMAL, ); const tangentAttribute = getAttribute( attributes, - VertexAttributeSemantic.TANGENT + VertexAttributeSemantic.TANGENT, ); const texcoordAttribute = getAttribute( attributes, VertexAttributeSemantic.TEXCOORD, - 0 + 0, ); expect(positionAttribute).toBeDefined(); @@ -1071,7 +1071,7 @@ describe( expect(metallicRoughness.baseColorTexture.texture).toBeDefined(); expect( - metallicRoughness.metallicRoughnessTexture.texture + metallicRoughness.metallicRoughnessTexture.texture, ).toBeDefined(); expect(material.normalTexture.texture).toBeDefined(); expect(material.doubleSided).toBe(true); @@ -1106,25 +1106,25 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); const normalAttribute = getAttribute( attributes, - VertexAttributeSemantic.NORMAL + VertexAttributeSemantic.NORMAL, ); const tangentAttribute = getAttribute( attributes, - VertexAttributeSemantic.TANGENT + VertexAttributeSemantic.TANGENT, ); const texcoordAttribute0 = getAttribute( attributes, VertexAttributeSemantic.TEXCOORD, - 0 + 0, ); const texcoordAttribute1 = getAttribute( attributes, VertexAttributeSemantic.TEXCOORD, - 1 + 1, ); expect(positionAttribute).toBeDefined(); @@ -1154,7 +1154,7 @@ describe( const featureIdTexture = primitive.featureIds[0]; expect(featureIdTexture).toBeInstanceOf( - ModelComponents.FeatureIdTexture + ModelComponents.FeatureIdTexture, ); expect(featureIdTexture.featureCount).toEqual(256); expect(featureIdTexture.nullFeatureId).not.toBeDefined(); @@ -1166,7 +1166,7 @@ describe( expect(featureIdTexture.textureReader.texture.width).toBe(256); expect(featureIdTexture.textureReader.texture.height).toBe(256); expect(featureIdTexture.textureReader.texture.sampler).toBe( - Sampler.NEAREST + Sampler.NEAREST, ); const classDefinition = structuralMetadata.schema.classes.landCover; @@ -1175,7 +1175,7 @@ describe( expect(properties.name.componentType).not.toBeDefined(); expect(properties.color.type).toBe(MetadataType.VEC3); expect(properties.color.componentType).toBe( - MetadataComponentType.UINT8 + MetadataComponentType.UINT8, ); const propertyTable = structuralMetadata.getPropertyTable(0); @@ -1185,19 +1185,18 @@ describe( expect(propertyTable.class).toBe(classDefinition); expect(propertyTable.getProperty(0, "name")).toBe("Grassland"); expect(propertyTable.getProperty(0, "color")).toEqual( - new Cartesian3(118, 163, 11) + new Cartesian3(118, 163, 11), ); expect(propertyTable.getProperty(255, "name")).toBe("Building"); expect(propertyTable.getProperty(255, "color")).toEqual( - new Cartesian3(194, 194, 194) + new Cartesian3(194, 194, 194), ); const propertyTexture = structuralMetadata.getPropertyTexture(0); expect(propertyTexture.id).toEqual(0); expect(propertyTexture.name).toEqual("Vegetation"); - const vegetationProperty = propertyTexture.getProperty( - "vegetationDensity" - ); + const vegetationProperty = + propertyTexture.getProperty("vegetationDensity"); expect(vegetationProperty.textureReader.texture.width).toBe(256); expect(vegetationProperty.textureReader.texture.height).toBe(256); @@ -1220,7 +1219,7 @@ describe( const featureIdTexture = primitive.featureIds[0]; expect(featureIdTexture).toBeInstanceOf( - ModelComponents.FeatureIdTexture + ModelComponents.FeatureIdTexture, ); expect(featureIdTexture.featureCount).toEqual(256); expect(featureIdTexture.nullFeatureId).not.toBeDefined(); @@ -1232,7 +1231,7 @@ describe( expect(featureIdTexture.textureReader.texture.width).toBe(256); expect(featureIdTexture.textureReader.texture.height).toBe(256); expect(featureIdTexture.textureReader.texture.sampler).toBe( - Sampler.NEAREST + Sampler.NEAREST, ); const classDefinition = structuralMetadata.schema.classes.landCover; @@ -1241,7 +1240,7 @@ describe( expect(properties.name.componentType).not.toBeDefined(); expect(properties.color.type).toBe(MetadataType.SCALAR); expect(properties.color.componentType).toBe( - MetadataComponentType.UINT8 + MetadataComponentType.UINT8, ); expect(properties.color.arrayLength).toBe(3); @@ -1253,16 +1252,13 @@ describe( expect(propertyTable.getProperty(0, "color")).toEqual([118, 163, 11]); expect(propertyTable.getProperty(255, "name")).toBe("Building"); expect(propertyTable.getProperty(255, "color")).toEqual([ - 194, - 194, - 194, + 194, 194, 194, ]); const propertyTexture = structuralMetadata.getPropertyTexture(0); expect(propertyTexture.id).toEqual("vegetationTexture"); - const vegetationProperty = propertyTexture.getProperty( - "vegetationDensity" - ); + const vegetationProperty = + propertyTexture.getProperty("vegetationDensity"); expect(vegetationProperty.textureReader.texture.width).toBe(256); expect(vegetationProperty.textureReader.texture.height).toBe(256); @@ -1286,7 +1282,7 @@ describe( let featureIdTexture = primitive.featureIds[0]; expect(featureIdTexture).toBeInstanceOf( - ModelComponents.FeatureIdTexture + ModelComponents.FeatureIdTexture, ); expect(featureIdTexture.featureCount).toEqual(1048576); expect(featureIdTexture.nullFeatureId).not.toBeDefined(); @@ -1302,7 +1298,7 @@ describe( featureIdTexture = primitive.featureIds[1]; expect(featureIdTexture).toBeInstanceOf( - ModelComponents.FeatureIdTexture + ModelComponents.FeatureIdTexture, ); expect(featureIdTexture.featureCount).toEqual(1048576); expect(featureIdTexture.nullFeatureId).not.toBeDefined(); @@ -1316,7 +1312,7 @@ describe( featureIdTexture = primitive.featureIds[2]; expect(featureIdTexture).toBeInstanceOf( - ModelComponents.FeatureIdTexture + ModelComponents.FeatureIdTexture, ); expect(featureIdTexture.featureCount).toEqual(256); expect(featureIdTexture.nullFeatureId).not.toBeDefined(); @@ -1329,7 +1325,7 @@ describe( featureIdTexture = primitive.featureIds[3]; expect(featureIdTexture).toBeInstanceOf( - ModelComponents.FeatureIdTexture + ModelComponents.FeatureIdTexture, ); expect(featureIdTexture.featureCount).toEqual(65536); expect(featureIdTexture.nullFeatureId).not.toBeDefined(); @@ -1342,7 +1338,7 @@ describe( featureIdTexture = primitive.featureIds[4]; expect(featureIdTexture).toBeInstanceOf( - ModelComponents.FeatureIdTexture + ModelComponents.FeatureIdTexture, ); expect(featureIdTexture.featureCount).toEqual(65536); expect(featureIdTexture.nullFeatureId).not.toBeDefined(); @@ -1355,7 +1351,7 @@ describe( featureIdTexture = primitive.featureIds[5]; expect(featureIdTexture).toBeInstanceOf( - ModelComponents.FeatureIdTexture + ModelComponents.FeatureIdTexture, ); expect(featureIdTexture.featureCount).toEqual(1048576); expect(featureIdTexture.nullFeatureId).not.toBeDefined(); @@ -1368,7 +1364,7 @@ describe( featureIdTexture = primitive.featureIds[6]; expect(featureIdTexture).toBeInstanceOf( - ModelComponents.FeatureIdTexture + ModelComponents.FeatureIdTexture, ); expect(featureIdTexture.featureCount).toEqual(255); expect(featureIdTexture.nullFeatureId).toBe(10); @@ -1391,16 +1387,16 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); const normalAttribute = getAttribute( attributes, - VertexAttributeSemantic.NORMAL + VertexAttributeSemantic.NORMAL, ); const featureIdAttribute = getAttribute( attributes, VertexAttributeSemantic.FEATURE_ID, - 0 + 0, ); const structuralMetadata = components.structuralMetadata; @@ -1409,11 +1405,11 @@ describe( expect(featureIdAttribute.name).toBe("_FEATURE_ID_0"); expect(featureIdAttribute.semantic).toBe( - VertexAttributeSemantic.FEATURE_ID + VertexAttributeSemantic.FEATURE_ID, ); expect(featureIdAttribute.setIndex).toBe(0); expect(featureIdAttribute.componentDatatype).toBe( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(featureIdAttribute.type).toBe(AttributeType.SCALAR); expect(featureIdAttribute.normalized).toBe(false); @@ -1433,7 +1429,7 @@ describe( // feature ID via accessor const featureIdAccessor = primitive.featureIds[0]; expect(featureIdAccessor).toBeInstanceOf( - ModelComponents.FeatureIdAttribute + ModelComponents.FeatureIdAttribute, ); expect(featureIdAccessor.featureCount).toEqual(10); expect(featureIdAccessor.nullFeatureId).not.toBeDefined(); @@ -1445,7 +1441,7 @@ describe( // Default feature ID const featureIdDefault = primitive.featureIds[1]; expect(featureIdDefault).toBeInstanceOf( - ModelComponents.FeatureIdImplicitRange + ModelComponents.FeatureIdImplicitRange, ); expect(featureIdDefault.featureCount).toEqual(5); expect(featureIdDefault.nullFeatureId).not.toBeDefined(); @@ -1459,7 +1455,7 @@ describe( const classDefinition = structuralMetadata.schema.classes.building; const properties = classDefinition.properties; expect(properties.height.componentType).toBe( - MetadataComponentType.FLOAT32 + MetadataComponentType.FLOAT32, ); expect(properties.id.componentType).toBe(MetadataComponentType.INT32); @@ -1502,7 +1498,7 @@ describe( for (let i = 0; i < 10; i++) { const temperature = propertyTable.getProperty( i, - "temperatureCelsius" + "temperatureCelsius", ); expect(temperature).toBeGreaterThanOrEqual(18); expect(temperature).toBeLessThanOrEqual(24); @@ -1520,16 +1516,16 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); const normalAttribute = getAttribute( attributes, - VertexAttributeSemantic.NORMAL + VertexAttributeSemantic.NORMAL, ); const featureIdAttribute = getAttribute( attributes, VertexAttributeSemantic.FEATURE_ID, - 0 + 0, ); const structuralMetadata = components.structuralMetadata; @@ -1538,11 +1534,11 @@ describe( expect(featureIdAttribute.name).toBe("_FEATURE_ID_0"); expect(featureIdAttribute.semantic).toBe( - VertexAttributeSemantic.FEATURE_ID + VertexAttributeSemantic.FEATURE_ID, ); expect(featureIdAttribute.setIndex).toBe(0); expect(featureIdAttribute.componentDatatype).toBe( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(featureIdAttribute.type).toBe(AttributeType.SCALAR); expect(featureIdAttribute.normalized).toBe(false); @@ -1562,7 +1558,7 @@ describe( // feature ID via accessor const featureIdAccessor = primitive.featureIds[0]; expect(featureIdAccessor).toBeInstanceOf( - ModelComponents.FeatureIdAttribute + ModelComponents.FeatureIdAttribute, ); expect(featureIdAccessor.featureCount).toEqual(10); expect(featureIdAccessor.nullFeatureId).not.toBeDefined(); @@ -1574,7 +1570,7 @@ describe( // feature ID range const featureIdDefault = primitive.featureIds[1]; expect(featureIdDefault).toBeInstanceOf( - ModelComponents.FeatureIdImplicitRange + ModelComponents.FeatureIdImplicitRange, ); expect(featureIdDefault.featureCount).toEqual(10); expect(featureIdDefault.nullFeatureId).not.toBeDefined(); @@ -1588,7 +1584,7 @@ describe( const classDefinition = structuralMetadata.schema.classes.building; const properties = classDefinition.properties; expect(properties.height.componentType).toBe( - MetadataComponentType.FLOAT32 + MetadataComponentType.FLOAT32, ); expect(properties.id.componentType).toBe(MetadataComponentType.INT32); @@ -1621,12 +1617,12 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); const featureIdAttribute = getAttribute( attributes, VertexAttributeSemantic.FEATURE_ID, - 0 + 0, ); const structuralMetadata = components.structuralMetadata; @@ -1640,7 +1636,7 @@ describe( const featureIdAttributeMapping0 = primitive.featureIds[0]; expect(featureIdAttributeMapping0).toBeInstanceOf( - ModelComponents.FeatureIdImplicitRange + ModelComponents.FeatureIdImplicitRange, ); expect(featureIdAttributeMapping0.featureCount).toEqual(1000); expect(featureIdAttributeMapping0.nullFeatureId).not.toBeDefined(); @@ -1652,7 +1648,7 @@ describe( const featureIdAttributeMapping1 = primitive.featureIds[1]; expect(featureIdAttributeMapping1).toBeInstanceOf( - ModelComponents.FeatureIdAttribute + ModelComponents.FeatureIdAttribute, ); expect(featureIdAttributeMapping1.featureCount).toEqual(3); expect(featureIdAttributeMapping1.nullFeatureId).not.toBeDefined(); @@ -1664,14 +1660,14 @@ describe( const weatherClass = structuralMetadata.schema.classes.weather; const weatherProperties = weatherClass.properties; expect(weatherProperties.airTemperature.componentType).toBe( - MetadataComponentType.FLOAT32 + MetadataComponentType.FLOAT32, ); expect(weatherProperties.airPressure.componentType).toBe( - MetadataComponentType.FLOAT32 + MetadataComponentType.FLOAT32, ); expect(weatherProperties.windVelocity.type).toBe(MetadataType.VEC3); expect(weatherProperties.windVelocity.componentType).toBe( - MetadataComponentType.FLOAT32 + MetadataComponentType.FLOAT32, ); const townClass = structuralMetadata.schema.classes.town; @@ -1680,7 +1676,7 @@ describe( expect(townProperties.name.componentCount).not.toBeDefined(); expect(townProperties.population.type).toBe(MetadataType.SCALAR); expect(townProperties.population.componentType).toBe( - MetadataComponentType.UINT16 + MetadataComponentType.UINT16, ); const weatherTable = structuralMetadata.getPropertyTable(1); @@ -1689,22 +1685,22 @@ describe( expect(weatherTable.count).toBe(1000); expect(weatherTable.class).toBe(weatherClass); expect(weatherTable.getProperty(0, "airTemperature")).toBe( - 22.120203018188477 + 22.120203018188477, ); expect(weatherTable.getProperty(0, "airPressure")).toBe( - 1.170711874961853 + 1.170711874961853, ); expect(weatherTable.getProperty(0, "windVelocity")).toEqual( - new Cartesian3(1, 0.2964223027229309, 0.23619766533374786) + new Cartesian3(1, 0.2964223027229309, 0.23619766533374786), ); expect(weatherTable.getProperty(999, "airTemperature")).toBe( - 24.308320999145508 + 24.308320999145508, ); expect(weatherTable.getProperty(999, "airPressure")).toBe( - 1.1136815547943115 + 1.1136815547943115, ); expect(weatherTable.getProperty(999, "windVelocity")).toEqual( - new Cartesian3(1, 0.07490774989128113, 0.0022833053953945637) + new Cartesian3(1, 0.07490774989128113, 0.0022833053953945637), ); const townTable = structuralMetadata.getPropertyTable(0); @@ -1730,12 +1726,12 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); const featureIdAttribute = getAttribute( attributes, VertexAttributeSemantic.FEATURE_ID, - 0 + 0, ); const structuralMetadata = components.structuralMetadata; @@ -1749,7 +1745,7 @@ describe( const featureIdAttributeMapping0 = primitive.featureIds[0]; expect(featureIdAttributeMapping0).toBeInstanceOf( - ModelComponents.FeatureIdImplicitRange + ModelComponents.FeatureIdImplicitRange, ); expect(featureIdAttributeMapping0.featureCount).toEqual(1000); expect(featureIdAttributeMapping0.nullFeatureId).not.toBeDefined(); @@ -1761,7 +1757,7 @@ describe( const featureIdAttributeMapping1 = primitive.featureIds[1]; expect(featureIdAttributeMapping1).toBeInstanceOf( - ModelComponents.FeatureIdAttribute + ModelComponents.FeatureIdAttribute, ); expect(featureIdAttributeMapping1.featureCount).toEqual(3); expect(featureIdAttributeMapping1.nullFeatureId).not.toBeDefined(); @@ -1773,14 +1769,14 @@ describe( const weatherClass = structuralMetadata.schema.classes.weather; const weatherProperties = weatherClass.properties; expect(weatherProperties.airTemperature.componentType).toBe( - MetadataComponentType.FLOAT32 + MetadataComponentType.FLOAT32, ); expect(weatherProperties.airPressure.componentType).toBe( - MetadataComponentType.FLOAT32 + MetadataComponentType.FLOAT32, ); expect(weatherProperties.windVelocity.type).toBe(MetadataType.SCALAR); expect(weatherProperties.windVelocity.componentType).toBe( - MetadataComponentType.FLOAT32 + MetadataComponentType.FLOAT32, ); expect(weatherProperties.windVelocity.arrayLength).toBe(3); @@ -1790,7 +1786,7 @@ describe( expect(townProperties.name.componentType).not.toBeDefined(); expect(townProperties.population.type).toBe(MetadataType.SCALAR); expect(townProperties.population.componentType).toBe( - MetadataComponentType.UINT16 + MetadataComponentType.UINT16, ); const weatherTable = structuralMetadata.getPropertyTable(1); @@ -1798,26 +1794,22 @@ describe( expect(weatherTable.count).toBe(1000); expect(weatherTable.class).toBe(weatherClass); expect(weatherTable.getProperty(0, "airTemperature")).toBe( - 22.120203018188477 + 22.120203018188477, ); expect(weatherTable.getProperty(0, "airPressure")).toBe( - 1.170711874961853 + 1.170711874961853, ); expect(weatherTable.getProperty(0, "windVelocity")).toEqual([ - 1, - 0.2964223027229309, - 0.23619766533374786, + 1, 0.2964223027229309, 0.23619766533374786, ]); expect(weatherTable.getProperty(999, "airTemperature")).toBe( - 24.308320999145508 + 24.308320999145508, ); expect(weatherTable.getProperty(999, "airPressure")).toBe( - 1.1136815547943115 + 1.1136815547943115, ); expect(weatherTable.getProperty(999, "windVelocity")).toEqual([ - 1, - 0.07490774989128113, - 0.0022833053953945637, + 1, 0.07490774989128113, 0.0022833053953945637, ]); const townTable = structuralMetadata.getPropertyTable(0); @@ -1834,130 +1826,128 @@ describe( }); it("loads PointCloudWithPropertyAttributes", function () { - return loadGltf(pointCloudWithPropertyAttributes).then(function ( - gltfLoader - ) { - const components = gltfLoader.components; - const scene = components.scene; - const rootNode = scene.nodes[0]; - const primitive = rootNode.primitives[0]; - const attributes = primitive.attributes; - const positionAttribute = getAttribute( - attributes, - VertexAttributeSemantic.POSITION - ); - const color0Attribute = getAttribute( - attributes, - VertexAttributeSemantic.COLOR, - 0 - ); - // custom attributes don't have a VertexAttributeSemantic - const circleTAttribute = getAttributeByName(attributes, "_CIRCLE_T"); - const featureId0Attribute = getAttribute( - attributes, - VertexAttributeSemantic.FEATURE_ID, - 0 - ); - const featureId1Attribute = getAttribute( - attributes, - VertexAttributeSemantic.FEATURE_ID, - 1 - ); - const structuralMetadata = components.structuralMetadata; - - expect(primitive.primitiveType).toBe(PrimitiveType.POINTS); + return loadGltf(pointCloudWithPropertyAttributes).then( + function (gltfLoader) { + const components = gltfLoader.components; + const scene = components.scene; + const rootNode = scene.nodes[0]; + const primitive = rootNode.primitives[0]; + const attributes = primitive.attributes; + const positionAttribute = getAttribute( + attributes, + VertexAttributeSemantic.POSITION, + ); + const color0Attribute = getAttribute( + attributes, + VertexAttributeSemantic.COLOR, + 0, + ); + // custom attributes don't have a VertexAttributeSemantic + const circleTAttribute = getAttributeByName(attributes, "_CIRCLE_T"); + const featureId0Attribute = getAttribute( + attributes, + VertexAttributeSemantic.FEATURE_ID, + 0, + ); + const featureId1Attribute = getAttribute( + attributes, + VertexAttributeSemantic.FEATURE_ID, + 1, + ); + const structuralMetadata = components.structuralMetadata; - expect(positionAttribute).toBeDefined(); - expect(color0Attribute).toBeDefined(); - expect(circleTAttribute).toBeDefined(); - expect(featureId0Attribute).toBeDefined(); - expect(featureId1Attribute).toBeDefined(); + expect(primitive.primitiveType).toBe(PrimitiveType.POINTS); - expect(primitive.featureIds.length).toBe(2); - expect(primitive.propertyTextureIds.length).toBe(0); - expect(primitive.propertyAttributeIds).toEqual([0]); - - const featureIdAttribute0 = primitive.featureIds[0]; - expect(featureIdAttribute0).toBeInstanceOf( - ModelComponents.FeatureIdAttribute - ); - expect(featureIdAttribute0.featureCount).toEqual(30); - expect(featureIdAttribute0.nullFeatureId).not.toBeDefined(); - expect(featureIdAttribute0.propertyTableId).not.toBeDefined(); - expect(featureIdAttribute0.setIndex).toBe(0); - expect(featureIdAttribute0.label).toBe("iteration"); - expect(featureIdAttribute0.positionalLabel).toBe("featureId_0"); - - const featureIdAttribute1 = primitive.featureIds[1]; - expect(featureIdAttribute1).toBeInstanceOf( - ModelComponents.FeatureIdAttribute - ); - expect(featureIdAttribute1.featureCount).toEqual(20); - expect(featureIdAttribute1.nullFeatureId).not.toBeDefined(); - expect(featureIdAttribute1.propertyTableId).not.toBeDefined(); - expect(featureIdAttribute1.setIndex).toBe(1); - expect(featureIdAttribute1.label).toBe("pointId"); - expect(featureIdAttribute1.positionalLabel).toBe("featureId_1"); - - const torusClass = structuralMetadata.schema.classes.torus; - const torusProperties = torusClass.properties; - const circleT = torusProperties.circleT; - expect(circleT.type).toBe(MetadataType.SCALAR); - expect(circleT.componentType).toBe(MetadataComponentType.FLOAT32); - - const iteration = torusProperties.iteration; - expect(iteration.type).toBe(MetadataType.SCALAR); - expect(iteration.componentType).toBe(MetadataComponentType.FLOAT32); - - const pointId = torusProperties.pointId; - expect(pointId.type).toBe(MetadataType.SCALAR); - expect(pointId.componentType).toBe(MetadataComponentType.FLOAT32); + expect(positionAttribute).toBeDefined(); + expect(color0Attribute).toBeDefined(); + expect(circleTAttribute).toBeDefined(); + expect(featureId0Attribute).toBeDefined(); + expect(featureId1Attribute).toBeDefined(); + + expect(primitive.featureIds.length).toBe(2); + expect(primitive.propertyTextureIds.length).toBe(0); + expect(primitive.propertyAttributeIds).toEqual([0]); + + const featureIdAttribute0 = primitive.featureIds[0]; + expect(featureIdAttribute0).toBeInstanceOf( + ModelComponents.FeatureIdAttribute, + ); + expect(featureIdAttribute0.featureCount).toEqual(30); + expect(featureIdAttribute0.nullFeatureId).not.toBeDefined(); + expect(featureIdAttribute0.propertyTableId).not.toBeDefined(); + expect(featureIdAttribute0.setIndex).toBe(0); + expect(featureIdAttribute0.label).toBe("iteration"); + expect(featureIdAttribute0.positionalLabel).toBe("featureId_0"); + + const featureIdAttribute1 = primitive.featureIds[1]; + expect(featureIdAttribute1).toBeInstanceOf( + ModelComponents.FeatureIdAttribute, + ); + expect(featureIdAttribute1.featureCount).toEqual(20); + expect(featureIdAttribute1.nullFeatureId).not.toBeDefined(); + expect(featureIdAttribute1.propertyTableId).not.toBeDefined(); + expect(featureIdAttribute1.setIndex).toBe(1); + expect(featureIdAttribute1.label).toBe("pointId"); + expect(featureIdAttribute1.positionalLabel).toBe("featureId_1"); + + const torusClass = structuralMetadata.schema.classes.torus; + const torusProperties = torusClass.properties; + const circleT = torusProperties.circleT; + expect(circleT.type).toBe(MetadataType.SCALAR); + expect(circleT.componentType).toBe(MetadataComponentType.FLOAT32); + + const iteration = torusProperties.iteration; + expect(iteration.type).toBe(MetadataType.SCALAR); + expect(iteration.componentType).toBe(MetadataComponentType.FLOAT32); + + const pointId = torusProperties.pointId; + expect(pointId.type).toBe(MetadataType.SCALAR); + expect(pointId.componentType).toBe(MetadataComponentType.FLOAT32); + + const propertyAttribute = structuralMetadata.getPropertyAttribute(0); + expect(propertyAttribute.id).toBe(0); + expect(propertyAttribute.name).not.toBeDefined(); + expect(propertyAttribute.class).toBe(torusClass); + expect(propertyAttribute.getProperty("circleT").attribute).toBe( + "_CIRCLE_T", + ); + expect(propertyAttribute.getProperty("iteration").attribute).toBe( + "_FEATURE_ID_0", + ); + expect(propertyAttribute.getProperty("pointId").attribute).toBe( + "_FEATURE_ID_1", + ); - const propertyAttribute = structuralMetadata.getPropertyAttribute(0); - expect(propertyAttribute.id).toBe(0); - expect(propertyAttribute.name).not.toBeDefined(); - expect(propertyAttribute.class).toBe(torusClass); - expect(propertyAttribute.getProperty("circleT").attribute).toBe( - "_CIRCLE_T" - ); - expect(propertyAttribute.getProperty("iteration").attribute).toBe( - "_FEATURE_ID_0" - ); - expect(propertyAttribute.getProperty("pointId").attribute).toBe( - "_FEATURE_ID_1" - ); - - // A few more properties were added to test offset/scale - const toroidalNormalized = propertyAttribute.getProperty( - "toroidalNormalized" - ); - expect(toroidalNormalized.attribute).toBe("_FEATURE_ID_0"); - expect(toroidalNormalized.hasValueTransform).toBe(true); - expect(toroidalNormalized.offset).toBe(0); - expect(toroidalNormalized.scale).toBe(0.034482758620689655); - - const poloidalNormalized = propertyAttribute.getProperty( - "poloidalNormalized" - ); - expect(poloidalNormalized.attribute).toBe("_FEATURE_ID_1"); - expect(poloidalNormalized.hasValueTransform).toBe(true); - expect(poloidalNormalized.offset).toBe(0); - expect(poloidalNormalized.scale).toBe(0.05263157894736842); - - // These two properties have offset/scale in both the class definition - // and the property attribute. The latter should be used. - const toroidalAngle = propertyAttribute.getProperty("toroidalAngle"); - expect(toroidalAngle.attribute).toBe("_FEATURE_ID_0"); - expect(toroidalAngle.hasValueTransform).toBe(true); - expect(toroidalAngle.offset).toBe(0); - expect(toroidalAngle.scale).toBe(0.21666156231653746); - - const poloidalAngle = propertyAttribute.getProperty("poloidalAngle"); - expect(poloidalAngle.attribute).toBe("_FEATURE_ID_1"); - expect(poloidalAngle.hasValueTransform).toBe(true); - expect(poloidalAngle.offset).toBe(-3.141592653589793); - expect(poloidalAngle.scale).toBe(0.3306939635357677); - }); + // A few more properties were added to test offset/scale + const toroidalNormalized = + propertyAttribute.getProperty("toroidalNormalized"); + expect(toroidalNormalized.attribute).toBe("_FEATURE_ID_0"); + expect(toroidalNormalized.hasValueTransform).toBe(true); + expect(toroidalNormalized.offset).toBe(0); + expect(toroidalNormalized.scale).toBe(0.034482758620689655); + + const poloidalNormalized = + propertyAttribute.getProperty("poloidalNormalized"); + expect(poloidalNormalized.attribute).toBe("_FEATURE_ID_1"); + expect(poloidalNormalized.hasValueTransform).toBe(true); + expect(poloidalNormalized.offset).toBe(0); + expect(poloidalNormalized.scale).toBe(0.05263157894736842); + + // These two properties have offset/scale in both the class definition + // and the property attribute. The latter should be used. + const toroidalAngle = propertyAttribute.getProperty("toroidalAngle"); + expect(toroidalAngle.attribute).toBe("_FEATURE_ID_0"); + expect(toroidalAngle.hasValueTransform).toBe(true); + expect(toroidalAngle.offset).toBe(0); + expect(toroidalAngle.scale).toBe(0.21666156231653746); + + const poloidalAngle = propertyAttribute.getProperty("poloidalAngle"); + expect(poloidalAngle.attribute).toBe("_FEATURE_ID_1"); + expect(poloidalAngle.hasValueTransform).toBe(true); + expect(poloidalAngle.offset).toBe(-3.141592653589793); + expect(poloidalAngle.scale).toBe(0.3306939635357677); + }, + ); }); it("loads BoxTexturedWithPropertyAttributes", function () { @@ -1971,24 +1961,24 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); const normalAttribute = getAttribute( attributes, - VertexAttributeSemantic.NORMAL + VertexAttributeSemantic.NORMAL, ); const texcoordAttribute = getAttribute( attributes, VertexAttributeSemantic.TEXCOORD, - 0 + 0, ); const warpMatrixAttribute = getAttributeByName( attributes, - "_WARP_MATRIX" + "_WARP_MATRIX", ); const temperaturesAttribute = getAttributeByName( attributes, - "_TEMPERATURES" + "_TEMPERATURES", ); const indices = primitive.indices; @@ -2000,11 +1990,11 @@ describe( expect(positionAttribute.name).toBe("POSITION"); expect(positionAttribute.semantic).toBe( - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); expect(positionAttribute.setIndex).toBeUndefined(); expect(positionAttribute.componentDatatype).toBe( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(positionAttribute.type).toBe(AttributeType.VEC3); expect(positionAttribute.normalized).toBe(false); @@ -2036,11 +2026,11 @@ describe( expect(texcoordAttribute.name).toBe("TEXCOORD_0"); expect(texcoordAttribute.semantic).toBe( - VertexAttributeSemantic.TEXCOORD + VertexAttributeSemantic.TEXCOORD, ); expect(texcoordAttribute.setIndex).toBe(0); expect(texcoordAttribute.componentDatatype).toBe( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(texcoordAttribute.type).toBe(AttributeType.VEC2); expect(texcoordAttribute.normalized).toBe(false); @@ -2058,7 +2048,7 @@ describe( expect(warpMatrixAttribute.semantic).toBeUndefined(); expect(warpMatrixAttribute.setIndex).toBeUndefined(); expect(warpMatrixAttribute.componentDatatype).toBe( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(warpMatrixAttribute.type).toBe(AttributeType.MAT2); expect(warpMatrixAttribute.normalized).toBe(false); @@ -2076,7 +2066,7 @@ describe( expect(temperaturesAttribute.semantic).toBeUndefined(); expect(temperaturesAttribute.setIndex).toBeUndefined(); expect(temperaturesAttribute.componentDatatype).toBe( - ComponentDatatype.UNSIGNED_SHORT + ComponentDatatype.UNSIGNED_SHORT, ); expect(temperaturesAttribute.type).toBe(AttributeType.VEC2); expect(temperaturesAttribute.normalized).toBe(true); @@ -2102,7 +2092,7 @@ describe( expect(texcoordAttribute.buffer.sizeInBytes).toBe(192); expect(metallicRoughness.baseColorFactor).toEqual( - new Cartesian4(1.0, 1.0, 1.0, 1.0) + new Cartesian4(1.0, 1.0, 1.0, 1.0), ); expect(metallicRoughness.metallicFactor).toBe(0.0); expect(metallicRoughness.roughnessFactor).toBe(1.0); @@ -2114,10 +2104,10 @@ describe( expect(sampler.wrapS).toBe(TextureWrap.REPEAT); expect(sampler.wrapT).toBe(TextureWrap.REPEAT); expect(sampler.magnificationFilter).toBe( - TextureMagnificationFilter.LINEAR + TextureMagnificationFilter.LINEAR, ); expect(sampler.minificationFilter).toBe( - TextureMinificationFilter.NEAREST_MIPMAP_LINEAR + TextureMinificationFilter.NEAREST_MIPMAP_LINEAR, ); expect(nodes.length).toBe(2); @@ -2130,7 +2120,7 @@ describe( const warpMatrixProperty = boxProperties.warpMatrix; expect(warpMatrixProperty.type).toBe(MetadataType.MAT2); expect(warpMatrixProperty.componentType).toBe( - MetadataComponentType.FLOAT32 + MetadataComponentType.FLOAT32, ); expect(warpMatrixProperty.hasValueTransform).toBe(false); @@ -2138,21 +2128,18 @@ describe( boxProperties.transformedWarpMatrix; expect(transformedWarpMatrixProperty.type).toBe(MetadataType.MAT2); expect(transformedWarpMatrixProperty.componentType).toBe( - MetadataComponentType.FLOAT32 + MetadataComponentType.FLOAT32, ); expect(transformedWarpMatrixProperty.hasValueTransform).toBe(true); expect(transformedWarpMatrixProperty.offset).toEqual([ - 0.5, - 0.5, - 0.5, - 0.5, + 0.5, 0.5, 0.5, 0.5, ]); expect(transformedWarpMatrixProperty.scale).toEqual([2, 2, 2, 2]); const temperaturesProperty = boxProperties.temperatures; expect(temperaturesProperty.type).toBe(MetadataType.VEC2); expect(temperaturesProperty.componentType).toBe( - MetadataComponentType.UINT16 + MetadataComponentType.UINT16, ); expect(temperaturesProperty.normalized).toBe(true); expect(temperaturesProperty.hasValueTransform).toBe(true); @@ -2169,12 +2156,12 @@ describe( expect(warpMatrix.hasValueTransform).toBe(false); const transformedWarpMatrix = propertyAttribute.getProperty( - "transformedWarpMatrix" + "transformedWarpMatrix", ); expect(transformedWarpMatrix.attribute).toBe("_WARP_MATRIX"); expect(transformedWarpMatrix.hasValueTransform).toBe(true); expect(transformedWarpMatrix.offset).toEqual( - new Matrix2(0.5, 0.5, 0.5, 0.5) + new Matrix2(0.5, 0.5, 0.5, 0.5), ); expect(transformedWarpMatrix.scale).toEqual(new Matrix2(2, 2, 2, 2)); @@ -2204,7 +2191,7 @@ describe( const interleaved = defaultValue(options.interleaved, false); const instancingDisabled = defaultValue( options.instancingDisabled, - false + false, ); const components = loader.components; @@ -2214,40 +2201,40 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); const normalAttribute = getAttribute( attributes, - VertexAttributeSemantic.NORMAL + VertexAttributeSemantic.NORMAL, ); const instances = rootNode.instances; const instancedAttributes = instances.attributes; const translationAttribute = getAttribute( instancedAttributes, - InstanceAttributeSemantic.TRANSLATION + InstanceAttributeSemantic.TRANSLATION, ); const rotationAttribute = getAttribute( instancedAttributes, - InstanceAttributeSemantic.ROTATION + InstanceAttributeSemantic.ROTATION, ); const scaleAttribute = getAttribute( instancedAttributes, - InstanceAttributeSemantic.SCALE + InstanceAttributeSemantic.SCALE, ); const featureIdAttribute = getAttribute( instancedAttributes, InstanceAttributeSemantic.FEATURE_ID, - 0 + 0, ); expect(positionAttribute).toBeDefined(); expect(normalAttribute).toBeDefined(); expect(translationAttribute.semantic).toBe( - InstanceAttributeSemantic.TRANSLATION + InstanceAttributeSemantic.TRANSLATION, ); expect(translationAttribute.componentDatatype).toBe( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(translationAttribute.type).toBe(AttributeType.VEC3); expect(translationAttribute.normalized).toBe(false); @@ -2257,17 +2244,17 @@ describe( expect(translationAttribute.constant).toEqual(Cartesian3.ZERO); expect(translationAttribute.quantization).toBeUndefined(); expect(translationAttribute.typedArray).toEqual( - new Float32Array([-2, 2, 0, -2, -2, 0, 2, -2, 0, 2, 2, 0]) + new Float32Array([-2, 2, 0, -2, -2, 0, 2, -2, 0, 2, 2, 0]), ); expect(translationAttribute.buffer).toBeUndefined(); expect(translationAttribute.byteOffset).toBe(0); expect(translationAttribute.byteStride).toBeUndefined(); expect(rotationAttribute.semantic).toBe( - InstanceAttributeSemantic.ROTATION + InstanceAttributeSemantic.ROTATION, ); expect(rotationAttribute.componentDatatype).toBe( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(rotationAttribute.type).toBe(AttributeType.VEC4); expect(rotationAttribute.normalized).toBe(false); @@ -2283,7 +2270,7 @@ describe( 0.3535534143447876, 0.3535534143447876, 0.1464466005563736, 0.8535534143447876, 0.46193981170654297, 0.19134169816970825, 0.46193981170654297, 0.7325378060340881, 0.5319756865501404, 0.022260000929236412, 0.43967971205711365, 0.7233173847198486, - ]) + ]), ); expect(rotationAttribute.buffer).toBeUndefined(); expect(rotationAttribute.byteOffset).toBe(0); @@ -2305,7 +2292,7 @@ describe( 1, 1, 0.5, 0.75, 0.20000000298023224, 0.5, 0.800000011920929, 0.6000000238418579, 0.8999999761581421, - ]) + ]), ); expect(scaleAttribute.buffer).toBeUndefined(); expect(scaleAttribute.byteOffset).toBe(0); @@ -2313,7 +2300,7 @@ describe( expect(featureIdAttribute.setIndex).toBe(0); expect(featureIdAttribute.componentDatatype).toBe( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(featureIdAttribute.type).toBe(AttributeType.SCALAR); expect(featureIdAttribute.normalized).toBe(false); @@ -2326,7 +2313,7 @@ describe( // if instancing is disabled. if (instancingDisabled) { expect(featureIdAttribute.typedArray).toEqual( - new Float32Array([0, 0, 1, 1]) + new Float32Array([0, 0, 1, 1]), ); expect(featureIdAttribute.buffer).toBeUndefined(); } else { @@ -2358,7 +2345,7 @@ describe( const featureIdAttributeMapping0 = instances.featureIds[0]; expect(featureIdAttributeMapping0).toBeInstanceOf( - ModelComponents.FeatureIdImplicitRange + ModelComponents.FeatureIdImplicitRange, ); expect(featureIdAttributeMapping0.featureCount).toEqual(4); expect(featureIdAttributeMapping0.nullFeatureId).not.toBeDefined(); @@ -2367,12 +2354,12 @@ describe( expect(featureIdAttributeMapping0.repeat).toBe(1); expect(featureIdAttributeMapping0.label).toBe("perInstance"); expect(featureIdAttributeMapping0.positionalLabel).toBe( - "instanceFeatureId_0" + "instanceFeatureId_0", ); const featureIdAttributeMapping1 = instances.featureIds[1]; expect(featureIdAttributeMapping1).toBeInstanceOf( - ModelComponents.FeatureIdAttribute + ModelComponents.FeatureIdAttribute, ); expect(featureIdAttributeMapping1.featureCount).toEqual(2); expect(featureIdAttributeMapping1.nullFeatureId).not.toBeDefined(); @@ -2380,7 +2367,7 @@ describe( expect(featureIdAttributeMapping1.setIndex).toBe(0); expect(featureIdAttributeMapping1.label).toBe("section"); expect(featureIdAttributeMapping1.positionalLabel).toBe( - "instanceFeatureId_1" + "instanceFeatureId_1", ); const boxClass = structuralMetadata.schema.classes.box; @@ -2388,7 +2375,7 @@ describe( expect(boxProperties.name.type).toBe(MetadataType.STRING); expect(boxProperties.name.componentType).not.toBeDefined(); expect(boxProperties.volume.componentType).toBe( - MetadataComponentType.FLOAT32 + MetadataComponentType.FLOAT32, ); const sectionClass = structuralMetadata.schema.classes.section; @@ -2397,7 +2384,7 @@ describe( expect(sectionProperties.name.componentType).not.toBeDefined(); expect(sectionProperties.id.type).toBe(MetadataType.SCALAR); expect(sectionProperties.id.componentType).toBe( - MetadataComponentType.UINT16 + MetadataComponentType.UINT16, ); const boxTable = structuralMetadata.getPropertyTable(0); @@ -2436,7 +2423,7 @@ describe( const featureIdAttributeMapping0 = instances.featureIds[0]; expect(featureIdAttributeMapping0).toBeInstanceOf( - ModelComponents.FeatureIdImplicitRange + ModelComponents.FeatureIdImplicitRange, ); expect(featureIdAttributeMapping0.featureCount).toEqual(4); expect(featureIdAttributeMapping0.nullFeatureId).not.toBeDefined(); @@ -2445,12 +2432,12 @@ describe( expect(featureIdAttributeMapping0.repeat).toBe(1); expect(featureIdAttributeMapping0.label).not.toBeDefined(); expect(featureIdAttributeMapping0.positionalLabel).toBe( - "instanceFeatureId_0" + "instanceFeatureId_0", ); const featureIdAttributeMapping1 = instances.featureIds[1]; expect(featureIdAttributeMapping1).toBeInstanceOf( - ModelComponents.FeatureIdAttribute + ModelComponents.FeatureIdAttribute, ); expect(featureIdAttributeMapping1.featureCount).toEqual(2); expect(featureIdAttributeMapping1.nullFeatureId).not.toBeDefined(); @@ -2458,7 +2445,7 @@ describe( expect(featureIdAttributeMapping1.setIndex).toBe(0); expect(featureIdAttributeMapping1.label).not.toBeDefined(); expect(featureIdAttributeMapping1.positionalLabel).toBe( - "instanceFeatureId_1" + "instanceFeatureId_1", ); const boxClass = structuralMetadata.schema.classes.box; @@ -2466,7 +2453,7 @@ describe( expect(boxProperties.name.type).toBe(MetadataType.STRING); expect(boxProperties.name.componentType).not.toBeDefined(); expect(boxProperties.volume.componentType).toBe( - MetadataComponentType.FLOAT32 + MetadataComponentType.FLOAT32, ); const sectionClass = structuralMetadata.schema.classes.section; @@ -2475,7 +2462,7 @@ describe( expect(sectionProperties.name.componentType).not.toBeDefined(); expect(sectionProperties.id.type).toBe(MetadataType.SCALAR); expect(sectionProperties.id.componentType).toBe( - MetadataComponentType.UINT16 + MetadataComponentType.UINT16, ); const boxTable = structuralMetadata.getPropertyTable(0); @@ -2537,7 +2524,7 @@ describe( return loadModifiedGltfAndTest( boxInstanced, undefined, - modifyGltf + modifyGltf, ).then(function (gltfLoader) { const components = gltfLoader.components; const scene = components.scene; @@ -2547,7 +2534,7 @@ describe( const featureIdAttribute = getAttribute( instancedAttributes, InstanceAttributeSemantic.FEATURE_ID, - 0 + 0, ); expect(featureIdAttribute.buffer).toBeUndefined(); @@ -2568,21 +2555,21 @@ describe( const options = { scene: sceneWithNoInstancing, }; - return loadGltf(boxInstancedInterleaved, options).then(function ( - gltfLoader - ) { - verifyBoxInstancedAttributes(gltfLoader, { - interleaved: true, - instancingDisabled: true, - }); - }); + return loadGltf(boxInstancedInterleaved, options).then( + function (gltfLoader) { + verifyBoxInstancedAttributes(gltfLoader, { + interleaved: true, + instancingDisabled: true, + }); + }, + ); }); function verifyBoxInstancedTranslation( loader, expectMinMax, expectBufferDefined, - expectTypedArrayDefined + expectTypedArrayDefined, ) { const components = loader.components; const scene = components.scene; @@ -2591,27 +2578,27 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); const normalAttribute = getAttribute( attributes, - VertexAttributeSemantic.NORMAL + VertexAttributeSemantic.NORMAL, ); const instances = rootNode.instances; const instancedAttributes = instances.attributes; const translationAttribute = getAttribute( instancedAttributes, - InstanceAttributeSemantic.TRANSLATION + InstanceAttributeSemantic.TRANSLATION, ); expect(positionAttribute).toBeDefined(); expect(normalAttribute).toBeDefined(); expect(translationAttribute.semantic).toBe( - InstanceAttributeSemantic.TRANSLATION + InstanceAttributeSemantic.TRANSLATION, ); expect(translationAttribute.componentDatatype).toBe( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(translationAttribute.type).toBe(AttributeType.VEC3); expect(translationAttribute.normalized).toBe(false); @@ -2630,7 +2617,7 @@ describe( if (expectTypedArrayDefined) { expect(translationAttribute.typedArray).toEqual( - new Float32Array([-2, 2, 0, -2, -2, 0, 2, -2, 0, 2, 2, 0]) + new Float32Array([-2, 2, 0, -2, -2, 0, 2, -2, 0, 2, 2, 0]), ); } else { expect(translationAttribute.typedArray).toBeUndefined(); @@ -2661,7 +2648,7 @@ describe( gltfLoader, expectMinMax, expectBufferDefined, - expectTypedArrayDefined + expectTypedArrayDefined, ); }); }); @@ -2670,39 +2657,39 @@ describe( const options = { scene: sceneWithNoInstancing, }; - return loadGltf(boxInstancedTranslation, options).then(function ( - gltfLoader - ) { - const expectMinMax = false; - const expectBufferDefined = false; - const expectTypedArrayDefined = true; - - verifyBoxInstancedTranslation( - gltfLoader, - expectMinMax, - expectBufferDefined, - expectTypedArrayDefined - ); - }); + return loadGltf(boxInstancedTranslation, options).then( + function (gltfLoader) { + const expectMinMax = false; + const expectBufferDefined = false; + const expectTypedArrayDefined = true; + + verifyBoxInstancedTranslation( + gltfLoader, + expectMinMax, + expectBufferDefined, + expectTypedArrayDefined, + ); + }, + ); }); it("loads BoxInstancedTranslationWithMinMax", function () { - return loadGltf(boxInstancedTranslationMinMax).then(function ( - gltfLoader - ) { - // The translation accessor does have a min/max, so it only needs to - // load the buffer. - const expectMinMax = true; - const expectBufferDefined = true; - const expectTypedArrayDefined = false; - - verifyBoxInstancedTranslation( - gltfLoader, - expectMinMax, - expectBufferDefined, - expectTypedArrayDefined - ); - }); + return loadGltf(boxInstancedTranslationMinMax).then( + function (gltfLoader) { + // The translation accessor does have a min/max, so it only needs to + // load the buffer. + const expectMinMax = true; + const expectBufferDefined = true; + const expectTypedArrayDefined = false; + + verifyBoxInstancedTranslation( + gltfLoader, + expectMinMax, + expectBufferDefined, + expectTypedArrayDefined, + ); + }, + ); }); }); @@ -2716,16 +2703,16 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); const normalAttribute = getAttribute( attributes, - VertexAttributeSemantic.NORMAL + VertexAttributeSemantic.NORMAL, ); const texcoordAttribute = getAttribute( attributes, VertexAttributeSemantic.TEXCOORD, - 0 + 0, ); const positionQuantization = positionAttribute.quantization; const normalQuantization = normalAttribute.quantization; @@ -2735,11 +2722,11 @@ describe( expect(positionAttribute.name).toBe("POSITION"); expect(positionAttribute.semantic).toBe( - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); expect(positionAttribute.setIndex).toBeUndefined(); expect(positionAttribute.componentDatatype).toBe( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(positionAttribute.type).toBe(AttributeType.VEC3); expect(positionAttribute.normalized).toBe(false); @@ -2748,15 +2735,15 @@ describe( new Cartesian3( -69.37933953401223, 9.848530453475558, - -61.40903695222513 - ) + -61.40903695222513, + ), ); expect(positionAttribute.max).toEqual( new Cartesian3( 96.26074059602396, 164.09024489374352, - 54.029730459044615 - ) + 54.029730459044615, + ), ); expect(positionAttribute.constant).toEqual(Cartesian3.ZERO); expect(positionAttribute.typedArray).toBeUndefined(); @@ -2765,24 +2752,24 @@ describe( expect(positionAttribute.byteStride).toBeUndefined(); expect(positionQuantization.octEncoded).toBe(false); expect(positionQuantization.normalizationRange).toEqual( - new Cartesian3(2047, 2047, 2047) + new Cartesian3(2047, 2047, 2047), ); expect(positionQuantization.quantizedVolumeOffset).toEqual( new Cartesian3( -69.29850006103516, 9.929369926452637, - -61.32819747924805 - ) + -61.32819747924805, + ), ); expect(positionQuantization.quantizedVolumeDimensions).toEqual( new Cartesian3( 165.4783935546875, 165.4783935546875, - 165.4783935546875 - ) + 165.4783935546875, + ), ); expect(positionQuantization.componentDatatype).toBe( - ComponentDatatype.UNSIGNED_SHORT + ComponentDatatype.UNSIGNED_SHORT, ); expect(positionQuantization.type).toBe(AttributeType.VEC3); @@ -2797,15 +2784,15 @@ describe( new Cartesian3( -1.0069254898557476, -1.0078414940366558, - -1.007673468543034 - ) + -1.007673468543034, + ), ); expect(normalAttribute.max).toEqual( new Cartesian3( 1.0083384775647932, 1.007422473383885, - 1.0075904988775068 - ) + 1.0075904988775068, + ), ); expect(normalAttribute.constant).toEqual(Cartesian3.ZERO); expect(normalAttribute.typedArray).toBeUndefined(); @@ -2817,26 +2804,26 @@ describe( expect(normalQuantization.quantizedVolumeOffset).toBeUndefined(); expect(normalQuantization.quantizedVolumeDimensions).toBeUndefined(); expect(normalQuantization.componentDatatype).toBe( - ComponentDatatype.UNSIGNED_BYTE + ComponentDatatype.UNSIGNED_BYTE, ); expect(normalQuantization.type).toBe(AttributeType.VEC2); expect(texcoordAttribute.name).toBe("TEXCOORD_0"); expect(texcoordAttribute.semantic).toBe( - VertexAttributeSemantic.TEXCOORD + VertexAttributeSemantic.TEXCOORD, ); expect(texcoordAttribute.setIndex).toBe(0); expect(texcoordAttribute.componentDatatype).toBe( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(texcoordAttribute.type).toBe(AttributeType.VEC2); expect(texcoordAttribute.normalized).toBe(false); expect(texcoordAttribute.count).toBe(2399); expect(texcoordAttribute.min).toEqual( - new Cartesian2(0.025470511450678954, 0.019024537339121947) + new Cartesian2(0.025470511450678954, 0.019024537339121947), ); expect(texcoordAttribute.max).toEqual( - new Cartesian2(0.9846059706495423, 0.9809754626608782) + new Cartesian2(0.9846059706495423, 0.9809754626608782), ); expect(texcoordAttribute.constant).toEqual(Cartesian2.ZERO); expect(texcoordAttribute.typedArray).toBeUndefined(); @@ -2845,16 +2832,16 @@ describe( expect(texcoordAttribute.byteStride).toBeUndefined(); expect(texcoordQuantization.octEncoded).toBe(false); expect(texcoordQuantization.normalizationRange).toEqual( - new Cartesian2(1023, 1023) + new Cartesian2(1023, 1023), ); expect(texcoordQuantization.quantizedVolumeOffset).toEqual( - new Cartesian2(0.026409000158309937, 0.01996302604675293) + new Cartesian2(0.026409000158309937, 0.01996302604675293), ); expect(texcoordQuantization.quantizedVolumeDimensions).toEqual( - new Cartesian2(0.9600739479064941, 0.9600739479064941) + new Cartesian2(0.9600739479064941, 0.9600739479064941), ); expect(texcoordQuantization.componentDatatype).toBe( - ComponentDatatype.UNSIGNED_SHORT + ComponentDatatype.UNSIGNED_SHORT, ); expect(texcoordQuantization.type).toBe(AttributeType.VEC2); @@ -2881,16 +2868,16 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); const normalAttribute = getAttribute( attributes, - VertexAttributeSemantic.NORMAL + VertexAttributeSemantic.NORMAL, ); const texcoordAttribute = getAttribute( attributes, VertexAttributeSemantic.TEXCOORD, - 0 + 0, ); expect(positionAttribute.quantization).toBeDefined(); @@ -2917,14 +2904,14 @@ describe( expect(material.emissiveTexture.texture.width).toBe(256); expect(specularGlossiness.diffuseTexture.texture.width).toBe(256); expect(specularGlossiness.specularGlossinessTexture.texture.width).toBe( - 256 + 256, ); expect(specularGlossiness.diffuseFactor).toEqual( - new Cartesian4(1.0, 1.0, 1.0, 1.0) + new Cartesian4(1.0, 1.0, 1.0, 1.0), ); expect(specularGlossiness.specularFactor).toEqual( - new Cartesian3(1.0, 1.0, 1.0) + new Cartesian3(1.0, 1.0, 1.0), ); expect(specularGlossiness.glossinessFactor).toBe(0.5); @@ -2994,7 +2981,7 @@ describe( const uniformScaleStage = stages[6]; expect(uniformScaleStage.name).toEqual("Size"); expect(uniformScaleStage.type).toEqual( - ArticulationStageType.UNIFORMSCALE + ArticulationStageType.UNIFORMSCALE, ); expect(uniformScaleStage.minimumValue).toEqual(0.0); expect(uniformScaleStage.maximumValue).toEqual(1.0); @@ -3027,7 +3014,7 @@ describe( return loadGltf(boxCesiumRtc).then(function (gltfLoader) { const components = gltfLoader.components; const expectedTransform = Matrix4.fromTranslation( - new Cartesian3(6378137, 0, 0) + new Cartesian3(6378137, 0, 0), ); expect(components.transform).toEqual(expectedTransform); }); @@ -3041,11 +3028,11 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); const normalAttribute = getAttribute( attributes, - VertexAttributeSemantic.NORMAL + VertexAttributeSemantic.NORMAL, ); expect(positionAttribute.buffer).toBeDefined(); @@ -3058,7 +3045,7 @@ describe( const dequantizedValue = 127 / 255.0; expect(positionAttribute.min).toEqual(new Cartesian3(0.0, 0.0, 0.0)); expect(positionAttribute.max).toEqual( - new Cartesian3(dequantizedValue, dequantizedValue, dequantizedValue) + new Cartesian3(dequantizedValue, dequantizedValue, dequantizedValue), ); expect(normalAttribute.buffer).toBeDefined(); @@ -3077,25 +3064,25 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); const normalAttribute = getAttribute( attributes, - VertexAttributeSemantic.NORMAL + VertexAttributeSemantic.NORMAL, ); const scalarAttribute = getAttributeByName(attributes, "_SCALAR_TEST"); const positionQuantization = positionAttribute.quantization; expect(positionQuantization).toBeDefined(); expect(positionQuantization.quantizedVolumeOffset).toEqual( - new Cartesian3(-0.5, -0.5, -0.5) + new Cartesian3(-0.5, -0.5, -0.5), ); expect(positionQuantization.quantizedVolumeStepSize).toEqual( new Cartesian3( 0.000015259021896696422, 0.000015259021896696422, - 0.000015259021896696422 - ) + 0.000015259021896696422, + ), ); expect(positionAttribute.min).toEqual(new Cartesian3(-0.5, -0.5, -0.5)); expect(positionAttribute.max).toEqual(new Cartesian3(0.5, 0.5, 0.5)); @@ -3103,14 +3090,14 @@ describe( const normalQuantization = normalAttribute.quantization; expect(normalQuantization).toBeDefined(); expect(normalQuantization.quantizedVolumeOffset).toEqual( - new Cartesian3(-1.0, -1.0, -1.0) + new Cartesian3(-1.0, -1.0, -1.0), ); expect(normalQuantization.quantizedVolumeStepSize).toEqual( new Cartesian3( 0.000030518043793392844, 0.000030518043793392844, - 0.000030518043793392844 - ) + 0.000030518043793392844, + ), ); const scalarQuantization = scalarAttribute.quantization; @@ -3131,13 +3118,13 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); expect(positionAttribute).toBeDefined(); expect(primitive.indices).toBeDefined(); expect(primitive.indices.indexDatatype).toBe( - IndexDatatype.UNSIGNED_SHORT + IndexDatatype.UNSIGNED_SHORT, ); expect(primitive.indices.count).toBe(3); expect(primitive.indices.buffer).toBeDefined(); @@ -3161,14 +3148,14 @@ describe( gltfLoaders[0].destroy(); gltfLoaders[1].destroy(); - } + }, ); }); it("releases glTF JSON after parse", function () { const destroyGltfJsonLoader = spyOn( GltfJsonLoader.prototype, - "destroy" + "destroy", ).and.callThrough(); const options = { @@ -3183,7 +3170,7 @@ describe( it("releases glTF JSON after unload", function () { const destroyGltfJsonLoader = spyOn( GltfJsonLoader.prototype, - "destroy" + "destroy", ).and.callThrough(); const options = { @@ -3200,7 +3187,7 @@ describe( it("creates GPU resources asynchronously", function () { const jobSchedulerExecute = spyOn( JobScheduler.prototype, - "execute" + "execute", ).and.callThrough(); const options = { @@ -3215,7 +3202,7 @@ describe( it("creates GPU resources synchronously", function () { const jobSchedulerExecute = spyOn( JobScheduler.prototype, - "execute" + "execute", ).and.callThrough(); const options = { @@ -3271,22 +3258,22 @@ describe( it("destroys glTF loader", function () { const destroyStructuralMetadataLoader = spyOn( GltfStructuralMetadataLoader.prototype, - "destroy" + "destroy", ).and.callThrough(); const destroyVertexBufferLoader = spyOn( GltfVertexBufferLoader.prototype, - "destroy" + "destroy", ).and.callThrough(); const destroyIndexBufferLoader = spyOn( GltfIndexBufferLoader.prototype, - "destroy" + "destroy", ).and.callThrough(); const destroyTextureLoader = spyOn( GltfTextureLoader.prototype, - "destroy" + "destroy", ).and.callThrough(); return loadGltf(microcosm).then(function (gltfLoader) { @@ -3312,17 +3299,17 @@ describe( const destroyVertexBufferLoader = spyOn( GltfVertexBufferLoader.prototype, - "destroy" + "destroy", ).and.callThrough(); const destroyIndexBufferLoader = spyOn( GltfIndexBufferLoader.prototype, - "destroy" + "destroy", ).and.callThrough(); const destroyTextureLoader = spyOn( GltfTextureLoader.prototype, - "destroy" + "destroy", ).and.callThrough(); const options = { @@ -3334,10 +3321,10 @@ describe( await gltfLoader.load(); await expectAsync( - waitForLoaderProcess(gltfLoader, scene) + waitForLoaderProcess(gltfLoader, scene), ).toBeRejectedWithError( RuntimeError, - "Failed to load glTF\nFailed to load texture\nFailed to load image: CesiumLogoFlat.png\n404 Not Found" + "Failed to load glTF\nFailed to load texture\nFailed to load image: CesiumLogoFlat.png\n404 Not Found", ); expect(destroyVertexBufferLoader.calls.count()).toBe(2); @@ -3361,7 +3348,7 @@ describe( } }); spyOn(GltfJsonLoader.prototype, "_fetchGltf").and.returnValue( - fetchPromise + fetchPromise, ); const gltfUri = "https://example.com/model.glb"; @@ -3420,13 +3407,13 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); expect(positionAttribute).toBeDefined(); expect(primitive.indices).toBeDefined(); expect(primitive.indices.indexDatatype).toBe( - IndexDatatype.UNSIGNED_SHORT + IndexDatatype.UNSIGNED_SHORT, ); expect(primitive.indices.count).toBe(3); expect(primitive.indices.typedArray).toBeDefined(); @@ -3449,13 +3436,13 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); expect(positionAttribute).toBeDefined(); expect(primitive.indices).toBeDefined(); expect(primitive.indices.indexDatatype).toBe( - IndexDatatype.UNSIGNED_SHORT + IndexDatatype.UNSIGNED_SHORT, ); expect(primitive.indices.count).toBe(3); expect(primitive.indices.typedArray).not.toBeDefined(); @@ -3481,11 +3468,11 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); const normalAttribute = getAttribute( attributes, - VertexAttributeSemantic.NORMAL + VertexAttributeSemantic.NORMAL, ); expect(positionAttribute.buffer).toBeUndefined(); @@ -3510,50 +3497,50 @@ describe( loadAttributesAsTypedArray: true, }; - return loadGltf(boxInstancedTranslationMinMax, options).then(function ( - gltfLoader - ) { - const components = gltfLoader.components; - const scene = components.scene; - const rootNode = scene.nodes[0]; - const primitive = rootNode.primitives[0]; - const attributes = primitive.attributes; - const positionAttribute = getAttribute( - attributes, - VertexAttributeSemantic.POSITION - ); - const normalAttribute = getAttribute( - attributes, - VertexAttributeSemantic.NORMAL - ); - const instances = rootNode.instances; - const instancedAttributes = instances.attributes; - const translationAttribute = getAttribute( - instancedAttributes, - InstanceAttributeSemantic.TRANSLATION - ); - - expect(positionAttribute).toBeDefined(); - expect(normalAttribute).toBeDefined(); - - expect(translationAttribute.semantic).toBe( - InstanceAttributeSemantic.TRANSLATION - ); - expect(translationAttribute.componentDatatype).toBe( - ComponentDatatype.FLOAT - ); - expect(translationAttribute.type).toBe(AttributeType.VEC3); - expect(translationAttribute.normalized).toBe(false); - expect(translationAttribute.count).toBe(4); - expect(translationAttribute.min).toEqual(new Cartesian3(-2, -2, 0)); - expect(translationAttribute.max).toEqual(new Cartesian3(2, 2, 0)); - expect(translationAttribute.constant).toEqual(Cartesian3.ZERO); - expect(translationAttribute.quantization).toBeUndefined(); - expect(translationAttribute.typedArray).toBeDefined(); - expect(translationAttribute.buffer).toBeUndefined(); - expect(translationAttribute.byteOffset).toBe(0); - expect(translationAttribute.byteStride).toBeUndefined(); - }); + return loadGltf(boxInstancedTranslationMinMax, options).then( + function (gltfLoader) { + const components = gltfLoader.components; + const scene = components.scene; + const rootNode = scene.nodes[0]; + const primitive = rootNode.primitives[0]; + const attributes = primitive.attributes; + const positionAttribute = getAttribute( + attributes, + VertexAttributeSemantic.POSITION, + ); + const normalAttribute = getAttribute( + attributes, + VertexAttributeSemantic.NORMAL, + ); + const instances = rootNode.instances; + const instancedAttributes = instances.attributes; + const translationAttribute = getAttribute( + instancedAttributes, + InstanceAttributeSemantic.TRANSLATION, + ); + + expect(positionAttribute).toBeDefined(); + expect(normalAttribute).toBeDefined(); + + expect(translationAttribute.semantic).toBe( + InstanceAttributeSemantic.TRANSLATION, + ); + expect(translationAttribute.componentDatatype).toBe( + ComponentDatatype.FLOAT, + ); + expect(translationAttribute.type).toBe(AttributeType.VEC3); + expect(translationAttribute.normalized).toBe(false); + expect(translationAttribute.count).toBe(4); + expect(translationAttribute.min).toEqual(new Cartesian3(-2, -2, 0)); + expect(translationAttribute.max).toEqual(new Cartesian3(2, 2, 0)); + expect(translationAttribute.constant).toEqual(Cartesian3.ZERO); + expect(translationAttribute.quantization).toBeUndefined(); + expect(translationAttribute.typedArray).toBeDefined(); + expect(translationAttribute.buffer).toBeUndefined(); + expect(translationAttribute.byteOffset).toBe(0); + expect(translationAttribute.byteStride).toBeUndefined(); + }, + ); }); }); @@ -3572,11 +3559,11 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); const normalAttribute = getAttribute( attributes, - VertexAttributeSemantic.NORMAL + VertexAttributeSemantic.NORMAL, ); expect(positionAttribute.buffer).toBeDefined(); @@ -3610,7 +3597,7 @@ describe( // attribute to be loaded as a typed array. const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); expect(positionAttribute.buffer).toBeDefined(); expect(positionAttribute.typedArray).toBeUndefined(); @@ -3634,21 +3621,21 @@ describe( const instancedAttributes = instances.attributes; const translationAttribute = getAttribute( instancedAttributes, - InstanceAttributeSemantic.TRANSLATION + InstanceAttributeSemantic.TRANSLATION, ); expect(translationAttribute.typedArray).toBeDefined(); expect(translationAttribute.buffer).toBeUndefined(); const rotationAttribute = getAttribute( instancedAttributes, - InstanceAttributeSemantic.ROTATION + InstanceAttributeSemantic.ROTATION, ); expect(rotationAttribute.typedArray).toBeDefined(); expect(rotationAttribute.buffer).toBeUndefined(); const scaleAttribute = getAttribute( instancedAttributes, - InstanceAttributeSemantic.SCALE + InstanceAttributeSemantic.SCALE, ); expect(scaleAttribute.typedArray).toBeDefined(); expect(scaleAttribute.buffer).toBeUndefined(); @@ -3656,7 +3643,7 @@ describe( const featureIdAttribute = getAttribute( instancedAttributes, InstanceAttributeSemantic.FEATURE_ID, - 0 + 0, ); expect(featureIdAttribute.typedArray).toBeUndefined(); expect(featureIdAttribute.buffer).toBeDefined(); @@ -3668,51 +3655,51 @@ describe( loadAttributesFor2D: true, }; - return loadGltf(boxInstancedTranslation, options).then(function ( - gltfLoader - ) { - // Since the translation attribute has no min / max readily defined, - // it will load in as a typed array in addition to a buffer in order - // to find these bounds at runtime. - const components = gltfLoader.components; - const scene = components.scene; - const rootNode = scene.nodes[0]; - const primitive = rootNode.primitives[0]; - const attributes = primitive.attributes; - const positionAttribute = getAttribute( - attributes, - VertexAttributeSemantic.POSITION - ); - const normalAttribute = getAttribute( - attributes, - VertexAttributeSemantic.NORMAL - ); - const instances = rootNode.instances; - const instancedAttributes = instances.attributes; - const translationAttribute = getAttribute( - instancedAttributes, - InstanceAttributeSemantic.TRANSLATION - ); - - expect(positionAttribute).toBeDefined(); - expect(normalAttribute).toBeDefined(); - - expect(translationAttribute.semantic).toBe( - InstanceAttributeSemantic.TRANSLATION - ); - expect(translationAttribute.componentDatatype).toBe( - ComponentDatatype.FLOAT - ); - expect(translationAttribute.type).toBe(AttributeType.VEC3); - expect(translationAttribute.normalized).toBe(false); - expect(translationAttribute.count).toBe(4); - expect(translationAttribute.constant).toEqual(Cartesian3.ZERO); - expect(translationAttribute.quantization).toBeUndefined(); - expect(translationAttribute.typedArray).toBeDefined(); - expect(translationAttribute.buffer).toBeDefined(); - expect(translationAttribute.byteOffset).toBe(0); - expect(translationAttribute.byteStride).toBe(12); - }); + return loadGltf(boxInstancedTranslation, options).then( + function (gltfLoader) { + // Since the translation attribute has no min / max readily defined, + // it will load in as a typed array in addition to a buffer in order + // to find these bounds at runtime. + const components = gltfLoader.components; + const scene = components.scene; + const rootNode = scene.nodes[0]; + const primitive = rootNode.primitives[0]; + const attributes = primitive.attributes; + const positionAttribute = getAttribute( + attributes, + VertexAttributeSemantic.POSITION, + ); + const normalAttribute = getAttribute( + attributes, + VertexAttributeSemantic.NORMAL, + ); + const instances = rootNode.instances; + const instancedAttributes = instances.attributes; + const translationAttribute = getAttribute( + instancedAttributes, + InstanceAttributeSemantic.TRANSLATION, + ); + + expect(positionAttribute).toBeDefined(); + expect(normalAttribute).toBeDefined(); + + expect(translationAttribute.semantic).toBe( + InstanceAttributeSemantic.TRANSLATION, + ); + expect(translationAttribute.componentDatatype).toBe( + ComponentDatatype.FLOAT, + ); + expect(translationAttribute.type).toBe(AttributeType.VEC3); + expect(translationAttribute.normalized).toBe(false); + expect(translationAttribute.count).toBe(4); + expect(translationAttribute.constant).toEqual(Cartesian3.ZERO); + expect(translationAttribute.quantization).toBeUndefined(); + expect(translationAttribute.typedArray).toBeDefined(); + expect(translationAttribute.buffer).toBeDefined(); + expect(translationAttribute.byteOffset).toBe(0); + expect(translationAttribute.byteStride).toBe(12); + }, + ); }); it("loads instanced translation with min/max as buffer and typed array for 2D", function () { @@ -3720,52 +3707,52 @@ describe( loadAttributesFor2D: true, }; - return loadGltf(boxInstancedTranslationMinMax, options).then(function ( - gltfLoader - ) { - // Typed arrays are necessary for 2D projection, so this should load - // both a buffer and a typed array for the attribute. - const components = gltfLoader.components; - const scene = components.scene; - const rootNode = scene.nodes[0]; - const primitive = rootNode.primitives[0]; - const attributes = primitive.attributes; - const positionAttribute = getAttribute( - attributes, - VertexAttributeSemantic.POSITION - ); - const normalAttribute = getAttribute( - attributes, - VertexAttributeSemantic.NORMAL - ); - const instances = rootNode.instances; - const instancedAttributes = instances.attributes; - const translationAttribute = getAttribute( - instancedAttributes, - InstanceAttributeSemantic.TRANSLATION - ); - - expect(positionAttribute).toBeDefined(); - expect(normalAttribute).toBeDefined(); - - expect(translationAttribute.semantic).toBe( - InstanceAttributeSemantic.TRANSLATION - ); - expect(translationAttribute.componentDatatype).toBe( - ComponentDatatype.FLOAT - ); - expect(translationAttribute.type).toBe(AttributeType.VEC3); - expect(translationAttribute.normalized).toBe(false); - expect(translationAttribute.count).toBe(4); - expect(translationAttribute.min).toEqual(new Cartesian3(-2, -2, 0)); - expect(translationAttribute.max).toEqual(new Cartesian3(2, 2, 0)); - expect(translationAttribute.constant).toEqual(Cartesian3.ZERO); - expect(translationAttribute.quantization).toBeUndefined(); - expect(translationAttribute.typedArray).toBeDefined(); - expect(translationAttribute.buffer).toBeDefined(); - expect(translationAttribute.byteOffset).toBe(0); - expect(translationAttribute.byteStride).toBe(12); - }); + return loadGltf(boxInstancedTranslationMinMax, options).then( + function (gltfLoader) { + // Typed arrays are necessary for 2D projection, so this should load + // both a buffer and a typed array for the attribute. + const components = gltfLoader.components; + const scene = components.scene; + const rootNode = scene.nodes[0]; + const primitive = rootNode.primitives[0]; + const attributes = primitive.attributes; + const positionAttribute = getAttribute( + attributes, + VertexAttributeSemantic.POSITION, + ); + const normalAttribute = getAttribute( + attributes, + VertexAttributeSemantic.NORMAL, + ); + const instances = rootNode.instances; + const instancedAttributes = instances.attributes; + const translationAttribute = getAttribute( + instancedAttributes, + InstanceAttributeSemantic.TRANSLATION, + ); + + expect(positionAttribute).toBeDefined(); + expect(normalAttribute).toBeDefined(); + + expect(translationAttribute.semantic).toBe( + InstanceAttributeSemantic.TRANSLATION, + ); + expect(translationAttribute.componentDatatype).toBe( + ComponentDatatype.FLOAT, + ); + expect(translationAttribute.type).toBe(AttributeType.VEC3); + expect(translationAttribute.normalized).toBe(false); + expect(translationAttribute.count).toBe(4); + expect(translationAttribute.min).toEqual(new Cartesian3(-2, -2, 0)); + expect(translationAttribute.max).toEqual(new Cartesian3(2, 2, 0)); + expect(translationAttribute.constant).toEqual(Cartesian3.ZERO); + expect(translationAttribute.quantization).toBeUndefined(); + expect(translationAttribute.typedArray).toBeDefined(); + expect(translationAttribute.buffer).toBeDefined(); + expect(translationAttribute.byteOffset).toBe(0); + expect(translationAttribute.byteStride).toBe(12); + }, + ); }); }); @@ -3784,21 +3771,21 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); const normalAttribute = getAttribute( attributes, - VertexAttributeSemantic.NORMAL + VertexAttributeSemantic.NORMAL, ); const texcoordAttribute = getAttribute( attributes, VertexAttributeSemantic.TEXCOORD, - 0 + 0, ); const colorAttribute = getAttribute( attributes, VertexAttributeSemantic.COLOR, - 0 + 0, ); expect(positionAttribute).toBeDefined(); @@ -3825,16 +3812,16 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); const normalAttribute = getAttribute( attributes, - VertexAttributeSemantic.NORMAL + VertexAttributeSemantic.NORMAL, ); const featureIdAttribute = getAttribute( attributes, VertexAttributeSemantic.FEATURE_ID, - 0 + 0, ); expect(positionAttribute).toBeDefined(); @@ -3846,7 +3833,7 @@ describe( expect(featureIdAttribute.name).toBe("_FEATURE_ID_0"); expect(featureIdAttribute.semantic).toBe( - VertexAttributeSemantic.FEATURE_ID + VertexAttributeSemantic.FEATURE_ID, ); expect(featureIdAttribute.setIndex).toBe(0); expect(featureIdAttribute.buffer).toBeDefined(); @@ -3871,7 +3858,7 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); expect(positionAttribute).toBeDefined(); expect(positionAttribute.buffer).toBeDefined(); @@ -3898,17 +3885,17 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); const jointsAttribute = getAttribute( attributes, VertexAttributeSemantic.JOINTS, - 0 + 0, ); const weightsAttribute = getAttribute( attributes, VertexAttributeSemantic.WEIGHTS, - 0 + 0, ); expect(positionAttribute).toBeDefined(); @@ -3935,7 +3922,7 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); expect(positionAttribute).toBeDefined(); @@ -3959,20 +3946,20 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); const normalAttribute = getAttribute( attributes, - VertexAttributeSemantic.NORMAL + VertexAttributeSemantic.NORMAL, ); const tangentAttribute = getAttribute( attributes, - VertexAttributeSemantic.TANGENT + VertexAttributeSemantic.TANGENT, ); const texcoordAttribute = getAttribute( attributes, VertexAttributeSemantic.TEXCOORD, - 0 + 0, ); expect(positionAttribute).toBeDefined(); @@ -3991,10 +3978,10 @@ describe( }; await expectAsync( - loadGltf(boxInstanced, options) + loadGltf(boxInstanced, options), ).toBeRejectedWithError( RuntimeError, - "Failed to load glTF\nModels with the EXT_mesh_gpu_instancing extension cannot be used for classification." + "Failed to load glTF\nModels with the EXT_mesh_gpu_instancing extension cannot be used for classification.", ); }); @@ -4004,10 +3991,10 @@ describe( }; await expectAsync( - loadGltf(pointCloudWithPropertyAttributes, options) + loadGltf(pointCloudWithPropertyAttributes, options), ).toBeRejectedWithError( RuntimeError, - "Failed to load glTF\nOnly triangle meshes can be used for classification." + "Failed to load glTF\nOnly triangle meshes can be used for classification.", ); }); }); @@ -4022,16 +4009,16 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); const normalAttribute = getAttribute( attributes, - VertexAttributeSemantic.NORMAL + VertexAttributeSemantic.NORMAL, ); const texCoordAttribute = getAttribute( attributes, VertexAttributeSemantic.TEXCOORD, - 0 + 0, ); expect(positionAttribute).toBeDefined(); @@ -4056,92 +4043,92 @@ describe( }); it("loads model with CESIUM_primitive_outline with shared vertices", function () { - return loadGltf(boxWithPrimitiveOutlineSharedVertices).then(function ( - gltfLoader - ) { - const components = gltfLoader.components; - const scene = components.scene; - const [rootNode] = scene.nodes; - const [primitive] = rootNode.primitives; - - const attributes = primitive.attributes; - const positionAttribute = getAttribute( - attributes, - VertexAttributeSemantic.POSITION - ); - const normalAttribute = getAttribute( - attributes, - VertexAttributeSemantic.NORMAL - ); - const texCoordAttribute = getAttribute( - attributes, - VertexAttributeSemantic.TEXCOORD, - 0 - ); + return loadGltf(boxWithPrimitiveOutlineSharedVertices).then( + function (gltfLoader) { + const components = gltfLoader.components; + const scene = components.scene; + const [rootNode] = scene.nodes; + const [primitive] = rootNode.primitives; - expect(positionAttribute).toBeDefined(); - expect(normalAttribute).not.toBeDefined(); - expect(texCoordAttribute).not.toBeDefined(); + const attributes = primitive.attributes; + const positionAttribute = getAttribute( + attributes, + VertexAttributeSemantic.POSITION, + ); + const normalAttribute = getAttribute( + attributes, + VertexAttributeSemantic.NORMAL, + ); + const texCoordAttribute = getAttribute( + attributes, + VertexAttributeSemantic.TEXCOORD, + 0, + ); - const indices = primitive.indices; - expect(indices).toBeDefined(); - expect(indices.buffer).toBeDefined(); - expect(indices.typedArray).not.toBeDefined(); - expect(indices.count).toBe(36); + expect(positionAttribute).toBeDefined(); + expect(normalAttribute).not.toBeDefined(); + expect(texCoordAttribute).not.toBeDefined(); - const outlineCoordinates = primitive.outlineCoordinates; - expect(outlineCoordinates).toBeDefined(); - expect(outlineCoordinates.name).toBe("_OUTLINE_COORDINATES"); - // the model originally had 8 vertices, but some are duplicated - // when generating outlines - expect(outlineCoordinates.count).toBe(16); - expect(outlineCoordinates.semantic).not.toBeDefined(); - expect(outlineCoordinates.type).toBe(AttributeType.VEC3); - expect(outlineCoordinates.buffer).toBeDefined(); - expect(outlineCoordinates.typedArray).not.toBeDefined(); - }); + const indices = primitive.indices; + expect(indices).toBeDefined(); + expect(indices.buffer).toBeDefined(); + expect(indices.typedArray).not.toBeDefined(); + expect(indices.count).toBe(36); + + const outlineCoordinates = primitive.outlineCoordinates; + expect(outlineCoordinates).toBeDefined(); + expect(outlineCoordinates.name).toBe("_OUTLINE_COORDINATES"); + // the model originally had 8 vertices, but some are duplicated + // when generating outlines + expect(outlineCoordinates.count).toBe(16); + expect(outlineCoordinates.semantic).not.toBeDefined(); + expect(outlineCoordinates.type).toBe(AttributeType.VEC3); + expect(outlineCoordinates.buffer).toBeDefined(); + expect(outlineCoordinates.typedArray).not.toBeDefined(); + }, + ); }); it("does not load CESIUM_primitive_outline if loadPrimitiveOutline is false", function () { const options = { loadPrimitiveOutline: false, }; - return loadGltf(boxWithPrimitiveOutline, options).then(function ( - gltfLoader - ) { - const components = gltfLoader.components; - const scene = components.scene; - const [rootNode] = scene.nodes; - const [primitive] = rootNode.primitives; + return loadGltf(boxWithPrimitiveOutline, options).then( + function (gltfLoader) { + const components = gltfLoader.components; + const scene = components.scene; + const [rootNode] = scene.nodes; + const [primitive] = rootNode.primitives; - const attributes = primitive.attributes; - const positionAttribute = getAttribute( - attributes, - VertexAttributeSemantic.POSITION - ); - const normalAttribute = getAttribute( - attributes, - VertexAttributeSemantic.NORMAL - ); - const texCoordAttribute = getAttribute( - attributes, - VertexAttributeSemantic.TEXCOORD, - 0 - ); + const attributes = primitive.attributes; + const positionAttribute = getAttribute( + attributes, + VertexAttributeSemantic.POSITION, + ); + const normalAttribute = getAttribute( + attributes, + VertexAttributeSemantic.NORMAL, + ); + const texCoordAttribute = getAttribute( + attributes, + VertexAttributeSemantic.TEXCOORD, + 0, + ); - expect(positionAttribute).toBeDefined(); - expect(normalAttribute).toBeDefined(); - expect(texCoordAttribute).toBeDefined(); + expect(positionAttribute).toBeDefined(); + expect(normalAttribute).toBeDefined(); + expect(texCoordAttribute).toBeDefined(); - const indices = primitive.indices; - expect(indices).toBeDefined(); - expect(indices.buffer).toBeDefined(); - expect(indices.typedArray).not.toBeDefined(); - expect(indices.count).toBe(36); + const indices = primitive.indices; + expect(indices).toBeDefined(); + expect(indices.buffer).toBeDefined(); + expect(indices.typedArray).not.toBeDefined(); + expect(indices.count).toBe(36); - const outlineCoordinates = primitive.outlineCoordinates; - expect(outlineCoordinates).not.toBeDefined(); - }); + const outlineCoordinates = primitive.outlineCoordinates; + expect(outlineCoordinates).not.toBeDefined(); + }, + ); }); it("loads model with KHR_materials_specular extension", async function () { @@ -4152,7 +4139,7 @@ describe( expect(material.specular.specularFactor).toEqual(0.7); expect(material.specular.specularTexture.texture.width).toBe(256); expect(material.specular.specularColorFactor).toEqual( - Cartesian3.fromElements(50, 0, 0) + Cartesian3.fromElements(50, 0, 0), ); expect(material.specular.specularTexture.texture.width).toBe(256); }); @@ -4161,11 +4148,8 @@ describe( const gltfLoader = await loadGltf(anisotropyTestData); const { material } = gltfLoader.components.nodes[1].primitives[0]; - const { - anisotropyStrength, - anisotropyRotation, - anisotropyTexture, - } = material.anisotropy; + const { anisotropyStrength, anisotropyRotation, anisotropyTexture } = + material.anisotropy; expect(anisotropyStrength).toBe(0.5); expect(anisotropyRotation).toBe(0.349065850398866); @@ -4211,5 +4195,5 @@ describe( }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/GltfLoaderUtilSpec.js b/packages/engine/Specs/Scene/GltfLoaderUtilSpec.js index dd30771963ef..bef2cf665012 100644 --- a/packages/engine/Specs/Scene/GltfLoaderUtilSpec.js +++ b/packages/engine/Specs/Scene/GltfLoaderUtilSpec.js @@ -173,7 +173,7 @@ describe( expect(sampler.wrapT).toBe(TextureWrap.REPEAT); expect(sampler.minificationFilter).toBe(TextureMinificationFilter.LINEAR); expect(sampler.magnificationFilter).toBe( - TextureMagnificationFilter.LINEAR + TextureMagnificationFilter.LINEAR, ); }); @@ -203,10 +203,10 @@ describe( expect(sampler.wrapS).toBe(TextureWrap.REPEAT); expect(sampler.wrapT).toBe(TextureWrap.REPEAT); expect(sampler.minificationFilter).toBe( - TextureMinificationFilter.NEAREST + TextureMinificationFilter.NEAREST, ); expect(sampler.magnificationFilter).toBe( - TextureMagnificationFilter.LINEAR + TextureMagnificationFilter.LINEAR, ); }); @@ -237,7 +237,7 @@ describe( expect(sampler.wrapT).toBe(TextureWrap.REPEAT); expect(sampler.minificationFilter).toBe(TextureMinificationFilter.LINEAR); expect(sampler.magnificationFilter).toBe( - TextureMagnificationFilter.LINEAR + TextureMagnificationFilter.LINEAR, ); }); @@ -284,7 +284,7 @@ describe( expect(sampler.wrapT).toBe(TextureWrap.REPEAT); expect(sampler.minificationFilter).toBe(TextureMinificationFilter.LINEAR); expect(sampler.magnificationFilter).toBe( - TextureMagnificationFilter.LINEAR + TextureMagnificationFilter.LINEAR, ); }); @@ -402,5 +402,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/GltfStructuralMetadataLoaderSpec.js b/packages/engine/Specs/Scene/GltfStructuralMetadataLoaderSpec.js index 6901bbdf1657..abbc32ae0f9d 100644 --- a/packages/engine/Specs/Scene/GltfStructuralMetadataLoaderSpec.js +++ b/packages/engine/Specs/Scene/GltfStructuralMetadataLoaderSpec.js @@ -258,7 +258,7 @@ describe( }); spyOn(Resource.prototype, "fetchImage").and.returnValue( - Promise.resolve(image) + Promise.resolve(image), ); const structuralMetadataLoader = new GltfStructuralMetadataLoader({ @@ -272,13 +272,13 @@ describe( await expectAsync(structuralMetadataLoader.load()).toBeRejectedWithError( RuntimeError, - "Failed to load structural metadata\nFailed to load buffer view\nFailed to load external buffer: https://example.com/external.bin\n404 Not Found" + "Failed to load structural metadata\nFailed to load buffer view\nFailed to load external buffer: https://example.com/external.bin\n404 Not Found", ); }); it("load throws if texture fails to load", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(buffer) + Promise.resolve(buffer), ); spyOn(Resource.prototype, "fetchImage").and.callFake(function () { @@ -297,17 +297,17 @@ describe( await expectAsync(structuralMetadataLoader.load()).toBeRejectedWithError( RuntimeError, - "Failed to load structural metadata\nFailed to load texture\nFailed to load image: map.png\n404 Not Found" + "Failed to load structural metadata\nFailed to load texture\nFailed to load image: map.png\n404 Not Found", ); }); it("load throws if external schema fails to load", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(buffer) + Promise.resolve(buffer), ); spyOn(Resource.prototype, "fetchImage").and.returnValue( - Promise.resolve(image) + Promise.resolve(image), ); spyOn(Resource.prototype, "fetchJson").and.callFake(function () { @@ -326,17 +326,17 @@ describe( await expectAsync(structuralMetadataLoader.load()).toBeRejectedWithError( RuntimeError, - "Failed to load structural metadata\nFailed to load schema: https://example.com/schema.json\n404 Not Found" + "Failed to load structural metadata\nFailed to load schema: https://example.com/schema.json\n404 Not Found", ); }); it("loads structural metadata", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(buffer) + Promise.resolve(buffer), ); spyOn(Resource.prototype, "fetchImage").and.returnValue( - Promise.resolve(image) + Promise.resolve(image), ); const structuralMetadataLoader = new GltfStructuralMetadataLoader({ @@ -351,7 +351,7 @@ describe( await structuralMetadataLoader.load(); await waitForLoaderProcess(structuralMetadataLoader, scene); expect(() => - loaderProcess(structuralMetadataLoader, scene) + loaderProcess(structuralMetadataLoader, scene), ).not.toThrow(); const structuralMetadata = structuralMetadataLoader.structuralMetadata; @@ -380,13 +380,13 @@ describe( expect(colorProperty.textureReader.texture.width).toBe(1); expect(colorProperty.textureReader.texture.height).toBe(1); expect(colorProperty.textureReader.texture).toBe( - intensityProperty.textureReader.texture + intensityProperty.textureReader.texture, ); expect(vegetationProperty.textureReader.texture.width).toBe(1); expect(vegetationProperty.textureReader.texture.height).toBe(1); expect(vegetationProperty.textureReader.texture).not.toBe( - colorProperty.textureReader.texture + colorProperty.textureReader.texture, ); expect(Object.keys(structuralMetadata.schema.classes).sort()).toEqual([ @@ -399,15 +399,15 @@ describe( it("loads structural metadata with external schema", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(buffer) + Promise.resolve(buffer), ); spyOn(Resource.prototype, "fetchImage").and.returnValue( - Promise.resolve(image) + Promise.resolve(image), ); spyOn(Resource.prototype, "fetchJson").and.returnValue( - Promise.resolve(schemaJson) + Promise.resolve(schemaJson), ); const structuralMetadataLoader = new GltfStructuralMetadataLoader({ @@ -433,30 +433,30 @@ describe( it("destroys structural metadata", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(buffer) + Promise.resolve(buffer), ); spyOn(Resource.prototype, "fetchImage").and.returnValue( - Promise.resolve(image) + Promise.resolve(image), ); spyOn(Resource.prototype, "fetchJson").and.returnValue( - Promise.resolve(schemaJson) + Promise.resolve(schemaJson), ); const destroyBufferView = spyOn( GltfBufferViewLoader.prototype, - "destroy" + "destroy", ).and.callThrough(); const destroyTexture = spyOn( GltfTextureLoader.prototype, - "destroy" + "destroy", ).and.callThrough(); const destroySchema = spyOn( MetadataSchemaLoader.prototype, - "destroy" + "destroy", ).and.callThrough(); const structuralMetadataLoader = new GltfStructuralMetadataLoader({ @@ -486,28 +486,28 @@ describe( async function resolveAfterDestroy(rejectPromise) { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(buffer) + Promise.resolve(buffer), ); spyOn(Resource.prototype, "fetchImage").and.returnValue( - Promise.resolve(image) + Promise.resolve(image), ); spyOn(Resource.prototype, "fetchJson").and.callFake(() => rejectPromise ? Promise.reject(new Error("")) - : Promise.resolve(schemaJson) + : Promise.resolve(schemaJson), ); const destroyBufferView = spyOn( GltfBufferViewLoader.prototype, - "destroy" + "destroy", ).and.callThrough(); const destroyTexture = spyOn( GltfTextureLoader.prototype, - "destroy" + "destroy", ).and.callThrough(); const destroySchema = spyOn( MetadataSchemaLoader.prototype, - "destroy" + "destroy", ).and.callThrough(); const structuralMetadataLoader = new GltfStructuralMetadataLoader({ @@ -539,5 +539,5 @@ describe( return resolveAfterDestroy(true); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/GltfTextureLoaderSpec.js b/packages/engine/Specs/Scene/GltfTextureLoaderSpec.js index 0845aca115ab..b6414a5f6182 100644 --- a/packages/engine/Specs/Scene/GltfTextureLoaderSpec.js +++ b/packages/engine/Specs/Scene/GltfTextureLoaderSpec.js @@ -235,7 +235,7 @@ describe( it("load throws if image fails to load", async function () { spyOn(Resource.prototype, "fetchImage").and.callFake(() => - Promise.reject(new Error("404 Not Found")) + Promise.reject(new Error("404 Not Found")), ); const textureLoader = new GltfTextureLoader({ @@ -249,13 +249,13 @@ describe( await expectAsync(textureLoader.load()).toBeRejectedWithError( RuntimeError, - "Failed to load texture\nFailed to load image: image.png\n404 Not Found" + "Failed to load texture\nFailed to load image: image.png\n404 Not Found", ); }); it("loads texture", async function () { spyOn(Resource.prototype, "fetchImage").and.returnValue( - Promise.resolve(image) + Promise.resolve(image), ); // Simulate JobScheduler not being ready for a few frames @@ -263,15 +263,14 @@ describe( let processCallsCount = 0; const jobScheduler = scene.frameState.jobScheduler; const originalJobSchedulerExecute = jobScheduler.execute; - spyOn(JobScheduler.prototype, "execute").and.callFake(function ( - job, - jobType - ) { - if (processCallsCount++ >= processCallsTotal) { - return originalJobSchedulerExecute.call(jobScheduler, job, jobType); - } - return false; - }); + spyOn(JobScheduler.prototype, "execute").and.callFake( + function (job, jobType) { + if (processCallsCount++ >= processCallsTotal) { + return originalJobSchedulerExecute.call(jobScheduler, job, jobType); + } + return false; + }, + ); const textureLoader = new GltfTextureLoader({ resourceCache: ResourceCache, @@ -291,13 +290,13 @@ describe( expect(textureLoader.texture.width).toBe(1); expect(textureLoader.texture.height).toBe(1); expect(ResourceCache.statistics.texturesByteLength).toBe( - textureLoader.texture.sizeInBytes + textureLoader.texture.sizeInBytes, ); }); it("creates texture synchronously", async function () { spyOn(Resource.prototype, "fetchImage").and.returnValue( - Promise.resolve(image) + Promise.resolve(image), ); const textureLoader = new GltfTextureLoader({ @@ -404,18 +403,18 @@ describe( compressedTextureNoMipmap: true, }); expect(textureLoader.texture.sampler.minificationFilter).toBe( - TextureMinificationFilter.NEAREST + TextureMinificationFilter.NEAREST, ); }); it("generates mipmap if sampler requires it", async function () { spyOn(Resource.prototype, "fetchImage").and.returnValue( - Promise.resolve(image) + Promise.resolve(image), ); const generateMipmap = spyOn( Texture.prototype, - "generateMipmap" + "generateMipmap", ).and.callThrough(); const textureLoader = new GltfTextureLoader({ @@ -437,7 +436,7 @@ describe( it("generates power-of-two texture if sampler requires it", async function () { spyOn(Resource.prototype, "fetchImage").and.returnValue( - Promise.resolve(imageNpot) + Promise.resolve(imageNpot), ); const textureLoader = new GltfTextureLoader({ @@ -458,7 +457,7 @@ describe( it("does not generate power-of-two texture if sampler does not require it", async function () { spyOn(Resource.prototype, "fetchImage").and.returnValue( - Promise.resolve(imageNpot) + Promise.resolve(imageNpot), ); const textureLoader = new GltfTextureLoader({ @@ -479,17 +478,17 @@ describe( it("destroys texture loader", async function () { spyOn(Resource.prototype, "fetchImage").and.returnValue( - Promise.resolve(image) + Promise.resolve(image), ); const unloadImage = spyOn( GltfImageLoader.prototype, - "unload" + "unload", ).and.callThrough(); const destroyTexture = spyOn( Texture.prototype, - "destroy" + "destroy", ).and.callThrough(); const textureLoader = new GltfTextureLoader({ @@ -525,7 +524,7 @@ describe( } else { resolve(image); } - }) + }), ); const textureLoader = new GltfTextureLoader({ @@ -555,5 +554,5 @@ describe( return resolveImageAfterDestroy(true); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/GltfVertexBufferLoaderSpec.js b/packages/engine/Specs/Scene/GltfVertexBufferLoaderSpec.js index 8351e05d96dc..9e6670d5f5b2 100644 --- a/packages/engine/Specs/Scene/GltfVertexBufferLoaderSpec.js +++ b/packages/engine/Specs/Scene/GltfVertexBufferLoaderSpec.js @@ -20,14 +20,7 @@ describe( "Scene/GltfVertexBufferLoader", function () { const dracoBufferTypedArray = new Uint8Array([ - 1, - 3, - 7, - 15, - 31, - 63, - 127, - 255, + 1, 3, 7, 15, 31, 63, 127, 255, ]); const dracoArrayBuffer = dracoBufferTypedArray.buffer; @@ -354,7 +347,7 @@ describe( it("load throws if buffer view fails to load", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.callFake(() => - Promise.reject(new Error("404 Not Found")) + Promise.reject(new Error("404 Not Found")), ); const vertexBufferLoader = new GltfVertexBufferLoader({ @@ -368,13 +361,13 @@ describe( await expectAsync(vertexBufferLoader.load()).toBeRejectedWithError( RuntimeError, - "Failed to load vertex buffer\nFailed to load buffer view\nFailed to load external buffer: https://example.com/external.bin\n404 Not Found" + "Failed to load vertex buffer\nFailed to load buffer view\nFailed to load external buffer: https://example.com/external.bin\n404 Not Found", ); }); it("process throws if draco fails to load", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(dracoArrayBuffer) + Promise.resolve(dracoArrayBuffer), ); spyOn(DracoLoader, "decodeBufferView").and.callFake(function () { @@ -395,16 +388,16 @@ describe( await vertexBufferLoader.load(); await expectAsync( - waitForLoaderProcess(vertexBufferLoader, scene) + waitForLoaderProcess(vertexBufferLoader, scene), ).toBeRejectedWithError( RuntimeError, - "Failed to load vertex buffer\nFailed to load Draco\nDraco decode failed" + "Failed to load vertex buffer\nFailed to load Draco\nDraco decode failed", ); }); it("loads as buffer", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(arrayBuffer) + Promise.resolve(arrayBuffer), ); // Simulate JobScheduler not being ready for a few frames @@ -412,15 +405,14 @@ describe( let processCallsCount = 0; const jobScheduler = scene.frameState.jobScheduler; const originalJobSchedulerExecute = jobScheduler.execute; - spyOn(JobScheduler.prototype, "execute").and.callFake(function ( - job, - jobType - ) { - if (processCallsCount++ >= processCallsTotal) { - return originalJobSchedulerExecute.call(jobScheduler, job, jobType); - } - return false; - }); + spyOn(JobScheduler.prototype, "execute").and.callFake( + function (job, jobType) { + if (processCallsCount++ >= processCallsTotal) { + return originalJobSchedulerExecute.call(jobScheduler, job, jobType); + } + return false; + }, + ); const vertexBufferLoader = new GltfVertexBufferLoader({ resourceCache: ResourceCache, @@ -439,13 +431,13 @@ describe( expect(vertexBufferLoader.buffer.sizeInBytes).toBe(positions.byteLength); expect(vertexBufferLoader.typedArray).toBeUndefined(); expect(ResourceCache.statistics.geometryByteLength).toBe( - vertexBufferLoader.buffer.sizeInBytes + vertexBufferLoader.buffer.sizeInBytes, ); }); it("loads as typed array", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(arrayBuffer) + Promise.resolve(arrayBuffer), ); spyOn(Buffer, "createVertexBuffer").and.callThrough(); @@ -465,19 +457,19 @@ describe( expect(() => loaderProcess(vertexBufferLoader, scene)).not.toThrowError(); expect(vertexBufferLoader.typedArray.byteLength).toBe( - positions.byteLength + positions.byteLength, ); expect(vertexBufferLoader.buffer).toBeUndefined(); expect(Buffer.createVertexBuffer.calls.count()).toBe(0); expect(ResourceCache.statistics.geometryByteLength).toBe( - vertexBufferLoader.typedArray.byteLength + vertexBufferLoader.typedArray.byteLength, ); }); it("loads as both buffer and typed array", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(arrayBuffer) + Promise.resolve(arrayBuffer), ); // Simulate JobScheduler not being ready for a few frames @@ -485,15 +477,14 @@ describe( let processCallsCount = 0; const jobScheduler = scene.frameState.jobScheduler; const originalJobSchedulerExecute = jobScheduler.execute; - spyOn(JobScheduler.prototype, "execute").and.callFake(function ( - job, - jobType - ) { - if (processCallsCount++ >= processCallsTotal) { - return originalJobSchedulerExecute.call(jobScheduler, job, jobType); - } - return false; - }); + spyOn(JobScheduler.prototype, "execute").and.callFake( + function (job, jobType) { + if (processCallsCount++ >= processCallsTotal) { + return originalJobSchedulerExecute.call(jobScheduler, job, jobType); + } + return false; + }, + ); const vertexBufferLoader = new GltfVertexBufferLoader({ resourceCache: ResourceCache, @@ -512,7 +503,7 @@ describe( expect(() => loaderProcess(vertexBufferLoader, scene)).not.toThrowError(); expect(vertexBufferLoader.buffer.sizeInBytes).toBe(positions.byteLength); expect(vertexBufferLoader.typedArray.byteLength).toBe( - positions.byteLength + positions.byteLength, ); const totalSize = vertexBufferLoader.typedArray.byteLength + @@ -522,7 +513,7 @@ describe( it("creates vertex buffer synchronously", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(arrayBuffer) + Promise.resolve(arrayBuffer), ); const vertexBufferLoader = new GltfVertexBufferLoader({ @@ -544,7 +535,7 @@ describe( it("loads positions from draco", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(arrayBuffer) + Promise.resolve(arrayBuffer), ); // Simulate decodeBufferView not being ready for a few frames @@ -573,31 +564,31 @@ describe( expect(() => loaderProcess(vertexBufferLoader, scene)).not.toThrowError(); expect(vertexBufferLoader.buffer.sizeInBytes).toBe( - decodedPositions.byteLength + decodedPositions.byteLength, ); expect(vertexBufferLoader.typedArray).toBeUndefined(); const quantization = vertexBufferLoader.quantization; expect(quantization.octEncoded).toBe(false); expect(quantization.quantizedVolumeOffset).toEqual( - new Cartesian3(-1.0, -1.0, -1.0) + new Cartesian3(-1.0, -1.0, -1.0), ); expect(quantization.quantizedVolumeDimensions).toEqual( - new Cartesian3(2.0, 2.0, 2.0) + new Cartesian3(2.0, 2.0, 2.0), ); expect(quantization.normalizationRange).toEqual( - new Cartesian3(16383, 16383, 16383) + new Cartesian3(16383, 16383, 16383), ); expect(quantization.componentDatatype).toBe( - ComponentDatatype.UNSIGNED_SHORT + ComponentDatatype.UNSIGNED_SHORT, ); expect(ResourceCache.statistics.geometryByteLength).toBe( - vertexBufferLoader.buffer.sizeInBytes + vertexBufferLoader.buffer.sizeInBytes, ); }); it("loads normals from draco", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(arrayBuffer) + Promise.resolve(arrayBuffer), ); spyOn(DracoLoader, "decodeBufferView").and.callFake(function () { @@ -619,7 +610,7 @@ describe( await waitForLoaderProcess(vertexBufferLoader, scene); expect(vertexBufferLoader.buffer.sizeInBytes).toBe( - decodedNormals.byteLength + decodedNormals.byteLength, ); const quantization = vertexBufferLoader.quantization; @@ -629,23 +620,23 @@ describe( expect(quantization.quantizedVolumeDimensions).toBeUndefined(); expect(quantization.normalizationRange).toBe(1023); expect(quantization.componentDatatype).toBe( - ComponentDatatype.UNSIGNED_BYTE + ComponentDatatype.UNSIGNED_BYTE, ); }); it("destroys vertex buffer loaded from buffer view", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(arrayBuffer) + Promise.resolve(arrayBuffer), ); const unloadBufferView = spyOn( GltfBufferViewLoader.prototype, - "unload" + "unload", ).and.callThrough(); const destroyVertexBuffer = spyOn( Buffer.prototype, - "destroy" + "destroy", ).and.callThrough(); const vertexBufferLoader = new GltfVertexBufferLoader({ @@ -673,21 +664,21 @@ describe( it("destroys vertex buffer loaded from draco", async function () { spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(arrayBuffer) + Promise.resolve(arrayBuffer), ); spyOn(DracoLoader, "decodeBufferView").and.returnValue( - Promise.resolve(decodeDracoResults) + Promise.resolve(decodeDracoResults), ); const unloadDraco = spyOn( GltfDracoLoader.prototype, - "unload" + "unload", ).and.callThrough(); const destroyVertexBuffer = spyOn( Buffer.prototype, - "destroy" + "destroy", ).and.callThrough(); const vertexBufferLoader = new GltfVertexBufferLoader({ @@ -719,7 +710,7 @@ describe( spyOn(Resource.prototype, "fetchArrayBuffer").and.callFake(() => rejectPromise ? Promise.reject(new Error()) - : Promise.resolve(arrayBuffer) + : Promise.resolve(arrayBuffer), ); const vertexBufferLoader = new GltfVertexBufferLoader({ @@ -773,7 +764,7 @@ describe( const decodeBufferView = spyOn( DracoLoader, - "decodeBufferView" + "decodeBufferView", ).and.callFake(function () { return new Promise(function (resolve, reject) { setTimeout(function () { @@ -790,7 +781,7 @@ describe( await vertexBufferLoader.load(); // Destroy happens in mock function above await expectAsync( - waitForLoaderProcess(vertexBufferLoader, scene) + waitForLoaderProcess(vertexBufferLoader, scene), ).toBeResolved(); expect(decodeBufferView).toHaveBeenCalled(); // Make sure the decode actually starts @@ -806,5 +797,5 @@ describe( return resolveDracoAfterDestroy(true); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/GoogleEarthEnterpriseImageryProviderSpec.js b/packages/engine/Specs/Scene/GoogleEarthEnterpriseImageryProviderSpec.js index 6a476a32a0a6..416514c4a68e 100644 --- a/packages/engine/Specs/Scene/GoogleEarthEnterpriseImageryProviderSpec.js +++ b/packages/engine/Specs/Scene/GoogleEarthEnterpriseImageryProviderSpec.js @@ -49,39 +49,39 @@ describe("Scene/GoogleEarthEnterpriseImageryProvider", function () { it("conforms to ImageryProvider interface", function () { expect(GoogleEarthEnterpriseImageryProvider).toConformToInterface( - ImageryProvider + ImageryProvider, ); }); function installMockGetQuadTreePacket() { spyOn( GoogleEarthEnterpriseMetadata.prototype, - "getQuadTreePacket" + "getQuadTreePacket", ).and.callFake(function (quadKey, version) { quadKey = defaultValue(quadKey, ""); this._tileInfo[`${quadKey}0`] = new GoogleEarthEnterpriseTileInformation( 0xff, 1, 1, - 1 + 1, ); this._tileInfo[`${quadKey}1`] = new GoogleEarthEnterpriseTileInformation( 0xff, 1, 1, - 1 + 1, ); this._tileInfo[`${quadKey}2`] = new GoogleEarthEnterpriseTileInformation( 0xff, 1, 1, - 1 + 1, ); this._tileInfo[`${quadKey}3`] = new GoogleEarthEnterpriseTileInformation( 0xff, 1, 1, - 1 + 1, ); return Promise.resolve(); @@ -92,7 +92,7 @@ describe("Scene/GoogleEarthEnterpriseImageryProvider", function () { Resource._Implementations.createImage = function ( request, crossOrigin, - deferred + deferred, ) { let url = request.url; if (/^blob:/.test(url) || supportsImageBitmapOptions) { @@ -103,7 +103,7 @@ describe("Scene/GoogleEarthEnterpriseImageryProvider", function () { deferred, true, false, - true + true, ); } else { if (proxy) { @@ -117,7 +117,7 @@ describe("Scene/GoogleEarthEnterpriseImageryProvider", function () { Resource._DefaultImplementations.createImage( new Request({ url: "Data/Images/Red16x16.png" }), crossOrigin, - deferred + deferred, ); } }; @@ -129,7 +129,7 @@ describe("Scene/GoogleEarthEnterpriseImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { if (defined(expectedUrl) && !/^blob:/.test(url)) { if (proxy) { @@ -147,14 +147,14 @@ describe("Scene/GoogleEarthEnterpriseImageryProvider", function () { method, data, headers, - deferred + deferred, ); }; } it("fromMetadata throws without metadata", function () { expect(() => - GoogleEarthEnterpriseImageryProvider.fromMetadata() + GoogleEarthEnterpriseImageryProvider.fromMetadata(), ).toThrowDeveloperError(""); }); @@ -168,10 +168,10 @@ describe("Scene/GoogleEarthEnterpriseImageryProvider", function () { metadata.imageryPresent = false; expect(() => - GoogleEarthEnterpriseImageryProvider.fromMetadata(metadata) + GoogleEarthEnterpriseImageryProvider.fromMetadata(metadata), ).toThrowError( RuntimeError, - "The server made/up/url/ doesn't have imagery" + "The server made/up/url/ doesn't have imagery", ); }); @@ -180,12 +180,11 @@ describe("Scene/GoogleEarthEnterpriseImageryProvider", function () { const url = "http://fake.fake.invalid"; const metadata = await GoogleEarthEnterpriseMetadata.fromUrl(url); - imageryProvider = GoogleEarthEnterpriseImageryProvider.fromMetadata( - metadata - ); + imageryProvider = + GoogleEarthEnterpriseImageryProvider.fromMetadata(metadata); expect(imageryProvider).toBeInstanceOf( - GoogleEarthEnterpriseImageryProvider + GoogleEarthEnterpriseImageryProvider, ); }); @@ -194,9 +193,8 @@ describe("Scene/GoogleEarthEnterpriseImageryProvider", function () { const url = "http://fake.fake.invalid"; const metadata = await GoogleEarthEnterpriseMetadata.fromUrl(url); - imageryProvider = GoogleEarthEnterpriseImageryProvider.fromMetadata( - metadata - ); + imageryProvider = + GoogleEarthEnterpriseImageryProvider.fromMetadata(metadata); expect(typeof imageryProvider.hasAlphaChannel).toBe("boolean"); expect(imageryProvider.hasAlphaChannel).toBe(false); @@ -207,9 +205,8 @@ describe("Scene/GoogleEarthEnterpriseImageryProvider", function () { const url = "http://fake.fake.invalid/"; const metadata = await GoogleEarthEnterpriseMetadata.fromUrl(url); - imageryProvider = GoogleEarthEnterpriseImageryProvider.fromMetadata( - metadata - ); + imageryProvider = + GoogleEarthEnterpriseImageryProvider.fromMetadata(metadata); expect(imageryProvider.url).toEqual(url); @@ -219,10 +216,10 @@ describe("Scene/GoogleEarthEnterpriseImageryProvider", function () { expect(imageryProvider.tilingScheme).toBeInstanceOf(GeographicTilingScheme); // Defaults to custom tile policy expect(imageryProvider.tileDiscardPolicy).not.toBeInstanceOf( - DiscardMissingTileImagePolicy + DiscardMissingTileImagePolicy, ); expect(imageryProvider.rectangle).toEqual( - new Rectangle(-Math.PI, -Math.PI, Math.PI, Math.PI) + new Rectangle(-Math.PI, -Math.PI, Math.PI, Math.PI), ); expect(imageryProvider.credit).toBeUndefined(); @@ -237,9 +234,8 @@ describe("Scene/GoogleEarthEnterpriseImageryProvider", function () { const url = "http://foo.bar.invalid"; const metadata = await GoogleEarthEnterpriseMetadata.fromUrl(url); - imageryProvider = GoogleEarthEnterpriseImageryProvider.fromMetadata( - metadata - ); + imageryProvider = + GoogleEarthEnterpriseImageryProvider.fromMetadata(metadata); const layer = new ImageryLayer(imageryProvider); let tries = 0; @@ -261,7 +257,7 @@ describe("Scene/GoogleEarthEnterpriseImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { if (tries === 2) { // Succeed after 2 tries @@ -271,7 +267,7 @@ describe("Scene/GoogleEarthEnterpriseImageryProvider", function () { method, data, headers, - deferred + deferred, ); } else { // fail diff --git a/packages/engine/Specs/Scene/GoogleEarthEnterpriseMapsProviderSpec.js b/packages/engine/Specs/Scene/GoogleEarthEnterpriseMapsProviderSpec.js index 43791beaf69d..987fdde44c41 100644 --- a/packages/engine/Specs/Scene/GoogleEarthEnterpriseMapsProviderSpec.js +++ b/packages/engine/Specs/Scene/GoogleEarthEnterpriseMapsProviderSpec.js @@ -28,19 +28,19 @@ describe("Scene/GoogleEarthEnterpriseMapsProvider", function () { it("conforms to ImageryProvider interface", function () { expect(GoogleEarthEnterpriseMapsProvider).toConformToInterface( - ImageryProvider + ImageryProvider, ); }); it("fromUrl throws without url", async function () { await expectAsync( - GoogleEarthEnterpriseMapsProvider.fromUrl(undefined, 1234) + GoogleEarthEnterpriseMapsProvider.fromUrl(undefined, 1234), ).toBeRejectedWithDeveloperError(); }); it("fromUrl throws without channel", async function () { await expectAsync( - GoogleEarthEnterpriseMapsProvider.fromUrl("url", undefined) + GoogleEarthEnterpriseMapsProvider.fromUrl("url", undefined), ).toBeRejectedWithDeveloperError(); }); @@ -56,7 +56,7 @@ describe("Scene/GoogleEarthEnterpriseMapsProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { Resource._DefaultImplementations.loadWithXhr( "Data/GoogleEarthEnterpriseMapsProvider/good.json", @@ -64,7 +64,7 @@ describe("Scene/GoogleEarthEnterpriseMapsProvider", function () { method, data, headers, - deferred + deferred, ); }; @@ -73,7 +73,7 @@ describe("Scene/GoogleEarthEnterpriseMapsProvider", function () { channel, { path: path, - } + }, ); expect(provider).toBeInstanceOf(GoogleEarthEnterpriseMapsProvider); @@ -91,7 +91,7 @@ describe("Scene/GoogleEarthEnterpriseMapsProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { Resource._DefaultImplementations.loadWithXhr( "Data/GoogleEarthEnterpriseMapsProvider/good.json", @@ -99,7 +99,7 @@ describe("Scene/GoogleEarthEnterpriseMapsProvider", function () { method, data, headers, - deferred + deferred, ); }; @@ -110,7 +110,7 @@ describe("Scene/GoogleEarthEnterpriseMapsProvider", function () { const provider = await GoogleEarthEnterpriseMapsProvider.fromUrl( resource, channel, - { path: path } + { path: path }, ); expect(provider).toBeInstanceOf(GoogleEarthEnterpriseMapsProvider); @@ -119,10 +119,10 @@ describe("Scene/GoogleEarthEnterpriseMapsProvider", function () { it("fromUrl throws with invalid url", async function () { const url = "http://invalid.localhost"; await expectAsync( - GoogleEarthEnterpriseMapsProvider.fromUrl(url, 1234) + GoogleEarthEnterpriseMapsProvider.fromUrl(url, 1234), ).toBeRejectedWithError( RuntimeError, - new RegExp("An error occurred while accessing") + new RegExp("An error occurred while accessing"), ); }); @@ -134,7 +134,7 @@ describe("Scene/GoogleEarthEnterpriseMapsProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { Resource._DefaultImplementations.loadWithXhr( "Data/GoogleEarthEnterpriseMapsProvider/bad_channel.json", @@ -142,16 +142,16 @@ describe("Scene/GoogleEarthEnterpriseMapsProvider", function () { method, data, headers, - deferred + deferred, ); }; const url = "http://invalid.localhost"; await expectAsync( - GoogleEarthEnterpriseMapsProvider.fromUrl(url, 1235) + GoogleEarthEnterpriseMapsProvider.fromUrl(url, 1235), ).toBeRejectedWithError( RuntimeError, - new RegExp("Could not find layer with channel \\(id\\) of 1235") + new RegExp("Could not find layer with channel \\(id\\) of 1235"), ); }); @@ -163,7 +163,7 @@ describe("Scene/GoogleEarthEnterpriseMapsProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { Resource._DefaultImplementations.loadWithXhr( "Data/GoogleEarthEnterpriseMapsProvider/bad_version.json", @@ -171,16 +171,16 @@ describe("Scene/GoogleEarthEnterpriseMapsProvider", function () { method, data, headers, - deferred + deferred, ); }; const url = "http://invalid.localhost"; await expectAsync( - GoogleEarthEnterpriseMapsProvider.fromUrl(url, 1234) + GoogleEarthEnterpriseMapsProvider.fromUrl(url, 1234), ).toBeRejectedWithError( RuntimeError, - new RegExp("Could not find a version in channel \\(id\\) 1234") + new RegExp("Could not find a version in channel \\(id\\) 1234"), ); }); @@ -192,7 +192,7 @@ describe("Scene/GoogleEarthEnterpriseMapsProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { Resource._DefaultImplementations.loadWithXhr( "Data/GoogleEarthEnterpriseMapsProvider/bad_projection.json", @@ -200,16 +200,16 @@ describe("Scene/GoogleEarthEnterpriseMapsProvider", function () { method, data, headers, - deferred + deferred, ); }; const url = "http://invalid.localhost"; await expectAsync( - GoogleEarthEnterpriseMapsProvider.fromUrl(url, 1234) + GoogleEarthEnterpriseMapsProvider.fromUrl(url, 1234), ).toBeRejectedWithError( RuntimeError, - new RegExp("Unsupported projection asdf") + new RegExp("Unsupported projection asdf"), ); }); @@ -225,7 +225,7 @@ describe("Scene/GoogleEarthEnterpriseMapsProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { Resource._DefaultImplementations.loadWithXhr( "Data/GoogleEarthEnterpriseMapsProvider/good.json", @@ -233,7 +233,7 @@ describe("Scene/GoogleEarthEnterpriseMapsProvider", function () { method, data, headers, - deferred + deferred, ); }; @@ -242,7 +242,7 @@ describe("Scene/GoogleEarthEnterpriseMapsProvider", function () { channel, { path: path, - } + }, ); expect(typeof provider.hasAlphaChannel).toBe("boolean"); @@ -261,7 +261,7 @@ describe("Scene/GoogleEarthEnterpriseMapsProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { Resource._DefaultImplementations.loadWithXhr( "Data/GoogleEarthEnterpriseMapsProvider/good.json", @@ -269,7 +269,7 @@ describe("Scene/GoogleEarthEnterpriseMapsProvider", function () { method, data, headers, - deferred + deferred, ); }; @@ -278,7 +278,7 @@ describe("Scene/GoogleEarthEnterpriseMapsProvider", function () { channel, { path: path, - } + }, ); expect(provider.url).toEqual(url); @@ -297,7 +297,7 @@ describe("Scene/GoogleEarthEnterpriseMapsProvider", function () { Resource._Implementations.createImage = function ( request, crossOrigin, - deferred + deferred, ) { const url = request.url; if (/^blob:/.test(url) || supportsImageBitmapOptions) { @@ -308,18 +308,18 @@ describe("Scene/GoogleEarthEnterpriseMapsProvider", function () { deferred, true, false, - true + true, ); } else { expect(url).toEqual( - "http://example.invalid/query?request=ImageryMaps&channel=1234&version=1&x=0&y=0&z=1" + "http://example.invalid/query?request=ImageryMaps&channel=1234&version=1&x=0&y=0&z=1", ); // Just return any old image. Resource._DefaultImplementations.createImage( new Request({ url: "Data/Images/Red16x16.png" }), crossOrigin, - deferred + deferred, ); } }; @@ -331,10 +331,10 @@ describe("Scene/GoogleEarthEnterpriseMapsProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { expect(url).toEqual( - "http://example.invalid/query?request=ImageryMaps&channel=1234&version=1&x=0&y=0&z=1" + "http://example.invalid/query?request=ImageryMaps&channel=1234&version=1&x=0&y=0&z=1", ); // Just return any old image. @@ -344,7 +344,7 @@ describe("Scene/GoogleEarthEnterpriseMapsProvider", function () { method, data, headers, - deferred + deferred, ); }; @@ -366,7 +366,7 @@ describe("Scene/GoogleEarthEnterpriseMapsProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { return deferred.resolve( "{\n" + @@ -392,13 +392,13 @@ describe("Scene/GoogleEarthEnterpriseMapsProvider", function () { "],\n" + 'serverUrl: "https://example.invalid",\n' + "useGoogleLayers: false\n" + - "}" + "}", ); }; const provider = await GoogleEarthEnterpriseMapsProvider.fromUrl( url, - channel + channel, ); expect(provider.url).toEqual(url); @@ -415,7 +415,7 @@ describe("Scene/GoogleEarthEnterpriseMapsProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { return deferred.resolve( JSON.stringify({ @@ -432,13 +432,13 @@ describe("Scene/GoogleEarthEnterpriseMapsProvider", function () { ], serverUrl: "https://example.invalid", useGoogleLayers: false, - }) + }), ); }; const provider = await GoogleEarthEnterpriseMapsProvider.fromUrl( "http://example.invalid", - 1234 + 1234, ); expect(provider.tilingScheme).toBeInstanceOf(WebMercatorTilingScheme); @@ -453,7 +453,7 @@ describe("Scene/GoogleEarthEnterpriseMapsProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { return deferred.resolve( JSON.stringify({ @@ -471,13 +471,13 @@ describe("Scene/GoogleEarthEnterpriseMapsProvider", function () { projection: "mercator", serverUrl: "https://example.invalid", useGoogleLayers: false, - }) + }), ); }; const provider = await GoogleEarthEnterpriseMapsProvider.fromUrl( "http://example.invalid", - 1234 + 1234, ); expect(provider.tilingScheme).toBeInstanceOf(WebMercatorTilingScheme); @@ -492,7 +492,7 @@ describe("Scene/GoogleEarthEnterpriseMapsProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { return deferred.resolve( JSON.stringify({ @@ -510,18 +510,18 @@ describe("Scene/GoogleEarthEnterpriseMapsProvider", function () { projection: "flat", serverUrl: "https://example.invalid", useGoogleLayers: false, - }) + }), ); }; const provider = await GoogleEarthEnterpriseMapsProvider.fromUrl( "http://example.invalid", - 1234 + 1234, ); expect(provider.tilingScheme).toBeInstanceOf(GeographicTilingScheme); expect(provider.rectangle).toEqual( - new Rectangle(-Math.PI, -Math.PI, Math.PI, Math.PI) + new Rectangle(-Math.PI, -Math.PI, Math.PI, Math.PI), ); }); }); diff --git a/packages/engine/Specs/Scene/GridImageryProviderSpec.js b/packages/engine/Specs/Scene/GridImageryProviderSpec.js index c2236aea20ac..745249679cd3 100644 --- a/packages/engine/Specs/Scene/GridImageryProviderSpec.js +++ b/packages/engine/Specs/Scene/GridImageryProviderSpec.js @@ -33,11 +33,11 @@ describe("Scene/GridImageryProvider", function () { expect(provider.tileDiscardPolicy).toBeUndefined(); expect(provider.rectangle).toEqual(new GeographicTilingScheme().rectangle); - return Promise.resolve(provider.requestImage(0, 0, 0)).then(function ( - image - ) { - expect(image).toBeDefined(); - }); + return Promise.resolve(provider.requestImage(0, 0, 0)).then( + function (image) { + expect(image).toBeDefined(); + }, + ); }); it("uses alternate tiling scheme if provided", function () { diff --git a/packages/engine/Specs/Scene/GroundPolylinePrimitiveSpec.js b/packages/engine/Specs/Scene/GroundPolylinePrimitiveSpec.js index e7e7347483e8..8d71a98f6bb9 100644 --- a/packages/engine/Specs/Scene/GroundPolylinePrimitiveSpec.js +++ b/packages/engine/Specs/Scene/GroundPolylinePrimitiveSpec.js @@ -105,9 +105,8 @@ describe( scene.camera.frustum.near = 0.1; scene.camera.frustum.far = 10000000000.0; - const depthpolylineColorAttribute = ColorGeometryInstanceAttribute.fromColor( - new Color(0.0, 0.0, 1.0, 1.0) - ); + const depthpolylineColorAttribute = + ColorGeometryInstanceAttribute.fromColor(new Color(0.0, 0.0, 1.0, 1.0)); depthColor = depthpolylineColorAttribute.value; const primitive = new Primitive({ geometryInstances: new GeometryInstance({ @@ -131,7 +130,7 @@ describe( depthRectanglePrimitive = new MockGlobePrimitive(primitive); polylineColorAttribute = ColorGeometryInstanceAttribute.fromColor( - new Color(0.0, 1.0, 1.0, 1.0) + new Color(0.0, 1.0, 1.0, 1.0), ); polylineColor = polylineColorAttribute.value; groundPolylineInstance = new GeometryInstance({ @@ -165,7 +164,7 @@ describe( groundPolylinePrimitive = new GroundPolylinePrimitive(); expect(groundPolylinePrimitive.geometryInstances).not.toBeDefined(); expect(groundPolylinePrimitive.appearance).toBeInstanceOf( - PolylineMaterialAppearance + PolylineMaterialAppearance, ); expect(groundPolylinePrimitive.show).toEqual(true); expect(groundPolylinePrimitive.interleave).toEqual(false); @@ -191,7 +190,7 @@ describe( }); expect(groundPolylinePrimitive.geometryInstances).toEqual( - geometryInstances + geometryInstances, ); expect(groundPolylinePrimitive.show).toEqual(false); expect(groundPolylinePrimitive.interleave).toEqual(true); @@ -307,7 +306,7 @@ describe( groundPolylinePrimitive = scene.groundPrimitives.add( new GroundPolylinePrimitive({ geometryInstances: groundPolylineInstance, - }) + }), ); groundPolylinePrimitive.show = false; @@ -356,7 +355,7 @@ describe( function verifyGroundPolylinePrimitiveRender( lookPosition, primitive, - color + color, ) { scene.camera.lookAt(lookPosition, Cartesian3.UNIT_Z); @@ -388,7 +387,7 @@ describe( verifyGroundPolylinePrimitiveRender( lookPosition, groundPolylinePrimitive, - polylineColor + polylineColor, ); }); @@ -407,7 +406,7 @@ describe( verifyGroundPolylinePrimitiveRender( lookPosition, groundPolylinePrimitive, - polylineColor + polylineColor, ); }); @@ -426,7 +425,7 @@ describe( verifyGroundPolylinePrimitiveRender( lookPosition, groundPolylinePrimitive, - polylineColor + polylineColor, ); }); @@ -488,7 +487,7 @@ describe( id: "polyline on terrain", attributes: { color: ColorGeometryInstanceAttribute.fromColor( - new Color(1.0, 1.0, 1.0, 0.5) + new Color(1.0, 1.0, 1.0, 0.5), ), }, }); @@ -504,7 +503,7 @@ describe( id: "polyline on terrain", attributes: { color: ColorGeometryInstanceAttribute.fromColor( - new Color(1.0, 1.0, 1.0, 0.5) + new Color(1.0, 1.0, 1.0, 0.5), ), }, }); @@ -518,7 +517,7 @@ describe( verifyGroundPolylinePrimitiveRender( lookPosition, groundPolylinePrimitive, - [192, 192, 255, 255] + [192, 192, 255, 255], ); }); @@ -582,11 +581,11 @@ describe( verifyGroundPolylinePrimitiveRender( lookPosition, groundPolylinePrimitive, - polylineColor + polylineColor, ); const attributes = groundPolylinePrimitive.getGeometryInstanceAttributes( - "polyline on terrain" + "polyline on terrain", ); expect(attributes.color).toBeDefined(); }); @@ -605,7 +604,7 @@ describe( verifyGroundPolylinePrimitiveRender( lookPosition, groundPolylinePrimitive, - polylineColor + polylineColor, ); // Remove so it can be re-added, but don't destroy. @@ -615,7 +614,7 @@ describe( const newColor = [255, 255, 255, 255]; const attributes = groundPolylinePrimitive.getGeometryInstanceAttributes( - "polyline on terrain" + "polyline on terrain", ); expect(attributes.color).toBeDefined(); attributes.color = newColor; @@ -623,7 +622,7 @@ describe( verifyGroundPolylinePrimitiveRender( lookPosition, groundPolylinePrimitive, - newColor + newColor, ); }); @@ -641,7 +640,7 @@ describe( verifyGroundPolylinePrimitiveRender( lookPositionOffset, groundPolylinePrimitive, - polylineColor + polylineColor, ); scene.groundPrimitives.destroyPrimitives = false; @@ -649,7 +648,7 @@ describe( scene.groundPrimitives.destroyPrimitives = true; const attributes = groundPolylinePrimitive.getGeometryInstanceAttributes( - "polyline on terrain" + "polyline on terrain", ); expect(attributes.width).toBeDefined(); attributes.width = [0]; @@ -657,7 +656,7 @@ describe( verifyGroundPolylinePrimitiveRender( lookPositionOffset, groundPolylinePrimitive, - depthColor + depthColor, ); }); @@ -666,9 +665,8 @@ describe( return; } - groundPolylineInstance.attributes.show = new ShowGeometryInstanceAttribute( - true - ); + groundPolylineInstance.attributes.show = + new ShowGeometryInstanceAttribute(true); groundPolylinePrimitive = new GroundPolylinePrimitive({ geometryInstances: groundPolylineInstance, @@ -679,7 +677,7 @@ describe( verifyGroundPolylinePrimitiveRender( lookPosition, groundPolylinePrimitive, - polylineColor + polylineColor, ); scene.groundPrimitives.destroyPrimitives = false; @@ -687,7 +685,7 @@ describe( scene.groundPrimitives.destroyPrimitives = true; const attributes = groundPolylinePrimitive.getGeometryInstanceAttributes( - "polyline on terrain" + "polyline on terrain", ); expect(attributes.show).toBeDefined(); attributes.show = [0]; @@ -695,7 +693,7 @@ describe( verifyGroundPolylinePrimitiveRender( lookPosition, groundPolylinePrimitive, - depthColor + depthColor, ); }); @@ -721,10 +719,8 @@ describe( }), id: "polyline on terrain", attributes: { - distanceDisplayCondition: new DistanceDisplayConditionGeometryInstanceAttribute( - near, - far - ), + distanceDisplayCondition: + new DistanceDisplayConditionGeometryInstanceAttribute(near, far), color: polylineColorAttribute, }, }); @@ -739,15 +735,16 @@ describe( scene.camera.lookAt(lookPosition, Cartesian3.UNIT_Z); scene.renderForSpecs(); - const boundingSphere = groundPolylinePrimitive.getGeometryInstanceAttributes( - "polyline on terrain" - ).boundingSphere; + const boundingSphere = + groundPolylinePrimitive.getGeometryInstanceAttributes( + "polyline on terrain", + ).boundingSphere; const center = boundingSphere.center; const radius = boundingSphere.radius; scene.camera.lookAt( center, - new HeadingPitchRange(0.0, -CesiumMath.PI_OVER_TWO, radius) + new HeadingPitchRange(0.0, -CesiumMath.PI_OVER_TWO, radius), ); expect(scene).toRenderAndCall(function (rgba) { expect(coordinateOfPixelColor(rgba, depthColor)).toBeDefined(); @@ -755,7 +752,11 @@ describe( scene.camera.lookAt( center, - new HeadingPitchRange(0.0, -CesiumMath.PI_OVER_TWO, radius + near + 1.0) + new HeadingPitchRange( + 0.0, + -CesiumMath.PI_OVER_TWO, + radius + near + 1.0, + ), ); expect(scene).toRenderAndCall(function (rgba) { expect(coordinateOfPixelColor(rgba, depthColor)).toBeUndefined(); @@ -763,7 +764,7 @@ describe( scene.camera.lookAt( center, - new HeadingPitchRange(0.0, -CesiumMath.PI_OVER_TWO, radius + far + 1.0) + new HeadingPitchRange(0.0, -CesiumMath.PI_OVER_TWO, radius + far + 1.0), ); expect(scene).toRenderAndCall(function (rgba) { expect(coordinateOfPixelColor(rgba, depthColor)).toBeDefined(); @@ -775,9 +776,8 @@ describe( return; } - groundPolylineInstance.attributes.show = new ShowGeometryInstanceAttribute( - true - ); + groundPolylineInstance.attributes.show = + new ShowGeometryInstanceAttribute(true); groundPolylinePrimitive = new GroundPolylinePrimitive({ geometryInstances: groundPolylineInstance, @@ -788,14 +788,14 @@ describe( verifyGroundPolylinePrimitiveRender( lookPosition, groundPolylinePrimitive, - polylineColor + polylineColor, ); const attributes = groundPolylinePrimitive.getGeometryInstanceAttributes( - "polyline on terrain" + "polyline on terrain", ); const attributes2 = groundPolylinePrimitive.getGeometryInstanceAttributes( - "polyline on terrain" + "polyline on terrain", ); expect(attributes).toBe(attributes2); }); @@ -814,7 +814,7 @@ describe( const polylineColorCoordinate = verifyGroundPolylinePrimitiveRender( lookPosition, groundPolylinePrimitive, - polylineColor + polylineColor, ); expect(scene).toPickAndCall(function (result) { @@ -837,7 +837,7 @@ describe( const polylineColorCoordinate = verifyGroundPolylinePrimitiveRender( lookPosition, groundPolylinePrimitive, - polylineColor + polylineColor, ); expect(scene).toPickAndCall(function (result) { @@ -860,7 +860,7 @@ describe( const polylineColorCoordinate = verifyGroundPolylinePrimitiveRender( lookPosition, groundPolylinePrimitive, - polylineColor + polylineColor, ); scene.camera.lookAt(lookPosition, Cartesian3.UNIT_Z); @@ -933,7 +933,7 @@ describe( verifyGroundPolylinePrimitiveRender( lookPosition, groundPolylinePrimitive, - polylineColor + polylineColor, ); expect(scene).toPickAndCall(function (result) { @@ -959,7 +959,7 @@ describe( verifyGroundPolylinePrimitiveRender( lookPosition, groundPolylinePrimitive, - polylineColor + polylineColor, ); }).toThrowDeveloperError(); }); @@ -978,11 +978,11 @@ describe( verifyGroundPolylinePrimitiveRender( lookPosition, groundPolylinePrimitive, - polylineColor + polylineColor, ); const attributes = groundPolylinePrimitive.getGeometryInstanceAttributes( - "polyline on terrain" + "polyline on terrain", ); expect(function () { @@ -1008,9 +1008,10 @@ describe( scene.renderForSpecs(); return groundPolylinePrimitive.ready; }).then(function () { - const attributes = groundPolylinePrimitive.getGeometryInstanceAttributes( - "polyline on terrain" - ); + const attributes = + groundPolylinePrimitive.getGeometryInstanceAttributes( + "polyline on terrain", + ); expect(function () { attributes.color = undefined; }).toThrowDeveloperError(); @@ -1031,7 +1032,7 @@ describe( verifyGroundPolylinePrimitiveRender( lookPosition, groundPolylinePrimitive, - polylineColor + polylineColor, ); expect(function () { @@ -1087,7 +1088,7 @@ describe( verifyGroundPolylinePrimitiveRender( lookPosition, groundPolylinePrimitive, - polylineColor + polylineColor, ); }); }); @@ -1140,5 +1141,5 @@ describe( ApproximateTerrainHeights._terrainHeights = terrainHeights; }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/GroundPrimitiveSpec.js b/packages/engine/Specs/Scene/GroundPrimitiveSpec.js index 1fffa328d6fb..1c77f26b5245 100644 --- a/packages/engine/Specs/Scene/GroundPrimitiveSpec.js +++ b/packages/engine/Specs/Scene/GroundPrimitiveSpec.js @@ -59,7 +59,7 @@ describe( }); } const depthColorAttribute = ColorGeometryInstanceAttribute.fromColor( - new Color(0.0, 0.0, 1.0, 1.0) + new Color(0.0, 0.0, 1.0, 1.0), ); depthColor = depthColorAttribute.value; return new Primitive({ @@ -124,12 +124,12 @@ describe( -180 + CesiumMath.EPSILON4, -90 + CesiumMath.EPSILON4, 180 - CesiumMath.EPSILON4, - 90 - CesiumMath.EPSILON4 + 90 - CesiumMath.EPSILON4, ); reusableGlobePrimitive = createPrimitive(bigRectangle, Pass.GLOBE); reusableTilesetPrimitive = createPrimitive( bigRectangle, - Pass.CESIUM_3D_TILE + Pass.CESIUM_3D_TILE, ); return GroundPrimitive.initializeTerrainHeights(); @@ -153,11 +153,11 @@ describe( globePrimitive = new MockPrimitive(reusableGlobePrimitive, Pass.GLOBE); tilesetPrimitive = new MockPrimitive( reusableTilesetPrimitive, - Pass.CESIUM_3D_TILE + Pass.CESIUM_3D_TILE, ); const rectColorAttribute = ColorGeometryInstanceAttribute.fromColor( - new Color(1.0, 1.0, 0.0, 1.0) + new Color(1.0, 1.0, 0.0, 1.0), ); rectColor = rectColorAttribute.value; rectangleInstance = new GeometryInstance({ @@ -335,7 +335,7 @@ describe( geometryInstances: rectangleInstance, asynchronous: false, show: false, - }) + }), ); await pollToPromise(() => { @@ -434,7 +434,7 @@ describe( } const rectColorAttribute = ColorGeometryInstanceAttribute.fromColor( - new Color(1.0, 1.0, 0.0, 1.0) + new Color(1.0, 1.0, 0.0, 1.0), ); const bigIdlRectangle = Rectangle.fromDegrees(176.0, 30.0, -176.0, 34.0); const bigIdlRectangleInstance = new GeometryInstance({ @@ -471,13 +471,13 @@ describe( } const rectColorAttribute = ColorGeometryInstanceAttribute.fromColor( - new Color(1.0, 1.0, 0.0, 1.0) + new Color(1.0, 1.0, 0.0, 1.0), ); const smallIdlRectangle = Rectangle.fromDegrees( 179.6, 30.0, -179.6, - 30.9 + 30.9, ); const smallIdlRectangleInstance = new GeometryInstance({ geometry: new RectangleGeometry({ @@ -549,15 +549,15 @@ describe( -180 + CesiumMath.EPSILON4, -90 + CesiumMath.EPSILON4, 180 - CesiumMath.EPSILON4, - 90 - CesiumMath.EPSILON4 + 90 - CesiumMath.EPSILON4, ); largeSceneReusableGlobePrimitive = createPrimitive( bigRectangle, - Pass.GLOBE + Pass.GLOBE, ); largeSceneReusableTilesetPrimitive = createPrimitive( bigRectangle, - Pass.CESIUM_3D_TILE + Pass.CESIUM_3D_TILE, ); }); afterAll(function () { @@ -578,11 +578,11 @@ describe( const largeSceneGlobePrimitive = new MockPrimitive( largeSceneReusableGlobePrimitive, - Pass.GLOBE + Pass.GLOBE, ); const largeSceneTilesetPrimitive = new MockPrimitive( largeSceneReusableTilesetPrimitive, - Pass.CESIUM_3D_TILE + Pass.CESIUM_3D_TILE, ); largeScene.primitives.add(largeSceneGlobePrimitive); @@ -626,7 +626,7 @@ describe( } const rectColorAttribute = ColorGeometryInstanceAttribute.fromColor( - new Color(0.0, 1.0, 1.0, 1.0) + new Color(0.0, 1.0, 1.0, 1.0), ); const rectangleInstance1 = new GeometryInstance({ geometry: new RectangleGeometry({ @@ -635,7 +635,7 @@ describe( rectangle.west, rectangle.south, rectangle.east, - (rectangle.north + rectangle.south) * 0.5 + (rectangle.north + rectangle.south) * 0.5, ), }), id: "rectangle1", @@ -650,7 +650,7 @@ describe( rectangle.west, (rectangle.north + rectangle.south) * 0.5, rectangle.east, - rectangle.north + rectangle.north, ), }), id: "rectangle2", @@ -685,7 +685,7 @@ describe( west, south, west + radians, - south + radians + south + radians, ); const smallRectanglePrimitive = new GroundPrimitive({ geometryInstances: new GeometryInstance({ @@ -706,7 +706,7 @@ describe( verifyLargerScene( smallRectanglePrimitive, [255, 255, 255, 255], - smallRectangle + smallRectangle, ); }); @@ -728,7 +728,7 @@ describe( west, south, west + radians, - south + radians + south + radians, ); const largeRectanglePrimitive = new GroundPrimitive({ geometryInstances: new GeometryInstance({ @@ -749,7 +749,7 @@ describe( verifyLargerScene( largeRectanglePrimitive, [255, 255, 255, 255], - largeRectangle + largeRectangle, ); }); @@ -784,7 +784,7 @@ describe( verifyLargerScene( largeRectanglePrimitive, [255, 255, 255, 255], - largeRectangle + largeRectangle, ); }); }); @@ -798,7 +798,7 @@ describe( scene.invertClassificationColor = new Color(0.25, 0.25, 0.25, 1.0); rectangleInstance.attributes.show = new ShowGeometryInstanceAttribute( - true + true, ); primitive = new GroundPrimitive({ @@ -810,13 +810,14 @@ describe( const invertedColor = new Array(4); invertedColor[0] = Color.floatToByte( - Color.byteToFloat(depthColor[0]) * scene.invertClassificationColor.red + Color.byteToFloat(depthColor[0]) * scene.invertClassificationColor.red, ); invertedColor[1] = Color.floatToByte( - Color.byteToFloat(depthColor[1]) * scene.invertClassificationColor.green + Color.byteToFloat(depthColor[1]) * + scene.invertClassificationColor.green, ); invertedColor[2] = Color.floatToByte( - Color.byteToFloat(depthColor[2]) * scene.invertClassificationColor.blue + Color.byteToFloat(depthColor[2]) * scene.invertClassificationColor.blue, ); invertedColor[3] = 255; @@ -845,7 +846,7 @@ describe( scene.invertClassificationColor = new Color(0.25, 0.25, 0.25, 0.25); rectangleInstance.attributes.show = new ShowGeometryInstanceAttribute( - true + true, ); primitive = new GroundPrimitive({ @@ -859,17 +860,17 @@ describe( invertedColor[0] = Color.floatToByte( Color.byteToFloat(depthColor[0]) * scene.invertClassificationColor.red * - scene.invertClassificationColor.alpha + scene.invertClassificationColor.alpha, ); invertedColor[1] = Color.floatToByte( Color.byteToFloat(depthColor[1]) * scene.invertClassificationColor.green * - scene.invertClassificationColor.alpha + scene.invertClassificationColor.alpha, ); invertedColor[2] = Color.floatToByte( Color.byteToFloat(depthColor[2]) * scene.invertClassificationColor.blue * - scene.invertClassificationColor.alpha + scene.invertClassificationColor.alpha, ); invertedColor[3] = 255; @@ -977,7 +978,7 @@ describe( } rectangleInstance.attributes.show = new ShowGeometryInstanceAttribute( - true + true, ); primitive = new GroundPrimitive({ @@ -1016,7 +1017,7 @@ describe( const rect = Rectangle.fromDegrees(-1.0, -1.0, 1.0, 1.0); const rectColorAttribute = ColorGeometryInstanceAttribute.fromColor( - new Color(1.0, 1.0, 0.0, 1.0) + new Color(1.0, 1.0, 0.0, 1.0), ); const rectInstance = new GeometryInstance({ geometry: new RectangleGeometry({ @@ -1026,10 +1027,8 @@ describe( id: "rect", attributes: { color: rectColorAttribute, - distanceDisplayCondition: new DistanceDisplayConditionGeometryInstanceAttribute( - near, - far - ), + distanceDisplayCondition: + new DistanceDisplayConditionGeometryInstanceAttribute(near, far), }, }); @@ -1043,26 +1042,30 @@ describe( scene.camera.setView({ destination: rect }); scene.renderForSpecs(); - const boundingSphere = primitive.getGeometryInstanceAttributes("rect") - .boundingSphere; + const boundingSphere = + primitive.getGeometryInstanceAttributes("rect").boundingSphere; const center = boundingSphere.center; const radius = boundingSphere.radius; scene.camera.lookAt( center, - new HeadingPitchRange(0.0, -CesiumMath.PI_OVER_TWO, radius) + new HeadingPitchRange(0.0, -CesiumMath.PI_OVER_TWO, radius), ); expect(scene).toRender([0, 0, 255, 255]); scene.camera.lookAt( center, - new HeadingPitchRange(0.0, -CesiumMath.PI_OVER_TWO, radius + near + 1.0) + new HeadingPitchRange( + 0.0, + -CesiumMath.PI_OVER_TWO, + radius + near + 1.0, + ), ); expect(scene).notToRender([0, 0, 255, 255]); scene.camera.lookAt( center, - new HeadingPitchRange(0.0, -CesiumMath.PI_OVER_TWO, radius + far + 1.0) + new HeadingPitchRange(0.0, -CesiumMath.PI_OVER_TWO, radius + far + 1.0), ); expect(scene).toRender([0, 0, 255, 255]); }); @@ -1254,7 +1257,7 @@ describe( }); let rectColorAttribute = ColorGeometryInstanceAttribute.fromColor( - new Color(0.0, 1.0, 1.0, 1.0) + new Color(0.0, 1.0, 1.0, 1.0), ); const rectangleInstance1 = new GeometryInstance({ geometry: new RectangleGeometry({ @@ -1263,7 +1266,7 @@ describe( rectangle.west, rectangle.south, rectangle.east, - (rectangle.north + rectangle.south) * 0.5 + (rectangle.north + rectangle.south) * 0.5, ), }), id: "rectangle1", @@ -1272,7 +1275,7 @@ describe( }, }); rectColorAttribute = ColorGeometryInstanceAttribute.fromColor( - new Color(1.0, 1.0, 0.0, 1.0) + new Color(1.0, 1.0, 0.0, 1.0), ); const rectangleInstance2 = new GeometryInstance({ geometry: new RectangleGeometry({ @@ -1281,7 +1284,7 @@ describe( rectangle.west, (rectangle.north + rectangle.south) * 0.5, rectangle.east, - rectangle.north + rectangle.north, ), }), id: "rectangle2", @@ -1306,7 +1309,7 @@ describe( } const rectColorAttribute = ColorGeometryInstanceAttribute.fromColor( - new Color(0.0, 1.0, 1.0, 1.0) + new Color(0.0, 1.0, 1.0, 1.0), ); const rectangleInstance1 = new GeometryInstance({ geometry: new RectangleGeometry({ @@ -1315,7 +1318,7 @@ describe( rectangle.west, rectangle.south, rectangle.east, - (rectangle.north + rectangle.south) * 0.5 + (rectangle.north + rectangle.south) * 0.5, ), }), id: "rectangle1", @@ -1330,7 +1333,7 @@ describe( rectangle.west, (rectangle.north + rectangle.south) * 0.5, rectangle.east, - rectangle.north + rectangle.north, ), }), id: "rectangle2", @@ -1430,7 +1433,7 @@ describe( verifyGroundPrimitiveRender(primitive, rectColor); expect( - primitive.getGeometryInstanceAttributes("unknown") + primitive.getGeometryInstanceAttributes("unknown"), ).not.toBeDefined(); }); @@ -1511,5 +1514,5 @@ describe( ApproximateTerrainHeights._terrainHeights = terrainHeights; }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/GroupMetadataSpec.js b/packages/engine/Specs/Scene/GroupMetadataSpec.js index c5f3cd9cfcc7..883a28517d29 100644 --- a/packages/engine/Specs/Scene/GroupMetadataSpec.js +++ b/packages/engine/Specs/Scene/GroupMetadataSpec.js @@ -58,7 +58,7 @@ describe("Scene/GroupMetadata", function () { expect(groupMetadata.extras).toBe(extras); expect(groupMetadata.extensions).toBe(extensions); expect(groupMetadata.getProperty("position")).toEqual( - Cartesian3.unpack(properties.position) + Cartesian3.unpack(properties.position), ); }); diff --git a/packages/engine/Specs/Scene/HeightmapTessellatorSpec.js b/packages/engine/Specs/Scene/HeightmapTessellatorSpec.js index 06835f4d42a9..b773fa271203 100644 --- a/packages/engine/Specs/Scene/HeightmapTessellatorSpec.js +++ b/packages/engine/Specs/Scene/HeightmapTessellatorSpec.js @@ -102,18 +102,18 @@ describe("Scene/HeightmapTessellator", function () { vertices, heightmap, ellipsoid, - skirtHeight + skirtHeight, ) { let latitude = CesiumMath.lerp( nativeRectangle.north, nativeRectangle.south, - j / (height - 1) + j / (height - 1), ); latitude = CesiumMath.toRadians(latitude); let longitude = CesiumMath.lerp( nativeRectangle.west, nativeRectangle.east, - i / (width - 1) + i / (width - 1), ); longitude = CesiumMath.toRadians(longitude); @@ -133,18 +133,18 @@ describe("Scene/HeightmapTessellator", function () { const vertexPosition = new Cartesian3( vertices[index], vertices[index + 1], - vertices[index + 2] + vertices[index + 2], ); expect(vertexPosition).toEqualEpsilon(expectedVertexPosition, 1.0); expect(vertices[index + 3]).toEqual(heightSample); expect(vertices[index + 4]).toEqualEpsilon( i / (width - 1), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(vertices[index + 5]).toEqualEpsilon( 1.0 - j / (height - 1), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); } @@ -160,18 +160,18 @@ describe("Scene/HeightmapTessellator", function () { heightmap, ellipsoid, skirtHeight, - encoding + encoding, ) { let latitude = CesiumMath.lerp( nativeRectangle.north, nativeRectangle.south, - j / (height - 1) + j / (height - 1), ); latitude = CesiumMath.toRadians(latitude); let longitude = CesiumMath.lerp( nativeRectangle.west, nativeRectangle.east, - i / (width - 1) + i / (width - 1), ); longitude = CesiumMath.toRadians(longitude); @@ -189,7 +189,7 @@ describe("Scene/HeightmapTessellator", function () { expect(encoding.decodePosition(vertices, index)).toEqualEpsilon( expectedVertexPosition, - 1.0 + 1.0, ); } @@ -211,7 +211,7 @@ describe("Scene/HeightmapTessellator", function () { CesiumMath.toRadians(10.0), CesiumMath.toRadians(30.0), CesiumMath.toRadians(20.0), - CesiumMath.toRadians(40.0) + CesiumMath.toRadians(40.0), ), }; const results = HeightmapTessellator.computeVertices(options); @@ -235,7 +235,7 @@ describe("Scene/HeightmapTessellator", function () { vertices, options.heightmap, ellipsoid, - options.skirtHeight + options.skirtHeight, ); } } @@ -278,7 +278,7 @@ describe("Scene/HeightmapTessellator", function () { vertices, options.heightmap, ellipsoid, - options.skirtHeight + options.skirtHeight, ); } } @@ -298,7 +298,7 @@ describe("Scene/HeightmapTessellator", function () { vertices, options.heightmap, ellipsoid, - options.skirtHeight + options.skirtHeight, ); } @@ -315,7 +315,7 @@ describe("Scene/HeightmapTessellator", function () { vertices, options.heightmap, ellipsoid, - options.skirtHeight + options.skirtHeight, ); } @@ -332,7 +332,7 @@ describe("Scene/HeightmapTessellator", function () { vertices, options.heightmap, ellipsoid, - options.skirtHeight + options.skirtHeight, ); } @@ -349,7 +349,7 @@ describe("Scene/HeightmapTessellator", function () { vertices, options.heightmap, ellipsoid, - options.skirtHeight + options.skirtHeight, ); } }); @@ -392,7 +392,7 @@ describe("Scene/HeightmapTessellator", function () { options.heightmap, ellipsoid, options.skirtHeight, - results.encoding + results.encoding, ); } } @@ -413,7 +413,7 @@ describe("Scene/HeightmapTessellator", function () { options.heightmap, ellipsoid, options.skirtHeight, - results.encoding + results.encoding, ); } @@ -431,7 +431,7 @@ describe("Scene/HeightmapTessellator", function () { options.heightmap, ellipsoid, options.skirtHeight, - results.encoding + results.encoding, ); } @@ -449,7 +449,7 @@ describe("Scene/HeightmapTessellator", function () { options.heightmap, ellipsoid, options.skirtHeight, - results.encoding + results.encoding, ); } @@ -467,7 +467,7 @@ describe("Scene/HeightmapTessellator", function () { options.heightmap, ellipsoid, options.skirtHeight, - results.encoding + results.encoding, ); } }); @@ -496,23 +496,23 @@ describe("Scene/HeightmapTessellator", function () { const nativeRectangle = options.nativeRectangle; const geographicSouthwest = projection.unproject( - new Cartesian2(nativeRectangle.west, nativeRectangle.south) + new Cartesian2(nativeRectangle.west, nativeRectangle.south), ); const geographicNortheast = projection.unproject( - new Cartesian2(nativeRectangle.east, nativeRectangle.north) + new Cartesian2(nativeRectangle.east, nativeRectangle.north), ); for (let j = 0; j < height; ++j) { const y = CesiumMath.lerp( nativeRectangle.north, nativeRectangle.south, - j / (height - 1) + j / (height - 1), ); for (let i = 0; i < width; ++i) { const x = CesiumMath.lerp( nativeRectangle.west, nativeRectangle.east, - i / (width - 1) + i / (width - 1), ); const latLon = projection.unproject(new Cartesian2(x, y)); @@ -531,7 +531,7 @@ describe("Scene/HeightmapTessellator", function () { const vertexPosition = new Cartesian3( vertices[index], vertices[index + 1], - vertices[index + 2] + vertices[index + 2], ); const expectedU = @@ -545,11 +545,11 @@ describe("Scene/HeightmapTessellator", function () { expect(vertices[index + 3]).toEqual(heightSample); expect(vertices[index + 4]).toEqualEpsilon( expectedU, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(vertices[index + 5]).toEqualEpsilon( expectedV, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); } } @@ -560,33 +560,9 @@ describe("Scene/HeightmapTessellator", function () { const height = 3; const options = { heightmap: [ - 1.0, - 2.0, - 100.0, - 3.0, - 4.0, - 100.0, - 5.0, - 6.0, - 100.0, - 7.0, - 8.0, - 100.0, - 9.0, - 10.0, - 100.0, - 11.0, - 12.0, - 100.0, - 13.0, - 14.0, - 100.0, - 15.0, - 16.0, - 100.0, - 17.0, - 18.0, - 100.0, + 1.0, 2.0, 100.0, 3.0, 4.0, 100.0, 5.0, 6.0, 100.0, 7.0, 8.0, 100.0, 9.0, + 10.0, 100.0, 11.0, 12.0, 100.0, 13.0, 14.0, 100.0, 15.0, 16.0, 100.0, + 17.0, 18.0, 100.0, ], width: width, height: height, @@ -601,7 +577,7 @@ describe("Scene/HeightmapTessellator", function () { CesiumMath.toRadians(10.0), CesiumMath.toRadians(30.0), CesiumMath.toRadians(20.0), - CesiumMath.toRadians(40.0) + CesiumMath.toRadians(40.0), ), structure: { stride: 3, @@ -619,14 +595,14 @@ describe("Scene/HeightmapTessellator", function () { let latitude = CesiumMath.lerp( nativeRectangle.north, nativeRectangle.south, - j / (height - 1) + j / (height - 1), ); latitude = CesiumMath.toRadians(latitude); for (let i = 0; i < width; ++i) { let longitude = CesiumMath.lerp( nativeRectangle.west, nativeRectangle.east, - i / (width - 1) + i / (width - 1), ); longitude = CesiumMath.toRadians(longitude); @@ -645,18 +621,18 @@ describe("Scene/HeightmapTessellator", function () { const vertexPosition = new Cartesian3( vertices[index], vertices[index + 1], - vertices[index + 2] + vertices[index + 2], ); expect(vertexPosition).toEqualEpsilon(expectedVertexPosition, 1.0); expect(vertices[index + 3]).toEqual(heightSample); expect(vertices[index + 4]).toEqualEpsilon( i / (width - 1), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(vertices[index + 5]).toEqualEpsilon( 1.0 - j / (height - 1), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); } } @@ -667,33 +643,9 @@ describe("Scene/HeightmapTessellator", function () { const height = 3; const options = { heightmap: [ - 1.0, - 2.0, - 100.0, - 3.0, - 4.0, - 100.0, - 5.0, - 6.0, - 100.0, - 7.0, - 8.0, - 100.0, - 9.0, - 10.0, - 100.0, - 11.0, - 12.0, - 100.0, - 13.0, - 14.0, - 100.0, - 15.0, - 16.0, - 100.0, - 17.0, - 18.0, - 100.0, + 1.0, 2.0, 100.0, 3.0, 4.0, 100.0, 5.0, 6.0, 100.0, 7.0, 8.0, 100.0, 9.0, + 10.0, 100.0, 11.0, 12.0, 100.0, 13.0, 14.0, 100.0, 15.0, 16.0, 100.0, + 17.0, 18.0, 100.0, ], width: width, height: height, @@ -708,7 +660,7 @@ describe("Scene/HeightmapTessellator", function () { CesiumMath.toRadians(10.0), CesiumMath.toRadians(30.0), CesiumMath.toRadians(20.0), - CesiumMath.toRadians(40.0) + CesiumMath.toRadians(40.0), ), structure: { stride: 3, @@ -727,14 +679,14 @@ describe("Scene/HeightmapTessellator", function () { let latitude = CesiumMath.lerp( nativeRectangle.north, nativeRectangle.south, - j / (height - 1) + j / (height - 1), ); latitude = CesiumMath.toRadians(latitude); for (let i = 0; i < width; ++i) { let longitude = CesiumMath.lerp( nativeRectangle.west, nativeRectangle.east, - i / (width - 1) + i / (width - 1), ); longitude = CesiumMath.toRadians(longitude); @@ -753,18 +705,18 @@ describe("Scene/HeightmapTessellator", function () { const vertexPosition = new Cartesian3( vertices[index], vertices[index + 1], - vertices[index + 2] + vertices[index + 2], ); expect(vertexPosition).toEqualEpsilon(expectedVertexPosition, 1.0); expect(vertices[index + 3]).toEqual(heightSample); expect(vertices[index + 4]).toEqualEpsilon( i / (width - 1), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(vertices[index + 5]).toEqualEpsilon( 1.0 - j / (height - 1), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); } } diff --git a/packages/engine/Specs/Scene/I3SDataProviderSpec.js b/packages/engine/Specs/Scene/I3SDataProviderSpec.js index f1f1989d0bd4..52efa9ea37d2 100644 --- a/packages/engine/Specs/Scene/I3SDataProviderSpec.js +++ b/packages/engine/Specs/Scene/I3SDataProviderSpec.js @@ -289,7 +289,7 @@ describe("Scene/I3SDataProvider", function () { it("constructs I3SDataProvider with options", async function () { spyOn(Resource.prototype, "fetchJson").and.returnValue( - Promise.resolve(mockProviderData) + Promise.resolve(mockProviderData), ); spyOn(Cesium3DTileset, "fromUrl").and.callFake(async () => { const tileset = new Cesium3DTileset(); @@ -298,7 +298,7 @@ describe("Scene/I3SDataProvider", function () { }); const testProvider = await I3SDataProvider.fromUrl( "mockProviderUrl", - i3sOptions + i3sOptions, ); expect(testProvider.name).toEqual("testProvider"); @@ -328,7 +328,7 @@ describe("Scene/I3SDataProvider", function () { }); const testProvider = await I3SDataProvider.fromUrl( "mockProviderUrl", - i3sOptions + i3sOptions, ); expect(testProvider.name).toEqual("testProvider"); @@ -345,45 +345,45 @@ describe("Scene/I3SDataProvider", function () { expect(testProvider.sublayers[0].sublayers.length).toEqual(2); expect(testProvider.sublayers[0].sublayers[0].name).toEqual("Cat1"); expect(testProvider.sublayers[0].sublayers[0]._parent.name).toEqual( - "Full Model" + "Full Model", ); expect(testProvider.sublayers[0].sublayers[0]._parent.modelName).toEqual( - "FullModel" + "FullModel", ); expect(testProvider.sublayers[0].sublayers[1].name).toEqual("Cat2"); expect(testProvider.sublayers[0].sublayers[1]._parent.name).toEqual( - "Full Model" + "Full Model", ); expect(testProvider.sublayers[0].sublayers[1]._parent.modelName).toEqual( - "FullModel" + "FullModel", ); expect(testProvider.sublayers[0].sublayers[0].sublayers.length).toEqual(3); expect(testProvider.sublayers[0].sublayers[0].sublayers[0].name).toEqual( - "SubCat1" + "SubCat1", ); expect( - testProvider.sublayers[0].sublayers[0].sublayers[0].visibility + testProvider.sublayers[0].sublayers[0].sublayers[0].visibility, ).toEqual(false); expect( - testProvider.sublayers[0].sublayers[0].sublayers[0]._parent.name + testProvider.sublayers[0].sublayers[0].sublayers[0]._parent.name, ).toEqual("Cat1"); expect(testProvider.sublayers[0].sublayers[0].sublayers[1].name).toEqual( - "SubCat2" + "SubCat2", ); expect( - testProvider.sublayers[0].sublayers[0].sublayers[1].visibility + testProvider.sublayers[0].sublayers[0].sublayers[1].visibility, ).toEqual(true); expect( - testProvider.sublayers[0].sublayers[0].sublayers[1]._parent.name + testProvider.sublayers[0].sublayers[0].sublayers[1]._parent.name, ).toEqual("Cat1"); expect(testProvider.sublayers[0].sublayers[0].sublayers[2].name).toEqual( - "SubCat3" + "SubCat3", ); expect( - testProvider.sublayers[0].sublayers[0].sublayers[2].visibility + testProvider.sublayers[0].sublayers[0].sublayers[2].visibility, ).toEqual(true); expect( - testProvider.sublayers[0].sublayers[0].sublayers[2]._parent.name + testProvider.sublayers[0].sublayers[0].sublayers[2]._parent.name, ).toEqual("Cat1"); expect(testProvider.sublayers[0].sublayers[1].sublayers.length).toEqual(0); }); @@ -395,7 +395,7 @@ describe("Scene/I3SDataProvider", function () { layers: [mockLayerDataTextured], }; spyOn(Resource.prototype, "fetchJson").and.returnValue( - Promise.resolve(providerData) + Promise.resolve(providerData), ); spyOn(Cesium3DTileset, "fromUrl").and.callFake(async () => { const tileset = new Cesium3DTileset(); @@ -414,7 +414,7 @@ describe("Scene/I3SDataProvider", function () { it("default options for I3SDataProvider without textured layers", async function () { spyOn(Resource.prototype, "fetchJson").and.returnValue( - Promise.resolve(mockProviderData) + Promise.resolve(mockProviderData), ); spyOn(Cesium3DTileset, "fromUrl").and.callFake(async () => { const tileset = new Cesium3DTileset(); @@ -433,7 +433,7 @@ describe("Scene/I3SDataProvider", function () { it("manual options for I3SDataProvider without textured layers", async function () { spyOn(Resource.prototype, "fetchJson").and.returnValue( - Promise.resolve(mockProviderData) + Promise.resolve(mockProviderData), ); spyOn(Cesium3DTileset, "fromUrl").and.callFake(async () => { const tileset = new Cesium3DTileset(); @@ -526,7 +526,7 @@ describe("Scene/I3SDataProvider", function () { it("constructs I3SDataProvider with BSL without sublayers and statistics", async function () { spyOn(Resource.prototype, "fetchJson").and.returnValue( - Promise.resolve(mockBSLProviderData2) + Promise.resolve(mockBSLProviderData2), ); spyOn(Cesium3DTileset, "fromUrl").and.callFake(async () => { const tileset = new Cesium3DTileset(); @@ -535,7 +535,7 @@ describe("Scene/I3SDataProvider", function () { }); const testProvider = await I3SDataProvider.fromUrl( "mockProviderUrl", - i3sOptions + i3sOptions, ); expect(testProvider.sublayers.length).toEqual(0); @@ -544,7 +544,7 @@ describe("Scene/I3SDataProvider", function () { it("wraps update", async function () { spyOn(Resource.prototype, "fetchJson").and.returnValue( - Promise.resolve(mockProviderData) + Promise.resolve(mockProviderData), ); spyOn(Cesium3DTileset, "fromUrl").and.callFake(async () => { const tileset = new Cesium3DTileset(); @@ -563,16 +563,16 @@ describe("Scene/I3SDataProvider", function () { testProvider.update(frameState); expect(testProvider._layers[0]._tileset.update).toHaveBeenCalledWith( - frameState + frameState, ); expect(testProvider._layers[1]._tileset.update).toHaveBeenCalledWith( - frameState + frameState, ); }); it("wraps prePassesUpdate", async function () { spyOn(Resource.prototype, "fetchJson").and.returnValue( - Promise.resolve(mockProviderData) + Promise.resolve(mockProviderData), ); spyOn(Cesium3DTileset, "fromUrl").and.callFake(async () => { const tileset = new Cesium3DTileset(); @@ -591,16 +591,16 @@ describe("Scene/I3SDataProvider", function () { testProvider.prePassesUpdate(frameState); expect( - testProvider._layers[0]._tileset.prePassesUpdate + testProvider._layers[0]._tileset.prePassesUpdate, ).toHaveBeenCalledWith(frameState); expect( - testProvider._layers[1]._tileset.prePassesUpdate + testProvider._layers[1]._tileset.prePassesUpdate, ).toHaveBeenCalledWith(frameState); }); it("wraps postPassesUpdate", async function () { spyOn(Resource.prototype, "fetchJson").and.returnValue( - Promise.resolve(mockProviderData) + Promise.resolve(mockProviderData), ); spyOn(Cesium3DTileset, "fromUrl").and.callFake(async () => { const tileset = new Cesium3DTileset(); @@ -619,16 +619,16 @@ describe("Scene/I3SDataProvider", function () { testProvider.postPassesUpdate(frameState); expect( - testProvider._layers[0]._tileset.postPassesUpdate + testProvider._layers[0]._tileset.postPassesUpdate, ).toHaveBeenCalledWith(frameState); expect( - testProvider._layers[1]._tileset.postPassesUpdate + testProvider._layers[1]._tileset.postPassesUpdate, ).toHaveBeenCalledWith(frameState); }); it("wraps updateForPass", async function () { spyOn(Resource.prototype, "fetchJson").and.returnValue( - Promise.resolve(mockProviderData) + Promise.resolve(mockProviderData), ); spyOn(Cesium3DTileset, "fromUrl").and.callFake(async () => { const tileset = new Cesium3DTileset(); @@ -649,11 +649,11 @@ describe("Scene/I3SDataProvider", function () { expect(testProvider._layers[0]._tileset.updateForPass).toHaveBeenCalledWith( frameState, - passState + passState, ); expect(testProvider._layers[1]._tileset.updateForPass).toHaveBeenCalledWith( frameState, - passState + passState, ); }); @@ -668,7 +668,7 @@ describe("Scene/I3SDataProvider", function () { }); const testProvider = await I3SDataProvider.fromUrl( "mockProviderUrl", - i3sOptions + i3sOptions, ); // Function should not be called for tilesets that are not yet ready @@ -683,7 +683,7 @@ describe("Scene/I3SDataProvider", function () { it("isDestroyed returns false for new provider", async function () { spyOn(Resource.prototype, "fetchJson").and.returnValue( - Promise.resolve(mockProviderData) + Promise.resolve(mockProviderData), ); spyOn(Cesium3DTileset, "fromUrl").and.callFake(async () => { const tileset = new Cesium3DTileset(); @@ -700,7 +700,7 @@ describe("Scene/I3SDataProvider", function () { it("destroys provider", async function () { spyOn(Resource.prototype, "fetchJson").and.returnValue( - Promise.resolve(mockProviderData) + Promise.resolve(mockProviderData), ); spyOn(Cesium3DTileset, "fromUrl").and.callFake(async () => { const tileset = new Cesium3DTileset(); @@ -727,7 +727,7 @@ describe("Scene/I3SDataProvider", function () { const mockBinaryResponse = new ArrayBuffer(1); spyOn(Resource.prototype, "fetchJson").and.returnValue( - Promise.resolve(mockProviderData) + Promise.resolve(mockProviderData), ); spyOn(Cesium3DTileset, "fromUrl").and.callFake(async () => { const tileset = new Cesium3DTileset(); @@ -739,7 +739,7 @@ describe("Scene/I3SDataProvider", function () { }); spyOn(Resource.prototype, "fetchArrayBuffer").and.returnValue( - Promise.resolve(mockBinaryResponse) + Promise.resolve(mockBinaryResponse), ); const resource = Resource.createIfNeeded("mockBinaryUri"); @@ -751,7 +751,7 @@ describe("Scene/I3SDataProvider", function () { it("loads binary with invalid uri", async function () { spyOn(Resource.prototype, "fetchJson").and.returnValue( - Promise.resolve(mockProviderData) + Promise.resolve(mockProviderData), ); spyOn(Cesium3DTileset, "fromUrl").and.callFake(async () => { const tileset = new Cesium3DTileset(); @@ -775,13 +775,13 @@ describe("Scene/I3SDataProvider", function () { it("fromUrl throws without url ", async function () { await expectAsync( - I3SDataProvider.fromUrl() + I3SDataProvider.fromUrl(), ).toBeRejectedWithDeveloperError(); }); it("loads json", async function () { spyOn(Resource.prototype, "fetchJson").and.returnValue( - Promise.resolve(mockProviderData) + Promise.resolve(mockProviderData), ); spyOn(Cesium3DTileset, "fromUrl").and.callFake(async () => { const tileset = new Cesium3DTileset(); @@ -817,13 +817,13 @@ describe("Scene/I3SDataProvider", function () { }; spyOn(Resource.prototype, "fetchJson").and.returnValue( - Promise.resolve(mockErrorResponse) + Promise.resolve(mockErrorResponse), ); const resource = Resource.createIfNeeded("mockJsonUri"); await expectAsync(I3SDataProvider.loadJson(resource)).toBeRejectedWithError( RuntimeError, - mockErrorResponse.error + mockErrorResponse.error, ); }); @@ -833,19 +833,19 @@ describe("Scene/I3SDataProvider", function () { }; spyOn(Resource.prototype, "fetchJson").and.returnValue( - Promise.resolve(mockErrorResponse) + Promise.resolve(mockErrorResponse), ); const resource = Resource.createIfNeeded("mockJsonUri"); await expectAsync(I3SDataProvider.loadJson(resource)).toBeRejectedWithError( RuntimeError, - mockErrorResponse.error + mockErrorResponse.error, ); }); it("loads geoid data", async function () { spyOn(Resource.prototype, "fetchJson").and.returnValue( - Promise.resolve(mockProviderDataWithLargeExtent) + Promise.resolve(mockProviderDataWithLargeExtent), ); spyOn(Cesium3DTileset, "fromUrl").and.callFake(async () => { const tileset = new Cesium3DTileset(); @@ -862,20 +862,20 @@ describe("Scene/I3SDataProvider", function () { expect(testProvider._geoidDataList[0].height).toEqual(2); expect(testProvider._geoidDataList[0].width).toEqual(2); expect(testProvider._geoidDataList[0].buffer).toEqual( - new Float32Array([0, 1, 2, 3]) + new Float32Array([0, 1, 2, 3]), ); expect(testProvider._geoidDataList[1].height).toEqual(2); expect(testProvider._geoidDataList[1].width).toEqual(2); expect(testProvider._geoidDataList[1].buffer).toEqual( - new Float32Array([4, 5, 6, 7]) + new Float32Array([4, 5, 6, 7]), ); }); }); it("loadGeoidData resolves when no geoid provider is given", async function () { spyOn(Resource.prototype, "fetchJson").and.returnValue( - Promise.resolve(mockProviderData) + Promise.resolve(mockProviderData), ); spyOn(Cesium3DTileset, "fromUrl").and.callFake(async () => { const tileset = new Cesium3DTileset(); @@ -896,7 +896,7 @@ describe("Scene/I3SDataProvider", function () { const mockExtent2 = Rectangle.fromDegrees(3, 1, 4, 3); spyOn(Resource.prototype, "fetchJson").and.returnValue( - Promise.resolve(mockProviderData) + Promise.resolve(mockProviderData), ); spyOn(Cesium3DTileset, "fromUrl").and.callFake(async () => { const tileset = new Cesium3DTileset(); @@ -938,7 +938,7 @@ describe("Scene/I3SDataProvider", function () { expect(testProvider.layers.length).toEqual(2); expect(testProvider.layers[0].rootNode.tile).toBeDefined(); expect(testProvider.layers[0].rootNode.tile.i3sNode).toEqual( - testProvider.layers[0].rootNode + testProvider.layers[0].rootNode, ); // Expect geoid data to have been loaded @@ -946,7 +946,7 @@ describe("Scene/I3SDataProvider", function () { expect(testProvider._geoidDataList[0].height).toEqual(2); expect(testProvider._geoidDataList[0].width).toEqual(2); expect(testProvider._geoidDataList[0].buffer).toEqual( - new Float32Array([4, 5, 6, 7]) + new Float32Array([4, 5, 6, 7]), ); }); @@ -966,14 +966,14 @@ describe("Scene/I3SDataProvider", function () { { name: "testProvider", geoidTiledTerrainProvider: mockGeoidProvider, - } + }, ); // Layers have been populated and root node is loaded expect(testProvider.layers.length).toEqual(1); expect(testProvider.layers[0].rootNode.tile).toBeDefined(); expect(testProvider.layers[0].rootNode.tile.i3sNode).toEqual( - testProvider.layers[0].rootNode + testProvider.layers[0].rootNode, ); // Expect geoid data to have been loaded @@ -981,7 +981,7 @@ describe("Scene/I3SDataProvider", function () { expect(testProvider._geoidDataList[0].height).toEqual(2); expect(testProvider._geoidDataList[0].width).toEqual(2); expect(testProvider._geoidDataList[0].buffer).toEqual( - new Float32Array([4, 5, 6, 7]) + new Float32Array([4, 5, 6, 7]), ); }); @@ -1008,30 +1008,30 @@ describe("Scene/I3SDataProvider", function () { }); const testProvider = await I3SDataProvider.fromUrl( "mockProviderUrl", - i3sOptions + i3sOptions, ); expect(testProvider.sublayers[0].sublayers[0].sublayers[0].name).toEqual( - "SubCat1" + "SubCat1", ); expect( - testProvider.sublayers[0].sublayers[0].sublayers[0].visibility + testProvider.sublayers[0].sublayers[0].sublayers[0].visibility, ).toEqual(false); const spy = spyOn( testProvider.sublayers[0].sublayers[0].sublayers[0]._i3sLayers[0], - "_updateVisibility" + "_updateVisibility", ); testProvider.sublayers[0].sublayers[0].sublayers[0].visibility = false; expect( - testProvider.sublayers[0].sublayers[0].sublayers[0].visibility + testProvider.sublayers[0].sublayers[0].sublayers[0].visibility, ).toEqual(false); expect(spy).not.toHaveBeenCalled(); testProvider.sublayers[0].sublayers[0].sublayers[0].visibility = true; expect( - testProvider.sublayers[0].sublayers[0].sublayers[0].visibility + testProvider.sublayers[0].sublayers[0].sublayers[0].visibility, ).toEqual(true); expect(spy).toHaveBeenCalled(); }); @@ -1059,7 +1059,7 @@ describe("Scene/I3SDataProvider", function () { }); const testProvider = await I3SDataProvider.fromUrl( "mockProviderUrl/layers/0/", - i3sOptions + i3sOptions, ); const sublayers = testProvider.sublayers; @@ -1113,16 +1113,16 @@ describe("Scene/I3SDataProvider", function () { }); const testProvider = await I3SDataProvider.fromUrl( "mockProviderUrl", - i3sOptions + i3sOptions, ); expect( testProvider._attributeStatistics[0].resource.url.includes( - `${mockBuildingLayerData.statisticsHRef}/?` - ) + `${mockBuildingLayerData.statisticsHRef}/?`, + ), ).toEqual(true); expect(testProvider._attributeStatistics[0].data).toEqual( - mockStatisticsData + mockStatisticsData, ); const attributes = testProvider.getAttributeNames(); @@ -1137,7 +1137,7 @@ describe("Scene/I3SDataProvider", function () { expect(noValues).toEqual([]); const notExistingValues = testProvider.getAttributeValues( - "notExistingAttribute" + "notExistingAttribute", ); expect(notExistingValues).toEqual([]); }); diff --git a/packages/engine/Specs/Scene/I3SDecoderSpec.js b/packages/engine/Specs/Scene/I3SDecoderSpec.js index feeec5984ae6..52e3c3b6788f 100644 --- a/packages/engine/Specs/Scene/I3SDecoderSpec.js +++ b/packages/engine/Specs/Scene/I3SDecoderSpec.js @@ -53,22 +53,22 @@ describe("Scene/I3SDecoder", function () { it("throws with no default geometry schema", async function () { await expectAsync( - I3SDecoder.decode("mockUrl") + I3SDecoder.decode("mockUrl"), ).toBeRejectedWithDeveloperError(); }); it("throws with no geometry data", async function () { await expectAsync( - I3SDecoder.decode("mockUrl", defaultGeometrySchema) + I3SDecoder.decode("mockUrl", defaultGeometrySchema), ).toBeRejectedWithDeveloperError(); }); it("throws if not initialized", async function () { spyOn(TaskProcessor.prototype, "initWebAssemblyModule").and.returnValue( - Promise.resolve(false) + Promise.resolve(false), ); await expectAsync( - I3SDecoder.decode("mockUrl", defaultGeometrySchema, geometryDataObb) + I3SDecoder.decode("mockUrl", defaultGeometrySchema, geometryDataObb), ).toBeRejectedWithError(RuntimeError); }); @@ -76,7 +76,7 @@ describe("Scene/I3SDecoder", function () { const result = await I3SDecoder.decode( "mockUrl", defaultGeometrySchema, - geometryDataObb + geometryDataObb, ); expect(result).toBeDefined(); expect(result.meshData).toBeDefined(); @@ -98,7 +98,7 @@ describe("Scene/I3SDecoder", function () { const result = await I3SDecoder.decode( "mockUrl", defaultGeometrySchema, - geometryDataMbs + geometryDataMbs, ); expect(result).toBeDefined(); expect(result.meshData).toBeDefined(); @@ -121,7 +121,7 @@ describe("Scene/I3SDecoder", function () { "mockUrl", defaultGeometrySchema, geometryDataMbs, - featureData + featureData, ); expect(result).toBeDefined(); expect(result.meshData).toBeDefined(); diff --git a/packages/engine/Specs/Scene/I3SFieldSpec.js b/packages/engine/Specs/Scene/I3SFieldSpec.js index e74abfd4cae8..2d1031b287d9 100644 --- a/packages/engine/Specs/Scene/I3SFieldSpec.js +++ b/packages/engine/Specs/Scene/I3SFieldSpec.js @@ -10,7 +10,7 @@ import { describe("Scene/I3SField", function () { async function createMockProvider(url, layerData, geoidDataList) { spyOn(Resource.prototype, "fetchJson").and.returnValue( - Promise.resolve(layerData) + Promise.resolve(layerData), ); spyOn(Cesium3DTileset, "fromUrl").and.callFake(async () => { const tileset = new Cesium3DTileset(); @@ -28,7 +28,7 @@ describe("Scene/I3SField", function () { const provider = await createMockProvider( providerUrl, layerData, - geoidDataList + geoidDataList, ); const mockI3SLayer = provider.layers[0]; mockI3SLayer._geometryDefinitions = [ @@ -181,20 +181,20 @@ describe("Scene/I3SField", function () { it("create field for root node loaded from uri", async function () { const mockI3SLayerWithoutNodePages = await createMockLayer( "mockProviderUrl", - layerDataWithoutNodePages + layerDataWithoutNodePages, ); const rootNode = new I3SNode(mockI3SLayerWithoutNodePages, "mockUrl", true); const field = new I3SField(rootNode, { key: "test_key" }); expect( - field.resource.url.includes("mockUrl/attributes/test_key/0?") + field.resource.url.includes("mockUrl/attributes/test_key/0?"), ).toEqual(true); }); it("get field values", async function () { const mockI3SLayerWithoutNodePages = await createMockLayer( "mockProviderUrl", - layerDataWithoutNodePages + layerDataWithoutNodePages, ); const rootNode = new I3SNode(mockI3SLayerWithoutNodePages, "mockUrl", true); @@ -211,7 +211,7 @@ describe("Scene/I3SField", function () { it("get body offset for objectIds", async function () { const mockI3SLayerWithoutNodePages = await createMockLayer( "mockProviderUrl", - layerDataWithoutNodePages + layerDataWithoutNodePages, ); const rootNode = new I3SNode(mockI3SLayerWithoutNodePages, "mockUrl", true); @@ -225,7 +225,7 @@ describe("Scene/I3SField", function () { it("get body offset for unsupported property", async function () { const mockI3SLayerWithoutNodePages = await createMockLayer( "mockProviderUrl", - layerDataWithoutNodePages + layerDataWithoutNodePages, ); const rootNode = new I3SNode(mockI3SLayerWithoutNodePages, "mockUrl", true); @@ -239,7 +239,7 @@ describe("Scene/I3SField", function () { it("validate field header with invalid attribute buffer size", async function () { const mockI3SLayerWithoutNodePages = await createMockLayer( "mockProviderUrl", - layerDataWithoutNodePages + layerDataWithoutNodePages, ); const rootNode = new I3SNode(mockI3SLayerWithoutNodePages, "mockUrl", true); @@ -254,7 +254,7 @@ describe("Scene/I3SField", function () { it("validate field body with empty header", async function () { const mockI3SLayerWithoutNodePages = await createMockLayer( "mockProviderUrl", - layerDataWithoutNodePages + layerDataWithoutNodePages, ); const rootNode = new I3SNode(mockI3SLayerWithoutNodePages, "mockUrl", true); @@ -269,7 +269,7 @@ describe("Scene/I3SField", function () { it("validate field body with invalid attribute buffer", async function () { const mockI3SLayerWithoutNodePages = await createMockLayer( "mockProviderUrl", - layerDataWithoutNodePages + layerDataWithoutNodePages, ); const rootNode = new I3SNode(mockI3SLayerWithoutNodePages, "mockUrl", true); @@ -287,7 +287,7 @@ describe("Scene/I3SField", function () { it("validate field body with invalid offset", async function () { const mockI3SLayerWithoutNodePages = await createMockLayer( "mockProviderUrl", - layerDataWithoutNodePages + layerDataWithoutNodePages, ); const rootNode = new I3SNode(mockI3SLayerWithoutNodePages, "mockUrl", true); @@ -302,7 +302,7 @@ describe("Scene/I3SField", function () { it("validate field body with missing property", async function () { const mockI3SLayerWithoutNodePages = await createMockLayer( "mockProviderUrl", - layerDataWithoutNodePages + layerDataWithoutNodePages, ); const rootNode = new I3SNode(mockI3SLayerWithoutNodePages, "mockUrl", true); @@ -317,7 +317,7 @@ describe("Scene/I3SField", function () { it("load field with unavailable resource", async function () { const mockI3SLayerWithoutNodePages = await createMockLayer( "mockProviderUrl", - layerDataWithoutNodePages + layerDataWithoutNodePages, ); const spy = spyOn(Resource.prototype, "fetchArrayBuffer"); spy.and.callFake(function () { @@ -343,11 +343,11 @@ describe("Scene/I3SField", function () { it("load field with invalid header", async function () { const mockI3SLayerWithoutNodePages = await createMockLayer( "mockProviderUrl", - layerDataWithoutNodePages + layerDataWithoutNodePages, ); spyOn( mockI3SLayerWithoutNodePages._dataProvider, - "_loadBinary" + "_loadBinary", ).and.callFake(function () { return Promise.resolve(new ArrayBuffer(1)); }); @@ -364,11 +364,11 @@ describe("Scene/I3SField", function () { it("load field with invalid body", async function () { const mockI3SLayerWithoutNodePages = await createMockLayer( "mockProviderUrl", - layerDataWithoutNodePages + layerDataWithoutNodePages, ); spyOn( mockI3SLayerWithoutNodePages._dataProvider, - "_loadBinary" + "_loadBinary", ).and.callFake(function () { return Promise.resolve(new ArrayBuffer(1)); }); @@ -386,12 +386,12 @@ describe("Scene/I3SField", function () { it("load field with valid buffer", async function () { const mockI3SLayerWithoutNodePages = await createMockLayer( "mockProviderUrl", - layerDataWithoutNodePages + layerDataWithoutNodePages, ); const text = "Pass"; spyOn( mockI3SLayerWithoutNodePages._dataProvider, - "_loadBinary" + "_loadBinary", ).and.callFake(function () { const buffer = new ArrayBuffer(text.length + 3); const view = new Uint8Array(buffer); diff --git a/packages/engine/Specs/Scene/I3SLayerSpec.js b/packages/engine/Specs/Scene/I3SLayerSpec.js index c5832c28f3ba..41aab81626af 100644 --- a/packages/engine/Specs/Scene/I3SLayerSpec.js +++ b/packages/engine/Specs/Scene/I3SLayerSpec.js @@ -115,7 +115,7 @@ describe("Scene/I3SLayer", function () { async function createMockI3SProvider(layerData, options) { spyOn(I3SDataProvider, "loadJson").and.returnValue( - Promise.resolve(layerData) + Promise.resolve(layerData), ); spyOn(Cesium3DTileset, "fromUrl").and.callFake(async (url, options) => { const tileset = new Cesium3DTileset(options); @@ -125,17 +125,17 @@ describe("Scene/I3SLayer", function () { }); const mockI3SProvider = await I3SDataProvider.fromUrl( "mockProviderUrl?testQuery=test", - options + options, ); spyOn(I3SDataProvider.prototype, "loadGeoidData").and.returnValue( - Promise.resolve() + Promise.resolve(), ); return mockI3SProvider; } it("constructs I3SLayer from url", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodePageResult) + Promise.resolve(nodePageResult), ); const mockI3SProvider = await createMockI3SProvider(layerData); @@ -202,14 +202,14 @@ describe("Scene/I3SLayer", function () { it("constructs I3SLayer from id", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodePageResult) + Promise.resolve(nodePageResult), ); const mockI3SProvider = await createMockI3SProvider(layerData2); const testLayer = new I3SLayer( mockI3SProvider, layerData2, - mockI3SProvider + mockI3SProvider, ); expect(testLayer.data).toEqual(layerData2); @@ -237,7 +237,7 @@ describe("Scene/I3SLayer", function () { it("constructs I3SLayer from single layer url", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodePageResult) + Promise.resolve(nodePageResult), ); const mockI3SProvider = await createMockI3SProvider(layerData2); @@ -253,7 +253,7 @@ describe("Scene/I3SLayer", function () { it("loads root node", async function () { spyOn(Resource.prototype, "fetchJson").and.returnValue( - Promise.resolve(nodePageResult) + Promise.resolve(nodePageResult), ); const mockI3SProvider = await createMockI3SProvider(layerData); @@ -261,14 +261,14 @@ describe("Scene/I3SLayer", function () { expect(testLayer.rootNode).toBeDefined(); expect(testLayer.rootNode.data.index).toEqual(rootNodePageEntry.index); expect(testLayer.rootNode.data.children).toEqual( - rootNodePageEntry.children + rootNodePageEntry.children, ); expect(testLayer.rootNode.data.obb).toEqual(rootNodePageEntry.obb); }); it("creates 3d tileset", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodePageResult) + Promise.resolve(nodePageResult), ); const mockI3SProvider = await createMockI3SProvider(layerData); @@ -288,7 +288,7 @@ describe("Scene/I3SLayer", function () { maximumScreenSpaceError: 8, }; spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodePageResult) + Promise.resolve(nodePageResult), ); await createMockI3SProvider(layerData, { @@ -296,13 +296,13 @@ describe("Scene/I3SLayer", function () { }); expect(Cesium3DTileset.fromUrl).toHaveBeenCalledWith( jasmine.any(String), - cesium3dTilesetOptions + cesium3dTilesetOptions, ); }); it("load i3s layer rejects unsupported spatial reference", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodePageResult) + Promise.resolve(nodePageResult), ); const invalidLayerData = { layerType: "3DObject", @@ -319,16 +319,16 @@ describe("Scene/I3SLayer", function () { spatialReference: { wkid: 3857 }, }; await expectAsync( - createMockI3SProvider(invalidLayerData) + createMockI3SProvider(invalidLayerData), ).toBeRejectedWithError( RuntimeError, - `Unsupported spatial reference: ${invalidLayerData.spatialReference.wkid}` + `Unsupported spatial reference: ${invalidLayerData.spatialReference.wkid}`, ); }); it("creates 3d tileset with outline color from symbology", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodePageResult) + Promise.resolve(nodePageResult), ); const mockI3SProvider = await createMockI3SProvider(layerData2, { @@ -349,7 +349,7 @@ describe("Scene/I3SLayer", function () { outlineColor: new Color(0.5, 0.5, 0.5, 0.5), }; spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodePageResult) + Promise.resolve(nodePageResult), ); const mockI3SProvider = await createMockI3SProvider(layerData2, { diff --git a/packages/engine/Specs/Scene/I3SNodeSpec.js b/packages/engine/Specs/Scene/I3SNodeSpec.js index f7cc79df1263..8cdf6e51e6a2 100644 --- a/packages/engine/Specs/Scene/I3SNodeSpec.js +++ b/packages/engine/Specs/Scene/I3SNodeSpec.js @@ -30,11 +30,11 @@ describe("Scene/I3SNode", function () { -20037508.342787e7, -20037508.342787e7, 20037508.342787e7, - 20037508.342787e7 + 20037508.342787e7, ), offset: 0, projection: new WebMercatorProjection( - new Ellipsoid(6378137, 6378137, 6356752.314245179) + new Ellipsoid(6378137, 6378137, 6356752.314245179), ), projectionType: "WebMercator", scale: 1, @@ -370,7 +370,7 @@ describe("Scene/I3SNode", function () { async function createMockProvider(url, layerData, geoidDataList, options) { spyOn(Resource.prototype, "fetchJson").and.returnValue( - Promise.resolve(layerData) + Promise.resolve(layerData), ); spyOn(Cesium3DTileset, "fromUrl").and.callFake(async () => { const tileset = new Cesium3DTileset(); @@ -387,13 +387,13 @@ describe("Scene/I3SNode", function () { providerUrl, layerData, geoidDataList, - options + options, ) { const provider = await createMockProvider( providerUrl, layerData, geoidDataList, - options + options, ); const mockI3SLayer = provider.layers[0]; mockI3SLayer._geometryDefinitions = [ @@ -420,15 +420,15 @@ describe("Scene/I3SNode", function () { it("constructs nodes", async function () { const mockI3SLayerWithoutNodePages = await createMockLayer( "mockProviderUrl", - layerDataWithoutNodePages + layerDataWithoutNodePages, ); const rootNode = new I3SNode( mockI3SLayerWithoutNodePages, "mockRootUrl", - true + true, ); expect(rootNode.resource.url).toContain( - "mockProviderUrl/mockLayerUrl/mockRootUrl/" + "mockProviderUrl/mockLayerUrl/mockRootUrl/", ); expect(rootNode.parent).toEqual(mockI3SLayerWithoutNodePages); expect(rootNode.layer).toEqual(mockI3SLayerWithoutNodePages); @@ -444,74 +444,23 @@ describe("Scene/I3SNode", function () { const customAttributes = { cartesianCenter: Ellipsoid.WGS84.cartographicToCartesian( - new Cartographic(-90, 45, 0) + new Cartographic(-90, 45, 0), ), featureIndex: new Uint32Array([0, 0, 1, 1]), positions: new Float32Array([ - -20, - -20, - 0, - 20, - 0, - 0, - -20, - 0, - 0, - -20, - -20, - 0, - 20, - -20, - 0, - 20, - 0, - 0, - -20, - 0, - 0, - 20, - 20, - 0, - -20, - 20, - 0, - -20, - 0, - 0, - 20, - 0, - 0, - 20, - 20, - 0, + -20, -20, 0, 20, 0, 0, -20, 0, 0, -20, -20, 0, 20, -20, 0, 20, 0, 0, -20, + 0, 0, 20, 20, 0, -20, 20, 0, -20, 0, 0, 20, 0, 0, 20, 20, 0, ]), parentRotation: [1, 0, 0, 0, 1, 0, 0, 0, 1], }; const customAttributesIndexed = { cartesianCenter: Ellipsoid.WGS84.cartographicToCartesian( - new Cartographic(-90, 45, 0) + new Cartographic(-90, 45, 0), ), featureIndex: new Uint32Array([0, 0, 1, 1]), indices: new Uint32Array([0, 1, 2, 0, 3, 1, 2, 4, 5, 2, 1, 4]), positions: new Float32Array([ - -20, - -20, - 0, - 20, - 0, - 0, - -20, - 0, - 0, - 20, - -20, - 0, - 20, - 20, - 0, - -20, - 20, - 0, + -20, -20, 0, 20, 0, 0, -20, 0, 0, 20, -20, 0, 20, 20, 0, -20, 20, 0, ]), parentRotation: [1, 0, 0, 0, 1, 0, 0, 0, 1], }; @@ -521,25 +470,25 @@ describe("Scene/I3SNode", function () { const intersectPoint = new Cartesian3( -19 + customAttributes.cartesianCenter.x, -19 + customAttributes.cartesianCenter.y, - 0 + customAttributes.cartesianCenter.z + 0 + customAttributes.cartesianCenter.z, ); // On triangle edge const borderPoint = new Cartesian3( 20 + customAttributes.cartesianCenter.x, 15 + customAttributes.cartesianCenter.y, - 0 + customAttributes.cartesianCenter.z + 0 + customAttributes.cartesianCenter.z, ); // Above triangle const floatingIntersectPoint = new Cartesian3( -19 + customAttributes.cartesianCenter.x, 19 + customAttributes.cartesianCenter.y, - 2 + customAttributes.cartesianCenter.z + 2 + customAttributes.cartesianCenter.z, ); // No intersection const noIntersectPoint = new Cartesian3( -21 + customAttributes.cartesianCenter.x, -21 + customAttributes.cartesianCenter.y, - 0 + customAttributes.cartesianCenter.z + 0 + customAttributes.cartesianCenter.z, ); const i3sGeometryData = { @@ -634,12 +583,12 @@ describe("Scene/I3SNode", function () { it("loads root node from uri", async function () { const mockI3SLayerWithoutNodePages = await createMockLayer( "mockProviderUrl", - layerDataWithoutNodePages + layerDataWithoutNodePages, ); const rootNode = new I3SNode(mockI3SLayerWithoutNodePages, "mockUrl", true); const spy = spyOn(I3SDataProvider, "loadJson").and.returnValue( - Promise.resolve(rootNodeWithChildren) + Promise.resolve(rootNodeWithChildren), ); return rootNode.load().then(function () { @@ -651,12 +600,12 @@ describe("Scene/I3SNode", function () { it("loads child node from uri", async function () { const mockI3SLayerWithoutNodePages = await createMockLayer( "mockProviderUrl", - layerDataWithoutNodePages + layerDataWithoutNodePages, ); const rootNode = new I3SNode(mockI3SLayerWithoutNodePages, "mockUrl", true); const childNode = new I3SNode(rootNode, "mockUrlChild", false); const spy = spyOn(I3SDataProvider, "loadJson").and.returnValue( - Promise.resolve(nodeWithContent) + Promise.resolve(nodeWithContent), ); return rootNode @@ -674,12 +623,12 @@ describe("Scene/I3SNode", function () { it("loads root from node pages", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodeData) + Promise.resolve(nodeData), ); const mockI3SLayerWithNodePages = await createMockLayer( "mockProviderUrl?testQuery=test", - layerData + layerData, ); const rootNode = new I3SNode(mockI3SLayerWithNodePages, 0, true); @@ -691,12 +640,12 @@ describe("Scene/I3SNode", function () { it("loads node from node pages", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodeData) + Promise.resolve(nodeData), ); const mockI3SLayerWithNodePages = await createMockLayer( "mockProviderUrl?testQuery=test", - layerData + layerData, ); const rootNode = new I3SNode(mockI3SLayerWithNodePages, 0, true); const childNode = new I3SNode(rootNode, 1, false); @@ -714,13 +663,13 @@ describe("Scene/I3SNode", function () { it("loads node with geoid conversion", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodeData) + Promise.resolve(nodeData), ); const mockI3SLayerGeoid = await createMockLayer( "mockProviderUrl?testQuery=test", layerData, - geoidDataList + geoidDataList, ); const rootNode = new I3SNode(mockI3SLayerGeoid, 0, true); const childNode = new I3SNode(rootNode, 1, false); @@ -737,42 +686,41 @@ describe("Scene/I3SNode", function () { const nodeOrigin = new Cartesian3( childNode._globalTransform[3], childNode._globalTransform[7], - childNode._globalTransform[11] - ); - const cartographicOrigin = Ellipsoid.WGS84.cartesianToCartographic( - nodeOrigin + childNode._globalTransform[11], ); + const cartographicOrigin = + Ellipsoid.WGS84.cartesianToCartographic(nodeOrigin); const expectedHeight = 10; const expectedPosition = new Cartographic( CesiumMath.toRadians(-90), CesiumMath.toRadians(45), - expectedHeight + expectedHeight, ); expect(cartographicOrigin.longitude).toBeCloseTo( expectedPosition.longitude, - -3 + -3, ); expect(cartographicOrigin.latitude).toBeCloseTo( expectedPosition.latitude, - -3 + -3, ); expect(cartographicOrigin.height).toBeCloseTo( expectedPosition.height, - -3 + -3, ); }); }); it("loads children", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodeData) + Promise.resolve(nodeData), ); const mockI3SLayerWithNodePages = await createMockLayer( "mockProviderUrl?testQuery=test", - layerData + layerData, ); const rootNode = new I3SNode(mockI3SLayerWithNodePages, 0, true); @@ -800,12 +748,12 @@ describe("Scene/I3SNode", function () { it("loads children for leaf node", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodeData) + Promise.resolve(nodeData), ); const mockI3SLayerWithNodePages = await createMockLayer( "mockProviderUrl?testQuery=test", - layerData + layerData, ); const nodeWithoutChildren = new I3SNode(mockI3SLayerWithNodePages, 1, true); @@ -822,49 +770,49 @@ describe("Scene/I3SNode", function () { it("loads not existing fields", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodeData) + Promise.resolve(nodeData), ); const mockI3SLayerWithNodePages = await createMockLayer( "mockProviderUrl?testQuery=test", - layerData + layerData, ); const rootNode = new I3SNode(mockI3SLayerWithNodePages, 1, true); - spyOn(rootNode._dataProvider, "_loadBinary").and.callFake(function ( - resource - ) { - return new Promise(function (resolve, reject) { - let resultBuffer = ""; - if (resource.url.includes("f_0/") || resource.url.includes("f_1/")) { - resultBuffer = int8AttrBufferBase64; - } else if ( - resource.url.includes("f_2/") || - resource.url.includes("f_3/") - ) { - resultBuffer = int16AttrBufferBase64; - } else if ( - resource.url.includes("f_4/") || - resource.url.includes("f_5/") || - resource.url.includes("f_6/") - ) { - resultBuffer = int32AttrBufferBase64; - } else if ( - resource.url.includes("f_7/") || - resource.url.includes("f_8/") - ) { - resultBuffer = int64AttrBufferBase64; - } else if (resource.url.includes("f_9/")) { - resultBuffer = float32AttrBufferBase64; - } else if (resource.url.includes("f_10/")) { - resultBuffer = float64AttrBufferBase64; - } else if (resource.url.includes("f_11/")) { - resultBuffer = stringAttrBufferBase64; - } + spyOn(rootNode._dataProvider, "_loadBinary").and.callFake( + function (resource) { + return new Promise(function (resolve, reject) { + let resultBuffer = ""; + if (resource.url.includes("f_0/") || resource.url.includes("f_1/")) { + resultBuffer = int8AttrBufferBase64; + } else if ( + resource.url.includes("f_2/") || + resource.url.includes("f_3/") + ) { + resultBuffer = int16AttrBufferBase64; + } else if ( + resource.url.includes("f_4/") || + resource.url.includes("f_5/") || + resource.url.includes("f_6/") + ) { + resultBuffer = int32AttrBufferBase64; + } else if ( + resource.url.includes("f_7/") || + resource.url.includes("f_8/") + ) { + resultBuffer = int64AttrBufferBase64; + } else if (resource.url.includes("f_9/")) { + resultBuffer = float32AttrBufferBase64; + } else if (resource.url.includes("f_10/")) { + resultBuffer = float64AttrBufferBase64; + } else if (resource.url.includes("f_11/")) { + resultBuffer = stringAttrBufferBase64; + } - resolve(base64ToArrayBuffer(resultBuffer)); - }); - }); + resolve(base64ToArrayBuffer(resultBuffer)); + }); + }, + ); return rootNode .load() @@ -874,10 +822,10 @@ describe("Scene/I3SNode", function () { .then(function () { expect(rootNode.fields.testInt8.name).toEqual("testInt8"); expect(rootNode.fields.testInt8.resource.url).toContain( - "mockProviderUrl/mockLayerUrl/nodes/1/attributes/f_1/0" + "mockProviderUrl/mockLayerUrl/nodes/1/attributes/f_1/0", ); expect( - rootNode.fields.testInt8.resource.queryParameters.testQuery + rootNode.fields.testInt8.resource.queryParameters.testQuery, ).toEqual("test"); expect(rootNode.fields.testInt8.header.count).toEqual(2); @@ -897,7 +845,7 @@ describe("Scene/I3SNode", function () { expect(rootNode.fields.testString.header.count).toEqual(2); expect( - rootNode.fields.testString.header.attributeValuesByteCount + rootNode.fields.testString.header.attributeValuesByteCount, ).toEqual(16); const featureFields0 = rootNode.getFieldsForFeature(0); @@ -926,11 +874,11 @@ describe("Scene/I3SNode", function () { it("loads existing fields", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodeData) + Promise.resolve(nodeData), ); spyOn(I3SField.prototype, "load").and.returnValue( - Promise.resolve({ mockResult: "success" }) + Promise.resolve({ mockResult: "success" }), ); const mockLayerData = clone(layerData, true); @@ -938,7 +886,7 @@ describe("Scene/I3SNode", function () { const mockI3SLayerWithNodePages = await createMockLayer( "mockProviderUrl?testQuery=test", - mockLayerData + mockLayerData, ); const rootNode = new I3SNode(mockI3SLayerWithNodePages, 1, true); rootNode._data = { @@ -955,7 +903,7 @@ describe("Scene/I3SNode", function () { it("loads existing fields without storageInfo", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodeData) + Promise.resolve(nodeData), ); const mockLayerData = clone(layerData, true); @@ -963,7 +911,7 @@ describe("Scene/I3SNode", function () { const mockI3SLayerWithNodePages = await createMockLayer( "mockProviderUrl?testQuery=test", - mockLayerData + mockLayerData, ); const rootNode = new I3SNode(mockI3SLayerWithNodePages, 1, true); @@ -974,11 +922,11 @@ describe("Scene/I3SNode", function () { it("loads existing field", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodeData) + Promise.resolve(nodeData), ); spyOn(I3SField.prototype, "load").and.returnValue( - Promise.resolve({ mockResult: "success" }) + Promise.resolve({ mockResult: "success" }), ); const mockLayerData = clone(layerData, true); @@ -986,7 +934,7 @@ describe("Scene/I3SNode", function () { const mockI3SLayerWithNodePages = await createMockLayer( "mockProviderUrl?testQuery=test", - mockLayerData + mockLayerData, ); const rootNode = new I3SNode(mockI3SLayerWithNodePages, 1, true); rootNode._data = { @@ -1003,7 +951,7 @@ describe("Scene/I3SNode", function () { it("loads not existing field without storageInfo for the layer", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodeData) + Promise.resolve(nodeData), ); const mockLayerData = clone(layerData, true); @@ -1011,7 +959,7 @@ describe("Scene/I3SNode", function () { const mockI3SLayerWithNodePages = await createMockLayer( "mockProviderUrl?testQuery=test", - mockLayerData + mockLayerData, ); const rootNode = new I3SNode(mockI3SLayerWithNodePages, 1, true); @@ -1022,7 +970,7 @@ describe("Scene/I3SNode", function () { it("loads not existing field without storageInfo for the field", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodeData) + Promise.resolve(nodeData), ); const mockLayerData = clone(layerData, true); @@ -1030,7 +978,7 @@ describe("Scene/I3SNode", function () { const mockI3SLayerWithNodePages = await createMockLayer( "mockProviderUrl?testQuery=test", - mockLayerData + mockLayerData, ); const rootNode = new I3SNode(mockI3SLayerWithNodePages, 1, true); @@ -1041,17 +989,17 @@ describe("Scene/I3SNode", function () { it("loads geometry from node pages", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodeData) + Promise.resolve(nodeData), ); const mockI3SLayerWithNodePages = await createMockLayer( "mockProviderUrl?testQuery=test", - layerData + layerData, ); const nodeWithMesh = new I3SNode(mockI3SLayerWithNodePages, 1, true); spyOn(nodeWithMesh._dataProvider, "_loadBinary").and.returnValue( - Promise.resolve(new ArrayBuffer()) + Promise.resolve(new ArrayBuffer()), ); return nodeWithMesh @@ -1063,18 +1011,18 @@ describe("Scene/I3SNode", function () { expect(nodeWithMesh.geometryData.length).toEqual(1); expect(nodeWithMesh.geometryData[0].resource.url).toContain( - "mockProviderUrl/mockLayerUrl/nodes/1/geometries/1" + "mockProviderUrl/mockLayerUrl/nodes/1/geometries/1", ); expect( - nodeWithMesh.geometryData[0].resource.queryParameters.testQuery + nodeWithMesh.geometryData[0].resource.queryParameters.testQuery, ).toEqual("test"); //Expect geometry 1 to have been picked because geometry 0 didn't have all the required properties expect(nodeWithMesh._dataProvider._loadBinary).toHaveBeenCalledWith( - nodeWithMesh.geometryData[0].resource + nodeWithMesh.geometryData[0].resource, ); expect(nodeWithMesh.geometryData[0]._geometryBufferInfo.index).toEqual( - 1 + 1, ); expect(nodeWithMesh.geometryData[0]._geometryDefinitions).toBeDefined(); @@ -1086,19 +1034,19 @@ describe("Scene/I3SNode", function () { it("loads geometry from url", async function () { const mockI3SLayerWithoutNodePages = await createMockLayer( "mockProviderUrl", - layerDataWithoutNodePages + layerDataWithoutNodePages, ); const nodeWithMesh = new I3SNode( mockI3SLayerWithoutNodePages, "mockNodeUrl", - true + true, ); spyOn(I3SDataProvider, "loadJson").and.returnValue( - Promise.resolve(nodeWithContent) + Promise.resolve(nodeWithContent), ); spyOn(nodeWithMesh._dataProvider, "_loadBinary").and.returnValue( - Promise.resolve(new ArrayBuffer()) + Promise.resolve(new ArrayBuffer()), ); return nodeWithMesh @@ -1110,10 +1058,10 @@ describe("Scene/I3SNode", function () { expect(nodeWithMesh.geometryData.length).toEqual(1); expect(nodeWithMesh.geometryData[0].resource.url).toContain( - "mockProviderUrl/mockLayerUrl/mockNodeUrl/mockGeometryDataUrl" + "mockProviderUrl/mockLayerUrl/mockNodeUrl/mockGeometryDataUrl", ); expect(nodeWithMesh._dataProvider._loadBinary).toHaveBeenCalledWith( - nodeWithMesh.geometryData[0].resource + nodeWithMesh.geometryData[0].resource, ); //Expect data to match the empty data returned by our spy @@ -1123,12 +1071,12 @@ describe("Scene/I3SNode", function () { it("loads not existing geometry", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodeData) + Promise.resolve(nodeData), ); const mockI3SLayerWithNodePages = await createMockLayer( "mockProviderUrl?testQuery=test", - layerData + layerData, ); const rootNode = new I3SNode(mockI3SLayerWithNodePages, 1, true); rootNode._data = {}; @@ -1140,21 +1088,21 @@ describe("Scene/I3SNode", function () { it("generate geometry from node pages", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodeData) + Promise.resolve(nodeData), ); const mockI3SLayerWithNodePages = await createMockLayer( "mockProviderUrl?testQuery=test", - layerData + layerData, ); const nodeWithTexturedMesh = new I3SNode( mockI3SLayerWithNodePages, 1, - true + true, ); spyOn(nodeWithTexturedMesh._dataProvider, "_loadBinary").and.returnValue( - Promise.resolve(new ArrayBuffer()) + Promise.resolve(new ArrayBuffer()), ); return nodeWithTexturedMesh @@ -1169,7 +1117,7 @@ describe("Scene/I3SNode", function () { i3sGeometryData.meshData.meshes, i3sGeometryData.meshData.buffers, i3sGeometryData.meshData.bufferViews, - i3sGeometryData.meshData.accessors + i3sGeometryData.meshData.accessors, ); expect(rawGltf.scene).toEqual(0); @@ -1179,7 +1127,7 @@ describe("Scene/I3SNode", function () { expect(rawGltf.meshes).toEqual(i3sGeometryData.meshData.meshes); expect(rawGltf.buffers).toEqual(i3sGeometryData.meshData.buffers); expect(rawGltf.bufferViews).toEqual( - i3sGeometryData.meshData.bufferViews + i3sGeometryData.meshData.bufferViews, ); expect(rawGltf.accessors).toEqual(i3sGeometryData.meshData.accessors); @@ -1192,21 +1140,21 @@ describe("Scene/I3SNode", function () { it("generate geometry from node pages with transparent material", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodeData) + Promise.resolve(nodeData), ); const mockI3SLayerWithNodePages = await createMockLayer( "mockProviderUrl?testQuery=test", - layerData + layerData, ); const nodeWithTexturedMesh = new I3SNode( mockI3SLayerWithNodePages, 1, - true + true, ); spyOn(nodeWithTexturedMesh._dataProvider, "_loadBinary").and.returnValue( - Promise.resolve(new ArrayBuffer()) + Promise.resolve(new ArrayBuffer()), ); return nodeWithTexturedMesh @@ -1234,26 +1182,26 @@ describe("Scene/I3SNode", function () { transparentI3sGeometryData.meshData.meshes, transparentI3sGeometryData.meshData.buffers, transparentI3sGeometryData.meshData.bufferViews, - transparentI3sGeometryData.meshData.accessors + transparentI3sGeometryData.meshData.accessors, ); expect(rawGltf.scene).toEqual(0); expect(rawGltf.scenes.length).toEqual(1); expect(rawGltf.nodes).toEqual( - transparentI3sGeometryData.meshData.nodes + transparentI3sGeometryData.meshData.nodes, ); expect(rawGltf.meshes).toEqual( - transparentI3sGeometryData.meshData.meshes + transparentI3sGeometryData.meshData.meshes, ); expect(rawGltf.buffers).toEqual( - transparentI3sGeometryData.meshData.buffers + transparentI3sGeometryData.meshData.buffers, ); expect(rawGltf.bufferViews).toEqual( - transparentI3sGeometryData.meshData.bufferViews + transparentI3sGeometryData.meshData.bufferViews, ); expect(rawGltf.accessors).toEqual( - transparentI3sGeometryData.meshData.accessors + transparentI3sGeometryData.meshData.accessors, ); expect(rawGltf.textures).toEqual([]); @@ -1262,7 +1210,7 @@ describe("Scene/I3SNode", function () { expect(rawGltf.materials[0].alphaMode).toEqual("BLEND"); expect(rawGltf.materials[0].emissiveFactor).toEqual([0, 0, 0]); expect( - rawGltf.materials[0].pbrMetallicRoughness.baseColorFactor + rawGltf.materials[0].pbrMetallicRoughness.baseColorFactor, ).toEqual([1, 1, 1, 1]); expect(rawGltf.materials[1].alphaMode).toBeUndefined(); }); @@ -1270,23 +1218,23 @@ describe("Scene/I3SNode", function () { it("generate geometry from node pages with transparent material when alpha mode is defined", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodeData) + Promise.resolve(nodeData), ); const mockI3SLayerWithNodePages = await createMockLayer( "mockProviderUrl?testQuery=test", - layerData + layerData, ); mockI3SLayerWithNodePages._data.materialDefinitions[0].alphaMode = "blend"; mockI3SLayerWithNodePages._data.materialDefinitions[1].alphaMode = "blend"; const nodeWithTexturedMesh = new I3SNode( mockI3SLayerWithNodePages, 1, - true + true, ); spyOn(nodeWithTexturedMesh._dataProvider, "_loadBinary").and.returnValue( - Promise.resolve(new ArrayBuffer()) + Promise.resolve(new ArrayBuffer()), ); return nodeWithTexturedMesh @@ -1314,26 +1262,26 @@ describe("Scene/I3SNode", function () { transparentI3sGeometryData.meshData.meshes, transparentI3sGeometryData.meshData.buffers, transparentI3sGeometryData.meshData.bufferViews, - transparentI3sGeometryData.meshData.accessors + transparentI3sGeometryData.meshData.accessors, ); expect(rawGltf.scene).toEqual(0); expect(rawGltf.scenes.length).toEqual(1); expect(rawGltf.nodes).toEqual( - transparentI3sGeometryData.meshData.nodes + transparentI3sGeometryData.meshData.nodes, ); expect(rawGltf.meshes).toEqual( - transparentI3sGeometryData.meshData.meshes + transparentI3sGeometryData.meshData.meshes, ); expect(rawGltf.buffers).toEqual( - transparentI3sGeometryData.meshData.buffers + transparentI3sGeometryData.meshData.buffers, ); expect(rawGltf.bufferViews).toEqual( - transparentI3sGeometryData.meshData.bufferViews + transparentI3sGeometryData.meshData.bufferViews, ); expect(rawGltf.accessors).toEqual( - transparentI3sGeometryData.meshData.accessors + transparentI3sGeometryData.meshData.accessors, ); expect(rawGltf.textures).toEqual([]); @@ -1346,21 +1294,21 @@ describe("Scene/I3SNode", function () { it("generate geometry from node pages without material", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodeData) + Promise.resolve(nodeData), ); const mockI3SLayerWithNodePages = await createMockLayer( "mockProviderUrl?testQuery=test", - layerData + layerData, ); const nodeWithTexturedMesh = new I3SNode( mockI3SLayerWithNodePages, 1, - true + true, ); spyOn(nodeWithTexturedMesh._dataProvider, "_loadBinary").and.returnValue( - Promise.resolve(new ArrayBuffer()) + Promise.resolve(new ArrayBuffer()), ); return nodeWithTexturedMesh @@ -1377,7 +1325,7 @@ describe("Scene/I3SNode", function () { copyI3sGeometryData.meshData.meshes, copyI3sGeometryData.meshData.buffers, copyI3sGeometryData.meshData.bufferViews, - copyI3sGeometryData.meshData.accessors + copyI3sGeometryData.meshData.accessors, ); expect(rawGltf.scene).toEqual(0); @@ -1387,10 +1335,10 @@ describe("Scene/I3SNode", function () { expect(rawGltf.meshes).toEqual(copyI3sGeometryData.meshData.meshes); expect(rawGltf.buffers).toEqual(copyI3sGeometryData.meshData.buffers); expect(rawGltf.bufferViews).toEqual( - copyI3sGeometryData.meshData.bufferViews + copyI3sGeometryData.meshData.bufferViews, ); expect(rawGltf.accessors).toEqual( - copyI3sGeometryData.meshData.accessors + copyI3sGeometryData.meshData.accessors, ); expect(rawGltf.textures).toEqual([]); @@ -1402,21 +1350,21 @@ describe("Scene/I3SNode", function () { it("generate textured geometry from node pages", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodeData) + Promise.resolve(nodeData), ); const mockI3SLayerWithNodePages = await createMockLayer( "mockProviderUrl?testQuery=test", - layerData + layerData, ); const nodeWithTexturedMesh = new I3SNode( mockI3SLayerWithNodePages, 2, - true + true, ); spyOn(nodeWithTexturedMesh._dataProvider, "_loadBinary").and.returnValue( - Promise.resolve(new ArrayBuffer()) + Promise.resolve(new ArrayBuffer()), ); return nodeWithTexturedMesh @@ -1431,7 +1379,7 @@ describe("Scene/I3SNode", function () { i3sGeometryData.meshData.meshes, i3sGeometryData.meshData.buffers, i3sGeometryData.meshData.bufferViews, - i3sGeometryData.meshData.accessors + i3sGeometryData.meshData.accessors, ); expect(rawGltf.textures).toBeDefined(); @@ -1445,7 +1393,7 @@ describe("Scene/I3SNode", function () { expect(rawGltf.images).toBeDefined(); expect(rawGltf.images.length).toEqual(1); expect(rawGltf.images[0].uri).toContain( - "mockProviderUrl/mockLayerUrl/nodes/2/textures/1?testQuery=test" + "mockProviderUrl/mockLayerUrl/nodes/2/textures/1?testQuery=test", ); }); }); @@ -1453,19 +1401,19 @@ describe("Scene/I3SNode", function () { it("generate textured geometry from url", async function () { const mockI3SLayerWithoutNodePages = await createMockLayer( "mockProviderUrl", - layerDataWithoutNodePages + layerDataWithoutNodePages, ); const nodeWithTexturedMesh = new I3SNode( mockI3SLayerWithoutNodePages, "mockNodeUrl", - true + true, ); spyOn(I3SDataProvider, "loadJson").and.returnValue( - Promise.resolve(nodeWithTexturedContent) + Promise.resolve(nodeWithTexturedContent), ); spyOn(nodeWithTexturedMesh._dataProvider, "_loadBinary").and.returnValue( - Promise.resolve(new ArrayBuffer()) + Promise.resolve(new ArrayBuffer()), ); return nodeWithTexturedMesh @@ -1480,7 +1428,7 @@ describe("Scene/I3SNode", function () { i3sGeometryData.meshData.meshes, i3sGeometryData.meshData.buffers, i3sGeometryData.meshData.bufferViews, - i3sGeometryData.meshData.accessors + i3sGeometryData.meshData.accessors, ); expect(rawGltf.textures).toBeDefined(); @@ -1494,19 +1442,19 @@ describe("Scene/I3SNode", function () { expect(rawGltf.images).toBeDefined(); expect(rawGltf.images.length).toEqual(1); expect(rawGltf.images[0].uri).toContain( - "mockProviderUrl/mockLayerUrl/mockNodeUrl/mockTextureDataUrl" + "mockProviderUrl/mockLayerUrl/mockNodeUrl/mockTextureDataUrl", ); }); }); it("load geometry rejects invalid url", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodeData) + Promise.resolve(nodeData), ); const mockI3SLayerWithNodePages = await createMockLayer( "mockProviderUrl?testQuery=test", - layerData + layerData, ); const nodeWithMesh = new I3SNode(mockI3SLayerWithNodePages, 1, true); @@ -1526,36 +1474,36 @@ describe("Scene/I3SNode", function () { it("loads feature data from uri", async function () { const mockI3SLayerWithoutNodePages = await createMockLayer( "mockProviderUrl", - layerDataWithoutNodePages + layerDataWithoutNodePages, ); const nodeWithMesh = new I3SNode( mockI3SLayerWithoutNodePages, "mockNodeUrl", - true + true, ); - const spy = spyOn(I3SDataProvider, "loadJson").and.callFake(function ( - resource - ) { - if ( - resource - .getUrlComponent() - .endsWith( - "mockProviderUrl/mockLayerUrl/mockNodeUrl/mockFeatureDataUrl" - ) - ) { - return Promise.resolve({ featureData: [], geometryData: [] }); - } - if ( - resource - .getUrlComponent() - .endsWith("mockProviderUrl/mockLayerUrl/mockNodeUrl/") - ) { - return Promise.resolve(nodeWithContent); - } + const spy = spyOn(I3SDataProvider, "loadJson").and.callFake( + function (resource) { + if ( + resource + .getUrlComponent() + .endsWith( + "mockProviderUrl/mockLayerUrl/mockNodeUrl/mockFeatureDataUrl", + ) + ) { + return Promise.resolve({ featureData: [], geometryData: [] }); + } + if ( + resource + .getUrlComponent() + .endsWith("mockProviderUrl/mockLayerUrl/mockNodeUrl/") + ) { + return Promise.resolve(nodeWithContent); + } - return Promise.reject("invalid i3s node"); - }); + return Promise.reject("invalid i3s node"); + }, + ); return nodeWithMesh .load() @@ -1565,7 +1513,7 @@ describe("Scene/I3SNode", function () { .then(function (result) { expect(nodeWithMesh.featureData.length).toEqual(1); expect(nodeWithMesh.featureData[0].resource.url).toContain( - "mockProviderUrl/mockLayerUrl/mockNodeUrl/mockFeatureDataUrl" + "mockProviderUrl/mockLayerUrl/mockNodeUrl/mockFeatureDataUrl", ); expect(nodeWithMesh.featureData[0].data.featureData).toEqual([]); expect(nodeWithMesh.featureData[0].data.geometryData).toEqual([]); @@ -1576,12 +1524,12 @@ describe("Scene/I3SNode", function () { it("loads feature data from node pages", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodeData) + Promise.resolve(nodeData), ); const mockI3SLayerWithNodePages = await createMockLayer( "mockProviderUrl?testQuery=test", - layerData + layerData, ); const nodeWithMesh = new I3SNode(mockI3SLayerWithNodePages, 1, true); @@ -1600,12 +1548,12 @@ describe("Scene/I3SNode", function () { it("load feature data rejects invalid url", async function () { const mockI3SLayerWithoutNodePages = await createMockLayer( "mockProviderUrl", - layerDataWithoutNodePages + layerDataWithoutNodePages, ); const nodeWithMesh = new I3SNode( mockI3SLayerWithoutNodePages, "mockNodeUrl", - true + true, ); spyOn(I3SDataProvider, "loadJson").and.callFake(function (resource) { @@ -1613,7 +1561,7 @@ describe("Scene/I3SNode", function () { resource .getUrlComponent() .endsWith( - "mockProviderUrl/mockLayerUrl/mockNodeUrl/mockFeatureDataUrl" + "mockProviderUrl/mockLayerUrl/mockNodeUrl/mockFeatureDataUrl", ) ) { return Promise.reject({ statusCode: 404 }); @@ -1635,22 +1583,22 @@ describe("Scene/I3SNode", function () { it("creates 3d tile content", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodeData) + Promise.resolve(nodeData), ); const mockI3SLayerWithNodePages = await createMockLayer( "mockProviderUrl?testQuery=test", - layerData + layerData, ); const rootNode = new I3SNode(mockI3SLayerWithNodePages, 0, true); const nodeWithMesh = new I3SNode(rootNode, 1, false); spyOn(nodeWithMesh._dataProvider, "_loadBinary").and.returnValue( - Promise.resolve(new ArrayBuffer()) + Promise.resolve(new ArrayBuffer()), ); spyOn(nodeWithMesh, "_loadFeatureData").and.returnValue(Promise.all([])); spyOn(I3SDecoder, "decode").and.returnValue( - Promise.resolve(i3sGeometryData) + Promise.resolve(i3sGeometryData), ); await rootNode.load(); @@ -1660,12 +1608,12 @@ describe("Scene/I3SNode", function () { expect(nodeWithMesh.tile).toBeDefined(); expect(I3SDecoder.decode).toHaveBeenCalledWith( jasmine.stringContaining( - "mockProviderUrl/mockLayerUrl/nodes/1/geometries/1/?testQuery=test" + "mockProviderUrl/mockLayerUrl/nodes/1/geometries/1/?testQuery=test", ), jasmine.any(Object), jasmine.any(I3SGeometry), undefined, - undefined + undefined, ); //Test fetching the blob url that was created @@ -1694,17 +1642,17 @@ describe("Scene/I3SNode", function () { it("picks closest point in geometry", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodeData) + Promise.resolve(nodeData), ); const mockI3SLayerWithNodePages = await createMockLayer( "mockProviderUrl?testQuery=test", - layerData + layerData, ); const nodeWithMesh = new I3SNode(mockI3SLayerWithNodePages, 1, true); spyOn(nodeWithMesh._dataProvider, "_loadBinary").and.returnValue( - Promise.resolve(new ArrayBuffer()) + Promise.resolve(new ArrayBuffer()), ); return nodeWithMesh @@ -1721,46 +1669,46 @@ describe("Scene/I3SNode", function () { geometryData.getClosestPointIndexOnTriangle( intersectPoint.x, intersectPoint.y, - intersectPoint.z - ).index + intersectPoint.z, + ).index, ).toEqual(0); expect( geometryData.getClosestPointIndexOnTriangle( borderPoint.x, borderPoint.y, - borderPoint.z - ).index + borderPoint.z, + ).index, ).toEqual(11); expect( geometryData.getClosestPointIndexOnTriangle( floatingIntersectPoint.x, floatingIntersectPoint.y, - floatingIntersectPoint.z - ).index + floatingIntersectPoint.z, + ).index, ).toEqual(8); expect( geometryData.getClosestPointIndexOnTriangle( noIntersectPoint.x, noIntersectPoint.y, - noIntersectPoint.z - ).index + noIntersectPoint.z, + ).index, ).toEqual(-1); }); }); it("picks closest point in indexed geometry", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodeData) + Promise.resolve(nodeData), ); const mockI3SLayerWithNodePages = await createMockLayer( "mockProviderUrl?testQuery=test", - layerData + layerData, ); const nodeWithMesh = new I3SNode(mockI3SLayerWithNodePages, 1, true); spyOn(nodeWithMesh._dataProvider, "_loadBinary").and.returnValue( - Promise.resolve(new ArrayBuffer()) + Promise.resolve(new ArrayBuffer()), ); return nodeWithMesh @@ -1777,41 +1725,41 @@ describe("Scene/I3SNode", function () { geometryData.getClosestPointIndexOnTriangle( intersectPoint.x, intersectPoint.y, - intersectPoint.z - ).index + intersectPoint.z, + ).index, ).toEqual(0); expect( geometryData.getClosestPointIndexOnTriangle( borderPoint.x, borderPoint.y, - borderPoint.z - ).index + borderPoint.z, + ).index, ).toEqual(4); expect( geometryData.getClosestPointIndexOnTriangle( floatingIntersectPoint.x, floatingIntersectPoint.y, - floatingIntersectPoint.z - ).index + floatingIntersectPoint.z, + ).index, ).toEqual(5); expect( geometryData.getClosestPointIndexOnTriangle( noIntersectPoint.x, noIntersectPoint.y, - noIntersectPoint.z - ).index + noIntersectPoint.z, + ).index, ).toEqual(-1); }); }); it("requests content", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodeData) + Promise.resolve(nodeData), ); const mockI3SLayerWithNodePages = await createMockLayer( "mockProviderUrl?testQuery=test", - layerData + layerData, ); const rootNode = new I3SNode(mockI3SLayerWithNodePages, 0, true); const childNode = new I3SNode(rootNode, 1, false); @@ -1836,12 +1784,12 @@ describe("Scene/I3SNode", function () { it("requests content without url", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodeData) + Promise.resolve(nodeData), ); const mockI3SLayerWithNodePages = await createMockLayer( "mockProviderUrl?testQuery=test", - layerData + layerData, ); const rootNode = new I3SNode(mockI3SLayerWithNodePages, 0, true); const childNode = new I3SNode(rootNode, 1, false); @@ -1866,7 +1814,7 @@ describe("Scene/I3SNode", function () { it("can filter by attributes", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodeData) + Promise.resolve(nodeData), ); spyOn(I3SField.prototype, "load").and.callFake(function () { @@ -1876,7 +1824,7 @@ describe("Scene/I3SNode", function () { const mockI3SLayerWithNodePages = await createMockLayer( "mockProviderUrl?testQuery=test", - layerData + layerData, ); const rootNode = new I3SNode(mockI3SLayerWithNodePages, 0, true); const childNode = new I3SNode(rootNode, 1, false); @@ -1919,7 +1867,7 @@ describe("Scene/I3SNode", function () { function () { childNode._tile._content = content; return Promise.resolve(content); - } + }, ); return childNode.tile.requestContent(); }) @@ -1938,7 +1886,7 @@ describe("Scene/I3SNode", function () { it("can filter by not existing attributes", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodeData) + Promise.resolve(nodeData), ); spyOn(I3SField.prototype, "load").and.callFake(function () { @@ -1948,7 +1896,7 @@ describe("Scene/I3SNode", function () { const mockI3SLayerWithNodePages = await createMockLayer( "mockProviderUrl?testQuery=test", - layerData + layerData, ); const rootNode = new I3SNode(mockI3SLayerWithNodePages, 0, true); const childNode = new I3SNode(rootNode, 1, false); @@ -1994,7 +1942,7 @@ describe("Scene/I3SNode", function () { it("filtering by attributes can handle filters without values", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodeData) + Promise.resolve(nodeData), ); spyOn(I3SField.prototype, "load").and.callFake(function () { @@ -2004,7 +1952,7 @@ describe("Scene/I3SNode", function () { const mockI3SLayerWithNodePages = await createMockLayer( "mockProviderUrl?testQuery=test", - layerData + layerData, ); const rootNode = new I3SNode(mockI3SLayerWithNodePages, 0, true); const childNode = new I3SNode(rootNode, 1, false); @@ -2050,12 +1998,12 @@ describe("Scene/I3SNode", function () { it("filtering by attributes can handle content with zero features length", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodeData) + Promise.resolve(nodeData), ); const mockI3SLayerWithNodePages = await createMockLayer( "mockProviderUrl?testQuery=test", - layerData + layerData, ); const rootNode = new I3SNode(mockI3SLayerWithNodePages, 0, true); const childNode = new I3SNode(rootNode, 1, false); @@ -2100,14 +2048,14 @@ describe("Scene/I3SNode", function () { it("filtering by attributes without filters", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodeData) + Promise.resolve(nodeData), ); spyOn(I3SField.prototype, "load").and.returnValue(Promise.resolve()); const mockI3SLayerWithNodePages = await createMockLayer( "mockProviderUrl?testQuery=test", - layerData + layerData, ); const rootNode = new I3SNode(mockI3SLayerWithNodePages, 0, true); const childNode = new I3SNode(rootNode, 1, false); @@ -2151,14 +2099,14 @@ describe("Scene/I3SNode", function () { it("filtering by attributes can handle fields without values", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodeData) + Promise.resolve(nodeData), ); spyOn(I3SField.prototype, "load").and.returnValue(Promise.resolve()); const mockI3SLayerWithNodePages = await createMockLayer( "mockProviderUrl?testQuery=test", - layerData + layerData, ); const rootNode = new I3SNode(mockI3SLayerWithNodePages, 0, true); const childNode = new I3SNode(rootNode, 1, false); @@ -2214,14 +2162,14 @@ describe("Scene/I3SNode", function () { const i3sFeature = new I3SFeature(i3sNode, "testNode"); expect( i3sFeature._resource.url.endsWith( - `nodes/${attributeResource.url}/testNode` - ) + `nodes/${attributeResource.url}/testNode`, + ), ).toEqual(true); }); it("can clear node geometry data", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodeData) + Promise.resolve(nodeData), ); spyOn(I3SField.prototype, "load").and.callFake(function () { @@ -2231,7 +2179,7 @@ describe("Scene/I3SNode", function () { const mockI3SLayerWithNodePages = await createMockLayer( "mockProviderUrl?testQuery=test", - layerData + layerData, ); const rootNode = new I3SNode(mockI3SLayerWithNodePages, 0, true); return rootNode.load().then(function () { @@ -2243,7 +2191,7 @@ describe("Scene/I3SNode", function () { it("creates 3d tile content with symbology", async function () { spyOn(I3SLayer.prototype, "_loadNodePage").and.returnValue( - Promise.resolve(nodeData) + Promise.resolve(nodeData), ); const mockI3SLayerWithNodePages = await createMockLayer( @@ -2252,17 +2200,17 @@ describe("Scene/I3SNode", function () { undefined, { applySymbology: true, - } + }, ); const rootNode = new I3SNode(mockI3SLayerWithNodePages, 0, true); const nodeWithMesh = new I3SNode(rootNode, 1, false); spyOn(nodeWithMesh._dataProvider, "_loadBinary").and.returnValue( - Promise.resolve(new ArrayBuffer()) + Promise.resolve(new ArrayBuffer()), ); spyOn(nodeWithMesh, "_loadFeatureData").and.returnValue(Promise.all([])); spyOn(I3SDecoder, "decode").and.returnValue( - Promise.resolve(i3sGeometryData) + Promise.resolve(i3sGeometryData), ); await rootNode.load(); @@ -2273,12 +2221,12 @@ describe("Scene/I3SNode", function () { expect(I3SDecoder.decode).toHaveBeenCalledTimes(2); expect(I3SDecoder.decode).toHaveBeenCalledWith( jasmine.stringContaining( - "mockProviderUrl/mockLayerUrl/nodes/1/geometries/1/?testQuery=test" + "mockProviderUrl/mockLayerUrl/nodes/1/geometries/1/?testQuery=test", ), jasmine.any(Object), jasmine.any(I3SGeometry), undefined, - jasmine.any(Object) + jasmine.any(Object), ); }); @@ -2289,23 +2237,23 @@ describe("Scene/I3SNode", function () { undefined, { applySymbology: true, - } + }, ); const nodeWithMesh = new I3SNode( mockI3SLayerWithoutNodePages, "mockNodeUrl", - true + true, ); spyOn(I3SDataProvider, "loadJson").and.returnValue( - Promise.resolve(nodeWithContent) + Promise.resolve(nodeWithContent), ); spyOn(nodeWithMesh._dataProvider, "_loadBinary").and.returnValue( - Promise.resolve(new ArrayBuffer()) + Promise.resolve(new ArrayBuffer()), ); spyOn(nodeWithMesh, "_loadFeatureData").and.returnValue(Promise.all([])); spyOn(I3SDecoder, "decode").and.returnValue( - Promise.resolve(i3sGeometryData) + Promise.resolve(i3sGeometryData), ); await nodeWithMesh.load(); @@ -2313,12 +2261,12 @@ describe("Scene/I3SNode", function () { expect(I3SDecoder.decode).toHaveBeenCalledWith( jasmine.stringContaining( - "mockProviderUrl/mockLayerUrl/mockNodeUrl/mockGeometryDataUrl?testQuery=test" + "mockProviderUrl/mockLayerUrl/mockNodeUrl/mockGeometryDataUrl?testQuery=test", ), jasmine.any(Object), jasmine.any(I3SGeometry), undefined, - jasmine.any(Object) + jasmine.any(Object), ); }); }); diff --git a/packages/engine/Specs/Scene/I3dmParserSpec.js b/packages/engine/Specs/Scene/I3dmParserSpec.js index e749db4fbca0..4e4d9f905760 100644 --- a/packages/engine/Specs/Scene/I3dmParserSpec.js +++ b/packages/engine/Specs/Scene/I3dmParserSpec.js @@ -112,5 +112,5 @@ describe( expect(getStringFromTypedArray(results.gltf)).toEqual(gltfUri); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/ImageBasedLightingSpec.js b/packages/engine/Specs/Scene/ImageBasedLightingSpec.js index 159d92a2a7a0..a129dd4ce0da 100644 --- a/packages/engine/Specs/Scene/ImageBasedLightingSpec.js +++ b/packages/engine/Specs/Scene/ImageBasedLightingSpec.js @@ -19,8 +19,8 @@ describe("Scene/ImageBasedLighting", function () { expect( Cartesian2.equals( imageBasedLighting.imageBasedLightingFactor, - new Cartesian2(1.0, 1.0) - ) + new Cartesian2(1.0, 1.0), + ), ).toBe(true); expect(imageBasedLighting.luminanceAtZenith).toEqual(0.2); expect(imageBasedLighting.sphericalHarmonicCoefficients).toBeUndefined(); @@ -73,14 +73,14 @@ describe("Scene/ImageBasedLighting", function () { expect( Cartesian2.equals( imageBasedLighting.imageBasedLightingFactor, - new Cartesian2(1.0, 1.0) - ) + new Cartesian2(1.0, 1.0), + ), ).toBe(true); expect( Cartesian2.equals( imageBasedLighting._previousImageBasedLightingFactor, - Cartesian2.ZERO - ) + Cartesian2.ZERO, + ), ).toBe(true); }); @@ -101,7 +101,7 @@ describe("Scene/ImageBasedLighting", function () { imageBasedLighting.sphericalHarmonicCoefficients = undefined; expect(imageBasedLighting.sphericalHarmonicCoefficients).toBeUndefined(); expect(imageBasedLighting._previousSphericalHarmonicCoefficients).toBe( - testCoefficients + testCoefficients, ); }); diff --git a/packages/engine/Specs/Scene/ImageryLayerCollectionSpec.js b/packages/engine/Specs/Scene/ImageryLayerCollectionSpec.js index 426ecf48a0ba..88a81e2fa6ac 100644 --- a/packages/engine/Specs/Scene/ImageryLayerCollectionSpec.js +++ b/packages/engine/Specs/Scene/ImageryLayerCollectionSpec.js @@ -308,12 +308,12 @@ describe( const ellipsoid = Ellipsoid.WGS84; camera.lookAt( new Cartesian3(ellipsoid.maximumRadius, 0.0, 0.0), - new Cartesian3(0.0, 0.0, 100.0) + new Cartesian3(0.0, 0.0, 100.0), ); const ray = new Ray( camera.position, - Cartesian3.negate(camera.direction, new Cartesian3()) + Cartesian3.negate(camera.direction, new Cartesian3()), ); const imagery = scene.imageryLayers.pickImageryLayers(ray, scene); expect(imagery).toBeUndefined(); @@ -323,7 +323,7 @@ describe( const ellipsoid = Ellipsoid.WGS84; camera.lookAt( new Cartesian3(ellipsoid.maximumRadius, 0.0, 0.0), - new Cartesian3(0.0, 0.0, 100.0) + new Cartesian3(0.0, 0.0, 100.0), ); const ray = new Ray(camera.position, camera.direction); @@ -335,7 +335,7 @@ describe( const ellipsoid = Ellipsoid.WGS84; camera.lookAt( new Cartesian3(ellipsoid.maximumRadius, 0.0, 0.0), - new Cartesian3(0.0, 0.0, 100.0) + new Cartesian3(0.0, 0.0, 100.0), ); camera.lookAtTransform(Matrix4.IDENTITY); return updateUntilDone(globe, scene).then(function () { @@ -366,7 +366,7 @@ describe( const ellipsoid = Ellipsoid.WGS84; camera.lookAt( new Cartesian3(ellipsoid.maximumRadius, 0.0, 0.0), - new Cartesian3(0.0, 0.0, 100.0) + new Cartesian3(0.0, 0.0, 100.0), ); camera.lookAtTransform(Matrix4.IDENTITY); return updateUntilDone(globe, scene).then(function () { @@ -414,7 +414,7 @@ describe( const ellipsoid = Ellipsoid.WGS84; camera.lookAt( new Cartesian3(ellipsoid.maximumRadius, 0.0, 0.0), - new Cartesian3(0.0, 0.0, 100.0) + new Cartesian3(0.0, 0.0, 100.0), ); camera.lookAtTransform(Matrix4.IDENTITY); return updateUntilDone(globe, scene).then(function () { @@ -454,16 +454,16 @@ describe( const ellipsoid = Ellipsoid.WGS84; camera.lookAt( new Cartesian3(ellipsoid.maximumRadius, 0.0, 0.0), - new Cartesian3(0.0, 0.0, 100.0) + new Cartesian3(0.0, 0.0, 100.0), ); const ray = new Ray( camera.position, - Cartesian3.negate(camera.direction, new Cartesian3()) + Cartesian3.negate(camera.direction, new Cartesian3()), ); const featuresPromise = scene.imageryLayers.pickImageryLayerFeatures( ray, - scene + scene, ); expect(featuresPromise).toBeUndefined(); }); @@ -472,13 +472,13 @@ describe( const ellipsoid = Ellipsoid.WGS84; camera.lookAt( new Cartesian3(ellipsoid.maximumRadius, 0.0, 0.0), - new Cartesian3(0.0, 0.0, 100.0) + new Cartesian3(0.0, 0.0, 100.0), ); const ray = new Ray(camera.position, camera.direction); const featuresPromise = scene.imageryLayers.pickImageryLayerFeatures( ray, - scene + scene, ); expect(featuresPromise).toBeUndefined(); }); @@ -505,13 +505,13 @@ describe( const ellipsoid = Ellipsoid.WGS84; camera.lookAt( new Cartesian3(ellipsoid.maximumRadius, 0.0, 0.0), - new Cartesian3(0.0, 0.0, 100.0) + new Cartesian3(0.0, 0.0, 100.0), ); const ray = new Ray(camera.position, camera.direction); const featuresPromise = scene.imageryLayers.pickImageryLayerFeatures( ray, - scene + scene, ); expect(featuresPromise).toBeUndefined(); }); @@ -543,13 +543,13 @@ describe( const ellipsoid = Ellipsoid.WGS84; camera.lookAt( new Cartesian3(ellipsoid.maximumRadius, 0.0, 0.0), - new Cartesian3(0.0, 0.0, 100.0) + new Cartesian3(0.0, 0.0, 100.0), ); const ray = new Ray(camera.position, camera.direction); const featuresPromise = scene.imageryLayers.pickImageryLayerFeatures( ray, - scene + scene, ); expect(featuresPromise).toBeUndefined(); }); @@ -588,14 +588,14 @@ describe( const ellipsoid = Ellipsoid.WGS84; camera.lookAt( new Cartesian3(ellipsoid.maximumRadius, 0.0, 0.0), - new Cartesian3(0.0, 0.0, 100.0) + new Cartesian3(0.0, 0.0, 100.0), ); camera.lookAtTransform(Matrix4.IDENTITY); const ray = new Ray(camera.position, camera.direction); const featuresPromise = scene.imageryLayers.pickImageryLayerFeatures( ray, - scene + scene, ); expect(featuresPromise).toBeDefined(); @@ -670,14 +670,14 @@ describe( const ellipsoid = Ellipsoid.WGS84; camera.lookAt( new Cartesian3(ellipsoid.maximumRadius, 0.0, 0.0), - new Cartesian3(0.0, 0.0, 100.0) + new Cartesian3(0.0, 0.0, 100.0), ); camera.lookAtTransform(Matrix4.IDENTITY); const ray = new Ray(camera.position, camera.direction); const featuresPromise = scene.imageryLayers.pickImageryLayerFeatures( ray, - scene + scene, ); expect(featuresPromise).toBeDefined(); @@ -700,7 +700,7 @@ describe( -Math.PI, -WebMercatorProjection.MaximumLatitude, Math.PI, - WebMercatorProjection.MaximumLatitude + WebMercatorProjection.MaximumLatitude, ), tileWidth: 256, tileHeight: 256, @@ -739,7 +739,7 @@ describe( const ray = new Ray(camera.position, camera.direction); const featuresPromise = scene.imageryLayers.pickImageryLayerFeatures( ray, - scene + scene, ); expect(featuresPromise).toBeDefined(); @@ -753,5 +753,5 @@ describe( }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/ImageryLayerSpec.js b/packages/engine/Specs/Scene/ImageryLayerSpec.js index 1ee0381faf21..5ec56fae243d 100644 --- a/packages/engine/Specs/Scene/ImageryLayerSpec.js +++ b/packages/engine/Specs/Scene/ImageryLayerSpec.js @@ -78,13 +78,13 @@ describe( it("fromProviderAsync throws without provider promise", function () { expect(() => ImageryLayer.fromProviderAsync()).toThrowDeveloperError( - "expected" + "expected", ); }); it("readyEvent is raised when asynchronous provider become ready", async function () { const providerPromise = SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); const layer = ImageryLayer.fromProviderAsync(providerPromise); expect(layer.ready).toBe(false); @@ -118,12 +118,12 @@ describe( Resource._Implementations.createImage = function ( request, crossOrigin, - deferred + deferred, ) { Resource._DefaultImplementations.createImage( new Request({ url: "Data/Images/Red16x16.png" }), crossOrigin, - deferred + deferred, ); }; @@ -134,7 +134,7 @@ describe( data, headers, deferred, - overrideMimeType + overrideMimeType, ) { Resource._DefaultImplementations.loadWithXhr( "Data/Images/Red16x16.png", @@ -142,7 +142,7 @@ describe( method, data, headers, - deferred + deferred, ); }; @@ -174,7 +174,7 @@ describe( async function createWebMercatorProvider() { Resource._Implementations.loadAndExecuteScript = function ( url, - functionName + functionName, ) { window[functionName]({ authenticationResultCode: "ValidCredentials", @@ -213,12 +213,12 @@ describe( Resource._Implementations.createImage = function ( request, crossOrigin, - deferred + deferred, ) { Resource._DefaultImplementations.createImage( new Request({ url: "Data/Images/Red16x16.png" }), crossOrigin, - deferred + deferred, ); }; @@ -229,7 +229,7 @@ describe( data, headers, deferred, - overrideMimeType + overrideMimeType, ) { Resource._DefaultImplementations.loadWithXhr( "Data/Images/Red16x16.png", @@ -237,7 +237,7 @@ describe( method, data, headers, - deferred + deferred, ); }; @@ -275,10 +275,10 @@ describe( expect(imagery.texture).toBeDefined(); expect(imagery.texture.sampler).toBeDefined(); expect(imagery.texture.sampler.minificationFilter).toEqual( - TextureMinificationFilter.LINEAR_MIPMAP_LINEAR + TextureMinificationFilter.LINEAR_MIPMAP_LINEAR, ); expect(imagery.texture.sampler.magnificationFilter).toEqual( - TextureMinificationFilter.LINEAR + TextureMinificationFilter.LINEAR, ); expect(textureBeforeReprojection).not.toEqual(imagery.texture); imagery.releaseReference(); @@ -356,10 +356,10 @@ describe( expect(imagery.texture).toBeDefined(); expect(imagery.texture.sampler).toBeDefined(); expect(imagery.texture.sampler.minificationFilter).toEqual( - TextureMinificationFilter.LINEAR_MIPMAP_LINEAR + TextureMinificationFilter.LINEAR_MIPMAP_LINEAR, ); expect(imagery.texture.sampler.magnificationFilter).toEqual( - TextureMinificationFilter.LINEAR + TextureMinificationFilter.LINEAR, ); expect(textureBeforeReprojection).not.toEqual(imagery.texture); imagery.releaseReference(); @@ -373,12 +373,12 @@ describe( Resource._Implementations.createImage = function ( request, crossOrigin, - deferred + deferred, ) { Resource._DefaultImplementations.createImage( new Request({ url: "Data/Images/Red256x256.png" }), crossOrigin, - deferred + deferred, ); }; @@ -390,7 +390,7 @@ describe( 13.39657249732205, 52.49127999816725, 13.42722986993895, - 52.50998943590507 + 52.50998943590507, ), }); const layer = new ImageryLayer(provider); @@ -418,10 +418,10 @@ describe( expect(imagery.texture).toBeDefined(); expect(imagery.texture.sampler).toBeDefined(); expect(imagery.texture.sampler.minificationFilter).toEqual( - TextureMinificationFilter.LINEAR_MIPMAP_LINEAR + TextureMinificationFilter.LINEAR_MIPMAP_LINEAR, ); expect(imagery.texture.sampler.magnificationFilter).toEqual( - TextureMinificationFilter.LINEAR + TextureMinificationFilter.LINEAR, ); expect(imagery.texture).toBe(imagery.textureWebMercator); imagery.releaseReference(); @@ -457,7 +457,7 @@ describe( it("basic properties work as expected", async function () { const provider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); const rectangle = new Rectangle(0.1, 0.2, 0.3, 0.4); @@ -472,16 +472,16 @@ describe( it("allows setting texture filter properties", async function () { const provider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); // expect default LINEAR let layer = new ImageryLayer(provider); expect(layer.minificationFilter).toEqual( - TextureMinificationFilter.LINEAR + TextureMinificationFilter.LINEAR, ); expect(layer.magnificationFilter).toEqual( - TextureMagnificationFilter.LINEAR + TextureMagnificationFilter.LINEAR, ); layer.destroy(); @@ -491,10 +491,10 @@ describe( magnificationFilter: TextureMagnificationFilter.NEAREST, }); expect(layer.minificationFilter).toEqual( - TextureMinificationFilter.NEAREST + TextureMinificationFilter.NEAREST, ); expect(layer.magnificationFilter).toEqual( - TextureMagnificationFilter.NEAREST + TextureMagnificationFilter.NEAREST, ); const imagery = new Imagery(layer, 0, 0, 0); @@ -508,10 +508,10 @@ describe( layer._createTexture(scene.context, imagery); const sampler = imagery.texture.sampler; expect(sampler.minificationFilter).toEqual( - TextureMinificationFilter.NEAREST + TextureMinificationFilter.NEAREST, ); expect(sampler.magnificationFilter).toEqual( - TextureMinificationFilter.NEAREST + TextureMinificationFilter.NEAREST, ); imagery.releaseReference(); layer.destroy(); @@ -529,7 +529,7 @@ describe( { usePreCachedTilesIfAvailable: false, tileDiscardPolicy: new NeverTileDiscardPolicy(), - } + }, ); let errorRaised = false; @@ -555,7 +555,7 @@ describe( "Data/Images/Green4x4.png", { rectangle: providerRectangle, - } + }, ); const layerRectangle = Rectangle.fromDegrees(7.2, 60.9, 9.0, 61.7); @@ -564,22 +564,21 @@ describe( }); expect(layer.getImageryRectangle()).toEqual( - Rectangle.intersection(providerRectangle, layerRectangle) + Rectangle.intersection(providerRectangle, layerRectangle), ); }); describe("createTileImagerySkeletons", function () { it("handles a base layer that does not cover the entire globe", async function () { - const provider = await TileMapServiceImageryProvider.fromUrl( - "Data/TMS/SmallArea" - ); + const provider = + await TileMapServiceImageryProvider.fromUrl("Data/TMS/SmallArea"); const layers = new ImageryLayerCollection(); const layer = layers.addImageryProvider(provider); const terrainProvider = new EllipsoidTerrainProvider(); const tiles = QuadtreeTile.createLevelZeroTiles( - terrainProvider.tilingScheme + terrainProvider.tilingScheme, ); tiles[0].data = new GlobeSurfaceTile(); tiles[1].data = new GlobeSurfaceTile(); @@ -614,18 +613,17 @@ describe( // triggers an exception (use of an undefined reference). const wholeWorldProvider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Blue.png" - ); - const provider = await TileMapServiceImageryProvider.fromUrl( - "Data/TMS/SmallArea" + "Data/Images/Blue.png", ); + const provider = + await TileMapServiceImageryProvider.fromUrl("Data/TMS/SmallArea"); const layers = new ImageryLayerCollection(); const wholeWorldLayer = layers.addImageryProvider(wholeWorldProvider); const terrainProvider = new EllipsoidTerrainProvider(); let tiles = QuadtreeTile.createLevelZeroTiles( - terrainProvider.tilingScheme + terrainProvider.tilingScheme, ); tiles[0].data = new GlobeSurfaceTile(); tiles[1].data = new GlobeSurfaceTile(); @@ -657,11 +655,10 @@ describe( it("handles a non-base layer that does not cover the entire globe", async function () { const baseProvider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Green4x4.png" - ); - const provider = await TileMapServiceImageryProvider.fromUrl( - "Data/TMS/SmallArea" + "Data/Images/Green4x4.png", ); + const provider = + await TileMapServiceImageryProvider.fromUrl("Data/TMS/SmallArea"); const layers = new ImageryLayerCollection(); layers.addImageryProvider(baseProvider); @@ -669,7 +666,7 @@ describe( const terrainProvider = new EllipsoidTerrainProvider(); const tiles = QuadtreeTile.createLevelZeroTiles( - terrainProvider.tilingScheme + terrainProvider.tilingScheme, ); tiles[0].data = new GlobeSurfaceTile(); tiles[1].data = new GlobeSurfaceTile(); @@ -681,52 +678,52 @@ describe( // And the imagery should not cover it completely. expect(tiles[0].data.imagery.length).toBe(4); expect(tiles[0].data.imagery[0].textureCoordinateRectangle.x).not.toBe( - 0.0 + 0.0, ); expect(tiles[0].data.imagery[0].textureCoordinateRectangle.y).not.toBe( - 0.0 + 0.0, ); expect(tiles[0].data.imagery[0].textureCoordinateRectangle.z).not.toBe( - 1.0 + 1.0, ); expect(tiles[0].data.imagery[0].textureCoordinateRectangle.w).not.toBe( - 1.0 + 1.0, ); expect(tiles[0].data.imagery[1].textureCoordinateRectangle.x).not.toBe( - 0.0 + 0.0, ); expect(tiles[0].data.imagery[1].textureCoordinateRectangle.y).not.toBe( - 0.0 + 0.0, ); expect(tiles[0].data.imagery[1].textureCoordinateRectangle.z).not.toBe( - 1.0 + 1.0, ); expect(tiles[0].data.imagery[1].textureCoordinateRectangle.w).not.toBe( - 1.0 + 1.0, ); expect(tiles[0].data.imagery[2].textureCoordinateRectangle.x).not.toBe( - 0.0 + 0.0, ); expect(tiles[0].data.imagery[2].textureCoordinateRectangle.y).not.toBe( - 0.0 + 0.0, ); expect(tiles[0].data.imagery[2].textureCoordinateRectangle.z).not.toBe( - 1.0 + 1.0, ); expect(tiles[0].data.imagery[2].textureCoordinateRectangle.w).not.toBe( - 1.0 + 1.0, ); expect(tiles[0].data.imagery[3].textureCoordinateRectangle.x).not.toBe( - 0.0 + 0.0, ); expect(tiles[0].data.imagery[3].textureCoordinateRectangle.y).not.toBe( - 0.0 + 0.0, ); expect(tiles[0].data.imagery[3].textureCoordinateRectangle.z).not.toBe( - 1.0 + 1.0, ); expect(tiles[0].data.imagery[3].textureCoordinateRectangle.w).not.toBe( - 1.0 + 1.0, ); expect(tiles[1].data.imagery.length).toBe(0); @@ -734,7 +731,7 @@ describe( it("honors the minimumTerrainLevel and maximumTerrainLevel properties", async function () { const provider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Green4x4.png" + "Data/Images/Green4x4.png", ); const layer = new ImageryLayer(provider, { @@ -748,7 +745,7 @@ describe( const terrainProvider = new EllipsoidTerrainProvider(); const level0 = QuadtreeTile.createLevelZeroTiles( - terrainProvider.tilingScheme + terrainProvider.tilingScheme, ); const level1 = level0[0].children; const level2 = level1[0].children; @@ -784,7 +781,7 @@ describe( it("honors limited extent of non-base ImageryLayer", async function () { const provider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Green4x4.png" + "Data/Images/Green4x4.png", ); const layer = new ImageryLayer(provider, { @@ -793,7 +790,7 @@ describe( const layers = new ImageryLayerCollection(); const provider2 = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); layers.addImageryProvider(provider2); layers.add(layer); @@ -801,7 +798,7 @@ describe( const terrainProvider = new EllipsoidTerrainProvider(); const tiles = QuadtreeTile.createLevelZeroTiles( - terrainProvider.tilingScheme + terrainProvider.tilingScheme, ); tiles[0].data = new GlobeSurfaceTile(); tiles[1].data = new GlobeSurfaceTile(); @@ -824,5 +821,5 @@ describe( }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Implicit3DTileContentSpec.js b/packages/engine/Specs/Scene/Implicit3DTileContentSpec.js index b48e080ced12..1f743ef08afc 100644 --- a/packages/engine/Specs/Scene/Implicit3DTileContentSpec.js +++ b/packages/engine/Specs/Scene/Implicit3DTileContentSpec.js @@ -131,7 +131,7 @@ describe( implicitTileset = new ImplicitTileset( tilesetResource, tileJson, - metadataSchema + metadataSchema, ); rootCoordinates = new ImplicitTileCoordinates({ @@ -184,7 +184,7 @@ describe( mockTileset, mockPlaceholderTile, tilesetResource, - quadtreeJson + quadtreeJson, ); const expectedChildrenCounts = [4, 0, 0, 0, 0]; const tiles = []; @@ -204,7 +204,7 @@ describe( tilesetResource, undefined, quadtreeBuffer, - 0 + 0, ); const expectedChildrenCounts = [2, 4, 0, 0, 0, 0, 4, 0, 0, 0, 0]; const tiles = []; @@ -224,7 +224,7 @@ describe( tilesetResource, undefined, quadtreeBuffer, - 0 + 0, ); const expectedCoordinates = [ [0, 0, 0], @@ -269,7 +269,7 @@ describe( tilesetResource, undefined, quadtreeBuffer, - 0 + 0, ); const refine = implicitTileset.refine === "ADD" @@ -287,13 +287,13 @@ describe( rootBoundingVolume, parentCoordinates.level, parentCoordinates.x, - parentCoordinates.y + parentCoordinates.y, ); const childBox = Implicit3DTileContent._deriveBoundingBox( rootBoundingVolume, childCoordinates.level, childCoordinates.x, - childCoordinates.y + childCoordinates.y, ); const subtreeRootTile = mockPlaceholderTile.children[0]; @@ -322,7 +322,7 @@ describe( tilesetResource, undefined, quadtreeBuffer, - 0 + 0, ); expect(mockPlaceholderTile.children.length).toEqual(1); }); @@ -334,7 +334,7 @@ describe( tilesetResource, undefined, quadtreeBuffer, - 0 + 0, ); const tiles = []; const subtreeRootTile = mockPlaceholderTile.children[0]; @@ -351,7 +351,7 @@ describe( tilesetResource, undefined, quadtreeBuffer, - 0 + 0, ); expect(mockPlaceholderTile.children[0].extras).toEqual(tileJson.extras); }); @@ -363,7 +363,7 @@ describe( tilesetResource, undefined, quadtreeBuffer, - 0 + 0, ); expect(mockPlaceholderTile.implicitSubtree).not.toBeDefined(); @@ -385,7 +385,7 @@ describe( tilesetResource, undefined, quadtreeBuffer, - 0 + 0, ); expect(mockPlaceholderTile.implicitSubtree).not.toBeDefined(); @@ -405,7 +405,7 @@ describe( tilesetResource, undefined, quadtreeBuffer, - 0 + 0, ); const subtree = content._implicitSubtree; expect(content.isDestroyed()).toBe(false); @@ -423,7 +423,7 @@ describe( tilesetResource, undefined, quadtreeBuffer, - 0 + 0, ); expect(content.featurePropertiesDirty).toBe(false); @@ -446,7 +446,7 @@ describe( tilesetResource, undefined, quadtreeBuffer, - 0 + 0, ); expect(content.url).toBe("https://example.com/0/0/0.subtree"); }); @@ -458,7 +458,7 @@ describe( tilesetResource, undefined, quadtreeBuffer, - 0 + 0, ); const expectedCoordinates = [ [0, 0, 0], @@ -498,7 +498,7 @@ describe( tilesetResource, undefined, quadtreeBuffer, - 0 + 0, ); const expectedCoordinates = [ [2, 0, 0], @@ -530,7 +530,7 @@ describe( }); const placeholderTile = tiles[i]; expect(placeholderTile._contentResource.url).toEqual( - expectedResource.url + expectedResource.url, ); expect(placeholderTile.implicitTileset).toBeDefined(); expect(placeholderTile.implicitCoordinates).toBeDefined(); @@ -548,7 +548,7 @@ describe( tilesetResource, undefined, quadtreeBuffer, - 0 + 0, ); const refine = implicitTileset.refine === "ADD" @@ -569,7 +569,7 @@ describe( tilesetResource, undefined, quadtreeBuffer, - 0 + 0, ); const rootGeometricError = implicitTileset.geometricError; const subtreeRootTile = mockPlaceholderTile.children[0]; @@ -578,7 +578,7 @@ describe( for (let i = 0; i < tiles.length; i++) { const level = tiles[i].implicitCoordinates.level; expect(tiles[i].geometricError).toEqual( - rootGeometricError / Math.pow(2, level) + rootGeometricError / Math.pow(2, level), ); } }); @@ -590,7 +590,7 @@ describe( tilesetResource, undefined, quadtreeBuffer, - 0 + 0, ); const expectedCoordinates = [ [0, 0, 0], @@ -624,7 +624,7 @@ describe( rootBoundingVolume, coordinates[0], coordinates[1], - coordinates[2] + coordinates[2], ); expect(childBox).toEqual(expectedBounds); } @@ -637,11 +637,11 @@ describe( tilesetResource, undefined, quadtreeBuffer, - 0 + 0, ); const subtreeRootTile = mockPlaceholderTile.children[0]; expect(subtreeRootTile.computedTransform).toEqual( - mockPlaceholderTile.transform + mockPlaceholderTile.transform, ); }); @@ -654,7 +654,7 @@ describe( maximumHeight: 10, }; const simpleBoundingVolumeS2Cell = new TileBoundingS2Cell( - simpleBoundingVolumeS2 + simpleBoundingVolumeS2, ); const implicitTilesetS2 = { boundingVolume: { @@ -718,7 +718,7 @@ describe( 0, 0, 0, - 0 + 0, ); expect(result).toEqual(implicitTilesetS2.boundingVolume); expect(result).not.toBe(implicitTilesetS2.boundingVolume); @@ -780,7 +780,7 @@ describe( 1, 0, 0, - 0 + 0, ); expect(result0).toEqual({ extensions: { @@ -794,7 +794,7 @@ describe( 1, 0, 0, - 0 + 0, ); expect(result1).toEqual({ extensions: { @@ -893,7 +893,7 @@ describe( eastDegrees, northDegrees, minimumHeight, - maximumHeight + maximumHeight, ) { return [ CesiumMath.toRadians(westDegrees), @@ -941,7 +941,7 @@ describe( it("a single content is transcoded as a regular tile", function () { return Cesium3DTilesTester.loadTileset( scene, - implicitMultipleContentsUrl + implicitMultipleContentsUrl, ).then(function (tileset) { // The root tile of this tileset only has one available content const transcodedRoot = tileset.root.children[0]; @@ -957,7 +957,7 @@ describe( it("multiple contents are transcoded to a tile", function () { return Cesium3DTilesTester.loadTileset( scene, - implicitMultipleContentsUrl + implicitMultipleContentsUrl, ).then(function (tileset) { const childTiles = tileset.root.children[0].children; for (let i = 0; i < childTiles.length; i++) { @@ -983,7 +983,7 @@ describe( it("a single content is transcoded as a regular tile (legacy)", function () { return Cesium3DTilesTester.loadTileset( scene, - implicitMultipleContentsLegacyUrl + implicitMultipleContentsLegacyUrl, ).then(function (tileset) { // The root tile of this tileset only has one available content const transcodedRoot = tileset.root.children[0]; @@ -999,7 +999,7 @@ describe( it("a single content is transcoded as a regular tile (legacy with 'content')", function () { return Cesium3DTilesTester.loadTileset( scene, - implicitMultipleContentsLegacyWithContentUrl + implicitMultipleContentsLegacyWithContentUrl, ).then(function (tileset) { // The root tile of this tileset only has one available content const transcodedRoot = tileset.root.children[0]; @@ -1015,7 +1015,7 @@ describe( it("multiple contents are transcoded to a tile (legacy)", function () { return Cesium3DTilesTester.loadTileset( scene, - implicitMultipleContentsLegacyUrl + implicitMultipleContentsLegacyUrl, ).then(function (tileset) { const childTiles = tileset.root.children[0].children; for (let i = 0; i < childTiles.length; i++) { @@ -1032,7 +1032,7 @@ describe( it("multiple contents are transcoded to a tile (legacy with 'content')", function () { return Cesium3DTilesTester.loadTileset( scene, - implicitMultipleContentsLegacyWithContentUrl + implicitMultipleContentsLegacyWithContentUrl, ).then(function (tileset) { const childTiles = tileset.root.children[0].children; for (let i = 0; i < childTiles.length; i++) { @@ -1077,7 +1077,7 @@ describe( return Cesium3DTilesTester.loadTileset( scene, - implicitMultipleContentsLegacyUrl + implicitMultipleContentsLegacyUrl, ).then(function (tileset) { // the placeholder tile does not have any extensions. const placeholderTile = tileset.root; @@ -1100,7 +1100,7 @@ describe( const childTileHeader = childTile._header; expect(childTileHeader.extensions["3DTILES_extension"]).toEqual( - otherExtension + otherExtension, ); const innerContentHeaders = childTileHeader.contents; @@ -1181,14 +1181,14 @@ describe( metadata: groupMetadata, }); expect(content.group.metadata).toBe(groupMetadata); - } + }, ); }); it("group metadata gets transcoded correctly", function () { return Cesium3DTilesTester.loadTileset( scene, - implicitGroupMetadataUrl + implicitGroupMetadataUrl, ).then(function (tileset) { const placeholderTile = tileset.root; const subtreeRootTile = placeholderTile.children[0]; @@ -1198,13 +1198,13 @@ describe( const groups = tileset.metadataExtension.groups; const ground = groups[0]; expect(ground.getProperty("color")).toEqual( - new Cartesian3(120, 68, 32) + new Cartesian3(120, 68, 32), ); expect(ground.getProperty("priority")).toBe(0); const sky = groups[1]; expect(sky.getProperty("color")).toEqual( - new Cartesian3(206, 237, 242) + new Cartesian3(206, 237, 242), ); expect(sky.getProperty("priority")).toBe(1); @@ -1225,7 +1225,7 @@ describe( it("assigning content metadata throws", function () { return Cesium3DTilesTester.loadTileset( scene, - implicitContentMetadataUrl + implicitContentMetadataUrl, ).then(function (tileset) { expect(function () { const placeholderTile = tileset.root; @@ -1238,7 +1238,7 @@ describe( it("content metadata gets transcoded correctly", function () { return Cesium3DTilesTester.loadTileset( scene, - implicitContentMetadataUrl + implicitContentMetadataUrl, ).then(function (tileset) { const expectedHeights = [10, 20, 0, 30, 40]; const expectedColors = [ @@ -1266,10 +1266,10 @@ describe( expect(metadata.getProperty("color")).not.toBeDefined(); } else { expect(metadata.getProperty("height")).toBe( - expectedHeights[index] + expectedHeights[index], ); expect(metadata.getProperty("color")).toEqual( - expectedColors[index] + expectedColors[index], ); } } @@ -1279,7 +1279,7 @@ describe( it("multiple content metadata views get transcoded correctly", function () { return Cesium3DTilesTester.loadTileset( scene, - implicitMultipleContentsMetadataUrl + implicitMultipleContentsMetadataUrl, ).then(function (tileset) { const expectedHeights = [10, 20, 30, 40, 50]; const expectedColors = [ @@ -1313,10 +1313,10 @@ describe( } expect(buildingMetadata.getProperty("height")).toBe( - expectedHeights[index] + expectedHeights[index], ); expect(buildingMetadata.getProperty("color")).toEqual( - expectedColors[index] + expectedColors[index], ); if (i === 0) { @@ -1326,7 +1326,7 @@ describe( const treeContent = tile.content.innerContents[1]; const treeMetadata = treeContent.metadata; expect(treeMetadata.getProperty("age")).toEqual( - expectedAges[index - 1] + expectedAges[index - 1], ); } }); @@ -1343,7 +1343,7 @@ describe( viewCartographicOrigin(10000); return Cesium3DTilesTester.loadTileset( scene, - implicitHeightSemanticsUrl + implicitHeightSemanticsUrl, ).then(function (tileset) { const placeholderTile = tileset.root; const subtreeRootTile = placeholderTile.children[0]; @@ -1369,7 +1369,7 @@ describe( viewCartographicOrigin(10000); return Cesium3DTilesTester.loadTileset( scene, - implicitS2HeightSemanticsUrl + implicitS2HeightSemanticsUrl, ).then(function (tileset) { const placeholderTile = tileset.root; const subtreeRootTile = placeholderTile.children[0]; @@ -1428,7 +1428,7 @@ describe( viewCartographicOrigin(cameraHeight); return Cesium3DTilesTester.loadTileset( scene, - implicitHeightSemanticsUrl + implicitHeightSemanticsUrl, ).then(function (tileset) { const placeholderTile = tileset.root; const subtreeRootTile = placeholderTile.children[0]; @@ -1452,14 +1452,14 @@ describe( viewCartographicOrigin(124000); return Cesium3DTilesTester.loadTileset( scene, - implicitTileBoundingVolumeSemanticsUrl + implicitTileBoundingVolumeSemanticsUrl, ).then(function (tileset) { const placeholderTile = tileset.root.children[0]; const subtreeRootTile = placeholderTile.children[0]; const rootHalfWidth = 2048; expect(getHalfWidth(subtreeRootTile.boundingVolume)).toBe( - rootHalfWidth + rootHalfWidth, ); for (let level = 1; level < 4; level++) { @@ -1482,7 +1482,7 @@ describe( viewCartographicOrigin(10000); return Cesium3DTilesTester.loadTileset( scene, - implicitHeightAndSphereSemanticsUrl + implicitHeightAndSphereSemanticsUrl, ).then(function (tileset) { const placeholderTile = tileset.root; const subtreeRootTile = placeholderTile.children[0]; @@ -1511,7 +1511,7 @@ describe( viewCartographicOrigin(10000); return Cesium3DTilesTester.loadTileset( scene, - implicitHeightAndRegionSemanticsUrl + implicitHeightAndRegionSemanticsUrl, ).then(function (tileset) { const placeholderTile = tileset.root; const subtreeRootTile = placeholderTile.children[0]; @@ -1548,7 +1548,7 @@ describe( viewCartographicOrigin(124000); return Cesium3DTilesTester.loadTileset( scene, - implicitContentBoundingVolumeSemanticsUrl + implicitContentBoundingVolumeSemanticsUrl, ).then(function (tileset) { const placeholderTile = tileset.root.children[0]; const subtreeRootTile = placeholderTile.children[0]; @@ -1560,7 +1560,7 @@ describe( gatherTilesPreorder(subtreeRootTile, 0, 3, tiles); tiles.forEach(function (tile) { expect( - tile.contentBoundingVolume instanceof TileBoundingSphere + tile.contentBoundingVolume instanceof TileBoundingSphere, ).toBe(true); expect(tile.contentBoundingVolume).not.toBe(tile.boundingVolume); }); @@ -1571,7 +1571,7 @@ describe( viewCartographicOrigin(10000); return Cesium3DTilesTester.loadTileset( scene, - implicitContentHeightSemanticsUrl + implicitContentHeightSemanticsUrl, ).then(function (tileset) { const placeholderTile = tileset.root; const subtreeRootTile = placeholderTile.children[0]; @@ -1598,7 +1598,7 @@ describe( viewCartographicOrigin(10000); return Cesium3DTilesTester.loadTileset( scene, - implicitContentHeightAndRegionSemanticsUrl + implicitContentHeightAndRegionSemanticsUrl, ).then(function (tileset) { const placeholderTile = tileset.root; const subtreeRootTile = placeholderTile.children[0]; @@ -1635,7 +1635,7 @@ describe( viewCartographicOrigin(10000); return Cesium3DTilesTester.loadTileset( scene, - implicitGeometricErrorSemanticsUrl + implicitGeometricErrorSemanticsUrl, ).then(function (tileset) { const placeholderTile = tileset.root; const subtreeRootTile = placeholderTile.children[0]; @@ -1687,7 +1687,7 @@ describe( it("group metadata gets transcoded correctly (legacy)", function () { return Cesium3DTilesTester.loadTileset( scene, - implicitGroupMetadataLegacyUrl + implicitGroupMetadataLegacyUrl, ).then(function (tileset) { const placeholderTile = tileset.root; const subtreeRootTile = placeholderTile.children[0]; @@ -1697,13 +1697,13 @@ describe( const groups = tileset.metadataExtension.groups; const ground = groups[0]; expect(ground.getProperty("color")).toEqual( - new Cartesian3(120, 68, 32) + new Cartesian3(120, 68, 32), ); expect(ground.getProperty("priority")).toBe(0); const sky = groups[1]; expect(sky.getProperty("color")).toEqual( - new Cartesian3(206, 237, 242) + new Cartesian3(206, 237, 242), ); expect(sky.getProperty("priority")).toBe(1); @@ -1724,7 +1724,7 @@ describe( it("content metadata gets transcoded correctly (legacy)", function () { return Cesium3DTilesTester.loadTileset( scene, - implicitContentMetadataLegacyUrl + implicitContentMetadataLegacyUrl, ).then(function (tileset) { const expectedHeights = [10, 20, 0, 30, 40]; const expectedColors = [ @@ -1752,10 +1752,10 @@ describe( expect(metadata.getProperty("color")).not.toBeDefined(); } else { expect(metadata.getProperty("height")).toBe( - expectedHeights[index] + expectedHeights[index], ); expect(metadata.getProperty("color")).toEqual( - expectedColors[index] + expectedColors[index], ); } } @@ -1765,7 +1765,7 @@ describe( it("multiple content metadata views get transcoded correctly (legacy)", function () { return Cesium3DTilesTester.loadTileset( scene, - implicitMultipleContentsMetadataLegacyUrl + implicitMultipleContentsMetadataLegacyUrl, ).then(function (tileset) { const expectedHeights = [10, 20, 30, 40, 50]; const expectedColors = [ @@ -1799,10 +1799,10 @@ describe( } expect(buildingMetadata.getProperty("height")).toBe( - expectedHeights[index] + expectedHeights[index], ); expect(buildingMetadata.getProperty("color")).toEqual( - expectedColors[index] + expectedColors[index], ); if (i === 0) { @@ -1812,7 +1812,7 @@ describe( const treeContent = tile.content.innerContents[1]; const treeMetadata = treeContent.metadata; expect(treeMetadata.getProperty("age")).toEqual( - expectedAges[index - 1] + expectedAges[index - 1], ); } }); @@ -1829,7 +1829,7 @@ describe( viewCartographicOrigin(10000); return Cesium3DTilesTester.loadTileset( scene, - implicitHeightSemanticsLegacyUrl + implicitHeightSemanticsLegacyUrl, ).then(function (tileset) { const placeholderTile = tileset.root; const subtreeRootTile = placeholderTile.children[0]; @@ -1855,7 +1855,7 @@ describe( viewCartographicOrigin(10000); return Cesium3DTilesTester.loadTileset( scene, - implicitS2HeightSemanticsLegacyUrl + implicitS2HeightSemanticsLegacyUrl, ).then(function (tileset) { const placeholderTile = tileset.root; const subtreeRootTile = placeholderTile.children[0]; @@ -1914,7 +1914,7 @@ describe( viewCartographicOrigin(cameraHeight); return Cesium3DTilesTester.loadTileset( scene, - implicitHeightSemanticsLegacyUrl + implicitHeightSemanticsLegacyUrl, ).then(function (tileset) { const placeholderTile = tileset.root; const subtreeRootTile = placeholderTile.children[0]; @@ -1938,14 +1938,14 @@ describe( viewCartographicOrigin(124000); return Cesium3DTilesTester.loadTileset( scene, - implicitTileBoundingVolumeSemanticsLegacyUrl + implicitTileBoundingVolumeSemanticsLegacyUrl, ).then(function (tileset) { const placeholderTile = tileset.root.children[0]; const subtreeRootTile = placeholderTile.children[0]; const rootHalfWidth = 2048; expect(getHalfWidth(subtreeRootTile.boundingVolume)).toBe( - rootHalfWidth + rootHalfWidth, ); for (let level = 1; level < 4; level++) { @@ -1968,7 +1968,7 @@ describe( viewCartographicOrigin(10000); return Cesium3DTilesTester.loadTileset( scene, - implicitHeightAndSphereSemanticsLegacyUrl + implicitHeightAndSphereSemanticsLegacyUrl, ).then(function (tileset) { const placeholderTile = tileset.root; const subtreeRootTile = placeholderTile.children[0]; @@ -1997,7 +1997,7 @@ describe( viewCartographicOrigin(10000); return Cesium3DTilesTester.loadTileset( scene, - implicitHeightAndRegionSemanticsLegacyUrl + implicitHeightAndRegionSemanticsLegacyUrl, ).then(function (tileset) { const placeholderTile = tileset.root; const subtreeRootTile = placeholderTile.children[0]; @@ -2034,7 +2034,7 @@ describe( viewCartographicOrigin(124000); return Cesium3DTilesTester.loadTileset( scene, - implicitContentBoundingVolumeSemanticsLegacyUrl + implicitContentBoundingVolumeSemanticsLegacyUrl, ).then(function (tileset) { const placeholderTile = tileset.root.children[0]; const subtreeRootTile = placeholderTile.children[0]; @@ -2046,7 +2046,7 @@ describe( gatherTilesPreorder(subtreeRootTile, 0, 3, tiles); tiles.forEach(function (tile) { expect( - tile.contentBoundingVolume instanceof TileBoundingSphere + tile.contentBoundingVolume instanceof TileBoundingSphere, ).toBe(true); expect(tile.contentBoundingVolume).not.toBe(tile.boundingVolume); }); @@ -2057,7 +2057,7 @@ describe( viewCartographicOrigin(10000); return Cesium3DTilesTester.loadTileset( scene, - implicitContentHeightSemanticsLegacyUrl + implicitContentHeightSemanticsLegacyUrl, ).then(function (tileset) { const placeholderTile = tileset.root; const subtreeRootTile = placeholderTile.children[0]; @@ -2084,7 +2084,7 @@ describe( viewCartographicOrigin(10000); return Cesium3DTilesTester.loadTileset( scene, - implicitContentHeightAndRegionSemanticsLegacyUrl + implicitContentHeightAndRegionSemanticsLegacyUrl, ).then(function (tileset) { const placeholderTile = tileset.root; const subtreeRootTile = placeholderTile.children[0]; @@ -2121,7 +2121,7 @@ describe( viewCartographicOrigin(10000); return Cesium3DTilesTester.loadTileset( scene, - implicitGeometricErrorSemanticsLegacyUrl + implicitGeometricErrorSemanticsLegacyUrl, ).then(function (tileset) { const placeholderTile = tileset.root; const subtreeRootTile = placeholderTile.children[0]; @@ -2144,5 +2144,5 @@ describe( }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/ImplicitMetadataViewSpec.js b/packages/engine/Specs/Scene/ImplicitMetadataViewSpec.js index 089d3a3de96e..8df69ce9626a 100644 --- a/packages/engine/Specs/Scene/ImplicitMetadataViewSpec.js +++ b/packages/engine/Specs/Scene/ImplicitMetadataViewSpec.js @@ -205,7 +205,7 @@ describe("Scene/ImplicitMetadataView", function () { metadataQuadtree = new ImplicitTileset( tilesetResource, implicitQuadtreeJson, - metadataSchema + metadataSchema, ); rootCoordinates = new ImplicitTileCoordinates({ @@ -231,16 +231,15 @@ describe("Scene/ImplicitMetadataView", function () { let secondTreeView; beforeEach(async function () { - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); subtree = await ImplicitSubtree.fromSubtreeJson( subtreeResource, undefined, results.subtreeBuffer, metadataQuadtree, - rootCoordinates + rootCoordinates, ); tileView = new ImplicitMetadataView({ @@ -419,7 +418,7 @@ describe("Scene/ImplicitMetadataView", function () { it("getProperty returns the property value for the metadata table", function () { expect(tileView.getProperty("highlightColor")).toEqual( - new Cartesian3(255, 0, 0) + new Cartesian3(255, 0, 0), ); expect(tileView.getProperty("buildingCount")).toBe(100); @@ -432,10 +431,10 @@ describe("Scene/ImplicitMetadataView", function () { it("getProperty returns the correct value for metadata table views that point to the same table", function () { expect(tileView.getProperty("highlightColor")).toEqual( - new Cartesian3(255, 0, 0) + new Cartesian3(255, 0, 0), ); expect(secondTileView.getProperty("highlightColor")).toEqual( - new Cartesian3(255, 255, 0) + new Cartesian3(255, 255, 0), ); expect(buildingView.getProperty("buildingType")).toEqual("Residential"); @@ -500,17 +499,17 @@ describe("Scene/ImplicitMetadataView", function () { it("getPropertyBySemantic returns undefined when there's no property with the given semantic", function () { expect(tileView.getPropertyBySemantic("_AREA")).not.toBeDefined(); expect( - buildingView.getPropertyBySemantic("_HIGHLIGHT_COLOR") + buildingView.getPropertyBySemantic("_HIGHLIGHT_COLOR"), ).not.toBeDefined(); expect(treeView.getPropertyBySemantic("_BUILDING_TYPE")).not.toBeDefined(); }); it("getPropertyBySemantic returns the property value", function () { expect(tileView.getPropertyBySemantic("_HIGHLIGHT_COLOR")).toEqual( - new Cartesian3(255, 0, 0) + new Cartesian3(255, 0, 0), ); expect(buildingView.getPropertyBySemantic("_BUILDING_TYPE")).toEqual( - "Residential" + "Residential", ); expect(treeView.getPropertyBySemantic("_TREE_SPECIES")).toEqual("Oak"); }); @@ -522,52 +521,52 @@ describe("Scene/ImplicitMetadataView", function () { it("getPropertyBySemantic returns correct values for metadata table views that point to the same table", function () { expect(tileView.getPropertyBySemantic("_HIGHLIGHT_COLOR")).toEqual( - new Cartesian3(255, 0, 0) + new Cartesian3(255, 0, 0), ); expect(secondTileView.getPropertyBySemantic("_HIGHLIGHT_COLOR")).toEqual( - new Cartesian3(255, 255, 0) + new Cartesian3(255, 255, 0), ); expect(buildingView.getPropertyBySemantic("_BUILDING_TYPE")).toEqual( - "Residential" + "Residential", ); expect(secondBuildingView.getPropertyBySemantic("_BUILDING_TYPE")).toEqual( - "Commercial" + "Commercial", ); expect(treeView.getPropertyBySemantic("_TREE_SPECIES")).toEqual("Oak"); expect(secondTreeView.getPropertyBySemantic("_TREE_SPECIES")).toEqual( - "Pine" + "Pine", ); }); it("setPropertyBySemantic sets property value", function () { expect(tileView.getPropertyBySemantic("_HIGHLIGHT_COLOR")).toEqual( - new Cartesian3(255, 0, 0) + new Cartesian3(255, 0, 0), ); expect( tileView.setPropertyBySemantic( "_HIGHLIGHT_COLOR", - new Cartesian3(0, 0, 0) - ) + new Cartesian3(0, 0, 0), + ), ).toBe(true); expect(tileView.getPropertyBySemantic("_HIGHLIGHT_COLOR")).toEqual( - new Cartesian3(0, 0, 0) + new Cartesian3(0, 0, 0), ); expect(buildingView.getPropertyBySemantic("_BUILDING_TYPE")).toEqual( - "Residential" + "Residential", ); expect(buildingView.setPropertyBySemantic("_BUILDING_TYPE", "Other")).toBe( - true + true, ); expect(buildingView.getPropertyBySemantic("_BUILDING_TYPE")).toEqual( - "Other" + "Other", ); expect(treeView.getPropertyBySemantic("_TREE_SPECIES")).toEqual("Oak"); expect(treeView.setPropertyBySemantic("_TREE_SPECIES", "Chestnut")).toBe( - true + true, ); expect(treeView.getPropertyBySemantic("_TREE_SPECIES")).toEqual("Chestnut"); }); @@ -584,41 +583,41 @@ describe("Scene/ImplicitMetadataView", function () { it("setPropertyBySemantic sets the correct value for metadata table views that point to the same table", function () { expect(tileView.getPropertyBySemantic("_HIGHLIGHT_COLOR")).toEqual( - new Cartesian3(255, 0, 0) + new Cartesian3(255, 0, 0), ); expect( tileView.setPropertyBySemantic( "_HIGHLIGHT_COLOR", - new Cartesian3(0, 0, 0) - ) + new Cartesian3(0, 0, 0), + ), ).toBe(true); expect(tileView.getPropertyBySemantic("_HIGHLIGHT_COLOR")).toEqual( - new Cartesian3(0, 0, 0) + new Cartesian3(0, 0, 0), ); expect(secondTileView.getPropertyBySemantic("_HIGHLIGHT_COLOR")).toEqual( - new Cartesian3(255, 255, 0) + new Cartesian3(255, 255, 0), ); expect(buildingView.getPropertyBySemantic("_BUILDING_TYPE")).toEqual( - "Residential" + "Residential", ); expect(buildingView.setPropertyBySemantic("_BUILDING_TYPE", "Other")).toBe( - true + true, ); expect(buildingView.getPropertyBySemantic("_BUILDING_TYPE")).toEqual( - "Other" + "Other", ); expect(secondBuildingView.getPropertyBySemantic("_BUILDING_TYPE")).toEqual( - "Commercial" + "Commercial", ); expect(treeView.getPropertyBySemantic("_TREE_SPECIES")).toEqual("Oak"); expect(treeView.setPropertyBySemantic("_TREE_SPECIES", "Chestnut")).toBe( - true + true, ); expect(treeView.getPropertyBySemantic("_TREE_SPECIES")).toEqual("Chestnut"); expect(secondTreeView.getPropertyBySemantic("_TREE_SPECIES")).toEqual( - "Pine" + "Pine", ); }); @@ -627,14 +626,14 @@ describe("Scene/ImplicitMetadataView", function () { expect( buildingView.setPropertyBySemantic( "_HIGHLIGHT_COLOR", - new Cartesian3(255, 0, 0) - ) + new Cartesian3(255, 0, 0), + ), ).toBe(false); expect( treeView.setPropertyBySemantic( "_HIGHLIGHT_COLOR", - new Cartesian3(255, 0, 0) - ) + new Cartesian3(255, 0, 0), + ), ).toBe(false); }); }); diff --git a/packages/engine/Specs/Scene/ImplicitSubdivisionSchemeSpec.js b/packages/engine/Specs/Scene/ImplicitSubdivisionSchemeSpec.js index b1198d03251d..43983838d177 100644 --- a/packages/engine/Specs/Scene/ImplicitSubdivisionSchemeSpec.js +++ b/packages/engine/Specs/Scene/ImplicitSubdivisionSchemeSpec.js @@ -10,7 +10,7 @@ describe("Scene/ImplicitSubdivisionScheme", function () { for (let i = 0; i < treeTypes.length; i++) { expect(ImplicitSubdivisionScheme.getBranchingFactor(treeTypes[i])).toBe( - branchingFactors[i] + branchingFactors[i], ); } }); diff --git a/packages/engine/Specs/Scene/ImplicitSubtreeCacheSpec.js b/packages/engine/Specs/Scene/ImplicitSubtreeCacheSpec.js index 9cfafaac261a..8a2ac96aa031 100644 --- a/packages/engine/Specs/Scene/ImplicitSubtreeCacheSpec.js +++ b/packages/engine/Specs/Scene/ImplicitSubtreeCacheSpec.js @@ -55,7 +55,7 @@ describe("Scene/ImplicitSubtreeCache", function () { implicitOctree = new ImplicitTileset( tilesetResource, implicitOctreeJson, - metadataSchema + metadataSchema, ); }); @@ -80,7 +80,7 @@ describe("Scene/ImplicitSubtreeCache", function () { subtreeConstantJson, undefined, implicitOctree, - octreeCoordinates + octreeCoordinates, ); cache.addSubtree(subtree); expect(cache._subtreeRequestCounter).toBe(1); @@ -101,7 +101,7 @@ describe("Scene/ImplicitSubtreeCache", function () { subtreeConstantJson, undefined, implicitOctree, - octreeCoordinates + octreeCoordinates, ); expect(() => cache.addSubtree(subtree)).toThrowDeveloperError(); }); @@ -123,17 +123,17 @@ describe("Scene/ImplicitSubtreeCache", function () { await Promise.all( octreeCoordArray.map(async (octreeCoord) => { const octreeCoordinates = new ImplicitTileCoordinates( - Object.assign({}, octreeCoordParams, octreeCoord) + Object.assign({}, octreeCoordParams, octreeCoord), ); const subtree = await ImplicitSubtree.fromSubtreeJson( subtreeResource, subtreeConstantJson, undefined, implicitOctree, - octreeCoordinates + octreeCoordinates, ); cache.addSubtree(subtree); - }) + }), ); expect(cache._subtreeRequestCounter).toBe(4); expect(cache._queue.length).toBe(3); diff --git a/packages/engine/Specs/Scene/ImplicitSubtreeSpec.js b/packages/engine/Specs/Scene/ImplicitSubtreeSpec.js index 7e275c53ae28..9843af4215ad 100644 --- a/packages/engine/Specs/Scene/ImplicitSubtreeSpec.js +++ b/packages/engine/Specs/Scene/ImplicitSubtreeSpec.js @@ -32,7 +32,7 @@ describe("Scene/ImplicitSubtree", function () { const expectedAvailability = availabilityToBooleanArray(availability); for (let i = 0; i < availability.lengthBits; i++) { expect(subtree.tileIsAvailableAtIndex(i)).toEqual( - expectedAvailability[i] + expectedAvailability[i], ); // same as above, but using coordinates expect( @@ -40,9 +40,9 @@ describe("Scene/ImplicitSubtree", function () { ImplicitTileCoordinates.fromTileIndex( subtree.implicitCoordinates.subdivisionScheme, subtree.implicitCoordinates.subtreeLevels, - i - ) - ) + i, + ), + ), ).toEqual(expectedAvailability[i]); } } @@ -53,7 +53,7 @@ describe("Scene/ImplicitSubtree", function () { const expectedAvailability = availabilityToBooleanArray(availability); for (let j = 0; j < availability.lengthBits; j++) { expect(subtree.contentIsAvailableAtIndex(j, i)).toEqual( - expectedAvailability[j] + expectedAvailability[j], ); // same as above, but using coordinates expect( @@ -61,10 +61,10 @@ describe("Scene/ImplicitSubtree", function () { ImplicitTileCoordinates.fromTileIndex( subtree.implicitCoordinates.subdivisionScheme, subtree.implicitCoordinates.subtreeLevels, - j + j, ), - i - ) + i, + ), ).toEqual(expectedAvailability[j]); } } @@ -74,7 +74,7 @@ describe("Scene/ImplicitSubtree", function () { const expectedAvailability = availabilityToBooleanArray(availability); for (let i = 0; i < availability.lengthBits; i++) { expect(subtree.childSubtreeIsAvailableAtIndex(i)).toEqual( - expectedAvailability[i] + expectedAvailability[i], ); // same as above, but using coordinates expect( @@ -83,9 +83,9 @@ describe("Scene/ImplicitSubtree", function () { subtree.implicitCoordinates.subdivisionScheme, subtree.implicitCoordinates.subtreeLevels, subtree.implicitCoordinates.subtreeLevels, - i - ) - ) + i, + ), + ), ).toEqual(expectedAvailability[i]); } } @@ -217,7 +217,7 @@ describe("Scene/ImplicitSubtree", function () { implicitQuadtree = new ImplicitTileset( tilesetResource, implicitQuadtreeJson, - metadataSchema + metadataSchema, ); quadtreeCoordinates = new ImplicitTileCoordinates({ @@ -231,7 +231,7 @@ describe("Scene/ImplicitSubtree", function () { implicitOctree = new ImplicitTileset( tilesetResource, implicitOctreeJson, - metadataSchema + metadataSchema, ); octreeCoordinates = new ImplicitTileCoordinates({ @@ -249,7 +249,7 @@ describe("Scene/ImplicitSubtree", function () { return new ImplicitSubtree( undefined, implicitQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); }).toThrowDeveloperError(); }); @@ -259,7 +259,7 @@ describe("Scene/ImplicitSubtree", function () { return new ImplicitSubtree( subtreeResource, undefined, - quadtreeCoordinates + quadtreeCoordinates, ); }).toThrowDeveloperError(); }); @@ -272,18 +272,18 @@ describe("Scene/ImplicitSubtree", function () { it("sets the implicit coordinates of the subtree's root", async function () { const results = ImplicitTilingTester.generateSubtreeBuffers( - internalQuadtreeDescription + internalQuadtreeDescription, ); const subtree = await ImplicitSubtree.fromSubtreeJson( subtreeResource, undefined, results.subtreeBuffer, implicitQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); expect(subtree.implicitCoordinates.isEqual(quadtreeCoordinates)).toEqual( - true + true, ); }); @@ -294,33 +294,33 @@ describe("Scene/ImplicitSubtree", function () { undefined, undefined, implicitQuadtree, - quadtreeCoordinates - ) + quadtreeCoordinates, + ), ).toBeRejectedWithDeveloperError(); }); it("gets availability from internal buffer", async function () { const results = ImplicitTilingTester.generateSubtreeBuffers( - internalQuadtreeDescription + internalQuadtreeDescription, ); const subtree = await ImplicitSubtree.fromSubtreeJson( subtreeResource, undefined, results.subtreeBuffer, implicitQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); expectTileAvailability( subtree, - internalQuadtreeDescription.tileAvailability + internalQuadtreeDescription.tileAvailability, ); expectContentAvailability( subtree, - internalQuadtreeDescription.contentAvailability + internalQuadtreeDescription.contentAvailability, ); expectChildSubtreeAvailability( subtree, - internalQuadtreeDescription.childSubtreeAvailability + internalQuadtreeDescription.childSubtreeAvailability, ); }); @@ -344,24 +344,23 @@ describe("Scene/ImplicitSubtree", function () { isInternal: false, }, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const fetchExternal = spyOn(ResourceCache, "get").and.callFake( - fakeResourceLoader(results.externalBuffer) + fakeResourceLoader(results.externalBuffer), ); const subtree = await ImplicitSubtree.fromSubtreeJson( subtreeResource, undefined, results.subtreeBuffer, implicitQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); expectTileAvailability(subtree, subtreeDescription.tileAvailability); expectContentAvailability(subtree, subtreeDescription.contentAvailability); expectChildSubtreeAvailability( subtree, - subtreeDescription.childSubtreeAvailability + subtreeDescription.childSubtreeAvailability, ); expect(fetchExternal.calls.count()).toEqual(1); @@ -373,20 +372,20 @@ describe("Scene/ImplicitSubtree", function () { subtreeConstantJson, undefined, implicitQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); expectTileAvailability( subtree, - constantQuadtreeDescription.tileAvailability + constantQuadtreeDescription.tileAvailability, ); expectContentAvailability( subtree, - constantQuadtreeDescription.contentAvailability + constantQuadtreeDescription.contentAvailability, ); expectChildSubtreeAvailability( subtree, - constantQuadtreeDescription.childSubtreeAvailability + constantQuadtreeDescription.childSubtreeAvailability, ); }); @@ -415,7 +414,7 @@ describe("Scene/ImplicitSubtree", function () { const results = ImplicitTilingTester.generateSubtreeBuffers(description); const fetchExternal = spyOn(ResourceCache, "get").and.callFake( - fakeResourceLoader(results.externalBuffer) + fakeResourceLoader(results.externalBuffer), ); const subtree = await ImplicitSubtree.fromSubtreeJson( @@ -423,14 +422,14 @@ describe("Scene/ImplicitSubtree", function () { results.subtreeJson, undefined, implicitQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); expectTileAvailability(subtree, description.tileAvailability); expectContentAvailability(subtree, description.contentAvailability); expectChildSubtreeAvailability( subtree, - description.childSubtreeAvailability + description.childSubtreeAvailability, ); expect(fetchExternal.calls.count()).toEqual(1); @@ -448,20 +447,20 @@ describe("Scene/ImplicitSubtree", function () { undefined, results.subtreeBuffer, implicitQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); expectTileAvailability( subtree, - internalQuadtreeDescription.tileAvailability + internalQuadtreeDescription.tileAvailability, ); expectContentAvailability( subtree, - internalQuadtreeDescription.contentAvailability + internalQuadtreeDescription.contentAvailability, ); expectChildSubtreeAvailability( subtree, - internalQuadtreeDescription.childSubtreeAvailability + internalQuadtreeDescription.childSubtreeAvailability, ); }); @@ -486,14 +485,13 @@ describe("Scene/ImplicitSubtree", function () { }, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); // Put the subtree buffer in a larger buffer so the byteOffset is not 0 const paddingLength = 8; const biggerBuffer = new Uint8Array( - results.subtreeBuffer.length + paddingLength + results.subtreeBuffer.length + paddingLength, ); biggerBuffer.set(results.subtreeBuffer, paddingLength); const subtreeView = new Uint8Array(biggerBuffer.buffer, paddingLength); @@ -503,13 +501,13 @@ describe("Scene/ImplicitSubtree", function () { undefined, subtreeView, implicitQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); expectTileAvailability(subtree, subtreeDescription.tileAvailability); expectContentAvailability(subtree, subtreeDescription.contentAvailability); expectChildSubtreeAvailability( subtree, - subtreeDescription.childSubtreeAvailability + subtreeDescription.childSubtreeAvailability, ); }); @@ -534,25 +532,24 @@ describe("Scene/ImplicitSubtree", function () { isInternal: false, }, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const fetchExternal = spyOn(ResourceCache, "get").and.callFake( - fakeResourceLoader(results.externalBuffer) + fakeResourceLoader(results.externalBuffer), ); const subtree = await ImplicitSubtree.fromSubtreeJson( subtreeResource, undefined, results.subtreeBuffer, implicitQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); expectTileAvailability(subtree, subtreeDescription.tileAvailability); expectContentAvailability(subtree, subtreeDescription.contentAvailability); expectChildSubtreeAvailability( subtree, - subtreeDescription.childSubtreeAvailability + subtreeDescription.childSubtreeAvailability, ); expect(fetchExternal.calls.count()).toEqual(1); }); @@ -577,19 +574,18 @@ describe("Scene/ImplicitSubtree", function () { isInternal: false, }, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const fetchExternal = spyOn(ResourceCache, "get").and.callFake( - fakeResourceLoader(results.externalBuffer) + fakeResourceLoader(results.externalBuffer), ); await ImplicitSubtree.fromSubtreeJson( subtreeResource, undefined, results.subtreeBuffer, implicitQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); expect(fetchExternal.calls.count()).toEqual(1); }); @@ -619,20 +615,19 @@ describe("Scene/ImplicitSubtree", function () { isInternal: false, }, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const fetchExternal = spyOn( Resource.prototype, - "fetchArrayBuffer" + "fetchArrayBuffer", ).and.returnValue(Promise.resolve(results.externalBuffer)); await ImplicitSubtree.fromSubtreeJson( subtreeResource, undefined, results.subtreeBuffer, implicitQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); expect(fetchExternal).not.toHaveBeenCalled(); }); @@ -656,22 +651,21 @@ describe("Scene/ImplicitSubtree", function () { isInternal: true, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const subtree = await ImplicitSubtree.fromSubtreeJson( subtreeResource, undefined, results.subtreeBuffer, implicitQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); expectTileAvailability(subtree, subtreeDescription.tileAvailability); expectContentAvailability(subtree, expectedContentAvailability); expectChildSubtreeAvailability( subtree, - subtreeDescription.childSubtreeAvailability + subtreeDescription.childSubtreeAvailability, ); }); @@ -696,21 +690,20 @@ describe("Scene/ImplicitSubtree", function () { }, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const subtree = await ImplicitSubtree.fromSubtreeJson( subtreeResource, undefined, results.subtreeBuffer, implicitQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); expectTileAvailability(subtree, subtreeDescription.tileAvailability); expectContentAvailability(subtree, subtreeDescription.contentAvailability); expectChildSubtreeAvailability( subtree, - subtreeDescription.childSubtreeAvailability + subtreeDescription.childSubtreeAvailability, ); }); @@ -734,15 +727,14 @@ describe("Scene/ImplicitSubtree", function () { isInternal: true, }, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const subtree = await ImplicitSubtree.fromSubtreeJson( subtreeResource, undefined, results.subtreeBuffer, implicitOctree, - octreeCoordinates + octreeCoordinates, ); expect(subtree.getLevelOffset(2)).toEqual(9); @@ -768,9 +760,8 @@ describe("Scene/ImplicitSubtree", function () { isInternal: true, }, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const deeperQuadtreeCoordinates = new ImplicitTileCoordinates({ subdivisionScheme: implicitQuadtree.subdivisionScheme, @@ -785,7 +776,7 @@ describe("Scene/ImplicitSubtree", function () { undefined, results.subtreeBuffer, implicitQuadtree, - deeperQuadtreeCoordinates + deeperQuadtreeCoordinates, ); expect(function () { @@ -830,9 +821,8 @@ describe("Scene/ImplicitSubtree", function () { isInternal: true, }, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const subtreeCoordinates = new ImplicitTileCoordinates({ subdivisionScheme: implicitQuadtree.subdivisionScheme, subtreeLevels: implicitQuadtree.subtreeLevels, @@ -845,7 +835,7 @@ describe("Scene/ImplicitSubtree", function () { undefined, results.subtreeBuffer, implicitQuadtree, - subtreeCoordinates + subtreeCoordinates, ); // level offset: 1, morton index: 0, so tile index is 1 + 0 = 1 @@ -860,11 +850,11 @@ describe("Scene/ImplicitSubtree", function () { expect(indexFull).toBe(1); expect(subtree.tileIsAvailableAtIndex(indexFull)).toEqual(true); expect( - subtree.tileIsAvailableAtCoordinates(implicitCoordinatesFull) + subtree.tileIsAvailableAtCoordinates(implicitCoordinatesFull), ).toEqual(true); expect(subtree.contentIsAvailableAtIndex(indexFull)).toEqual(true); expect( - subtree.contentIsAvailableAtCoordinates(implicitCoordinatesFull) + subtree.contentIsAvailableAtCoordinates(implicitCoordinatesFull), ).toEqual(true); // level offset: 1, morton index: 3, so tile index is 1 + 3 = 4 @@ -879,11 +869,11 @@ describe("Scene/ImplicitSubtree", function () { expect(indexEmpty).toBe(4); expect(subtree.tileIsAvailableAtIndex(indexEmpty)).toEqual(false); expect( - subtree.tileIsAvailableAtCoordinates(implicitCoordinatesEmpty) + subtree.tileIsAvailableAtCoordinates(implicitCoordinatesEmpty), ).toEqual(false); expect(subtree.contentIsAvailableAtIndex(indexEmpty)).toEqual(false); expect( - subtree.contentIsAvailableAtCoordinates(implicitCoordinatesEmpty) + subtree.contentIsAvailableAtCoordinates(implicitCoordinatesEmpty), ).toEqual(false); }); @@ -907,9 +897,8 @@ describe("Scene/ImplicitSubtree", function () { isInternal: true, }, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const deeperQuadtreeCoordinates = new ImplicitTileCoordinates({ subdivisionScheme: implicitQuadtree.subdivisionScheme, subtreeLevels: implicitQuadtree.subtreeLevels, @@ -922,7 +911,7 @@ describe("Scene/ImplicitSubtree", function () { undefined, results.subtreeBuffer, implicitQuadtree, - deeperQuadtreeCoordinates + deeperQuadtreeCoordinates, ); expect(function () { @@ -967,9 +956,8 @@ describe("Scene/ImplicitSubtree", function () { isInternal: true, }, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const subtreeCoordinates = new ImplicitTileCoordinates({ subdivisionScheme: implicitQuadtree.subdivisionScheme, subtreeLevels: implicitQuadtree.subtreeLevels, @@ -982,7 +970,7 @@ describe("Scene/ImplicitSubtree", function () { undefined, results.subtreeBuffer, implicitQuadtree, - subtreeCoordinates + subtreeCoordinates, ); const implicitCoordinatesFull = new ImplicitTileCoordinates({ @@ -998,7 +986,7 @@ describe("Scene/ImplicitSubtree", function () { expect(indexFull).toBe(0); expect(subtree.childSubtreeIsAvailableAtIndex(indexFull)).toEqual(true); expect( - subtree.childSubtreeIsAvailableAtCoordinates(implicitCoordinatesFull) + subtree.childSubtreeIsAvailableAtCoordinates(implicitCoordinatesFull), ).toEqual(true); const implicitCoordinatesEmpty = new ImplicitTileCoordinates({ @@ -1014,7 +1002,7 @@ describe("Scene/ImplicitSubtree", function () { expect(indexEmpty).toBe(3); expect(subtree.childSubtreeIsAvailableAtIndex(indexEmpty)).toEqual(false); expect( - subtree.childSubtreeIsAvailableAtCoordinates(implicitCoordinatesEmpty) + subtree.childSubtreeIsAvailableAtCoordinates(implicitCoordinatesEmpty), ).toEqual(false); }); @@ -1038,15 +1026,14 @@ describe("Scene/ImplicitSubtree", function () { isInternal: true, }, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const subtree = await ImplicitSubtree.fromSubtreeJson( subtreeResource, undefined, results.subtreeBuffer, implicitOctree, - octreeCoordinates + octreeCoordinates, ); // 341 = 0b101010101 @@ -1075,21 +1062,20 @@ describe("Scene/ImplicitSubtree", function () { }, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const subtree = await ImplicitSubtree.fromSubtreeJson( subtreeResource, undefined, results.subtreeBuffer, implicitOctree, - octreeCoordinates + octreeCoordinates, ); expectTileAvailability(subtree, subtreeDescription.tileAvailability); expectContentAvailability(subtree, subtreeDescription.contentAvailability); expectChildSubtreeAvailability( subtree, - subtreeDescription.childSubtreeAvailability + subtreeDescription.childSubtreeAvailability, ); }); @@ -1117,20 +1103,20 @@ describe("Scene/ImplicitSubtree", function () { const constantOnly = true; const results = ImplicitTilingTester.generateSubtreeBuffers( subtreeDescription, - constantOnly + constantOnly, ); const subtree = await ImplicitSubtree.fromSubtreeJson( subtreeResource, undefined, results.subtreeBuffer, implicitOctree, - octreeCoordinates + octreeCoordinates, ); expectTileAvailability(subtree, subtreeDescription.tileAvailability); expectContentAvailability(subtree, subtreeDescription.contentAvailability); expectChildSubtreeAvailability( subtree, - subtreeDescription.childSubtreeAvailability + subtreeDescription.childSubtreeAvailability, ); }); @@ -1154,11 +1140,10 @@ describe("Scene/ImplicitSubtree", function () { isInternal: false, }, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); spyOn(ResourceCache, "get").and.callFake( - fakeResourceLoader(results.externalBuffer) + fakeResourceLoader(results.externalBuffer), ); const unload = spyOn(ResourceCache, "unload"); const subtree = await ImplicitSubtree.fromSubtreeJson( @@ -1166,7 +1151,7 @@ describe("Scene/ImplicitSubtree", function () { undefined, results.subtreeBuffer, implicitQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); const bufferLoader = subtree._bufferLoader; expect(bufferLoader).toBeDefined(); @@ -1208,7 +1193,7 @@ describe("Scene/ImplicitSubtree", function () { multipleContentsQuadtree = new ImplicitTileset( tilesetResource, tileJson, - metadataSchema + metadataSchema, ); multipleContentsCoordinates = new ImplicitTileCoordinates({ @@ -1245,15 +1230,14 @@ describe("Scene/ImplicitSubtree", function () { isInternal: true, }, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const subtree = await ImplicitSubtree.fromSubtreeJson( subtreeResource, undefined, results.subtreeBuffer, multipleContentsQuadtree, - multipleContentsCoordinates + multipleContentsCoordinates, ); const outOfBounds = 100; @@ -1288,11 +1272,10 @@ describe("Scene/ImplicitSubtree", function () { }, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const fetchExternal = spyOn(ResourceCache, "get").and.callFake( - fakeResourceLoader(results.externalBuffer) + fakeResourceLoader(results.externalBuffer), ); const subtree = await ImplicitSubtree.fromSubtreeJson( @@ -1300,14 +1283,14 @@ describe("Scene/ImplicitSubtree", function () { undefined, results.subtreeBuffer, multipleContentsQuadtree, - multipleContentsCoordinates + multipleContentsCoordinates, ); expect(fetchExternal).toHaveBeenCalled(); expectTileAvailability(subtree, subtreeDescription.tileAvailability); expectContentAvailability( subtree, - subtreeDescription.contentAvailability + subtreeDescription.contentAvailability, ); }); @@ -1338,11 +1321,10 @@ describe("Scene/ImplicitSubtree", function () { useLegacySchema: true, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const fetchExternal = spyOn(ResourceCache, "get").and.callFake( - fakeResourceLoader(results.externalBuffer) + fakeResourceLoader(results.externalBuffer), ); const subtree = await ImplicitSubtree.fromSubtreeJson( @@ -1350,14 +1332,14 @@ describe("Scene/ImplicitSubtree", function () { undefined, results.subtreeBuffer, multipleContentsQuadtree, - multipleContentsCoordinates + multipleContentsCoordinates, ); expect(fetchExternal).toHaveBeenCalled(); expectTileAvailability(subtree, subtreeDescription.tileAvailability); expectContentAvailability( subtree, - subtreeDescription.contentAvailability + subtreeDescription.contentAvailability, ); }); }); @@ -1518,31 +1500,31 @@ describe("Scene/ImplicitSubtree", function () { subtreeMetadataSchema = MetadataSchema.fromJson(subtreeSchema); buildingMetadataSchema = MetadataSchema.fromJson(buildingSchema); multipleContentsMetadataSchema = MetadataSchema.fromJson( - multipleContentsSchema + multipleContentsSchema, ); tileMetadataQuadtree = new ImplicitTileset( tilesetResource, implicitQuadtreeJson, - tileMetadataSchema + tileMetadataSchema, ); subtreeMetadataQuadtree = new ImplicitTileset( tilesetResource, implicitQuadtreeJson, - subtreeMetadataSchema + subtreeMetadataSchema, ); buildingMetadataQuadtree = new ImplicitTileset( tilesetResource, implicitQuadtreeJson, - buildingMetadataSchema + buildingMetadataSchema, ); multipleContentsMetadataQuadtree = new ImplicitTileset( tilesetResource, implicitQuadtreeJson, - multipleContentsMetadataSchema + multipleContentsMetadataSchema, ); }); @@ -1571,7 +1553,7 @@ describe("Scene/ImplicitSubtree", function () { metadataSubtreeJson, undefined, subtreeMetadataQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); const metadata = subtree.metadata; @@ -1610,18 +1592,17 @@ describe("Scene/ImplicitSubtree", function () { }, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const fetchExternal = spyOn(ResourceCache, "get").and.callFake( - fakeResourceLoader(results.externalBuffer) + fakeResourceLoader(results.externalBuffer), ); const subtree = await ImplicitSubtree.fromSubtreeJson( subtreeResource, undefined, results.subtreeBuffer, tileMetadataQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); expect(fetchExternal).not.toHaveBeenCalled(); @@ -1632,10 +1613,10 @@ describe("Scene/ImplicitSubtree", function () { for (let i = 0; i < buildingCounts.length; i++) { expect(metadataTable.getProperty(i, "highlightColor")).toEqual( - Cartesian3.unpack(highlightColors[i]) + Cartesian3.unpack(highlightColors[i]), ); expect(metadataTable.getProperty(i, "buildingCount")).toBe( - buildingCounts[i] + buildingCounts[i], ); } }); @@ -1666,18 +1647,17 @@ describe("Scene/ImplicitSubtree", function () { }, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const fetchExternal = spyOn(ResourceCache, "get").and.callFake( - fakeResourceLoader(results.externalBuffer) + fakeResourceLoader(results.externalBuffer), ); const subtree = await ImplicitSubtree.fromSubtreeJson( subtreeResource, undefined, results.subtreeBuffer, tileMetadataQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); expect(fetchExternal).toHaveBeenCalled(); @@ -1688,10 +1668,10 @@ describe("Scene/ImplicitSubtree", function () { for (let i = 0; i < buildingCounts.length; i++) { expect(metadataTable.getProperty(i, "highlightColor")).toEqual( - Cartesian3.unpack(highlightColors[i]) + Cartesian3.unpack(highlightColors[i]), ); expect(metadataTable.getProperty(i, "buildingCount")).toBe( - buildingCounts[i] + buildingCounts[i], ); } }); @@ -1722,18 +1702,17 @@ describe("Scene/ImplicitSubtree", function () { }, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const fetchExternal = spyOn(ResourceCache, "get").and.callFake( - fakeResourceLoader(results.externalBuffer) + fakeResourceLoader(results.externalBuffer), ); const subtree = await ImplicitSubtree.fromSubtreeJson( subtreeResource, undefined, results.subtreeBuffer, buildingMetadataQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); expect(fetchExternal).not.toHaveBeenCalled(); @@ -1747,10 +1726,10 @@ describe("Scene/ImplicitSubtree", function () { for (let i = 0; i < buildingHeights.length; i++) { expect(metadataTable.getProperty(i, "height")).toEqual( - buildingHeights[i] + buildingHeights[i], ); expect(metadataTable.getProperty(i, "buildingType")).toBe( - buildingTypes[i] + buildingTypes[i], ); } }); @@ -1781,18 +1760,17 @@ describe("Scene/ImplicitSubtree", function () { }, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const fetchExternal = spyOn(ResourceCache, "get").and.callFake( - fakeResourceLoader(results.externalBuffer) + fakeResourceLoader(results.externalBuffer), ); const subtree = await ImplicitSubtree.fromSubtreeJson( subtreeResource, undefined, results.subtreeBuffer, buildingMetadataQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); expect(fetchExternal).toHaveBeenCalled(); @@ -1806,10 +1784,10 @@ describe("Scene/ImplicitSubtree", function () { for (let i = 0; i < buildingHeights.length; i++) { expect(metadataTable.getProperty(i, "height")).toEqual( - buildingHeights[i] + buildingHeights[i], ); expect(metadataTable.getProperty(i, "buildingType")).toBe( - buildingTypes[i] + buildingTypes[i], ); } }); @@ -1845,18 +1823,17 @@ describe("Scene/ImplicitSubtree", function () { }, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const fetchExternal = spyOn(ResourceCache, "get").and.callFake( - fakeResourceLoader(results.externalBuffer) + fakeResourceLoader(results.externalBuffer), ); const subtree = await ImplicitSubtree.fromSubtreeJson( subtreeResource, undefined, results.subtreeBuffer, multipleContentsMetadataQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); expect(fetchExternal).not.toHaveBeenCalled(); @@ -1870,10 +1847,10 @@ describe("Scene/ImplicitSubtree", function () { for (let i = 0; i < buildingHeights.length; i++) { expect(buildingMetadataTable.getProperty(i, "height")).toEqual( - buildingHeights[i] + buildingHeights[i], ); expect(buildingMetadataTable.getProperty(i, "buildingType")).toBe( - buildingTypes[i] + buildingTypes[i], ); } @@ -1883,10 +1860,10 @@ describe("Scene/ImplicitSubtree", function () { for (let i = 0; i < treeHeights.length; i++) { expect(treeMetadataTable.getProperty(i, "height")).toEqual( - treeHeights[i] + treeHeights[i], ); expect(treeMetadataTable.getProperty(i, "species")).toBe( - treeSpecies[i] + treeSpecies[i], ); } }); @@ -1922,18 +1899,17 @@ describe("Scene/ImplicitSubtree", function () { }, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const fetchExternal = spyOn(ResourceCache, "get").and.callFake( - fakeResourceLoader(results.externalBuffer) + fakeResourceLoader(results.externalBuffer), ); const subtree = await ImplicitSubtree.fromSubtreeJson( subtreeResource, undefined, results.subtreeBuffer, multipleContentsMetadataQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); expect(fetchExternal).toHaveBeenCalled(); @@ -1947,10 +1923,10 @@ describe("Scene/ImplicitSubtree", function () { for (let i = 0; i < buildingHeights.length; i++) { expect(buildingMetadataTable.getProperty(i, "height")).toEqual( - buildingHeights[i] + buildingHeights[i], ); expect(buildingMetadataTable.getProperty(i, "buildingType")).toBe( - buildingTypes[i] + buildingTypes[i], ); } @@ -1960,10 +1936,10 @@ describe("Scene/ImplicitSubtree", function () { for (let i = 0; i < treeHeights.length; i++) { expect(treeMetadataTable.getProperty(i, "height")).toEqual( - treeHeights[i] + treeHeights[i], ); expect(treeMetadataTable.getProperty(i, "species")).toBe( - treeSpecies[i] + treeSpecies[i], ); } }); @@ -1994,12 +1970,11 @@ describe("Scene/ImplicitSubtree", function () { }, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const fetchExternal = spyOn(ResourceCache, "get").and.callFake( - fakeResourceLoader(results.externalBuffer) + fakeResourceLoader(results.externalBuffer), ); const subtree = await ImplicitSubtree.fromSubtreeJson( @@ -2007,17 +1982,17 @@ describe("Scene/ImplicitSubtree", function () { undefined, results.subtreeBuffer, tileMetadataQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); expect(fetchExternal).not.toHaveBeenCalled(); const metadataView = subtree.getTileMetadataView(quadtreeCoordinates); expect(metadataView).toBeDefined(); expect(metadataView.getProperty("highlightColor")).toEqual( - Cartesian3.unpack(highlightColors[0]) + Cartesian3.unpack(highlightColors[0]), ); expect(metadataView.getProperty("buildingCount")).toEqual( - buildingCounts[0] + buildingCounts[0], ); }); @@ -2047,12 +2022,11 @@ describe("Scene/ImplicitSubtree", function () { }, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const fetchExternal = spyOn(ResourceCache, "get").and.callFake( - fakeResourceLoader(results.externalBuffer) + fakeResourceLoader(results.externalBuffer), ); const subtree = await ImplicitSubtree.fromSubtreeJson( @@ -2060,7 +2034,7 @@ describe("Scene/ImplicitSubtree", function () { undefined, results.subtreeBuffer, tileMetadataQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); expect(fetchExternal).not.toHaveBeenCalled(); @@ -2103,12 +2077,11 @@ describe("Scene/ImplicitSubtree", function () { }, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const fetchExternal = spyOn(ResourceCache, "get").and.callFake( - fakeResourceLoader(results.externalBuffer) + fakeResourceLoader(results.externalBuffer), ); const subtree = await ImplicitSubtree.fromSubtreeJson( @@ -2116,18 +2089,18 @@ describe("Scene/ImplicitSubtree", function () { undefined, results.subtreeBuffer, buildingMetadataQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); expect(fetchExternal).not.toHaveBeenCalled(); const metadataView = subtree.getContentMetadataView( quadtreeCoordinates, - 0 + 0, ); expect(metadataView).toBeDefined(); expect(metadataView.getProperty("height")).toEqual(buildingHeights[0]); expect(metadataView.getProperty("buildingType")).toEqual( - buildingTypes[0] + buildingTypes[0], ); }); @@ -2157,12 +2130,11 @@ describe("Scene/ImplicitSubtree", function () { }, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const fetchExternal = spyOn(ResourceCache, "get").and.callFake( - fakeResourceLoader(results.externalBuffer) + fakeResourceLoader(results.externalBuffer), ); const subtree = await ImplicitSubtree.fromSubtreeJson( @@ -2170,7 +2142,7 @@ describe("Scene/ImplicitSubtree", function () { undefined, results.subtreeBuffer, buildingMetadataQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); expect(fetchExternal).not.toHaveBeenCalled(); @@ -2218,12 +2190,11 @@ describe("Scene/ImplicitSubtree", function () { }, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const fetchExternal = spyOn(ResourceCache, "get").and.callFake( - fakeResourceLoader(results.externalBuffer) + fakeResourceLoader(results.externalBuffer), ); const subtree = await ImplicitSubtree.fromSubtreeJson( @@ -2231,7 +2202,7 @@ describe("Scene/ImplicitSubtree", function () { undefined, results.subtreeBuffer, multipleContentsMetadataQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); expect(fetchExternal).not.toHaveBeenCalled(); @@ -2245,14 +2216,14 @@ describe("Scene/ImplicitSubtree", function () { const buildingMetadataView = subtree.getContentMetadataView( coordinates, - 0 + 0, ); expect(buildingMetadataView).toBeDefined(); expect(buildingMetadataView.getProperty("height")).toEqual( - buildingHeights[2] + buildingHeights[2], ); expect(buildingMetadataView.getProperty("buildingType")).toEqual( - buildingTypes[2] + buildingTypes[2], ); const treeMetadataView = subtree.getContentMetadataView(coordinates, 1); @@ -2292,12 +2263,11 @@ describe("Scene/ImplicitSubtree", function () { }, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const fetchExternal = spyOn(ResourceCache, "get").and.callFake( - fakeResourceLoader(results.externalBuffer) + fakeResourceLoader(results.externalBuffer), ); const subtree = await ImplicitSubtree.fromSubtreeJson( @@ -2305,7 +2275,7 @@ describe("Scene/ImplicitSubtree", function () { undefined, results.subtreeBuffer, multipleContentsMetadataQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); expect(fetchExternal).not.toHaveBeenCalled(); @@ -2319,13 +2289,13 @@ describe("Scene/ImplicitSubtree", function () { const buildingMetadataView = subtree.getContentMetadataView( coordinates, - 0 + 0, ); expect(buildingMetadataView).not.toBeDefined(); const treeMetadataView = subtree.getContentMetadataView( quadtreeCoordinates, - 1 + 1, ); expect(treeMetadataView).not.toBeDefined(); }); @@ -2357,18 +2327,17 @@ describe("Scene/ImplicitSubtree", function () { useLegacySchema: true, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const fetchExternal = spyOn(ResourceCache, "get").and.callFake( - fakeResourceLoader(results.externalBuffer) + fakeResourceLoader(results.externalBuffer), ); const subtree = await ImplicitSubtree.fromSubtreeJson( subtreeResource, undefined, results.subtreeBuffer, buildingMetadataQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); expect(fetchExternal).not.toHaveBeenCalled(); @@ -2382,10 +2351,10 @@ describe("Scene/ImplicitSubtree", function () { for (let i = 0; i < buildingHeights.length; i++) { expect(metadataTable.getProperty(i, "height")).toEqual( - buildingHeights[i] + buildingHeights[i], ); expect(metadataTable.getProperty(i, "buildingType")).toBe( - buildingTypes[i] + buildingTypes[i], ); } }); @@ -2417,18 +2386,17 @@ describe("Scene/ImplicitSubtree", function () { }, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const fetchExternal = spyOn(ResourceCache, "get").and.callFake( - fakeResourceLoader(results.externalBuffer) + fakeResourceLoader(results.externalBuffer), ); const subtree = await ImplicitSubtree.fromSubtreeJson( subtreeResource, undefined, results.subtreeBuffer, tileMetadataQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); expect(fetchExternal).not.toHaveBeenCalled(); @@ -2439,10 +2407,10 @@ describe("Scene/ImplicitSubtree", function () { for (let i = 0; i < buildingCounts.length; i++) { expect(metadataTable.getProperty(i, "highlightColor")).toEqual( - Cartesian3.unpack(highlightColors[i]) + Cartesian3.unpack(highlightColors[i]), ); expect(metadataTable.getProperty(i, "buildingCount")).toBe( - buildingCounts[i] + buildingCounts[i], ); } }); @@ -2494,15 +2462,14 @@ describe("Scene/ImplicitSubtree", function () { }, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const subtree = await ImplicitSubtree.fromSubtreeJson( subtreeResource, undefined, results.subtreeBuffer, tileMetadataQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); expect(subtree._tileJumpBuffer).toEqual(new Uint8Array([0, 0, 0, 1, 2])); @@ -2512,10 +2479,10 @@ describe("Scene/ImplicitSubtree", function () { for (let i = 0; i < buildingCounts.length; i++) { expect(metadataTable.getProperty(i, "highlightColor")).toEqual( - Cartesian3.unpack(highlightColors[i]) + Cartesian3.unpack(highlightColors[i]), ); expect(metadataTable.getProperty(i, "buildingCount")).toBe( - buildingCounts[i] + buildingCounts[i], ); } }); @@ -2549,16 +2516,15 @@ describe("Scene/ImplicitSubtree", function () { }, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const subtree = await ImplicitSubtree.fromSubtreeJson( subtreeResource, undefined, results.subtreeBuffer, buildingMetadataQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); const jumpBuffer = subtree._contentJumpBuffers[0]; @@ -2574,10 +2540,10 @@ describe("Scene/ImplicitSubtree", function () { for (let i = 0; i < buildingHeightsTruncated.length; i++) { expect(metadataTable.getProperty(i, "height")).toEqual( - buildingHeightsTruncated[i] + buildingHeightsTruncated[i], ); expect(metadataTable.getProperty(i, "buildingType")).toBe( - buildingTypesTruncated[i] + buildingTypesTruncated[i], ); } }); @@ -2619,16 +2585,15 @@ describe("Scene/ImplicitSubtree", function () { }, }; - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const subtree = await ImplicitSubtree.fromSubtreeJson( subtreeResource, undefined, results.subtreeBuffer, multipleContentsMetadataQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); const buildingJumpBuffer = subtree._contentJumpBuffers[0]; @@ -2647,10 +2612,10 @@ describe("Scene/ImplicitSubtree", function () { for (let i = 0; i < buildingHeightsTruncated.length; i++) { expect(buildingMetadataTable.getProperty(i, "height")).toEqual( - buildingHeightsTruncated[i] + buildingHeightsTruncated[i], ); expect(buildingMetadataTable.getProperty(i, "buildingType")).toBe( - buildingTypesTruncated[i] + buildingTypesTruncated[i], ); } @@ -2660,10 +2625,10 @@ describe("Scene/ImplicitSubtree", function () { for (let i = 0; i < treeHeightsTruncated.length; i++) { expect(treeMetadataTable.getProperty(i, "height")).toEqual( - treeHeightsTruncated[i] + treeHeightsTruncated[i], ); expect(treeMetadataTable.getProperty(i, "species")).toBe( - treeSpeciesTruncated[i] + treeSpeciesTruncated[i], ); } }); @@ -2748,18 +2713,17 @@ describe("Scene/ImplicitSubtree", function () { const arrayQuadtree = new ImplicitTileset( tilesetResource, implicitQuadtreeJson, - metadataSchema + metadataSchema, ); - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const subtree = await ImplicitSubtree.fromSubtreeJson( subtreeResource, undefined, results.subtreeBuffer, arrayQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); const metadataTable = subtree.tileMetadataTable; expect(metadataTable).toBeDefined(); @@ -2767,13 +2731,13 @@ describe("Scene/ImplicitSubtree", function () { for (let i = 0; i < buildingCounts.length; i++) { expect(metadataTable.getProperty(i, "stringProperty")).toBe( - stringValues[i] + stringValues[i], ); expect(metadataTable.getProperty(i, "arrayProperty")).toEqual( - arrayValues[i] + arrayValues[i], ); expect(metadataTable.getProperty(i, "arrayOfStringProperty")).toEqual( - stringArrayValues[i] + stringArrayValues[i], ); } }); @@ -2859,18 +2823,17 @@ describe("Scene/ImplicitSubtree", function () { const arrayQuadtree = new ImplicitTileset( tilesetResource, implicitQuadtreeJson, - metadataSchema + metadataSchema, ); - const results = ImplicitTilingTester.generateSubtreeBuffers( - subtreeDescription - ); + const results = + ImplicitTilingTester.generateSubtreeBuffers(subtreeDescription); const subtree = await ImplicitSubtree.fromSubtreeJson( subtreeResource, undefined, results.subtreeBuffer, arrayQuadtree, - quadtreeCoordinates + quadtreeCoordinates, ); const metadataTable = subtree.tileMetadataTable; expect(metadataTable).toBeDefined(); @@ -2878,13 +2841,13 @@ describe("Scene/ImplicitSubtree", function () { for (let i = 0; i < buildingCounts.length; i++) { expect(metadataTable.getProperty(i, "stringProperty")).toBe( - stringValues[i] + stringValues[i], ); expect(metadataTable.getProperty(i, "arrayProperty")).toEqual( - arrayValues[i] + arrayValues[i], ); expect(metadataTable.getProperty(i, "arrayOfStringProperty")).toEqual( - stringArrayValues[i] + stringArrayValues[i], ); } }); diff --git a/packages/engine/Specs/Scene/ImplicitTileCoordinatesSpec.js b/packages/engine/Specs/Scene/ImplicitTileCoordinatesSpec.js index 30d5c1e04f83..5f941647832a 100644 --- a/packages/engine/Specs/Scene/ImplicitTileCoordinatesSpec.js +++ b/packages/engine/Specs/Scene/ImplicitTileCoordinatesSpec.js @@ -53,7 +53,7 @@ describe("Scene/ImplicitTileCoordinates", function () { }); expect(coordinates.subdivisionScheme).toEqual( - ImplicitSubdivisionScheme.QUADTREE + ImplicitSubdivisionScheme.QUADTREE, ); expect(coordinates.level).toEqual(4); expect(coordinates.x).toEqual(3); @@ -72,7 +72,7 @@ describe("Scene/ImplicitTileCoordinates", function () { }); expect(coordinates.subdivisionScheme).toEqual( - ImplicitSubdivisionScheme.OCTREE + ImplicitSubdivisionScheme.OCTREE, ); expect(coordinates.level).toEqual(4); expect(coordinates.x).toEqual(3); @@ -128,7 +128,7 @@ describe("Scene/ImplicitTileCoordinates", function () { // mismatched subdivisionScheme expect(function () { return quadtreeCoordinates(0, 0, 0).getDescendantCoordinates( - octreeCoordinates(0, 0, 0, 0) + octreeCoordinates(0, 0, 0, 0), ); }).toThrowDeveloperError(); @@ -140,7 +140,7 @@ describe("Scene/ImplicitTileCoordinates", function () { 0, 0, 0, - subtreeLevelsA + subtreeLevelsA, ).getDescendantCoordinates(quadtreeCoordinates(0, 0, 0, subtreeLevelsB)); }).toThrowDeveloperError(); }); @@ -149,19 +149,19 @@ describe("Scene/ImplicitTileCoordinates", function () { expect( quadtreeCoordinates(0, 0, 0) .getDescendantCoordinates(quadtreeCoordinates(0, 0, 0)) - .isEqual(quadtreeCoordinates(0, 0, 0)) + .isEqual(quadtreeCoordinates(0, 0, 0)), ).toEqual(true); expect( quadtreeCoordinates(0, 0, 0) .getDescendantCoordinates(quadtreeCoordinates(1, 1, 1)) - .isEqual(quadtreeCoordinates(1, 1, 1)) + .isEqual(quadtreeCoordinates(1, 1, 1)), ).toEqual(true); expect( quadtreeCoordinates(1, 1, 1) .getDescendantCoordinates(quadtreeCoordinates(2, 3, 3)) - .isEqual(quadtreeCoordinates(3, 7, 7)) + .isEqual(quadtreeCoordinates(3, 7, 7)), ).toEqual(true); }); @@ -169,19 +169,19 @@ describe("Scene/ImplicitTileCoordinates", function () { expect( octreeCoordinates(0, 0, 0, 0) .getDescendantCoordinates(octreeCoordinates(0, 0, 0, 0)) - .isEqual(octreeCoordinates(0, 0, 0, 0)) + .isEqual(octreeCoordinates(0, 0, 0, 0)), ).toEqual(true); expect( octreeCoordinates(0, 0, 0, 0) .getDescendantCoordinates(octreeCoordinates(1, 1, 1, 1)) - .isEqual(octreeCoordinates(1, 1, 1, 1)) + .isEqual(octreeCoordinates(1, 1, 1, 1)), ).toEqual(true); expect( octreeCoordinates(1, 1, 1, 1) .getDescendantCoordinates(octreeCoordinates(2, 3, 3, 3)) - .isEqual(octreeCoordinates(3, 7, 7, 7)) + .isEqual(octreeCoordinates(3, 7, 7, 7)), ).toEqual(true); }); @@ -206,31 +206,31 @@ describe("Scene/ImplicitTileCoordinates", function () { expect( quadtreeCoordinates(0, 0, 0) .getAncestorCoordinates(0) - .isEqual(quadtreeCoordinates(0, 0, 0)) + .isEqual(quadtreeCoordinates(0, 0, 0)), ).toEqual(true); expect( quadtreeCoordinates(1, 0, 0) .getAncestorCoordinates(1) - .isEqual(quadtreeCoordinates(0, 0, 0)) + .isEqual(quadtreeCoordinates(0, 0, 0)), ).toEqual(true); expect( quadtreeCoordinates(1, 1, 1) .getAncestorCoordinates(1) - .isEqual(quadtreeCoordinates(0, 0, 0)) + .isEqual(quadtreeCoordinates(0, 0, 0)), ).toEqual(true); expect( quadtreeCoordinates(2, 3, 3) .getAncestorCoordinates(1) - .isEqual(quadtreeCoordinates(1, 1, 1)) + .isEqual(quadtreeCoordinates(1, 1, 1)), ).toEqual(true); expect( quadtreeCoordinates(2, 3, 3) .getAncestorCoordinates(2) - .isEqual(quadtreeCoordinates(0, 0, 0)) + .isEqual(quadtreeCoordinates(0, 0, 0)), ).toEqual(true); }); @@ -238,31 +238,31 @@ describe("Scene/ImplicitTileCoordinates", function () { expect( octreeCoordinates(0, 0, 0, 0) .getAncestorCoordinates(0) - .isEqual(octreeCoordinates(0, 0, 0, 0)) + .isEqual(octreeCoordinates(0, 0, 0, 0)), ).toEqual(true); expect( octreeCoordinates(1, 0, 0, 0) .getAncestorCoordinates(1) - .isEqual(octreeCoordinates(0, 0, 0, 0)) + .isEqual(octreeCoordinates(0, 0, 0, 0)), ).toEqual(true); expect( octreeCoordinates(1, 1, 1, 1) .getAncestorCoordinates(1) - .isEqual(octreeCoordinates(0, 0, 0, 0)) + .isEqual(octreeCoordinates(0, 0, 0, 0)), ).toEqual(true); expect( octreeCoordinates(2, 3, 3, 3) .getAncestorCoordinates(1) - .isEqual(octreeCoordinates(1, 1, 1, 1)) + .isEqual(octreeCoordinates(1, 1, 1, 1)), ).toEqual(true); expect( octreeCoordinates(2, 3, 3, 3) .getAncestorCoordinates(2) - .isEqual(octreeCoordinates(0, 0, 0, 0)) + .isEqual(octreeCoordinates(0, 0, 0, 0)), ).toEqual(true); }); @@ -275,21 +275,21 @@ describe("Scene/ImplicitTileCoordinates", function () { // descendant is above ancestor expect(function () { return quadtreeCoordinates(1, 0, 0).getOffsetCoordinates( - quadtreeCoordinates(0, 0, 0) + quadtreeCoordinates(0, 0, 0), ); }).toThrowDeveloperError(); // descendant is not actually a descendant expect(function () { return quadtreeCoordinates(1, 0, 0).getOffsetCoordinates( - quadtreeCoordinates(2, 3, 3) + quadtreeCoordinates(2, 3, 3), ); }).toThrowDeveloperError(); // mismatched subdivisionScheme expect(function () { return quadtreeCoordinates(0, 0, 0).getOffsetCoordinates( - octreeCoordinates(0, 0, 0, 0) + octreeCoordinates(0, 0, 0, 0), ); }).toThrowDeveloperError(); @@ -298,7 +298,7 @@ describe("Scene/ImplicitTileCoordinates", function () { const subtreeLevelsA = 2; const subtreeLevelsB = 3; return quadtreeCoordinates(0, 0, 0, subtreeLevelsA).getOffsetCoordinates( - quadtreeCoordinates(0, 0, 0, subtreeLevelsB) + quadtreeCoordinates(0, 0, 0, subtreeLevelsB), ); }).toThrowDeveloperError(); }); @@ -307,25 +307,25 @@ describe("Scene/ImplicitTileCoordinates", function () { expect( quadtreeCoordinates(0, 0, 0) .getOffsetCoordinates(quadtreeCoordinates(0, 0, 0)) - .isEqual(quadtreeCoordinates(0, 0, 0)) + .isEqual(quadtreeCoordinates(0, 0, 0)), ).toEqual(true); expect( quadtreeCoordinates(0, 0, 0) .getOffsetCoordinates(quadtreeCoordinates(1, 1, 1)) - .isEqual(quadtreeCoordinates(1, 1, 1)) + .isEqual(quadtreeCoordinates(1, 1, 1)), ).toEqual(true); expect( quadtreeCoordinates(0, 0, 0) .getOffsetCoordinates(quadtreeCoordinates(2, 3, 3)) - .isEqual(quadtreeCoordinates(2, 3, 3)) + .isEqual(quadtreeCoordinates(2, 3, 3)), ).toEqual(true); expect( quadtreeCoordinates(1, 1, 1) .getOffsetCoordinates(quadtreeCoordinates(2, 2, 2)) - .isEqual(quadtreeCoordinates(1, 0, 0)) + .isEqual(quadtreeCoordinates(1, 0, 0)), ).toEqual(true); }); @@ -333,25 +333,25 @@ describe("Scene/ImplicitTileCoordinates", function () { expect( octreeCoordinates(0, 0, 0, 0) .getOffsetCoordinates(octreeCoordinates(0, 0, 0, 0)) - .isEqual(octreeCoordinates(0, 0, 0, 0)) + .isEqual(octreeCoordinates(0, 0, 0, 0)), ).toEqual(true); expect( octreeCoordinates(0, 0, 0, 0) .getOffsetCoordinates(octreeCoordinates(1, 1, 1, 1)) - .isEqual(octreeCoordinates(1, 1, 1, 1)) + .isEqual(octreeCoordinates(1, 1, 1, 1)), ).toEqual(true); expect( octreeCoordinates(0, 0, 0, 0) .getOffsetCoordinates(octreeCoordinates(2, 3, 3, 3)) - .isEqual(octreeCoordinates(2, 3, 3, 3)) + .isEqual(octreeCoordinates(2, 3, 3, 3)), ).toEqual(true); expect( octreeCoordinates(1, 1, 1, 1) .getOffsetCoordinates(octreeCoordinates(2, 2, 2, 2)) - .isEqual(octreeCoordinates(1, 0, 0, 0)) + .isEqual(octreeCoordinates(1, 0, 0, 0)), ).toEqual(true); }); @@ -372,19 +372,19 @@ describe("Scene/ImplicitTileCoordinates", function () { const coordinates = quadtreeCoordinates(1, 0, 0); expect( - coordinates.getChildCoordinates(0).isEqual(quadtreeCoordinates(2, 0, 0)) + coordinates.getChildCoordinates(0).isEqual(quadtreeCoordinates(2, 0, 0)), ).toEqual(true); expect( - coordinates.getChildCoordinates(1).isEqual(quadtreeCoordinates(2, 1, 0)) + coordinates.getChildCoordinates(1).isEqual(quadtreeCoordinates(2, 1, 0)), ).toEqual(true); expect( - coordinates.getChildCoordinates(2).isEqual(quadtreeCoordinates(2, 0, 1)) + coordinates.getChildCoordinates(2).isEqual(quadtreeCoordinates(2, 0, 1)), ).toEqual(true); expect( - coordinates.getChildCoordinates(3).isEqual(quadtreeCoordinates(2, 1, 1)) + coordinates.getChildCoordinates(3).isEqual(quadtreeCoordinates(2, 1, 1)), ).toEqual(true); }); @@ -392,35 +392,35 @@ describe("Scene/ImplicitTileCoordinates", function () { const coordinates = octreeCoordinates(1, 0, 1, 1); expect( - coordinates.getChildCoordinates(0).isEqual(octreeCoordinates(2, 0, 2, 2)) + coordinates.getChildCoordinates(0).isEqual(octreeCoordinates(2, 0, 2, 2)), ).toEqual(true); expect( - coordinates.getChildCoordinates(1).isEqual(octreeCoordinates(2, 1, 2, 2)) + coordinates.getChildCoordinates(1).isEqual(octreeCoordinates(2, 1, 2, 2)), ).toEqual(true); expect( - coordinates.getChildCoordinates(2).isEqual(octreeCoordinates(2, 0, 3, 2)) + coordinates.getChildCoordinates(2).isEqual(octreeCoordinates(2, 0, 3, 2)), ).toEqual(true); expect( - coordinates.getChildCoordinates(3).isEqual(octreeCoordinates(2, 1, 3, 2)) + coordinates.getChildCoordinates(3).isEqual(octreeCoordinates(2, 1, 3, 2)), ).toEqual(true); expect( - coordinates.getChildCoordinates(4).isEqual(octreeCoordinates(2, 0, 2, 3)) + coordinates.getChildCoordinates(4).isEqual(octreeCoordinates(2, 0, 2, 3)), ).toEqual(true); expect( - coordinates.getChildCoordinates(5).isEqual(octreeCoordinates(2, 1, 2, 3)) + coordinates.getChildCoordinates(5).isEqual(octreeCoordinates(2, 1, 2, 3)), ).toEqual(true); expect( - coordinates.getChildCoordinates(6).isEqual(octreeCoordinates(2, 0, 3, 3)) + coordinates.getChildCoordinates(6).isEqual(octreeCoordinates(2, 0, 3, 3)), ).toEqual(true); expect( - coordinates.getChildCoordinates(7).isEqual(octreeCoordinates(2, 1, 3, 3)) + coordinates.getChildCoordinates(7).isEqual(octreeCoordinates(2, 1, 3, 3)), ).toEqual(true); }); @@ -428,25 +428,25 @@ describe("Scene/ImplicitTileCoordinates", function () { expect( quadtreeCoordinates(0, 0, 0) .getSubtreeCoordinates() - .isEqual(quadtreeCoordinates(0, 0, 0)) + .isEqual(quadtreeCoordinates(0, 0, 0)), ).toEqual(true); expect( quadtreeCoordinates(1, 1, 1) .getSubtreeCoordinates() - .isEqual(quadtreeCoordinates(0, 0, 0)) + .isEqual(quadtreeCoordinates(0, 0, 0)), ).toEqual(true); expect( quadtreeCoordinates(2, 3, 3) .getSubtreeCoordinates() - .isEqual(quadtreeCoordinates(2, 3, 3)) + .isEqual(quadtreeCoordinates(2, 3, 3)), ).toEqual(true); expect( quadtreeCoordinates(3, 7, 7) .getSubtreeCoordinates() - .isEqual(quadtreeCoordinates(2, 3, 3)) + .isEqual(quadtreeCoordinates(2, 3, 3)), ).toEqual(true); }); @@ -454,25 +454,25 @@ describe("Scene/ImplicitTileCoordinates", function () { expect( octreeCoordinates(0, 0, 0, 0) .getSubtreeCoordinates() - .isEqual(octreeCoordinates(0, 0, 0, 0)) + .isEqual(octreeCoordinates(0, 0, 0, 0)), ).toEqual(true); expect( octreeCoordinates(1, 1, 1, 1) .getSubtreeCoordinates() - .isEqual(octreeCoordinates(0, 0, 0, 0)) + .isEqual(octreeCoordinates(0, 0, 0, 0)), ).toEqual(true); expect( octreeCoordinates(2, 3, 3, 3) .getSubtreeCoordinates() - .isEqual(octreeCoordinates(2, 3, 3, 3)) + .isEqual(octreeCoordinates(2, 3, 3, 3)), ).toEqual(true); expect( octreeCoordinates(3, 7, 7, 7) .getSubtreeCoordinates() - .isEqual(octreeCoordinates(2, 3, 3, 3)) + .isEqual(octreeCoordinates(2, 3, 3, 3)), ).toEqual(true); }); @@ -492,31 +492,31 @@ describe("Scene/ImplicitTileCoordinates", function () { expect( quadtreeCoordinates(2, 0, 0) .getParentSubtreeCoordinates() - .isEqual(quadtreeCoordinates(0, 0, 0)) + .isEqual(quadtreeCoordinates(0, 0, 0)), ).toEqual(true); expect( quadtreeCoordinates(2, 3, 3) .getParentSubtreeCoordinates() - .isEqual(quadtreeCoordinates(0, 0, 0)) + .isEqual(quadtreeCoordinates(0, 0, 0)), ).toEqual(true); expect( quadtreeCoordinates(3, 7, 7) .getParentSubtreeCoordinates() - .isEqual(quadtreeCoordinates(0, 0, 0)) + .isEqual(quadtreeCoordinates(0, 0, 0)), ).toEqual(true); expect( quadtreeCoordinates(4, 0, 0) .getParentSubtreeCoordinates() - .isEqual(quadtreeCoordinates(2, 0, 0)) + .isEqual(quadtreeCoordinates(2, 0, 0)), ).toEqual(true); expect( quadtreeCoordinates(4, 15, 15) .getParentSubtreeCoordinates() - .isEqual(quadtreeCoordinates(2, 3, 3)) + .isEqual(quadtreeCoordinates(2, 3, 3)), ).toEqual(true); }); @@ -524,31 +524,31 @@ describe("Scene/ImplicitTileCoordinates", function () { expect( octreeCoordinates(2, 0, 0, 0) .getParentSubtreeCoordinates() - .isEqual(octreeCoordinates(0, 0, 0, 0)) + .isEqual(octreeCoordinates(0, 0, 0, 0)), ).toEqual(true); expect( octreeCoordinates(2, 3, 3, 3) .getParentSubtreeCoordinates() - .isEqual(octreeCoordinates(0, 0, 0, 0)) + .isEqual(octreeCoordinates(0, 0, 0, 0)), ).toEqual(true); expect( octreeCoordinates(3, 7, 7, 7) .getParentSubtreeCoordinates() - .isEqual(octreeCoordinates(0, 0, 0, 0)) + .isEqual(octreeCoordinates(0, 0, 0, 0)), ).toEqual(true); expect( octreeCoordinates(4, 0, 0, 0) .getParentSubtreeCoordinates() - .isEqual(octreeCoordinates(2, 0, 0, 0)) + .isEqual(octreeCoordinates(2, 0, 0, 0)), ).toEqual(true); expect( octreeCoordinates(4, 15, 15, 15) .getParentSubtreeCoordinates() - .isEqual(octreeCoordinates(2, 3, 3, 3)) + .isEqual(octreeCoordinates(2, 3, 3, 3)), ).toEqual(true); }); @@ -561,7 +561,7 @@ describe("Scene/ImplicitTileCoordinates", function () { // mismatched subdivisionScheme expect(function () { return quadtreeCoordinates(0, 0, 0).isAncestor( - octreeCoordinates(0, 0, 0, 0) + octreeCoordinates(0, 0, 0, 0), ); }).toThrowDeveloperError(); @@ -570,7 +570,7 @@ describe("Scene/ImplicitTileCoordinates", function () { const subtreeLevelsA = 2; const subtreeLevelsB = 3; return quadtreeCoordinates(0, 0, 0, subtreeLevelsA).isAncestor( - quadtreeCoordinates(0, 0, 0, subtreeLevelsB) + quadtreeCoordinates(0, 0, 0, subtreeLevelsB), ); }).toThrowDeveloperError(); }); @@ -578,54 +578,54 @@ describe("Scene/ImplicitTileCoordinates", function () { it("isAncestor works as expected for quadtree", function () { // cannot be ancestor of itself expect( - quadtreeCoordinates(0, 0, 0).isAncestor(quadtreeCoordinates(0, 0, 0)) + quadtreeCoordinates(0, 0, 0).isAncestor(quadtreeCoordinates(0, 0, 0)), ).toEqual(false); // ancestor one level above expect( - quadtreeCoordinates(0, 0, 0).isAncestor(quadtreeCoordinates(1, 1, 1)) + quadtreeCoordinates(0, 0, 0).isAncestor(quadtreeCoordinates(1, 1, 1)), ).toEqual(true); // cannot be descendant expect( - quadtreeCoordinates(1, 1, 1).isAncestor(quadtreeCoordinates(0, 0, 0)) + quadtreeCoordinates(1, 1, 1).isAncestor(quadtreeCoordinates(0, 0, 0)), ).toEqual(false); // works with bigger divide expect( - quadtreeCoordinates(0, 0, 0).isAncestor(quadtreeCoordinates(3, 7, 7)) + quadtreeCoordinates(0, 0, 0).isAncestor(quadtreeCoordinates(3, 7, 7)), ).toEqual(true); // higher up in the tree but not an ancestor expect( - quadtreeCoordinates(1, 0, 0).isAncestor(quadtreeCoordinates(2, 3, 3)) + quadtreeCoordinates(1, 0, 0).isAncestor(quadtreeCoordinates(2, 3, 3)), ).toEqual(false); }); it("isAncestor works as expected for octree", function () { // cannot be ancestor of itself expect( - octreeCoordinates(0, 0, 0, 0).isAncestor(octreeCoordinates(0, 0, 0, 0)) + octreeCoordinates(0, 0, 0, 0).isAncestor(octreeCoordinates(0, 0, 0, 0)), ).toEqual(false); // ancestor one level above expect( - octreeCoordinates(0, 0, 0, 0).isAncestor(octreeCoordinates(1, 1, 1, 1)) + octreeCoordinates(0, 0, 0, 0).isAncestor(octreeCoordinates(1, 1, 1, 1)), ).toEqual(true); // cannot be descendant expect( - octreeCoordinates(1, 1, 1, 1).isAncestor(octreeCoordinates(0, 0, 0, 0)) + octreeCoordinates(1, 1, 1, 1).isAncestor(octreeCoordinates(0, 0, 0, 0)), ).toEqual(false); // works with bigger divide expect( - octreeCoordinates(0, 0, 0, 0).isAncestor(octreeCoordinates(3, 7, 7, 7)) + octreeCoordinates(0, 0, 0, 0).isAncestor(octreeCoordinates(3, 7, 7, 7)), ).toEqual(true); // higher up in the tree but not an ancestor expect( - octreeCoordinates(1, 0, 0, 0).isAncestor(octreeCoordinates(2, 3, 3, 3)) + octreeCoordinates(1, 0, 0, 0).isAncestor(octreeCoordinates(2, 3, 3, 3)), ).toEqual(false); }); @@ -639,32 +639,32 @@ describe("Scene/ImplicitTileCoordinates", function () { it("isEqual works as expected for quadtree", function () { // same expect( - octreeCoordinates(0, 0, 0, 0).isEqual(octreeCoordinates(0, 0, 0, 0)) + octreeCoordinates(0, 0, 0, 0).isEqual(octreeCoordinates(0, 0, 0, 0)), ).toEqual(true); // different level expect( - octreeCoordinates(0, 0, 0, 0).isEqual(octreeCoordinates(1, 0, 0, 0)) + octreeCoordinates(0, 0, 0, 0).isEqual(octreeCoordinates(1, 0, 0, 0)), ).toEqual(false); // different X expect( - octreeCoordinates(1, 0, 0, 0).isEqual(octreeCoordinates(1, 1, 0, 0)) + octreeCoordinates(1, 0, 0, 0).isEqual(octreeCoordinates(1, 1, 0, 0)), ).toEqual(false); // different Y expect( - octreeCoordinates(1, 0, 0, 0).isEqual(octreeCoordinates(1, 0, 1, 0)) + octreeCoordinates(1, 0, 0, 0).isEqual(octreeCoordinates(1, 0, 1, 0)), ).toEqual(false); // different Z expect( - octreeCoordinates(1, 0, 0, 0).isEqual(octreeCoordinates(1, 0, 0, 1)) + octreeCoordinates(1, 0, 0, 0).isEqual(octreeCoordinates(1, 0, 0, 1)), ).toEqual(false); // mismatched subdivisionScheme expect( - quadtreeCoordinates(0, 0, 0).isEqual(octreeCoordinates(0, 0, 0, 0)) + quadtreeCoordinates(0, 0, 0).isEqual(octreeCoordinates(0, 0, 0, 0)), ).toEqual(false); // mismatched subtreeLevels @@ -672,8 +672,8 @@ describe("Scene/ImplicitTileCoordinates", function () { const subtreeLevelsB = 3; expect( quadtreeCoordinates(0, 0, 0, subtreeLevelsA).isEqual( - quadtreeCoordinates(0, 0, 0, subtreeLevelsB) - ) + quadtreeCoordinates(0, 0, 0, subtreeLevelsB), + ), ).toEqual(false); }); @@ -736,11 +736,11 @@ describe("Scene/ImplicitTileCoordinates", function () { ImplicitSubdivisionScheme.QUADTREE, subtreeLevels, 3, - 42 + 42, ); expect( - coordinates.isEqual(quadtreeCoordinates(3, 0, 7, subtreeLevels)) + coordinates.isEqual(quadtreeCoordinates(3, 0, 7, subtreeLevels)), ).toEqual(true); }); @@ -753,11 +753,11 @@ describe("Scene/ImplicitTileCoordinates", function () { ImplicitSubdivisionScheme.OCTREE, subtreeLevels, 2, - 43 + 43, ); expect( - coordinates.isEqual(octreeCoordinates(2, 3, 1, 2, subtreeLevels)) + coordinates.isEqual(octreeCoordinates(2, 3, 1, 2, subtreeLevels)), ).toEqual(true); }); @@ -793,11 +793,11 @@ describe("Scene/ImplicitTileCoordinates", function () { const coordinates = ImplicitTileCoordinates.fromTileIndex( ImplicitSubdivisionScheme.QUADTREE, subtreeLevels, - 63 + 63, ); expect( - coordinates.isEqual(quadtreeCoordinates(3, 0, 7, subtreeLevels)) + coordinates.isEqual(quadtreeCoordinates(3, 0, 7, subtreeLevels)), ).toEqual(true); }); @@ -812,18 +812,18 @@ describe("Scene/ImplicitTileCoordinates", function () { const coordinates = ImplicitTileCoordinates.fromTileIndex( ImplicitSubdivisionScheme.OCTREE, subtreeLevels, - 52 + 52, ); expect( - coordinates.isEqual(octreeCoordinates(2, 3, 1, 2, subtreeLevels)) + coordinates.isEqual(octreeCoordinates(2, 3, 1, 2, subtreeLevels)), ).toEqual(true); }); it("getTemplateValues works as expected for quadtree", function () { const subtreeLevels = 6; expect( - quadtreeCoordinates(4, 3, 2, subtreeLevels).getTemplateValues() + quadtreeCoordinates(4, 3, 2, subtreeLevels).getTemplateValues(), ).toEqual({ level: 4, x: 3, @@ -835,7 +835,7 @@ describe("Scene/ImplicitTileCoordinates", function () { const subtreeLevels = 6; expect( - octreeCoordinates(4, 3, 2, 1, subtreeLevels).getTemplateValues() + octreeCoordinates(4, 3, 2, 1, subtreeLevels).getTemplateValues(), ).toEqual({ level: 4, x: 3, diff --git a/packages/engine/Specs/Scene/ImplicitTilesetSpec.js b/packages/engine/Specs/Scene/ImplicitTilesetSpec.js index 9050f117205d..45684b9170db 100644 --- a/packages/engine/Specs/Scene/ImplicitTilesetSpec.js +++ b/packages/engine/Specs/Scene/ImplicitTilesetSpec.js @@ -76,16 +76,16 @@ describe("Scene/ImplicitTileset", function () { const implicitTileset = new ImplicitTileset( baseResource, implicitTileJson, - metadataSchema + metadataSchema, ); expect(implicitTileset.metadataSchema).toBeUndefined(); expect(implicitTileset.subtreeLevels).toEqual(3); expect(implicitTileset.availableLevels).toEqual(5); expect(implicitTileset.subdivisionScheme).toEqual( - ImplicitSubdivisionScheme.QUADTREE + ImplicitSubdivisionScheme.QUADTREE, ); expect(implicitTileset.boundingVolume).toEqual( - implicitTileJson.boundingVolume + implicitTileJson.boundingVolume, ); expect(implicitTileset.refine).toEqual(implicitTileJson.refine); expect(implicitTileset.geometricError).toEqual(500); @@ -98,7 +98,7 @@ describe("Scene/ImplicitTileset", function () { const implicitTileset = new ImplicitTileset( baseResource, implicitTileJson, - metadataSchema + metadataSchema, ); const deep = true; const expected = clone(implicitTileJson, deep); @@ -117,7 +117,7 @@ describe("Scene/ImplicitTileset", function () { const implicitTileset = new ImplicitTileset( baseResource, withExtensions, - metadataSchema + metadataSchema, ); const expected = clone(withExtensions, deep); delete expected.content; @@ -130,7 +130,7 @@ describe("Scene/ImplicitTileset", function () { const implicitTileset = new ImplicitTileset( baseResource, implicitTileJson, - metadataSchema + metadataSchema, ); expect(implicitTileset.contentHeaders[0]).toEqual(implicitTileJson.content); }); @@ -142,7 +142,7 @@ describe("Scene/ImplicitTileset", function () { const implicitTileset = new ImplicitTileset( baseResource, noContentJson, - metadataSchema + metadataSchema, ); expect(implicitTileset.contentUriTemplates).toEqual([]); }); @@ -165,7 +165,7 @@ describe("Scene/ImplicitTileset", function () { const implicitTileset = new ImplicitTileset( baseResource, tileJson, - metadataSchema + metadataSchema, ); const implicitTilesetS2 = implicitTileset.boundingVolume.extensions["3DTILES_bounding_volume_S2"]; @@ -193,16 +193,16 @@ describe("Scene/ImplicitTileset", function () { const implicitTileset = new ImplicitTileset( baseResource, implicitTileLegacyJson, - metadataSchema + metadataSchema, ); expect(implicitTileset.metadataSchema).toBeUndefined(); expect(implicitTileset.subtreeLevels).toEqual(3); expect(implicitTileset.availableLevels).toEqual(5); expect(implicitTileset.subdivisionScheme).toEqual( - ImplicitSubdivisionScheme.QUADTREE + ImplicitSubdivisionScheme.QUADTREE, ); expect(implicitTileset.boundingVolume).toEqual( - implicitTileJson.boundingVolume + implicitTileJson.boundingVolume, ); expect(implicitTileset.refine).toEqual(implicitTileJson.refine); expect(implicitTileset.geometricError).toEqual(500); @@ -215,7 +215,7 @@ describe("Scene/ImplicitTileset", function () { const implicitTileset = new ImplicitTileset( baseResource, implicitTileLegacyJson, - metadataSchema + metadataSchema, ); expect(implicitTileset.availableLevels).toEqual(5); }); @@ -225,7 +225,7 @@ describe("Scene/ImplicitTileset", function () { const implicitTileset = new ImplicitTileset( baseResource, implicitTileLegacyJson, - metadataSchema + metadataSchema, ); const deep = true; const expected = clone(implicitTileLegacyJson, deep); @@ -243,7 +243,7 @@ describe("Scene/ImplicitTileset", function () { const implicitTileset = new ImplicitTileset( baseResource, withExtensions, - metadataSchema + metadataSchema, ); const expected = clone(withExtensions, deep); delete expected.content; @@ -256,10 +256,10 @@ describe("Scene/ImplicitTileset", function () { const implicitTileset = new ImplicitTileset( baseResource, implicitTileLegacyJson, - metadataSchema + metadataSchema, ); expect(implicitTileset.contentHeaders[0]).toEqual( - implicitTileJson.content + implicitTileJson.content, ); }); @@ -270,7 +270,7 @@ describe("Scene/ImplicitTileset", function () { const implicitTileset = new ImplicitTileset( baseResource, noContentJson, - metadataSchema + metadataSchema, ); expect(implicitTileset.contentUriTemplates).toEqual([]); }); @@ -326,7 +326,7 @@ describe("Scene/ImplicitTileset", function () { const implicitTileset = new ImplicitTileset( baseResource, multipleContentTile, - metadataSchema + metadataSchema, ); expect(implicitTileset.contentUriTemplates).toEqual([ new Resource({ url: b3dmPattern }), @@ -351,7 +351,7 @@ describe("Scene/ImplicitTileset", function () { const implicitTileset = new ImplicitTileset( baseResource, withProperties, - metadataSchema + metadataSchema, ); for (i = 0; i < implicitTileset.contentHeaders.length; i++) { expect(implicitTileset.contentHeaders[i]).toEqual(contents[i]); @@ -363,7 +363,7 @@ describe("Scene/ImplicitTileset", function () { const implicitTileset = new ImplicitTileset( baseResource, multipleContentTile, - metadataSchema + metadataSchema, ); expect(implicitTileset.tileHeader.extensions).not.toBeDefined(); }); @@ -406,7 +406,7 @@ describe("Scene/ImplicitTileset", function () { const implicitTileset = new ImplicitTileset( baseResource, multipleContentLegacyTile, - metadataSchema + metadataSchema, ); expect(implicitTileset.contentUriTemplates).toEqual([ new Resource({ url: b3dmPattern }), @@ -432,7 +432,7 @@ describe("Scene/ImplicitTileset", function () { const implicitTileset = new ImplicitTileset( baseResource, withProperties, - metadataSchema + metadataSchema, ); for (i = 0; i < implicitTileset.contentHeaders.length; i++) { expect(implicitTileset.contentHeaders[i]).toEqual(contents[i]); @@ -460,7 +460,7 @@ describe("Scene/ImplicitTileset", function () { const implicitTileset = new ImplicitTileset( baseResource, implicitTileJson, - metadataSchema + metadataSchema, ); expect(implicitTileset.metadataSchema).toBeDefined(); diff --git a/packages/engine/Specs/Scene/InstanceAttributeSemanticSpec.js b/packages/engine/Specs/Scene/InstanceAttributeSemanticSpec.js index 51b70891d303..1311f00be47f 100644 --- a/packages/engine/Specs/Scene/InstanceAttributeSemanticSpec.js +++ b/packages/engine/Specs/Scene/InstanceAttributeSemanticSpec.js @@ -24,8 +24,8 @@ describe("Scene/InstanceAttributeSemantic", function () { expect( InstanceAttributeSemantic.fromGltfSemantic( gltfSemantics[i], - expectedSemantics[i] - ) + expectedSemantics[i], + ), ).toBe(expectedSemantics[i]); } }); diff --git a/packages/engine/Specs/Scene/IonImageryProviderSpec.js b/packages/engine/Specs/Scene/IonImageryProviderSpec.js index abde504d9040..4b8a589ac020 100644 --- a/packages/engine/Specs/Scene/IonImageryProviderSpec.js +++ b/packages/engine/Specs/Scene/IonImageryProviderSpec.js @@ -30,21 +30,21 @@ describe("Scene/IonImageryProvider", function () { const options = {}; const endpointResource = IonResource._createEndpointResource( assetId, - options + options, ); spyOn(IonResource, "_createEndpointResource").and.returnValue( - endpointResource + endpointResource, ); spyOn(endpointResource, "fetchJson").and.returnValue( - Promise.resolve(endpointData) + Promise.resolve(endpointData), ); const provider = await IonImageryProvider.fromAssetId(assetId, options); expect(IonResource._createEndpointResource).toHaveBeenCalledWith( assetId, - options + options, ); return provider; } @@ -60,7 +60,7 @@ describe("Scene/IonImageryProvider", function () { it("fromAssetId throws without assetId", async function () { await expectAsync( - IonImageryProvider.fromAssetId() + IonImageryProvider.fromAssetId(), ).toBeRejectedWithDeveloperError(); }); @@ -71,10 +71,10 @@ describe("Scene/IonImageryProvider", function () { url: "http://test.invalid/layer", accessToken: "not_really_a_refresh_token", attributions: [], - }) + }), ).toBeRejectedWithError( RuntimeError, - "Cesium ion asset 12335 is not an imagery asset." + "Cesium ion asset 12335 is not an imagery asset.", ); }); @@ -85,10 +85,10 @@ describe("Scene/IonImageryProvider", function () { externalType: "TUBELCANE", options: { url: "http://test.invalid/layer" }, attributions: [], - }) + }), ).toBeRejectedWithError( RuntimeError, - "Unrecognized Cesium ion imagery type: TUBELCANE" + "Unrecognized Cesium ion imagery type: TUBELCANE", ); }); @@ -97,7 +97,7 @@ describe("Scene/IonImageryProvider", function () { expect(provider).toBeInstanceOf(IonImageryProvider); expect(provider.errorEvent).toBeDefined(); expect(provider._imageryProvider).toBeInstanceOf( - UrlTemplateImageryProvider + UrlTemplateImageryProvider, ); }); @@ -116,13 +116,13 @@ describe("Scene/IonImageryProvider", function () { }; const endpointResource = IonResource._createEndpointResource( assetId, - options + options, ); spyOn(IonResource, "_createEndpointResource").and.returnValue( - endpointResource + endpointResource, ); spyOn(endpointResource, "fetchJson").and.returnValue( - Promise.resolve(endpointData) + Promise.resolve(endpointData), ); expect(endpointResource.fetchJson.calls.count()).toBe(0); @@ -155,19 +155,19 @@ describe("Scene/IonImageryProvider", function () { const image = new Image(); const request = {}; spyOn(internalProvider, "requestImage").and.returnValue( - Promise.resolve(image) + Promise.resolve(image), ); let result = await provider.requestImage(1, 2, 3, request); expect(internalProvider.requestImage).toHaveBeenCalledWith( 1, 2, 3, - request + request, ); expect(result).toBe(image); const info = {}; spyOn(internalProvider, "pickFeatures").and.returnValue( - Promise.resolve(info) + Promise.resolve(info), ); result = await provider.pickFeatures(1, 2, 3, 4, 5); expect(internalProvider.pickFeatures).toHaveBeenCalledWith(1, 2, 3, 4, 5); @@ -209,23 +209,25 @@ describe("Scene/IonImageryProvider", function () { } it("createImageryProvider works with ARCGIS_MAPSERVER", function () { - spyOn(Resource._Implementations, "loadWithXhr").and.callFake(function ( - url, - responseType, - method, - data, - headers, - deferred, - overrideMimeType - ) { - deferred.resolve( - JSON.stringify({ imageUrl: "", imageUrlSubdomains: [], zoomMax: 0 }) - ); - }); + spyOn(Resource._Implementations, "loadWithXhr").and.callFake( + function ( + url, + responseType, + method, + data, + headers, + deferred, + overrideMimeType, + ) { + deferred.resolve( + JSON.stringify({ imageUrl: "", imageUrlSubdomains: [], zoomMax: 0 }), + ); + }, + ); return testExternalImagery( "ARCGIS_MAPSERVER", { url: "http://test.invalid" }, - ArcGisMapServerImageryProvider + ArcGisMapServerImageryProvider, ); }); @@ -239,32 +241,34 @@ describe("Scene/IonImageryProvider", function () { }, ], }); - } + }, ); return testExternalImagery( "BING", { url: "http://test.invalid", key: "" }, - BingMapsImageryProvider + BingMapsImageryProvider, ); }); it("createImageryProvider works with GOOGLE_EARTH", function () { - spyOn(Resource._Implementations, "loadWithXhr").and.callFake(function ( - url, - responseType, - method, - data, - headers, - deferred, - overrideMimeType - ) { - deferred.resolve(JSON.stringify({ layers: [{ id: 0, version: "" }] })); - }); + spyOn(Resource._Implementations, "loadWithXhr").and.callFake( + function ( + url, + responseType, + method, + data, + headers, + deferred, + overrideMimeType, + ) { + deferred.resolve(JSON.stringify({ layers: [{ id: 0, version: "" }] })); + }, + ); return testExternalImagery( "GOOGLE_EARTH", { url: "http://test.invalid", channel: 0 }, - GoogleEarthEnterpriseMapsProvider + GoogleEarthEnterpriseMapsProvider, ); }); @@ -272,26 +276,24 @@ describe("Scene/IonImageryProvider", function () { return testExternalImagery( "MAPBOX", { accessToken: "test-token", url: "http://test.invalid", mapId: 1 }, - MapboxImageryProvider + MapboxImageryProvider, ); }); it("createImageryProvider works with SINGLE_TILE", function () { - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - deferred.resolve({ - height: 16, - width: 16, - }); - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + deferred.resolve({ + height: 16, + width: 16, + }); + }, + ); return testExternalImagery( "SINGLE_TILE", { url: "http://test.invalid" }, - SingleTileImageryProvider + SingleTileImageryProvider, ); }); @@ -299,7 +301,7 @@ describe("Scene/IonImageryProvider", function () { return testExternalImagery( "TMS", { url: "http://test.invalid" }, - UrlTemplateImageryProvider + UrlTemplateImageryProvider, ); }); @@ -307,7 +309,7 @@ describe("Scene/IonImageryProvider", function () { return testExternalImagery( "URL_TEMPLATE", { url: "http://test.invalid" }, - UrlTemplateImageryProvider + UrlTemplateImageryProvider, ); }); @@ -315,7 +317,7 @@ describe("Scene/IonImageryProvider", function () { return testExternalImagery( "WMS", { url: "http://test.invalid", layers: [] }, - WebMapServiceImageryProvider + WebMapServiceImageryProvider, ); }); @@ -323,7 +325,7 @@ describe("Scene/IonImageryProvider", function () { return testExternalImagery( "WMTS", { url: "http://test.invalid", layer: "", style: "", tileMatrixSetID: 1 }, - WebMapTileServiceImageryProvider + WebMapTileServiceImageryProvider, ); }); }); diff --git a/packages/engine/Specs/Scene/KeyframeNodeSpec.js b/packages/engine/Specs/Scene/KeyframeNodeSpec.js index 9b6d39b99647..ef8c86607285 100644 --- a/packages/engine/Specs/Scene/KeyframeNodeSpec.js +++ b/packages/engine/Specs/Scene/KeyframeNodeSpec.js @@ -25,7 +25,7 @@ describe("Scene/KeyframeNode", function () { keyframeNode2.priority = 2; const comparison = KeyframeNode.priorityComparator( keyframeNode1, - keyframeNode2 + keyframeNode2, ); expect(comparison).toBe(-1); }); @@ -37,7 +37,7 @@ describe("Scene/KeyframeNode", function () { const keyframeNode2 = new KeyframeNode(dummySpatialNode, keyframe2); const comparison = KeyframeNode.searchComparator( keyframeNode1, - keyframeNode2 + keyframeNode2, ); expect(comparison).toBe(6); }); diff --git a/packages/engine/Specs/Scene/LabelCollectionSpec.js b/packages/engine/Specs/Scene/LabelCollectionSpec.js index 352e8fcfd822..3f163690e81e 100644 --- a/packages/engine/Specs/Scene/LabelCollectionSpec.js +++ b/packages/engine/Specs/Scene/LabelCollectionSpec.js @@ -70,7 +70,7 @@ describe( expect(label.outlineWidth).toEqual(1); expect(label.showBackground).toEqual(false); expect(label.backgroundColor).toEqual( - new Color(0.165, 0.165, 0.165, 0.8) + new Color(0.165, 0.165, 0.165, 0.8), ); expect(label.backgroundPadding).toEqual(new Cartesian2(7, 5)); expect(label.style).toEqual(LabelStyle.FILL); @@ -121,7 +121,7 @@ describe( const scaleByDistance = new NearFarScalar(1.0e4, 1.0, 1.0e6, 0.0); const distanceDisplayCondition = new DistanceDisplayCondition( 10.0, - 100.0 + 100.0, ); const disableDepthTestDistance = 10.0; const label = labels.add({ @@ -312,9 +312,8 @@ describe( // render until all labels have been updated return pollToPromise(function () { scene.renderForSpecs(); - const backgroundBillboard = labels._backgroundBillboardCollection.get( - 0 - ); + const backgroundBillboard = + labels._backgroundBillboardCollection.get(0); return ( (!defined(backgroundBillboard) || backgroundBillboard.ready) && labels._labelsToUpdate.length === 0 @@ -1109,7 +1108,7 @@ describe( scene.renderForSpecs(); expect(label.computeScreenSpacePosition(scene)).toEqualEpsilon( new Cartesian2(0.5, 0.5), - CesiumMath.EPSILON1 + CesiumMath.EPSILON1, ); }); @@ -1125,7 +1124,7 @@ describe( expect(actual).toEqual(result); expect(result).toEqualEpsilon( new Cartesian2(0.5, 0.5), - CesiumMath.EPSILON1 + CesiumMath.EPSILON1, ); }); @@ -1139,7 +1138,7 @@ describe( scene.renderForSpecs(); expect(label.computeScreenSpacePosition(scene)).toEqualEpsilon( new Cartesian2(1.0, 1.0), - CesiumMath.EPSILON1 + CesiumMath.EPSILON1, ); }); @@ -1153,7 +1152,7 @@ describe( scene.renderForSpecs(); expect(label.computeScreenSpacePosition(scene)).toEqualEpsilon( new Cartesian2(0.5, 0.5), - CesiumMath.EPSILON1 + CesiumMath.EPSILON1, ); }); @@ -1167,7 +1166,7 @@ describe( return allLabelsReady().then(function () { const bbox = Label.getScreenSpaceBoundingBox( label, - Cartesian2.ZERO + Cartesian2.ZERO, ); expect(bbox.x).toBeDefined(); expect(bbox.y).toBeDefined(); @@ -1190,7 +1189,7 @@ describe( const bbox = Label.getScreenSpaceBoundingBox( label, Cartesian2.ZERO, - result + result, ); expect(bbox.x).toBeDefined(); expect(bbox.y).toBeDefined(); @@ -1214,7 +1213,7 @@ describe( return allLabelsReady().then(function () { const bbox = Label.getScreenSpaceBoundingBox( label, - Cartesian2.ZERO + Cartesian2.ZERO, ); expect(bbox.y).toBeGreaterThan(bbox.height * -0.9); expect(bbox.y).toBeLessThan(bbox.height * -0.3); @@ -1233,7 +1232,7 @@ describe( return allLabelsReady().then(function () { const bbox = Label.getScreenSpaceBoundingBox( label, - Cartesian2.ZERO + Cartesian2.ZERO, ); expect(bbox.y).toBeLessThan(5); expect(bbox.y).toBeGreaterThan(-5); @@ -1252,7 +1251,7 @@ describe( return allLabelsReady().then(function () { const bbox = Label.getScreenSpaceBoundingBox( label, - Cartesian2.ZERO + Cartesian2.ZERO, ); expect(bbox.y).toBeLessThan(bbox.height * -0.8); expect(bbox.y).toBeGreaterThan(bbox.height * -1.2); @@ -1388,14 +1387,14 @@ describe( function getGlyphBillboardVertexTranslate(label, index) { return Cartesian2.clone( label._glyphs[index].billboard._translate, - new Cartesian2() + new Cartesian2(), ); } function getBackgroundBillboardVertexTranslate(label) { return Cartesian2.clone( label._backgroundBillboard._translate, - new Cartesian2() + new Cartesian2(), ); } @@ -1460,10 +1459,10 @@ describe( expect(billboard.scale).toEqual(label.scale * label._relativeSize); expect(billboard.id).toEqual(label.id); expect(billboard.translucencyByDistance).toEqual( - label.translucencyByDistance + label.translucencyByDistance, ); expect(billboard.pixelOffsetScaleByDistance).toEqual( - label.pixelOffsetScaleByDistance + label.pixelOffsetScaleByDistance, ); expect(billboard.scaleByDistance).toEqual(label.scaleByDistance); @@ -1487,7 +1486,7 @@ describe( 1.0e4, 1.0, 1.0e6, - 0.0 + 0.0, ), scaleByDistance: new NearFarScalar(1.0e4, 1.0, 1.0e6, 0.0), showBackground: true, @@ -1597,7 +1596,7 @@ describe( getGlyphBillboards().forEach(function (billboard) { expect(billboard.translucencyByDistance).toEqual( - label.translucencyByDistance + label.translucencyByDistance, ); }); }); @@ -1610,7 +1609,7 @@ describe( getGlyphBillboards().forEach(function (billboard) { expect(billboard.pixelOffsetScaleByDistance).toEqual( - label.pixelOffsetScaleByDistance + label.pixelOffsetScaleByDistance, ); }); }); @@ -1634,7 +1633,7 @@ describe( getGlyphBillboards().forEach(function (billboard) { expect(billboard.translucencyByDistance).toEqual( - label.translucencyByDistance + label.translucencyByDistance, ); }); }); @@ -1647,7 +1646,7 @@ describe( getGlyphBillboards().forEach(function (billboard) { expect(billboard.pixelOffsetScaleByDistance).toEqual( - label.pixelOffsetScaleByDistance + label.pixelOffsetScaleByDistance, ); }); }); @@ -1690,13 +1689,13 @@ describe( // X offset should be unchanged expect(getGlyphBillboardVertexTranslate(label, 0).x).toEqual( - offset0.x + offset0.x, ); expect(getGlyphBillboardVertexTranslate(label, 1).x).toEqual( - offset1.x + offset1.x, ); expect(getGlyphBillboardVertexTranslate(label, 2).x).toEqual( - offset2.x + offset2.x, ); label.verticalOrigin = VerticalOrigin.BOTTOM; @@ -1704,13 +1703,13 @@ describe( // X offset should be unchanged expect(getGlyphBillboardVertexTranslate(label, 0).x).toEqual( - offset0.x + offset0.x, ); expect(getGlyphBillboardVertexTranslate(label, 1).x).toEqual( - offset1.x + offset1.x, ); expect(getGlyphBillboardVertexTranslate(label, 2).x).toEqual( - offset2.x + offset2.x, ); }); }); @@ -1734,30 +1733,30 @@ describe( // horizontal origin LEFT should increase X offset compared to CENTER expect( - getGlyphBillboardVertexTranslate(label, 0).x + getGlyphBillboardVertexTranslate(label, 0).x, ).toBeGreaterThan(offset0.x); expect( - getGlyphBillboardVertexTranslate(label, 1).x + getGlyphBillboardVertexTranslate(label, 1).x, ).toBeGreaterThan(offset1.x); expect( - getGlyphBillboardVertexTranslate(label, 2).x + getGlyphBillboardVertexTranslate(label, 2).x, ).toBeGreaterThan(offset2.x); expect( - getBackgroundBillboardVertexTranslate(label).x + getBackgroundBillboardVertexTranslate(label).x, ).toBeGreaterThan(offsetBack.x); // Y offset should be unchanged expect(getGlyphBillboardVertexTranslate(label, 0).y).toEqual( - offset0.y + offset0.y, ); expect(getGlyphBillboardVertexTranslate(label, 1).y).toEqual( - offset1.y + offset1.y, ); expect(getGlyphBillboardVertexTranslate(label, 2).y).toEqual( - offset2.y + offset2.y, ); expect(getBackgroundBillboardVertexTranslate(label).y).toEqual( - offsetBack.y + offsetBack.y, ); label.horizontalOrigin = HorizontalOrigin.RIGHT; @@ -1765,30 +1764,30 @@ describe( // horizontal origin RIGHT should decrease X offset compared to CENTER expect(getGlyphBillboardVertexTranslate(label, 0).x).toBeLessThan( - offset0.x + offset0.x, ); expect(getGlyphBillboardVertexTranslate(label, 1).x).toBeLessThan( - offset1.x + offset1.x, ); expect(getGlyphBillboardVertexTranslate(label, 2).x).toBeLessThan( - offset2.x + offset2.x, ); expect(getBackgroundBillboardVertexTranslate(label).x).toBeLessThan( - offsetBack.x + offsetBack.x, ); // Y offset should be unchanged expect(getGlyphBillboardVertexTranslate(label, 0).y).toEqual( - offset0.y + offset0.y, ); expect(getGlyphBillboardVertexTranslate(label, 1).y).toEqual( - offset1.y + offset1.y, ); expect(getGlyphBillboardVertexTranslate(label, 2).y).toEqual( - offset2.y + offset2.y, ); expect(getBackgroundBillboardVertexTranslate(label).y).toEqual( - offsetBack.y + offsetBack.y, ); }); }); @@ -1811,22 +1810,22 @@ describe( // scaling by 2 should double X and Y offset expect(getGlyphBillboardVertexTranslate(label, 0).x).toEqual( - 2 * offset0.x + 2 * offset0.x, ); expect(getGlyphBillboardVertexTranslate(label, 0).y).toEqual( - 2 * offset0.y + 2 * offset0.y, ); expect(getGlyphBillboardVertexTranslate(label, 1).x).toEqual( - 2 * offset1.x + 2 * offset1.x, ); expect(getGlyphBillboardVertexTranslate(label, 1).y).toEqual( - 2 * offset1.y + 2 * offset1.y, ); expect(getGlyphBillboardVertexTranslate(label, 2).x).toEqual( - 2 * offset2.x + 2 * offset2.x, ); expect(getGlyphBillboardVertexTranslate(label, 2).y).toEqual( - 2 * offset2.y + 2 * offset2.y, ); // store the offsets when vertically centered at scale 2 @@ -1842,24 +1841,24 @@ describe( // horizontal origin LEFT should increase X offset compared to CENTER expect( - getGlyphBillboardVertexTranslate(label, 0).x + getGlyphBillboardVertexTranslate(label, 0).x, ).toBeGreaterThan(offset0.x); expect( - getGlyphBillboardVertexTranslate(label, 1).x + getGlyphBillboardVertexTranslate(label, 1).x, ).toBeGreaterThan(offset1.x); expect( - getGlyphBillboardVertexTranslate(label, 2).x + getGlyphBillboardVertexTranslate(label, 2).x, ).toBeGreaterThan(offset2.x); // Y offset should be unchanged expect(getGlyphBillboardVertexTranslate(label, 0).y).toEqual( - offset0.y + offset0.y, ); expect(getGlyphBillboardVertexTranslate(label, 1).y).toEqual( - offset1.y + offset1.y, ); expect(getGlyphBillboardVertexTranslate(label, 2).y).toEqual( - offset2.y + offset2.y, ); label.horizontalOrigin = HorizontalOrigin.RIGHT; @@ -1867,24 +1866,24 @@ describe( // horizontal origin RIGHT should decrease X offset compared to CENTER expect(getGlyphBillboardVertexTranslate(label, 0).x).toBeLessThan( - offset0.x + offset0.x, ); expect(getGlyphBillboardVertexTranslate(label, 1).x).toBeLessThan( - offset1.x + offset1.x, ); expect(getGlyphBillboardVertexTranslate(label, 2).x).toBeLessThan( - offset2.x + offset2.x, ); // Y offset should be unchanged expect(getGlyphBillboardVertexTranslate(label, 0).y).toEqual( - offset0.y + offset0.y, ); expect(getGlyphBillboardVertexTranslate(label, 1).y).toEqual( - offset1.y + offset1.y, ); expect(getGlyphBillboardVertexTranslate(label, 2).y).toEqual( - offset2.y + offset2.y, ); }); }); @@ -1956,22 +1955,22 @@ describe( // reducing font size should reduce absolute value of both X and Y offset expect( - Math.abs(getGlyphBillboardVertexTranslate(label, 0).x) + Math.abs(getGlyphBillboardVertexTranslate(label, 0).x), ).toBeLessThanOrEqual(Math.abs(offset0.x)); expect( - Math.abs(getGlyphBillboardVertexTranslate(label, 0).y) + Math.abs(getGlyphBillboardVertexTranslate(label, 0).y), ).toBeLessThanOrEqual(Math.abs(offset0.y)); expect( - Math.abs(getGlyphBillboardVertexTranslate(label, 1).x) + Math.abs(getGlyphBillboardVertexTranslate(label, 1).x), ).toBeLessThanOrEqual(Math.abs(offset1.x)); expect( - Math.abs(getGlyphBillboardVertexTranslate(label, 1).y) + Math.abs(getGlyphBillboardVertexTranslate(label, 1).y), ).toBeLessThanOrEqual(Math.abs(offset1.y)); expect( - Math.abs(getGlyphBillboardVertexTranslate(label, 2).x) + Math.abs(getGlyphBillboardVertexTranslate(label, 2).x), ).toBeLessThanOrEqual(Math.abs(offset2.x)); expect( - Math.abs(getGlyphBillboardVertexTranslate(label, 2).y) + Math.abs(getGlyphBillboardVertexTranslate(label, 2).y), ).toBeLessThanOrEqual(Math.abs(offset2.y)); }); }); @@ -2001,13 +2000,13 @@ describe( return allLabelsReady().then(function () { expect(getGlyphBillboardVertexTranslate(one, 0)).toEqual( - getGlyphBillboardVertexTranslate(two, 0) + getGlyphBillboardVertexTranslate(two, 0), ); expect(getGlyphBillboardVertexTranslate(one, 1)).toEqual( - getGlyphBillboardVertexTranslate(two, 1) + getGlyphBillboardVertexTranslate(two, 1), ); expect(getGlyphBillboardVertexTranslate(one, 2)).toEqual( - getGlyphBillboardVertexTranslate(two, 2) + getGlyphBillboardVertexTranslate(two, 2), ); }); }); @@ -2090,14 +2089,14 @@ describe( return allLabelsReady().then(function () { const originalBbox = Label.getScreenSpaceBoundingBox( label, - Cartesian2.ZERO + Cartesian2.ZERO, ); label.text = "apl\napl\napl"; scene.renderForSpecs(); const newlinesBbox = Label.getScreenSpaceBoundingBox( label, - Cartesian2.ZERO + Cartesian2.ZERO, ); expect(newlinesBbox.width).toBeLessThan(originalBbox.width); @@ -2127,7 +2126,7 @@ describe( }); }); }, - "WebGL" + "WebGL", ); describe("right to left detection", function () { @@ -2323,14 +2322,15 @@ describe( expected.center = new Cartesian3( 0.0, expected.center.x, - expected.center.y + expected.center.y, ); expect(actual.center).toEqualEpsilon( expected.center, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); expect(actual.radius).toBeGreaterThanOrEqual(expected.radius); - scene.screenSpaceCameraController.enableCollisionDetection = originalEnableCollisionDetection; + scene.screenSpaceCameraController.enableCollisionDetection = + originalEnableCollisionDetection; }); }); @@ -2363,11 +2363,11 @@ describe( expected.center = new Cartesian3( 0.0, expected.center.x, - expected.center.y + expected.center.y, ); expect(actual.center).toEqualEpsilon( expected.center, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); expect(actual.radius).toBeGreaterThan(expected.radius); }); @@ -2599,7 +2599,7 @@ describe( expect(scene.updateHeight).toHaveBeenCalledWith( Cartographic.fromCartesian(position), jasmine.any(Function), - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); }); @@ -2615,7 +2615,7 @@ describe( expect(scene.updateHeight).toHaveBeenCalledWith( Cartographic.fromCartesian(position), jasmine.any(Function), - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); }); @@ -2631,7 +2631,7 @@ describe( expect(scene.updateHeight).toHaveBeenCalledWith( Cartographic.fromCartesian(position), jasmine.any(Function), - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); l.heightReference = HeightReference.RELATIVE_TO_GROUND; @@ -2639,7 +2639,7 @@ describe( expect(scene.updateHeight).toHaveBeenCalledWith( Cartographic.fromCartesian(position), jasmine.any(Function), - HeightReference.RELATIVE_TO_GROUND + HeightReference.RELATIVE_TO_GROUND, ); }); @@ -2671,7 +2671,7 @@ describe( expect(scene.updateHeight).toHaveBeenCalledWith( Cartographic.fromCartesian(position), jasmine.any(Function), - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); position = l.position = Cartesian3.fromDegrees(-73.0, 40.0); @@ -2680,7 +2680,7 @@ describe( expect(scene.updateHeight).toHaveBeenCalledWith( Cartographic.fromCartesian(position), jasmine.any(Function), - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); }); @@ -2692,7 +2692,7 @@ describe( cartographic.height = height; updateCallback(cartographic); }; - } + }, ); const position = Cartesian3.fromDegrees(-72.0, 40.0); @@ -2703,14 +2703,14 @@ describe( expect(scene.updateHeight).toHaveBeenCalled(); let cartographic = scene.globe.ellipsoid.cartesianToCartographic( - l._clampedPosition + l._clampedPosition, ); expect(cartographic.height).toEqual(0.0); invokeCallback(100.0); cartographic = scene.globe.ellipsoid.cartesianToCartographic( - l._clampedPosition + l._clampedPosition, ); expect(cartographic.height).toEqualEpsilon(100.0, CesiumMath.EPSILON9); }); @@ -2746,11 +2746,11 @@ describe( labelsWithHeight.remove(l); expect(spy).toHaveBeenCalled(); expect( - labelsWithHeight._spareBillboards[0]._removeCallbackFunc + labelsWithHeight._spareBillboards[0]._removeCallbackFunc, ).toBeUndefined(); }); }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/MapboxImageryProviderSpec.js b/packages/engine/Specs/Scene/MapboxImageryProviderSpec.js index 201da71b3e65..cba4f0aebf7f 100644 --- a/packages/engine/Specs/Scene/MapboxImageryProviderSpec.js +++ b/packages/engine/Specs/Scene/MapboxImageryProviderSpec.js @@ -51,20 +51,18 @@ describe("Scene/MapboxImageryProvider", function () { mapId: "test-id", }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).not.toContain("//"); - - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).not.toContain("//"); + + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -79,20 +77,18 @@ describe("Scene/MapboxImageryProvider", function () { mapId: "test-id", }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).toContain("made/up/mapbox/server/"); - - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).toContain("made/up/mapbox/server/"); + + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -108,7 +104,7 @@ describe("Scene/MapboxImageryProvider", function () { }); expect(provider.url).toEqual( - "made/up/mapbox/server/test-id/{z}/{x}/{y}.png?access_token=test-token" + "made/up/mapbox/server/test-id/{z}/{x}/{y}.png?access_token=test-token", ); expect(provider.tileWidth).toEqual(256); expect(provider.tileHeight).toEqual(256); @@ -116,18 +112,16 @@ describe("Scene/MapboxImageryProvider", function () { expect(provider.tilingScheme).toBeInstanceOf(WebMercatorTilingScheme); expect(provider.rectangle).toEqual(new WebMercatorTilingScheme().rectangle); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -151,20 +145,18 @@ describe("Scene/MapboxImageryProvider", function () { expect(provider.rectangle).toEqualEpsilon(rectangle, CesiumMath.EPSILON14); expect(provider.tileDiscardPolicy).toBeUndefined(); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).toContain("/0/0/0"); - - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).toContain("/0/0/0"); + + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -236,14 +228,14 @@ describe("Scene/MapboxImageryProvider", function () { Resource._Implementations.createImage = function ( request, crossOrigin, - deferred + deferred, ) { if (tries === 2) { // Succeed after 2 tries Resource._DefaultImplementations.createImage( new Request({ url: "Data/Images/Red16x16.png" }), crossOrigin, - deferred + deferred, ); } else { // fail @@ -275,24 +267,22 @@ describe("Scene/MapboxImageryProvider", function () { format: "@2x.png", }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect( - /made\/up\/mapbox\/server\/test-id\/0\/0\/0@2x\.png\?access_token=/.test( - request.url - ) - ).toBe(true); - - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect( + /made\/up\/mapbox\/server\/test-id\/0\/0\/0@2x\.png\?access_token=/.test( + request.url, + ), + ).toBe(true); + + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -307,24 +297,22 @@ describe("Scene/MapboxImageryProvider", function () { format: "png", }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect( - /made\/up\/mapbox\/server\/test-id\/0\/0\/0\.png\?access_token=/.test( - request.url - ) - ).toBe(true); - - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect( + /made\/up\/mapbox\/server\/test-id\/0\/0\/0\.png\?access_token=/.test( + request.url, + ), + ).toBe(true); + + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); diff --git a/packages/engine/Specs/Scene/MapboxStyleImageryProviderSpec.js b/packages/engine/Specs/Scene/MapboxStyleImageryProviderSpec.js index a44d3c25c3e2..2bd1728922d8 100644 --- a/packages/engine/Specs/Scene/MapboxStyleImageryProviderSpec.js +++ b/packages/engine/Specs/Scene/MapboxStyleImageryProviderSpec.js @@ -51,20 +51,18 @@ describe("Scene/MapboxStyleImageryProvider", function () { styleId: "test-id", }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).not.toContain("//"); - - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).not.toContain("//"); + + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -79,20 +77,18 @@ describe("Scene/MapboxStyleImageryProvider", function () { styleId: "test-id", }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).toContain("made/up/mapbox/server/"); - - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).toContain("made/up/mapbox/server/"); + + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -108,7 +104,7 @@ describe("Scene/MapboxStyleImageryProvider", function () { }); expect(provider.url).toEqual( - "made/up/mapbox/server/mapbox/test-id/tiles/512/{z}/{x}/{y}?access_token=test-token" + "made/up/mapbox/server/mapbox/test-id/tiles/512/{z}/{x}/{y}?access_token=test-token", ); expect(provider.tileWidth).toEqual(256); expect(provider.tileHeight).toEqual(256); @@ -116,18 +112,16 @@ describe("Scene/MapboxStyleImageryProvider", function () { expect(provider.tilingScheme).toBeInstanceOf(WebMercatorTilingScheme); expect(provider.rectangle).toEqual(new WebMercatorTilingScheme().rectangle); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -151,20 +145,18 @@ describe("Scene/MapboxStyleImageryProvider", function () { expect(provider.rectangle).toEqualEpsilon(rectangle, CesiumMath.EPSILON14); expect(provider.tileDiscardPolicy).toBeUndefined(); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).toContain("/0/0/0"); - - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).toContain("/0/0/0"); + + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -236,14 +228,14 @@ describe("Scene/MapboxStyleImageryProvider", function () { Resource._Implementations.createImage = function ( request, crossOrigin, - deferred + deferred, ) { if (tries === 2) { // Succeed after 2 tries Resource._DefaultImplementations.createImage( new Request({ url: "Data/Images/Red16x16.png" }), crossOrigin, - deferred + deferred, ); } else { // fail @@ -274,20 +266,18 @@ describe("Scene/MapboxStyleImageryProvider", function () { styleId: "test-id", }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).toContain("http://fake.map.com"); - - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).toContain("http://fake.map.com"); + + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(0, 0, 0); }); @@ -299,22 +289,20 @@ describe("Scene/MapboxStyleImageryProvider", function () { username: "fakeUsername", }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).toContain( - "https://api.mapbox.com/styles/v1/fakeUsername" - ); - - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).toContain( + "https://api.mapbox.com/styles/v1/fakeUsername", + ); + + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(0, 0, 0); }); @@ -326,22 +314,20 @@ describe("Scene/MapboxStyleImageryProvider", function () { tilesize: 256, }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).toContain( - "https://api.mapbox.com/styles/v1/mapbox/test-id/tiles/256" - ); - - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).toContain( + "https://api.mapbox.com/styles/v1/mapbox/test-id/tiles/256", + ); + + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(0, 0, 0); }); @@ -353,22 +339,20 @@ describe("Scene/MapboxStyleImageryProvider", function () { scaleFactor: true, }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).toContain( - "https://api.mapbox.com/styles/v1/mapbox/test-id/tiles/512/0/0/0@2x" - ); - - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).toContain( + "https://api.mapbox.com/styles/v1/mapbox/test-id/tiles/512/0/0/0@2x", + ); + + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(0, 0, 0); }); diff --git a/packages/engine/Specs/Scene/MaterialAppearanceSpec.js b/packages/engine/Specs/Scene/MaterialAppearanceSpec.js index 014322fe88d0..bad1a970b4c4 100644 --- a/packages/engine/Specs/Scene/MaterialAppearanceSpec.js +++ b/packages/engine/Specs/Scene/MaterialAppearanceSpec.js @@ -40,7 +40,7 @@ describe( function createPrimitive(vertexFormat) { vertexFormat = defaultValue( vertexFormat, - MaterialAppearance.MaterialSupport.ALL.vertexFormat + MaterialAppearance.MaterialSupport.ALL.vertexFormat, ); primitive = new Primitive({ geometryInstances: new GeometryInstance({ @@ -60,21 +60,21 @@ describe( const a = new MaterialAppearance(); expect(a.materialSupport).toEqual( - MaterialAppearance.MaterialSupport.TEXTURED + MaterialAppearance.MaterialSupport.TEXTURED, ); expect(a.material).toBeDefined(); expect(a.material.type).toEqual(Material.ColorType); expect(a.vertexShaderSource).toEqual( - MaterialAppearance.MaterialSupport.TEXTURED.vertexShaderSource + MaterialAppearance.MaterialSupport.TEXTURED.vertexShaderSource, ); expect(a.fragmentShaderSource).toEqual( - MaterialAppearance.MaterialSupport.TEXTURED.fragmentShaderSource + MaterialAppearance.MaterialSupport.TEXTURED.fragmentShaderSource, ); expect(a.renderState).toEqual( - Appearance.getDefaultRenderState(true, false) + Appearance.getDefaultRenderState(true, false), ); expect(a.vertexFormat).toEqual( - MaterialAppearance.MaterialSupport.TEXTURED.vertexFormat + MaterialAppearance.MaterialSupport.TEXTURED.vertexFormat, ); expect(a.flat).toEqual(false); expect(a.faceForward).toEqual(true); @@ -129,5 +129,5 @@ describe( expect(scene).notToRender(backgroundColor); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/MaterialSpec.js b/packages/engine/Specs/Scene/MaterialSpec.js index 53b0148ca38a..9b940ef30994 100644 --- a/packages/engine/Specs/Scene/MaterialSpec.js +++ b/packages/engine/Specs/Scene/MaterialSpec.js @@ -38,7 +38,7 @@ describe( backgroundColor[1], backgroundColor[2], backgroundColor[3], - scene.backgroundColor + scene.backgroundColor, ); scene.primitives.destroyPrimitives = false; scene.camera.setView({ destination: rectangle }); @@ -71,7 +71,7 @@ describe( polyline = polylines.add({ positions: Cartesian3.fromDegreesArray( [-50.0, 0.0, 50.0, 0.0], - Ellipsoid.WGS84 + Ellipsoid.WGS84, ), width: 5.0, }); @@ -494,22 +494,8 @@ describe( fabric: { uniforms: { value: [ - 0.5, - 0.5, - 0.5, - 0.5, - 0.5, - 0.5, - 0.5, - 0.5, - 0.5, - 0.5, - 0.5, - 0.5, - 0.5, - 0.5, - 0.5, - 0.5, + 0.5, 0.5, 0.5, 0.5, 0.5, 0.5, 0.5, 0.5, 0.5, 0.5, 0.5, 0.5, 0.5, + 0.5, 0.5, 0.5, ], }, components: { @@ -1063,5 +1049,5 @@ describe( material.destroy(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/MegatextureSpec.js b/packages/engine/Specs/Scene/MegatextureSpec.js index 9074e86898cf..1e191000f158 100644 --- a/packages/engine/Specs/Scene/MegatextureSpec.js +++ b/packages/engine/Specs/Scene/MegatextureSpec.js @@ -22,7 +22,7 @@ describe("Scene/Megatexture", function () { dimensions, channelCount, componentType, - textureMemoryByteLength / 2 + textureMemoryByteLength / 2, ); }).toThrowError(RuntimeError); @@ -31,7 +31,7 @@ describe("Scene/Megatexture", function () { dimensions, channelCount, componentType, - textureMemoryByteLength + textureMemoryByteLength, ); expect(megatexture.channelCount).toBe(channelCount); expect(megatexture.componentType).toBe(componentType); @@ -52,7 +52,7 @@ describe("Scene/Megatexture", function () { scene.context, dimensions, channelCount, - componentType + componentType, ); const data = new Uint16Array(tileSize); @@ -78,7 +78,7 @@ describe("Scene/Megatexture", function () { dimensions, channelCount, componentType, - textureMemoryByteLength + textureMemoryByteLength, ); const data = new Float32Array(tileSize); @@ -108,7 +108,7 @@ describe("Scene/Megatexture", function () { dimensions, channelCount, componentType, - textureMemoryByteLength + textureMemoryByteLength, ); expect(megatexture.occupiedCount).toBe(0); @@ -160,8 +160,8 @@ describe("Scene/Megatexture", function () { tileCount, dimensions, channelCount, - componentType - ) + componentType, + ), ).toBe(textureMemoryByteLength); }); @@ -182,7 +182,7 @@ describe("Scene/Megatexture", function () { dimensions, channelCount, componentType, - textureMemoryByteLength + textureMemoryByteLength, ); expect(megatexture.maximumTileCount).toBe(4); diff --git a/packages/engine/Specs/Scene/MetadataClassPropertySpec.js b/packages/engine/Specs/Scene/MetadataClassPropertySpec.js index 7e79fb403d0d..4f2f2ca37129 100644 --- a/packages/engine/Specs/Scene/MetadataClassPropertySpec.js +++ b/packages/engine/Specs/Scene/MetadataClassPropertySpec.js @@ -460,15 +460,7 @@ describe("Scene/MetadataClassProperty", function () { const isNested = false; expect(property.expandConstant(1, isNested)).toEqual([ - 1, - 1, - 1, - 1, - 1, - 1, - 1, - 1, - 1, + 1, 1, 1, 1, 1, 1, 1, 1, 1, ]); property = MetadataClassProperty.fromJson({ @@ -482,24 +474,7 @@ describe("Scene/MetadataClassProperty", function () { }); expect(property.expandConstant(1, isNested)).toEqual([ - 1, - 1, - 1, - 1, - 1, - 1, - 1, - 1, - 1, - 1, - 1, - 1, - 1, - 1, - 1, - 1, - 1, - 1, + 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, ]); }); @@ -854,7 +829,7 @@ describe("Scene/MetadataClassProperty", function () { const value = scalarValues[propertyId][i]; const normalizedValue = property.normalize(value); expect(normalizedValue).toEqual( - normalizedScalarValues[propertyId][i] + normalizedScalarValues[propertyId][i], ); } } @@ -894,7 +869,7 @@ describe("Scene/MetadataClassProperty", function () { const value = arrayValues[propertyId][i]; const normalizedValue = property.normalize(clone(value, true)); expect(normalizedValue).toEqual( - normalizedArrayValues[propertyId][i] + normalizedArrayValues[propertyId][i], ); } } @@ -930,7 +905,7 @@ describe("Scene/MetadataClassProperty", function () { const value = vectorValues[propertyId][i]; const normalizedValue = property.normalize(clone(value, true)); expect(normalizedValue).toEqual( - normalizedVectorValues[propertyId][i] + normalizedVectorValues[propertyId][i], ); } } @@ -966,7 +941,7 @@ describe("Scene/MetadataClassProperty", function () { const value = arrayOfVectorValues[propertyId][i]; const normalizedValue = property.normalize(clone(value, true)); expect(normalizedValue).toEqual( - normalizedArrayOfVectorValues[propertyId][i] + normalizedArrayOfVectorValues[propertyId][i], ); } } @@ -1438,7 +1413,7 @@ describe("Scene/MetadataClassProperty", function () { const value = scalarValues[propertyId][i]; const transformedValue = property.applyValueTransform(value); expect(transformedValue).toEqual( - transformedScalarValues[propertyId][i] + transformedScalarValues[propertyId][i], ); } } @@ -1483,7 +1458,7 @@ describe("Scene/MetadataClassProperty", function () { it("value transformations are no-ops for identity transformations", function () { const valueTransformInPlace = spyOn( MetadataClassProperty, - "valueTransformInPlace" + "valueTransformInPlace", ); const property = MetadataClassProperty.fromJson({ id: "identityTransform", @@ -1509,10 +1484,10 @@ describe("Scene/MetadataClassProperty", function () { for (let i = 0; i < length; ++i) { const value = arrayValues[propertyId][i]; const transformedValue = property.applyValueTransform( - clone(value, true) + clone(value, true), ); expect(transformedValue).toEqual( - transformedArrayValues[propertyId][i] + transformedArrayValues[propertyId][i], ); } } @@ -1530,7 +1505,7 @@ describe("Scene/MetadataClassProperty", function () { for (let i = 0; i < length; ++i) { const transformedValue = transformedArrayValues[propertyId][i]; const value = property.unapplyValueTransform( - clone(transformedValue, true) + clone(transformedValue, true), ); expect(value).toEqual(arrayValues[propertyId][i]); } @@ -1541,7 +1516,7 @@ describe("Scene/MetadataClassProperty", function () { it("value transforms do not transform variable length arrays", function () { const valueTransformInPlace = spyOn( MetadataClassProperty, - "valueTransformInPlace" + "valueTransformInPlace", ); const property = MetadataClassProperty.fromJson({ @@ -1559,7 +1534,7 @@ describe("Scene/MetadataClassProperty", function () { const values = [-1.0, 0.0, 5.0, 4.0]; expect(property.applyValueTransform(clone(values, true))).toEqual(values); expect(property.unapplyValueTransform(clone(values, true))).toEqual( - values + values, ); expect(valueTransformInPlace).not.toHaveBeenCalled(); @@ -1576,10 +1551,10 @@ describe("Scene/MetadataClassProperty", function () { for (let i = 0; i < length; ++i) { const value = vectorValues[propertyId][i]; const transformedValue = property.applyValueTransform( - clone(value, true) + clone(value, true), ); expect(transformedValue).toEqual( - transformedVectorValues[propertyId][i] + transformedVectorValues[propertyId][i], ); } } @@ -1597,7 +1572,7 @@ describe("Scene/MetadataClassProperty", function () { for (let i = 0; i < length; ++i) { const transformedValue = transformedVectorValues[propertyId][i]; const value = property.unapplyValueTransform( - clone(transformedValue, true) + clone(transformedValue, true), ); expect(value).toEqual(vectorValues[propertyId][i]); } @@ -1616,10 +1591,10 @@ describe("Scene/MetadataClassProperty", function () { for (let i = 0; i < length; ++i) { const value = arrayOfVectorValues[propertyId][i]; const transformedValue = property.applyValueTransform( - clone(value, true) + clone(value, true), ); expect(transformedValue).toEqual( - transformedArrayOfVectorValues[propertyId][i] + transformedArrayOfVectorValues[propertyId][i], ); } } @@ -1638,7 +1613,7 @@ describe("Scene/MetadataClassProperty", function () { const transformedValue = transformedArrayOfVectorValues[propertyId][i]; const value = property.unapplyValueTransform( - clone(transformedValue, true) + clone(transformedValue, true), ); expect(value).toEqual(arrayOfVectorValues[propertyId][i]); } @@ -2622,7 +2597,7 @@ describe("Scene/MetadataClassProperty", function () { new Cartesian3(1.0, 2.0, 3.0), new Cartesian3(4.0, 5.0, 6.0), new Cartesian3(7.0, 8.0, 9.0), - ]) + ]), ).toBeUndefined(); }); @@ -2642,7 +2617,7 @@ describe("Scene/MetadataClassProperty", function () { new Matrix3(1, 0, 0, 0, 1, 0, 0, 0, 1), new Matrix3(2, 0, 0, 0, 2, 0, 0, 0, 2), new Matrix3(3, 0, 0, 0, 3, 0, 0, 0, 3), - ]) + ]), ).toBeUndefined(); }); @@ -2657,7 +2632,7 @@ describe("Scene/MetadataClassProperty", function () { }); expect(property.validate(undefined)).toBe( - "required property must have a value" + "required property must have a value", ); }); @@ -2699,7 +2674,7 @@ describe("Scene/MetadataClassProperty", function () { }); expect(property.validate(8.0)).toBe( - "componentType STRING is incompatible with vector type VEC2" + "componentType STRING is incompatible with vector type VEC2", ); }); @@ -2713,7 +2688,7 @@ describe("Scene/MetadataClassProperty", function () { }); expect(property.validate(8.0)).toBe( - "componentType INT64 is incompatible with matrix type MAT3" + "componentType INT64 is incompatible with matrix type MAT3", ); }); @@ -2793,7 +2768,7 @@ describe("Scene/MetadataClassProperty", function () { }); expect(property.validate([1.0, 2.0])).toBe( - "Array length does not match property.arrayLength" + "Array length does not match property.arrayLength", ); }); @@ -2830,10 +2805,10 @@ describe("Scene/MetadataClassProperty", function () { }); expect(property.validate("INVALID")).toBe( - "value INVALID is not a valid enum name for myEnum" + "value INVALID is not a valid enum name for myEnum", ); expect(property.validate(0)).toBe( - "value 0 is not a valid enum name for myEnum" + "value 0 is not a valid enum name for myEnum", ); }); @@ -2860,7 +2835,7 @@ describe("Scene/MetadataClassProperty", function () { }, }); expect(property.validate({})).toBe( - `value [object Object] does not match type ${types[i]}` + `value [object Object] does not match type ${types[i]}`, ); } }); @@ -2873,7 +2848,7 @@ describe("Scene/MetadataClassProperty", function () { }, }); expect(property.validate({})).toBe( - `value [object Object] does not match type BOOLEAN` + `value [object Object] does not match type BOOLEAN`, ); }); @@ -2885,7 +2860,7 @@ describe("Scene/MetadataClassProperty", function () { }, }); expect(property.validate({})).toBe( - `value [object Object] does not match type STRING` + `value [object Object] does not match type STRING`, ); }); @@ -2924,7 +2899,7 @@ describe("Scene/MetadataClassProperty", function () { }); for (let i = 0; i < values.length; ++i) { expect(property.validate(values[i])).toBe( - `value ${values[i]} is out of range for type ${type}` + `value ${values[i]} is out of range for type ${type}`, ); } } @@ -2965,7 +2940,7 @@ describe("Scene/MetadataClassProperty", function () { }); for (let i = 0; i < nonFiniteValues.length; ++i) { expect(property.validate(nonFiniteValues[i])).toBe( - `value ${nonFiniteValues[i]} of type ${type} must be finite` + `value ${nonFiniteValues[i]} of type ${type} must be finite`, ); } } @@ -3007,7 +2982,7 @@ describe("Scene/MetadataClassProperty", function () { }); for (let i = 0; i < values.length; ++i) { expect(property.validate(values)).toBe( - `value ${values[0]} is out of range for type ${componentType}` + `value ${values[0]} is out of range for type ${componentType}`, ); } } @@ -3034,16 +3009,16 @@ describe("Scene/MetadataClassProperty", function () { }); expect(propertyInt8.validate(-1.1)).toBe( - "value -1.1 is out of range for type INT8 (normalized)" + "value -1.1 is out of range for type INT8 (normalized)", ); expect(propertyInt8.validate(1.1)).toBe( - "value 1.1 is out of range for type INT8 (normalized)" + "value 1.1 is out of range for type INT8 (normalized)", ); expect(propertyUint8.validate(-0.1)).toBe( - "value -0.1 is out of range for type UINT8 (normalized)" + "value -0.1 is out of range for type UINT8 (normalized)", ); expect(propertyUint8.validate(1.1)).toBe( - "value 1.1 is out of range for type UINT8 (normalized)" + "value 1.1 is out of range for type UINT8 (normalized)", ); }); @@ -3056,7 +3031,7 @@ describe("Scene/MetadataClassProperty", function () { value, offset, scale, - MetadataComponentType.applyValueTransform + MetadataComponentType.applyValueTransform, ); expect(result).toBe(3); @@ -3070,7 +3045,7 @@ describe("Scene/MetadataClassProperty", function () { value, offset, scale, - MetadataComponentType.applyValueTransform + MetadataComponentType.applyValueTransform, ); expect(result).toEqual([3, 4, 5]); }); @@ -3095,7 +3070,7 @@ describe("Scene/MetadataClassProperty", function () { values, offset, scale, - MetadataComponentType.applyValueTransform + MetadataComponentType.applyValueTransform, ); expect(result).toEqual([ [3, 4, 5], diff --git a/packages/engine/Specs/Scene/MetadataComponentTypeSpec.js b/packages/engine/Specs/Scene/MetadataComponentTypeSpec.js index 3bb720dff7e1..025792cccabb 100644 --- a/packages/engine/Specs/Scene/MetadataComponentTypeSpec.js +++ b/packages/engine/Specs/Scene/MetadataComponentTypeSpec.js @@ -7,40 +7,40 @@ import { describe("Scene/MetadataComponentType", function () { it("getMinimum", function () { expect(MetadataComponentType.getMinimum(MetadataComponentType.INT8)).toBe( - -128 + -128, ); expect(MetadataComponentType.getMinimum(MetadataComponentType.UINT8)).toBe( - 0 + 0, ); expect(MetadataComponentType.getMinimum(MetadataComponentType.INT16)).toBe( - -32768 + -32768, ); expect(MetadataComponentType.getMinimum(MetadataComponentType.UINT16)).toBe( - 0 + 0, ); expect(MetadataComponentType.getMinimum(MetadataComponentType.INT32)).toBe( - -2147483648 + -2147483648, ); expect(MetadataComponentType.getMinimum(MetadataComponentType.UINT32)).toBe( - 0 + 0, ); expect( - MetadataComponentType.getMinimum(MetadataComponentType.FLOAT32) + MetadataComponentType.getMinimum(MetadataComponentType.FLOAT32), ).toBe(-340282346638528859811704183484516925440.0); expect( - MetadataComponentType.getMinimum(MetadataComponentType.FLOAT64) + MetadataComponentType.getMinimum(MetadataComponentType.FLOAT64), ).toBe(-Number.MAX_VALUE); if (FeatureDetection.supportsBigInt()) { expect( - MetadataComponentType.getMinimum(MetadataComponentType.INT64) + MetadataComponentType.getMinimum(MetadataComponentType.INT64), ).toBe( - BigInt("-9223372036854775808") // eslint-disable-line + BigInt("-9223372036854775808"), // eslint-disable-line ); expect( - MetadataComponentType.getMinimum(MetadataComponentType.UINT64) + MetadataComponentType.getMinimum(MetadataComponentType.UINT64), ).toBe( - BigInt(0) // eslint-disable-line + BigInt(0), // eslint-disable-line ); } }); @@ -48,14 +48,14 @@ describe("Scene/MetadataComponentType", function () { it("getMinimum returns approximate number for INT64 when BigInt is not supported", function () { spyOn(FeatureDetection, "supportsBigInt").and.returnValue(false); expect(MetadataComponentType.getMinimum(MetadataComponentType.INT64)).toBe( - -Math.pow(2, 63) + -Math.pow(2, 63), ); }); it("getMinimum returns number for UINT64 when BigInt is not supported", function () { spyOn(FeatureDetection, "supportsBigInt").and.returnValue(false); expect(MetadataComponentType.getMinimum(MetadataComponentType.UINT64)).toBe( - 0 + 0, ); }); @@ -73,40 +73,40 @@ describe("Scene/MetadataComponentType", function () { it("getMaximum", function () { expect(MetadataComponentType.getMaximum(MetadataComponentType.INT8)).toBe( - 127 + 127, ); expect(MetadataComponentType.getMaximum(MetadataComponentType.UINT8)).toBe( - 255 + 255, ); expect(MetadataComponentType.getMaximum(MetadataComponentType.INT16)).toBe( - 32767 + 32767, ); expect(MetadataComponentType.getMaximum(MetadataComponentType.UINT16)).toBe( - 65535 + 65535, ); expect(MetadataComponentType.getMaximum(MetadataComponentType.INT32)).toBe( - 2147483647 + 2147483647, ); expect(MetadataComponentType.getMaximum(MetadataComponentType.UINT32)).toBe( - 4294967295 + 4294967295, ); expect( - MetadataComponentType.getMaximum(MetadataComponentType.FLOAT32) + MetadataComponentType.getMaximum(MetadataComponentType.FLOAT32), ).toBe(340282346638528859811704183484516925440.0); expect( - MetadataComponentType.getMaximum(MetadataComponentType.FLOAT64) + MetadataComponentType.getMaximum(MetadataComponentType.FLOAT64), ).toBe(Number.MAX_VALUE); if (FeatureDetection.supportsBigInt()) { expect( - MetadataComponentType.getMaximum(MetadataComponentType.INT64) + MetadataComponentType.getMaximum(MetadataComponentType.INT64), ).toBe( - BigInt("9223372036854775807") // eslint-disable-line + BigInt("9223372036854775807"), // eslint-disable-line ); expect( - MetadataComponentType.getMaximum(MetadataComponentType.UINT64) + MetadataComponentType.getMaximum(MetadataComponentType.UINT64), ).toBe( - BigInt("18446744073709551615") // eslint-disable-line + BigInt("18446744073709551615"), // eslint-disable-line ); } }); @@ -114,14 +114,14 @@ describe("Scene/MetadataComponentType", function () { it("getMaximum returns approximate number for INT64 when BigInt is not supported", function () { spyOn(FeatureDetection, "supportsBigInt").and.returnValue(false); expect(MetadataComponentType.getMaximum(MetadataComponentType.INT64)).toBe( - Math.pow(2, 63) - 1 + Math.pow(2, 63) - 1, ); }); it("getMaximum returns approximate number for UINT64 when BigInt is not supported", function () { spyOn(FeatureDetection, "supportsBigInt").and.returnValue(false); expect(MetadataComponentType.getMaximum(MetadataComponentType.UINT64)).toBe( - Math.pow(2, 64) - 1 + Math.pow(2, 64) - 1, ); }); @@ -139,34 +139,34 @@ describe("Scene/MetadataComponentType", function () { it("isIntegerType", function () { expect( - MetadataComponentType.isIntegerType(MetadataComponentType.INT8) + MetadataComponentType.isIntegerType(MetadataComponentType.INT8), ).toBe(true); expect( - MetadataComponentType.isIntegerType(MetadataComponentType.UINT8) + MetadataComponentType.isIntegerType(MetadataComponentType.UINT8), ).toBe(true); expect( - MetadataComponentType.isIntegerType(MetadataComponentType.INT16) + MetadataComponentType.isIntegerType(MetadataComponentType.INT16), ).toBe(true); expect( - MetadataComponentType.isIntegerType(MetadataComponentType.UINT16) + MetadataComponentType.isIntegerType(MetadataComponentType.UINT16), ).toBe(true); expect( - MetadataComponentType.isIntegerType(MetadataComponentType.INT32) + MetadataComponentType.isIntegerType(MetadataComponentType.INT32), ).toBe(true); expect( - MetadataComponentType.isIntegerType(MetadataComponentType.UINT32) + MetadataComponentType.isIntegerType(MetadataComponentType.UINT32), ).toBe(true); expect( - MetadataComponentType.isIntegerType(MetadataComponentType.INT64) + MetadataComponentType.isIntegerType(MetadataComponentType.INT64), ).toBe(true); expect( - MetadataComponentType.isIntegerType(MetadataComponentType.UINT64) + MetadataComponentType.isIntegerType(MetadataComponentType.UINT64), ).toBe(true); expect( - MetadataComponentType.isIntegerType(MetadataComponentType.FLOAT32) + MetadataComponentType.isIntegerType(MetadataComponentType.FLOAT32), ).toBe(false); expect( - MetadataComponentType.isIntegerType(MetadataComponentType.FLOAT64) + MetadataComponentType.isIntegerType(MetadataComponentType.FLOAT64), ).toBe(false); }); @@ -178,34 +178,38 @@ describe("Scene/MetadataComponentType", function () { it("isUnsignedIntegerType", function () { expect( - MetadataComponentType.isUnsignedIntegerType(MetadataComponentType.INT8) + MetadataComponentType.isUnsignedIntegerType(MetadataComponentType.INT8), ).toBe(false); expect( - MetadataComponentType.isUnsignedIntegerType(MetadataComponentType.UINT8) + MetadataComponentType.isUnsignedIntegerType(MetadataComponentType.UINT8), ).toBe(true); expect( - MetadataComponentType.isUnsignedIntegerType(MetadataComponentType.INT16) + MetadataComponentType.isUnsignedIntegerType(MetadataComponentType.INT16), ).toBe(false); expect( - MetadataComponentType.isUnsignedIntegerType(MetadataComponentType.UINT16) + MetadataComponentType.isUnsignedIntegerType(MetadataComponentType.UINT16), ).toBe(true); expect( - MetadataComponentType.isUnsignedIntegerType(MetadataComponentType.INT32) + MetadataComponentType.isUnsignedIntegerType(MetadataComponentType.INT32), ).toBe(false); expect( - MetadataComponentType.isUnsignedIntegerType(MetadataComponentType.UINT32) + MetadataComponentType.isUnsignedIntegerType(MetadataComponentType.UINT32), ).toBe(true); expect( - MetadataComponentType.isUnsignedIntegerType(MetadataComponentType.INT64) + MetadataComponentType.isUnsignedIntegerType(MetadataComponentType.INT64), ).toBe(false); expect( - MetadataComponentType.isUnsignedIntegerType(MetadataComponentType.UINT64) + MetadataComponentType.isUnsignedIntegerType(MetadataComponentType.UINT64), ).toBe(true); expect( - MetadataComponentType.isUnsignedIntegerType(MetadataComponentType.FLOAT32) + MetadataComponentType.isUnsignedIntegerType( + MetadataComponentType.FLOAT32, + ), ).toBe(false); expect( - MetadataComponentType.isUnsignedIntegerType(MetadataComponentType.FLOAT64) + MetadataComponentType.isUnsignedIntegerType( + MetadataComponentType.FLOAT64, + ), ).toBe(false); }); @@ -271,7 +275,7 @@ describe("Scene/MetadataComponentType", function () { for (let j = 0; j < values.length; ++j) { const result = MetadataComponentType.normalize( values[j], - MetadataComponentType.INT64 + MetadataComponentType.INT64, ); expect(result).toBe(expectedResults[j]); } @@ -289,7 +293,7 @@ describe("Scene/MetadataComponentType", function () { for (let j = 0; j < values.length; ++j) { const result = MetadataComponentType.normalize( values[j], - MetadataComponentType.UINT64 + MetadataComponentType.UINT64, ); expect(result).toBe(expectedResults[j]); } @@ -381,7 +385,7 @@ describe("Scene/MetadataComponentType", function () { for (let i = 0; i < values.length; ++i) { const result = MetadataComponentType.unnormalize( values[i], - MetadataComponentType.INT64 + MetadataComponentType.INT64, ); expect(result).toBe(expectedResults[i]); } @@ -406,7 +410,7 @@ describe("Scene/MetadataComponentType", function () { for (let i = 0; i < values.length; ++i) { const result = MetadataComponentType.unnormalize( values[i], - MetadataComponentType.UINT64 + MetadataComponentType.UINT64, ); expect(result).toBe(expectedResults[i]); } @@ -414,16 +418,16 @@ describe("Scene/MetadataComponentType", function () { it("unnormalize clamps values outside the range", function () { expect( - MetadataComponentType.unnormalize(-1.1, MetadataComponentType.INT8) + MetadataComponentType.unnormalize(-1.1, MetadataComponentType.INT8), ).toBe(-127); expect( - MetadataComponentType.unnormalize(-0.1, MetadataComponentType.UINT8) + MetadataComponentType.unnormalize(-0.1, MetadataComponentType.UINT8), ).toBe(0); expect( - MetadataComponentType.unnormalize(1.1, MetadataComponentType.INT8) + MetadataComponentType.unnormalize(1.1, MetadataComponentType.INT8), ).toBe(127); expect( - MetadataComponentType.unnormalize(1.1, MetadataComponentType.UINT8) + MetadataComponentType.unnormalize(1.1, MetadataComponentType.UINT8), ).toBe(255); }); @@ -447,34 +451,34 @@ describe("Scene/MetadataComponentType", function () { it("getSizeInBytes", function () { expect( - MetadataComponentType.getSizeInBytes(MetadataComponentType.INT8) + MetadataComponentType.getSizeInBytes(MetadataComponentType.INT8), ).toBe(1); expect( - MetadataComponentType.getSizeInBytes(MetadataComponentType.UINT8) + MetadataComponentType.getSizeInBytes(MetadataComponentType.UINT8), ).toBe(1); expect( - MetadataComponentType.getSizeInBytes(MetadataComponentType.INT16) + MetadataComponentType.getSizeInBytes(MetadataComponentType.INT16), ).toBe(2); expect( - MetadataComponentType.getSizeInBytes(MetadataComponentType.UINT16) + MetadataComponentType.getSizeInBytes(MetadataComponentType.UINT16), ).toBe(2); expect( - MetadataComponentType.getSizeInBytes(MetadataComponentType.INT32) + MetadataComponentType.getSizeInBytes(MetadataComponentType.INT32), ).toBe(4); expect( - MetadataComponentType.getSizeInBytes(MetadataComponentType.UINT32) + MetadataComponentType.getSizeInBytes(MetadataComponentType.UINT32), ).toBe(4); expect( - MetadataComponentType.getSizeInBytes(MetadataComponentType.INT64) + MetadataComponentType.getSizeInBytes(MetadataComponentType.INT64), ).toBe(8); expect( - MetadataComponentType.getSizeInBytes(MetadataComponentType.UINT64) + MetadataComponentType.getSizeInBytes(MetadataComponentType.UINT64), ).toBe(8); expect( - MetadataComponentType.getSizeInBytes(MetadataComponentType.FLOAT32) + MetadataComponentType.getSizeInBytes(MetadataComponentType.FLOAT32), ).toBe(4); expect( - MetadataComponentType.getSizeInBytes(MetadataComponentType.FLOAT64) + MetadataComponentType.getSizeInBytes(MetadataComponentType.FLOAT64), ).toBe(8); }); @@ -492,34 +496,34 @@ describe("Scene/MetadataComponentType", function () { it("fromComponentDatatype", function () { expect( - MetadataComponentType.fromComponentDatatype(ComponentDatatype.BYTE) + MetadataComponentType.fromComponentDatatype(ComponentDatatype.BYTE), ).toBe(MetadataComponentType.INT8); expect( MetadataComponentType.fromComponentDatatype( - ComponentDatatype.UNSIGNED_BYTE - ) + ComponentDatatype.UNSIGNED_BYTE, + ), ).toBe(MetadataComponentType.UINT8); expect( - MetadataComponentType.fromComponentDatatype(ComponentDatatype.SHORT) + MetadataComponentType.fromComponentDatatype(ComponentDatatype.SHORT), ).toBe(MetadataComponentType.INT16); expect( MetadataComponentType.fromComponentDatatype( - ComponentDatatype.UNSIGNED_SHORT - ) + ComponentDatatype.UNSIGNED_SHORT, + ), ).toBe(MetadataComponentType.UINT16); expect( - MetadataComponentType.fromComponentDatatype(ComponentDatatype.INT) + MetadataComponentType.fromComponentDatatype(ComponentDatatype.INT), ).toBe(MetadataComponentType.INT32); expect( MetadataComponentType.fromComponentDatatype( - ComponentDatatype.UNSIGNED_INT - ) + ComponentDatatype.UNSIGNED_INT, + ), ).toBe(MetadataComponentType.UINT32); expect( - MetadataComponentType.fromComponentDatatype(ComponentDatatype.FLOAT) + MetadataComponentType.fromComponentDatatype(ComponentDatatype.FLOAT), ).toBe(MetadataComponentType.FLOAT32); expect( - MetadataComponentType.fromComponentDatatype(ComponentDatatype.DOUBLE) + MetadataComponentType.fromComponentDatatype(ComponentDatatype.DOUBLE), ).toBe(MetadataComponentType.FLOAT64); }); @@ -531,40 +535,40 @@ describe("Scene/MetadataComponentType", function () { it("toComponentDatatype", function () { expect( - MetadataComponentType.toComponentDatatype(MetadataComponentType.INT8) + MetadataComponentType.toComponentDatatype(MetadataComponentType.INT8), ).toBe(ComponentDatatype.BYTE); expect( - MetadataComponentType.toComponentDatatype(MetadataComponentType.UINT8) + MetadataComponentType.toComponentDatatype(MetadataComponentType.UINT8), ).toBe(ComponentDatatype.UNSIGNED_BYTE); expect( - MetadataComponentType.toComponentDatatype(MetadataComponentType.INT16) + MetadataComponentType.toComponentDatatype(MetadataComponentType.INT16), ).toBe(ComponentDatatype.SHORT); expect( - MetadataComponentType.toComponentDatatype(MetadataComponentType.UINT16) + MetadataComponentType.toComponentDatatype(MetadataComponentType.UINT16), ).toBe(ComponentDatatype.UNSIGNED_SHORT); expect( - MetadataComponentType.toComponentDatatype(MetadataComponentType.INT32) + MetadataComponentType.toComponentDatatype(MetadataComponentType.INT32), ).toBe(ComponentDatatype.INT); expect( - MetadataComponentType.toComponentDatatype(MetadataComponentType.UINT32) + MetadataComponentType.toComponentDatatype(MetadataComponentType.UINT32), ).toBe(ComponentDatatype.UNSIGNED_INT); expect( - MetadataComponentType.toComponentDatatype(MetadataComponentType.FLOAT32) + MetadataComponentType.toComponentDatatype(MetadataComponentType.FLOAT32), ).toBe(ComponentDatatype.FLOAT); expect( - MetadataComponentType.toComponentDatatype(MetadataComponentType.FLOAT64) + MetadataComponentType.toComponentDatatype(MetadataComponentType.FLOAT64), ).toBe(ComponentDatatype.DOUBLE); }); it("toComponentDatatype returns undefined for INT64", function () { expect( - MetadataComponentType.toComponentDatatype(MetadataComponentType.INT64) + MetadataComponentType.toComponentDatatype(MetadataComponentType.INT64), ).toBeUndefined(); }); it("toComponentDatatype returns undefined for UINT64", function () { expect( - MetadataComponentType.toComponentDatatype(MetadataComponentType.UINT64) + MetadataComponentType.toComponentDatatype(MetadataComponentType.UINT64), ).toBeUndefined(); }); diff --git a/packages/engine/Specs/Scene/MetadataEntitySpec.js b/packages/engine/Specs/Scene/MetadataEntitySpec.js index eb87dd915744..a8bb15476fb0 100644 --- a/packages/engine/Specs/Scene/MetadataEntitySpec.js +++ b/packages/engine/Specs/Scene/MetadataEntitySpec.js @@ -200,25 +200,25 @@ describe("Scene/MetadataEntity", function () { it("hasProperty returns false when there are no properties", function () { expect( - MetadataEntity.hasProperty("name", {}, classWithNoPropertiesDefinition) + MetadataEntity.hasProperty("name", {}, classWithNoPropertiesDefinition), ).toBe(false); }); it("hasProperty returns false when there's no property with the given property ID", function () { expect( - MetadataEntity.hasProperty("volume", properties, classDefinition) + MetadataEntity.hasProperty("volume", properties, classDefinition), ).toBe(false); }); it("hasProperty returns true when there's a property with the given property ID", function () { expect( - MetadataEntity.hasProperty("name", properties, classDefinition) + MetadataEntity.hasProperty("name", properties, classDefinition), ).toBe(true); }); it("hasProperty returns true when the class has a default value for a missing property", function () { expect( - MetadataEntity.hasProperty("height", properties, classDefinition) + MetadataEntity.hasProperty("height", properties, classDefinition), ).toBe(true); }); @@ -245,8 +245,8 @@ describe("Scene/MetadataEntity", function () { MetadataEntity.hasPropertyBySemantic( "NAME", {}, - classWithNoPropertiesDefinition - ) + classWithNoPropertiesDefinition, + ), ).toBe(false); }); @@ -255,20 +255,20 @@ describe("Scene/MetadataEntity", function () { MetadataEntity.hasPropertyBySemantic( "VOLUME", properties, - classDefinition - ) + classDefinition, + ), ).toBe(false); }); it("hasPropertyBySemantic returns true when there's a property with the given property ID", function () { expect( - MetadataEntity.hasPropertyBySemantic("NAME", properties, classDefinition) + MetadataEntity.hasPropertyBySemantic("NAME", properties, classDefinition), ).toBe(true); }); it("hasPropertyBySemantic returns true when the class has a default value for a missing property", function () { expect( - MetadataEntity.hasPropertyBySemantic("NAME", properties, classDefinition) + MetadataEntity.hasPropertyBySemantic("NAME", properties, classDefinition), ).toBe(true); }); @@ -277,7 +277,7 @@ describe("Scene/MetadataEntity", function () { MetadataEntity.hasPropertyBySemantic( undefined, properties, - classDefinition + classDefinition, ); }).toThrowDeveloperError(); }); @@ -296,14 +296,14 @@ describe("Scene/MetadataEntity", function () { it("getPropertyIds returns empty array when there are no properties", function () { expect( - MetadataEntity.getPropertyIds({}, classWithNoPropertiesDefinition).length + MetadataEntity.getPropertyIds({}, classWithNoPropertiesDefinition).length, ).toBe(0); }); it("getPropertyIds returns array of property IDs", function () { // Includes height which has a default value expect( - MetadataEntity.getPropertyIds(properties, classDefinition).sort() + MetadataEntity.getPropertyIds(properties, classDefinition).sort(), ).toEqual([ "axisColors", "height", @@ -319,7 +319,7 @@ describe("Scene/MetadataEntity", function () { const returnedResults = MetadataEntity.getPropertyIds( properties, classDefinition, - results + results, ); expect(results).toBe(returnedResults); @@ -356,14 +356,14 @@ describe("Scene/MetadataEntity", function () { const value = MetadataEntity.getProperty( "position", properties, - classDefinition + classDefinition, ); expect(value).toEqual(properties.position); }); it("getProperty returns the default value when the property is missing", function () { expect( - MetadataEntity.getProperty("height", properties, classDefinition) + MetadataEntity.getProperty("height", properties, classDefinition), ).toBe(10.0); }); @@ -372,71 +372,71 @@ describe("Scene/MetadataEntity", function () { MetadataEntity.getProperty( "noDefault", noDataProperties, - classWithNoDataValues - ) + classWithNoDataValues, + ), ).not.toBeDefined(); expect( MetadataEntity.getProperty( "hasDefault", noDataProperties, - classWithNoDataValues - ) + classWithNoDataValues, + ), ).toBe(100); expect( MetadataEntity.getProperty( "noDefaultVector", noDataProperties, - classWithNoDataValues - ) + classWithNoDataValues, + ), ).not.toBeDefined(); expect( MetadataEntity.getProperty( "hasDefaultVector", noDataProperties, - classWithNoDataValues - ) + classWithNoDataValues, + ), ).toEqual(new Cartesian2(100.0, 100.0)); expect( MetadataEntity.getProperty( "noDefaultArray", noDataProperties, - classWithNoDataValues - ) + classWithNoDataValues, + ), ).not.toBeDefined(); expect( MetadataEntity.getProperty( "hasDefaultArray", noDataProperties, - classWithNoDataValues - ) + classWithNoDataValues, + ), ).toEqual([1, 1, 1]); expect( MetadataEntity.getProperty( "noDefaultArrayOfVector", noDataProperties, - classWithNoDataValues - ) + classWithNoDataValues, + ), ).not.toBeDefined(); expect( MetadataEntity.getProperty( "hasDefaultArrayOfVector", noDataProperties, - classWithNoDataValues - ) + classWithNoDataValues, + ), ).toEqual([new Cartesian2(1.0, 1.0), new Cartesian2(1.0, 1.0)]); }); it("handles offset and scale", function () { expect( - MetadataEntity.getProperty("temperature", properties, classDefinition) + MetadataEntity.getProperty("temperature", properties, classDefinition), ).toEqual(32); expect( MetadataEntity.getProperty( "temperatureArray", properties, - classDefinition - ) + classDefinition, + ), ).toEqual([32, 212, 212, 32]); }); @@ -460,7 +460,7 @@ describe("Scene/MetadataEntity", function () { it("getProperty handles arrays of vectors correctly", function () { expect( - MetadataEntity.getProperty("axisColors", properties, classDefinition) + MetadataEntity.getProperty("axisColors", properties, classDefinition), ).toEqual([ new Cartesian3(1, 0, 0), new Cartesian3(0, 1, 0), @@ -470,7 +470,7 @@ describe("Scene/MetadataEntity", function () { it("setProperty returns false if property doesn't exist", function () { expect( - MetadataEntity.setProperty("volume", 100.0, properties, classDefinition) + MetadataEntity.setProperty("volume", 100.0, properties, classDefinition), ).toBe(false); }); @@ -481,13 +481,13 @@ describe("Scene/MetadataEntity", function () { "position", position, properties, - classDefinition - ) + classDefinition, + ), ).toBe(true); const retrievedPosition = MetadataEntity.getProperty( "position", properties, - classDefinition + classDefinition, ); expect(retrievedPosition).toEqual(position); expect(retrievedPosition).not.toBe(position); // The value is cloned @@ -504,13 +504,13 @@ describe("Scene/MetadataEntity", function () { "axisColors", axisColors, properties, - classDefinition - ) + classDefinition, + ), ).toBe(true); const retrievedPosition = MetadataEntity.getProperty( "axisColors", properties, - classDefinition + classDefinition, ); expect(retrievedPosition).toEqual(axisColors); expect(retrievedPosition).not.toBe(axisColors); // The value is cloned @@ -518,13 +518,18 @@ describe("Scene/MetadataEntity", function () { it("handles offset and scale", function () { expect( - MetadataEntity.setProperty("temperature", 70, properties, classDefinition) + MetadataEntity.setProperty( + "temperature", + 70, + properties, + classDefinition, + ), ).toBe(true); // There is some expected loss of precision due to storing as a UINT8 // so the result is not 0 expect( - MetadataEntity.getProperty("temperature", properties, classDefinition) + MetadataEntity.getProperty("temperature", properties, classDefinition), ).toEqualEpsilon(70.11764705882354, CesiumMath.EPSILON15); const values = [32, 32, 32, 32]; @@ -533,14 +538,14 @@ describe("Scene/MetadataEntity", function () { "temperatureArray", values, properties, - classDefinition - ) + classDefinition, + ), ).toBe(true); const result = MetadataEntity.getProperty( "temperatureArray", properties, - classDefinition + classDefinition, ); expect(result).toEqual(values); expect(result).not.toBe(values); // value should be cloned @@ -552,7 +557,7 @@ describe("Scene/MetadataEntity", function () { undefined, "Building B", properties, - classDefinition + classDefinition, ); }).toThrowDeveloperError(); }); @@ -563,7 +568,7 @@ describe("Scene/MetadataEntity", function () { "name", undefined, properties, - classDefinition + classDefinition, ); }).toThrowDeveloperError(); }); @@ -574,7 +579,7 @@ describe("Scene/MetadataEntity", function () { "name", "Building B", undefined, - classDefinition + classDefinition, ); }).toThrowDeveloperError(); }); @@ -590,14 +595,14 @@ describe("Scene/MetadataEntity", function () { MetadataEntity.getPropertyBySemantic( "HEIGHT", properties, - classDefinition - ) + classDefinition, + ), ).toBeUndefined(); }); it("getPropertyBySemantic returns the property value", function () { expect( - MetadataEntity.getPropertyBySemantic("NAME", properties, classDefinition) + MetadataEntity.getPropertyBySemantic("NAME", properties, classDefinition), ).toBe("Building A"); }); @@ -606,7 +611,7 @@ describe("Scene/MetadataEntity", function () { MetadataEntity.getPropertyBySemantic( undefined, properties, - classDefinition + classDefinition, ); }).toThrowDeveloperError(); }); @@ -629,11 +634,11 @@ describe("Scene/MetadataEntity", function () { "NAME", "Building B", properties, - classDefinition - ) + classDefinition, + ), ).toBe(true); expect( - MetadataEntity.getProperty("name", properties, classDefinition) + MetadataEntity.getProperty("name", properties, classDefinition), ).toBe("Building B"); }); @@ -643,8 +648,8 @@ describe("Scene/MetadataEntity", function () { "HEIGHT", 20.0, properties, - classDefinition - ) + classDefinition, + ), ).toBe(false); }); @@ -654,7 +659,7 @@ describe("Scene/MetadataEntity", function () { undefined, "Building B", properties, - classDefinition + classDefinition, ); }).toThrowDeveloperError(); }); @@ -665,7 +670,7 @@ describe("Scene/MetadataEntity", function () { "NAME", undefined, properties, - classDefinition + classDefinition, ); }).toThrowDeveloperError(); }); @@ -676,7 +681,7 @@ describe("Scene/MetadataEntity", function () { "NAME", "Building B", undefined, - classDefinition + classDefinition, ); }).toThrowDeveloperError(); }); @@ -687,7 +692,7 @@ describe("Scene/MetadataEntity", function () { "NAME", "Building B", properties, - undefined + undefined, ); }).toThrowDeveloperError(); }); diff --git a/packages/engine/Specs/Scene/MetadataSchemaLoaderSpec.js b/packages/engine/Specs/Scene/MetadataSchemaLoaderSpec.js index 9c14c187e943..4ead1809dc3f 100644 --- a/packages/engine/Specs/Scene/MetadataSchemaLoaderSpec.js +++ b/packages/engine/Specs/Scene/MetadataSchemaLoaderSpec.js @@ -74,7 +74,7 @@ describe("Scene/MetadataSchemaLoader", function () { await expectAsync(schemaLoader.load()).toBeRejectedWithError( RuntimeError, - "Failed to load schema: https://example.com/schema.json\n404 Not Found" + "Failed to load schema: https://example.com/schema.json\n404 Not Found", ); }); @@ -96,7 +96,7 @@ describe("Scene/MetadataSchemaLoader", function () { it("loads external schema", async function () { const fetchJson = spyOn(Resource.prototype, "fetchJson").and.returnValue( - Promise.resolve(schemaJson) + Promise.resolve(schemaJson), ); const schemaLoader = new MetadataSchemaLoader({ @@ -119,7 +119,7 @@ describe("Scene/MetadataSchemaLoader", function () { it("destroys schema", async function () { spyOn(Resource.prototype, "fetchJson").and.returnValue( - Promise.resolve(schemaJson) + Promise.resolve(schemaJson), ); const schemaLoader = new MetadataSchemaLoader({ @@ -140,7 +140,7 @@ describe("Scene/MetadataSchemaLoader", function () { async function resolveJsonAfterDestroy(rejectPromise) { spyOn(Resource.prototype, "fetchJson").and.callFake(() => - rejectPromise ? Promise.reject(new Error()) : Promise.resolve(schemaJson) + rejectPromise ? Promise.reject(new Error()) : Promise.resolve(schemaJson), ); const schemaLoader = new MetadataSchemaLoader({ diff --git a/packages/engine/Specs/Scene/MetadataTablePropertySpec.js b/packages/engine/Specs/Scene/MetadataTablePropertySpec.js index a6ddddbb5ace..1d64a16bc1d0 100644 --- a/packages/engine/Specs/Scene/MetadataTablePropertySpec.js +++ b/packages/engine/Specs/Scene/MetadataTablePropertySpec.js @@ -111,10 +111,10 @@ describe("Scene/MetadataTableProperty", function () { expect(property.extras).toBe(extras); expect(property.extensions).toBe(extensions); expect(property._stringOffsets._componentType).toBe( - MetadataComponentType.UINT16 + MetadataComponentType.UINT16, ); expect(property._arrayOffsets._componentType).toBe( - MetadataComponentType.UINT8 + MetadataComponentType.UINT8, ); expect(property.get(0)).toEqual(["a", "bb", "ccc"]); expect(property.get(1)).toEqual(["dddd", "eeeee"]); @@ -163,10 +163,10 @@ describe("Scene/MetadataTableProperty", function () { expect(property.extras).toBe(extras); expect(property.extensions).toBe(extensions); expect(property._stringOffsets._componentType).toBe( - MetadataComponentType.UINT16 + MetadataComponentType.UINT16, ); expect(property._arrayOffsets._componentType).toBe( - MetadataComponentType.UINT16 + MetadataComponentType.UINT16, ); expect(property.get(0)).toEqual(["a", "bb", "ccc"]); expect(property.get(1)).toEqual(["dddd", "eeeee"]); @@ -377,13 +377,8 @@ describe("Scene/MetadataTableProperty", function () { if (disableBigInt64ArraySupport && disableBigIntSupport) { // Precision loss is expected if INT64 is converted to JS numbers expectedValues = [ - -9223372036854776000, - -4611686018427388000, - -10, - 0, - 10, - 4611686018427388000, - 9223372036854776000, + -9223372036854776000, -4611686018427388000, -10, 0, 10, + 4611686018427388000, 9223372036854776000, ]; } diff --git a/packages/engine/Specs/Scene/MetadataTableSpec.js b/packages/engine/Specs/Scene/MetadataTableSpec.js index 9cb1721620f0..77f5ec24b80f 100644 --- a/packages/engine/Specs/Scene/MetadataTableSpec.js +++ b/packages/engine/Specs/Scene/MetadataTableSpec.js @@ -59,10 +59,10 @@ describe("Scene/MetadataTable", function () { expect(metadataTable.count).toBe(2); expect(metadataTable.getPropertyIds().sort()).toEqual( - expectedPropertyNames + expectedPropertyNames, ); expect(Object.keys(metadataTable.class.properties).sort()).toEqual( - expectedPropertyNames + expectedPropertyNames, ); const heightSize = 2 * 4; // two floats @@ -523,13 +523,13 @@ describe("Scene/MetadataTable", function () { const length = valuesToSet.length; for (let i = 0; i < length; ++i) { expect(metadataTable.setProperty(i, "propertyInt8", valuesToSet[i])).toBe( - true + true, ); let value = metadataTable.getProperty(i, "propertyInt8"); expect(value).toEqual(valuesToSet[i]); // Test setting / getting again expect(metadataTable.setProperty(i, "propertyInt8", valuesToSet[i])).toBe( - true + true, ); value = metadataTable.getProperty(i, "propertyInt8"); expect(value).toEqual(valuesToSet[i]); @@ -914,7 +914,7 @@ describe("Scene/MetadataTable", function () { const expectedTypedArray = new Float32Array([1.0, 2.0]); expect(metadataTable.getPropertyTypedArray("height")).toEqual( - expectedTypedArray + expectedTypedArray, ); }); @@ -968,7 +968,7 @@ describe("Scene/MetadataTable", function () { const expectedTypedArray = new Float32Array([1.0, 2.0]); expect(metadataTable.getPropertyTypedArrayBySemantic("HEIGHT")).toEqual( - expectedTypedArray + expectedTypedArray, ); }); @@ -989,7 +989,7 @@ describe("Scene/MetadataTable", function () { }); expect( - metadataTable.getPropertyTypedArrayBySemantic("HEIGHT") + metadataTable.getPropertyTypedArrayBySemantic("HEIGHT"), ).toBeUndefined(); }); diff --git a/packages/engine/Specs/Scene/Model/AlphaPipelineStageSpec.js b/packages/engine/Specs/Scene/Model/AlphaPipelineStageSpec.js index 120bf89679ad..de6ed67e7d2d 100644 --- a/packages/engine/Specs/Scene/Model/AlphaPipelineStageSpec.js +++ b/packages/engine/Specs/Scene/Model/AlphaPipelineStageSpec.js @@ -35,7 +35,7 @@ describe( AlphaPipelineStage.process( renderResources, mockPrimitive, - mockFrameState + mockFrameState, ); expect(renderResources.alphaOptions.pass).toBe(mockModel.opaquePass); }); @@ -50,7 +50,7 @@ describe( AlphaPipelineStage.process( renderResources, mockPrimitive, - mockFrameState + mockFrameState, ); const renderStateOptions = renderResources.renderStateOptions; @@ -69,7 +69,7 @@ describe( AlphaPipelineStage.process( renderResources, mockPrimitive, - mockFrameState + mockFrameState, ); const shaderBuilder = renderResources.shaderBuilder; @@ -82,5 +82,5 @@ describe( expect(renderResources.uniformMap.u_alphaCutoff()).toBe(cutoff); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/AtmospherePipelineStageSpec.js b/packages/engine/Specs/Scene/Model/AtmospherePipelineStageSpec.js index 753fbcbcb5e8..02a065f4365d 100644 --- a/packages/engine/Specs/Scene/Model/AtmospherePipelineStageSpec.js +++ b/packages/engine/Specs/Scene/Model/AtmospherePipelineStageSpec.js @@ -27,7 +27,7 @@ describe( url: boxTexturedGlbUrl, modelMatrix: Transforms.eastNorthUpToFixedFrame(center), }, - scene + scene, ); }); @@ -85,7 +85,7 @@ describe( const frameState = scene.frameState; frameState.camera.position = Cartesian3.clone( model.boundingSphere.center, - frameState.camera.position + frameState.camera.position, ); scene.renderForSpecs(); @@ -116,5 +116,5 @@ describe( expect(uniformMap.u_isInFog()).toBe(true); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/B3dmLoaderSpec.js b/packages/engine/Specs/Scene/Model/B3dmLoaderSpec.js index 7933375ca4b1..9267f6a15df6 100644 --- a/packages/engine/Specs/Scene/Model/B3dmLoaderSpec.js +++ b/packages/engine/Specs/Scene/Model/B3dmLoaderSpec.js @@ -76,7 +76,7 @@ describe( async function expectLoadError(arrayBuffer) { const resource = new Resource("http://example.com/test.b3dm"); await expectAsync( - loadB3dmArrayBuffer(resource, arrayBuffer) + loadB3dmArrayBuffer(resource, arrayBuffer), ).toBeRejectedWithError(RuntimeError); } @@ -138,7 +138,7 @@ describe( expect(propertyTable.count).toEqual(10); expect(loader.components.transform).toEqual( - Matrix4.fromTranslation(new Cartesian3(0.1, 0.2, 0.3)) + Matrix4.fromTranslation(new Cartesian3(0.1, 0.2, 0.3)), ); }); }); @@ -170,7 +170,7 @@ describe( it("destroys b3dm loader", function () { const unloadGltfLoader = spyOn( GltfLoader.prototype, - "unload" + "unload", ).and.callThrough(); return loadB3dm(withBatchTableUrl).then(function (loader) { @@ -186,5 +186,5 @@ describe( }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/BatchTexturePipelineStageSpec.js b/packages/engine/Specs/Scene/Model/BatchTexturePipelineStageSpec.js index fc9e95c69f56..71d50413c106 100644 --- a/packages/engine/Specs/Scene/Model/BatchTexturePipelineStageSpec.js +++ b/packages/engine/Specs/Scene/Model/BatchTexturePipelineStageSpec.js @@ -72,7 +72,7 @@ describe("Scene/Model/BatchTexturePipelineStage", function () { verifyBatchTextureShaders(renderResources.shaderBuilder); verifyBatchTextureUniforms( renderResources.model.featureTables[0], - renderResources.uniformMap + renderResources.uniformMap, ); }); }); diff --git a/packages/engine/Specs/Scene/Model/CPUStylingPipelineStageSpec.js b/packages/engine/Specs/Scene/Model/CPUStylingPipelineStageSpec.js index 63fb2545ce9c..ad73f3aa26c8 100644 --- a/packages/engine/Specs/Scene/Model/CPUStylingPipelineStageSpec.js +++ b/packages/engine/Specs/Scene/Model/CPUStylingPipelineStageSpec.js @@ -51,7 +51,7 @@ describe("Scene/Model/CPUStylingPipelineStage", function () { renderResources.model.colorBlendMode = ColorBlendMode.MIX; const colorBlend = ColorBlendMode.getColorBlend( renderResources.model.colorBlendMode, - renderResources.model.colorBlendAmount + renderResources.model.colorBlendAmount, ); CPUStylingPipelineStage.process(renderResources); diff --git a/packages/engine/Specs/Scene/Model/ClassificationModelDrawCommandSpec.js b/packages/engine/Specs/Scene/Model/ClassificationModelDrawCommandSpec.js index 6df7bf6333e6..adfa295c7948 100644 --- a/packages/engine/Specs/Scene/Model/ClassificationModelDrawCommandSpec.js +++ b/packages/engine/Specs/Scene/Model/ClassificationModelDrawCommandSpec.js @@ -78,12 +78,12 @@ describe( options.boundingVolume = BoundingSphere.transform( boundingSphere, options.modelMatrix, - boundingSphere + boundingSphere, ); options.renderState = defaultValue( options.renderState, - RenderState.fromCache() + RenderState.fromCache(), ); options.pass = Pass.OPAQUE; @@ -96,7 +96,7 @@ describe( command, expectedPass, expectedStencilFunction, - testForPicking + testForPicking, ) { testForPicking = defaultValue(testForPicking, false); @@ -136,7 +136,7 @@ describe( }; expect(renderState.stencilTest).toEqual(expectedStencilTest); expect(renderState.stencilMask).toEqual( - StencilConstants.CLASSIFICATION_MASK + StencilConstants.CLASSIFICATION_MASK, ); expect(renderState.depthTest).toEqual({ @@ -150,7 +150,7 @@ describe( // that is not in BlendingState.PRE_MULTIPLIED_ALPHA_BLEND. const expectedColorCommandBlending = clone( BlendingState.PRE_MULTIPLIED_ALPHA_BLEND, - true + true, ); expectedColorCommandBlending.color = noColor; @@ -192,7 +192,7 @@ describe( expect(renderState.stencilTest).toEqual(expectedStencilTest); expect(renderState.stencilMask).toEqual( - StencilConstants.CLASSIFICATION_MASK + StencilConstants.CLASSIFICATION_MASK, ); expect(renderState.depthTest.enabled).toEqual(false); expect(renderState.depthMask).toEqual(false); @@ -215,7 +215,7 @@ describe( commandList, expectedPass, expectedStencilFunction, - testForPicking + testForPicking, ) { const batchLengths = drawCommand.batchLengths; const batchOffsets = drawCommand.batchOffsets; @@ -233,7 +233,7 @@ describe( stencilDepthCommand, expectedPass, expectedStencilFunction, - testForPicking + testForPicking, ); const colorCommand = commandList[i * 2 + 1]; @@ -275,7 +275,7 @@ describe( expect(drawCommand.command).toBe(command); expect(drawCommand.runtimePrimitive).toBe( - renderResources.runtimePrimitive + renderResources.runtimePrimitive, ); expect(drawCommand.model).toBe(renderResources.model); @@ -294,7 +294,7 @@ describe( drawCommand, commandList, expectedPass, - expectedStencilFunction + expectedStencilFunction, ); expect(drawCommand._commandList3DTiles.length).toBe(0); @@ -316,7 +316,7 @@ describe( expect(drawCommand.command).toBe(command); expect(drawCommand.runtimePrimitive).toBe( - renderResources.runtimePrimitive + renderResources.runtimePrimitive, ); expect(drawCommand.model).toBe(renderResources.model); @@ -324,7 +324,7 @@ describe( expect(drawCommand.boundingVolume).toBe(command.boundingVolume); expect(drawCommand.classificationType).toBe( - ClassificationType.CESIUM_3D_TILE + ClassificationType.CESIUM_3D_TILE, ); expect(drawCommand._classifiesTerrain).toBe(false); expect(drawCommand._classifies3DTiles).toBe(true); @@ -337,7 +337,7 @@ describe( drawCommand, commandList, expectedPass, - expectedStencilFunction + expectedStencilFunction, ); expect(drawCommand._commandListTerrain.length).toBe(0); @@ -359,7 +359,7 @@ describe( expect(drawCommand.command).toBe(command); expect(drawCommand.runtimePrimitive).toBe( - renderResources.runtimePrimitive + renderResources.runtimePrimitive, ); expect(drawCommand.model).toBe(renderResources.model); @@ -378,7 +378,7 @@ describe( drawCommand, commandList, expectedPass, - expectedStencilFunction + expectedStencilFunction, ); commandList = drawCommand._commandList3DTiles; @@ -389,7 +389,7 @@ describe( drawCommand, commandList, expectedPass, - expectedStencilFunction + expectedStencilFunction, ); expect(drawCommand._commandListIgnoreShow.length).toBe(0); @@ -411,7 +411,7 @@ describe( expect(drawCommand.command).toBe(command); expect(drawCommand.runtimePrimitive).toBe( - renderResources.runtimePrimitive + renderResources.runtimePrimitive, ); expect(drawCommand.model).toBe(renderResources.model); @@ -470,7 +470,7 @@ describe( commandList, expectedPass, expectedStencilFunction, - testForPicking + testForPicking, ); expect(drawCommand._commandListTerrain.length).toBe(6); @@ -496,7 +496,7 @@ describe( }); expect(drawCommand.classificationType).toBe( - ClassificationType.CESIUM_3D_TILE + ClassificationType.CESIUM_3D_TILE, ); expect(drawCommand._classifiesTerrain).toBe(false); expect(drawCommand._classifies3DTiles).toBe(true); @@ -512,7 +512,7 @@ describe( commandList, expectedPass, expectedStencilFunction, - testForPicking + testForPicking, ); expect(drawCommand._commandList3DTiles.length).toBe(6); @@ -551,7 +551,7 @@ describe( commandList, expectedPass, expectedStencilFunction, - testForPicking + testForPicking, ); commandList = drawCommand._commandList3DTilesPicking; @@ -563,7 +563,7 @@ describe( commandList, expectedPass, expectedStencilFunction, - testForPicking + testForPicking, ); expect(drawCommand._commandListTerrain.length).toBe(6); @@ -623,7 +623,7 @@ describe( drawCommand, commandListTerrain, expectedPass, - expectedStencilFunction + expectedStencilFunction, ); const commandList3DTiles = commandList.slice(6, 12); @@ -634,7 +634,7 @@ describe( drawCommand, commandList3DTiles, expectedPass, - expectedStencilFunction + expectedStencilFunction, ); }); @@ -662,7 +662,7 @@ describe( drawCommand, commandListTerrain, expectedPass, - expectedStencilFunction + expectedStencilFunction, ); const commandList3DTiles = commandList.slice(6, 12); @@ -673,7 +673,7 @@ describe( drawCommand, commandList3DTiles, expectedPass, - expectedStencilFunction + expectedStencilFunction, ); }); @@ -705,7 +705,7 @@ describe( commandListTerrain, expectedPass, expectedStencilFunction, - testForPicking + testForPicking, ); const commandList3DTiles = commandList.slice(6, 12); @@ -717,7 +717,7 @@ describe( commandList3DTiles, expectedPass, expectedStencilFunction, - testForPicking + testForPicking, ); }); @@ -740,7 +740,7 @@ describe( mockFrameStateWithInvertedClassification.commandList; drawCommand.pushCommands( mockFrameStateWithInvertedClassification, - commandList + commandList, ); expect(commandList.length).toBe(9); @@ -752,7 +752,7 @@ describe( drawCommand, commandList3DTiles, expectedPass, - expectedStencilFunction + expectedStencilFunction, ); const length = commandListIgnoreShow.length; @@ -767,7 +767,7 @@ describe( verifyStencilDepthCommand( ignoreShowCommand, expectedPass, - expectedStencilFunction + expectedStencilFunction, ); } }); @@ -791,7 +791,7 @@ describe( mockFrameStateWithInvertedClassification.commandList; drawCommand.pushCommands( mockFrameStateWithInvertedClassification, - commandList + commandList, ); expect(commandList.length).toBe(6); @@ -837,5 +837,5 @@ describe( } }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/ClassificationPipelineStageSpec.js b/packages/engine/Specs/Scene/Model/ClassificationPipelineStageSpec.js index dc30239df431..d62b7184c13c 100644 --- a/packages/engine/Specs/Scene/Model/ClassificationPipelineStageSpec.js +++ b/packages/engine/Specs/Scene/Model/ClassificationPipelineStageSpec.js @@ -73,7 +73,7 @@ describe("Scene/Model/ClassificationPipelineStage", function () { ClassificationPipelineStage.process( renderResources, primitive, - mockFrameState + mockFrameState, ); }).toThrowError(RuntimeError); }); @@ -87,7 +87,7 @@ describe("Scene/Model/ClassificationPipelineStage", function () { ClassificationPipelineStage.process( renderResources, primitive, - mockFrameState + mockFrameState, ); const shaderBuilder = renderResources.shaderBuilder; @@ -109,7 +109,7 @@ describe("Scene/Model/ClassificationPipelineStage", function () { ClassificationPipelineStage.process( renderResources, primitive, - mockFrameState + mockFrameState, ); const runtimePrimitive = renderResources.runtimePrimitive; @@ -129,7 +129,7 @@ describe("Scene/Model/ClassificationPipelineStage", function () { ClassificationPipelineStage.process( renderResources, primitive, - mockFrameState + mockFrameState, ); const runtimePrimitive = renderResources.runtimePrimitive; @@ -149,7 +149,7 @@ describe("Scene/Model/ClassificationPipelineStage", function () { ClassificationPipelineStage.process( renderResources, primitive, - mockFrameState + mockFrameState, ); const runtimePrimitive = renderResources.runtimePrimitive; @@ -180,7 +180,7 @@ describe("Scene/Model/ClassificationPipelineStage", function () { ClassificationPipelineStage.process( renderResources, primitive, - mockFrameState + mockFrameState, ); const runtimePrimitive = renderResources.runtimePrimitive; @@ -204,7 +204,7 @@ describe("Scene/Model/ClassificationPipelineStage", function () { ClassificationPipelineStage.process( renderResources, primitive, - mockFrameState + mockFrameState, ); const batchLengths = runtimePrimitive.batchLengths; diff --git a/packages/engine/Specs/Scene/Model/CustomShaderPipelineStageSpec.js b/packages/engine/Specs/Scene/Model/CustomShaderPipelineStageSpec.js index cf674eee8c16..a2e8a6797800 100644 --- a/packages/engine/Specs/Scene/Model/CustomShaderPipelineStageSpec.js +++ b/packages/engine/Specs/Scene/Model/CustomShaderPipelineStageSpec.js @@ -168,7 +168,7 @@ describe("Scene/Model/CustomShaderPipelineStage", function () { CustomShaderPipelineStage.process(renderResources, primitive); expect(renderResources.lightingOptions.lightingModel).toBe( - LightingModel.PBR + LightingModel.PBR, ); }); @@ -237,7 +237,7 @@ describe("Scene/Model/CustomShaderPipelineStage", function () { expect(renderResources.alphaOptions.pass).toBe(Pass.TRANSLUCENT); expect(renderResources.lightingOptions.lightingModel).toBe( - LightingModel.PBR + LightingModel.PBR, ); // the shader code proper gets optimized out @@ -273,13 +273,13 @@ describe("Scene/Model/CustomShaderPipelineStage", function () { shaderBuilder, CustomShaderPipelineStage.STRUCT_ID_ATTRIBUTES_VS, CustomShaderPipelineStage.STRUCT_NAME_ATTRIBUTES, - [" vec3 positionMC;", " vec3 normalMC;", " vec2 texCoord_0;"] + [" vec3 positionMC;", " vec3 normalMC;", " vec2 texCoord_0;"], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, CustomShaderPipelineStage.STRUCT_ID_ATTRIBUTES_FS, CustomShaderPipelineStage.STRUCT_NAME_ATTRIBUTES, - [" vec3 positionMC;", " vec3 normalEC;", " vec2 texCoord_0;"] + [" vec3 positionMC;", " vec3 normalEC;", " vec2 texCoord_0;"], ); ShaderBuilderTester.expectHasVertexStruct( @@ -292,7 +292,7 @@ describe("Scene/Model/CustomShaderPipelineStage", function () { " Metadata metadata;", " MetadataClass metadataClass;", " MetadataStatistics metadataStatistics;", - ] + ], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, @@ -304,7 +304,7 @@ describe("Scene/Model/CustomShaderPipelineStage", function () { " Metadata metadata;", " MetadataClass metadataClass;", " MetadataStatistics metadataStatistics;", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( @@ -315,7 +315,7 @@ describe("Scene/Model/CustomShaderPipelineStage", function () { " vsInput.attributes.positionMC = attributes.positionMC;", " vsInput.attributes.normalMC = attributes.normalMC;", " vsInput.attributes.texCoord_0 = attributes.texCoord_0;", - ] + ], ); ShaderBuilderTester.expectHasFragmentFunctionUnordered( shaderBuilder, @@ -325,7 +325,7 @@ describe("Scene/Model/CustomShaderPipelineStage", function () { " fsInput.attributes.positionMC = attributes.positionMC;", " fsInput.attributes.normalEC = attributes.normalEC;", " fsInput.attributes.texCoord_0 = attributes.texCoord_0;", - ] + ], ); }); @@ -351,20 +351,20 @@ describe("Scene/Model/CustomShaderPipelineStage", function () { CustomShaderPipelineStage.process( renderResources, - primitiveWithCustomAttributes + primitiveWithCustomAttributes, ); ShaderBuilderTester.expectHasVertexStruct( shaderBuilder, CustomShaderPipelineStage.STRUCT_ID_ATTRIBUTES_VS, CustomShaderPipelineStage.STRUCT_NAME_ATTRIBUTES, - [" vec3 positionMC;", " float temperature;"] + [" vec3 positionMC;", " float temperature;"], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, CustomShaderPipelineStage.STRUCT_ID_ATTRIBUTES_FS, CustomShaderPipelineStage.STRUCT_NAME_ATTRIBUTES, - [" vec3 positionMC;", " float temperature;"] + [" vec3 positionMC;", " float temperature;"], ); ShaderBuilderTester.expectHasVertexStruct( @@ -377,7 +377,7 @@ describe("Scene/Model/CustomShaderPipelineStage", function () { " Metadata metadata;", " MetadataClass metadataClass;", " MetadataStatistics metadataStatistics;", - ] + ], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, @@ -389,7 +389,7 @@ describe("Scene/Model/CustomShaderPipelineStage", function () { " Metadata metadata;", " MetadataClass metadataClass;", " MetadataStatistics metadataStatistics;", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( @@ -399,7 +399,7 @@ describe("Scene/Model/CustomShaderPipelineStage", function () { [ " vsInput.attributes.positionMC = attributes.positionMC;", " vsInput.attributes.temperature = attributes.temperature;", - ] + ], ); ShaderBuilderTester.expectHasFragmentFunctionUnordered( shaderBuilder, @@ -408,7 +408,7 @@ describe("Scene/Model/CustomShaderPipelineStage", function () { [ " fsInput.attributes.positionMC = attributes.positionMC;", " fsInput.attributes.temperature = attributes.temperature;", - ] + ], ); }); @@ -437,20 +437,20 @@ describe("Scene/Model/CustomShaderPipelineStage", function () { CustomShaderPipelineStage.process( renderResources, - primitiveWithColorAttributes + primitiveWithColorAttributes, ); ShaderBuilderTester.expectHasVertexStruct( shaderBuilder, CustomShaderPipelineStage.STRUCT_ID_ATTRIBUTES_VS, CustomShaderPipelineStage.STRUCT_NAME_ATTRIBUTES, - [" vec4 color_0;", " vec4 color_1;"] + [" vec4 color_0;", " vec4 color_1;"], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, CustomShaderPipelineStage.STRUCT_ID_ATTRIBUTES_FS, CustomShaderPipelineStage.STRUCT_NAME_ATTRIBUTES, - [" vec4 color_0;", " vec4 color_1;"] + [" vec4 color_0;", " vec4 color_1;"], ); ShaderBuilderTester.expectHasVertexStruct( @@ -463,7 +463,7 @@ describe("Scene/Model/CustomShaderPipelineStage", function () { " Metadata metadata;", " MetadataClass metadataClass;", " MetadataStatistics metadataStatistics;", - ] + ], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, @@ -475,7 +475,7 @@ describe("Scene/Model/CustomShaderPipelineStage", function () { " Metadata metadata;", " MetadataClass metadataClass;", " MetadataStatistics metadataStatistics;", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( @@ -485,7 +485,7 @@ describe("Scene/Model/CustomShaderPipelineStage", function () { [ " vsInput.attributes.color_0 = attributes.color_0;", " vsInput.attributes.color_1 = attributes.color_1;", - ] + ], ); ShaderBuilderTester.expectHasFragmentFunctionUnordered( shaderBuilder, @@ -494,7 +494,7 @@ describe("Scene/Model/CustomShaderPipelineStage", function () { [ " fsInput.attributes.color_0 = attributes.color_0;", " fsInput.attributes.color_1 = attributes.color_1;", - ] + ], ); }); @@ -520,20 +520,20 @@ describe("Scene/Model/CustomShaderPipelineStage", function () { CustomShaderPipelineStage.process( renderResources, - primitiveWithCustomAttributes + primitiveWithCustomAttributes, ); ShaderBuilderTester.expectHasVertexStruct( shaderBuilder, CustomShaderPipelineStage.STRUCT_ID_ATTRIBUTES_VS, CustomShaderPipelineStage.STRUCT_NAME_ATTRIBUTES, - [" vec3 positionMC;"] + [" vec3 positionMC;"], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, CustomShaderPipelineStage.STRUCT_ID_ATTRIBUTES_FS, CustomShaderPipelineStage.STRUCT_NAME_ATTRIBUTES, - [" float temperature;"] + [" float temperature;"], ); ShaderBuilderTester.expectHasVertexStruct( @@ -546,7 +546,7 @@ describe("Scene/Model/CustomShaderPipelineStage", function () { " Metadata metadata;", " MetadataClass metadataClass;", " MetadataStatistics metadataStatistics;", - ] + ], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, @@ -558,20 +558,20 @@ describe("Scene/Model/CustomShaderPipelineStage", function () { " Metadata metadata;", " MetadataClass metadataClass;", " MetadataStatistics metadataStatistics;", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, CustomShaderPipelineStage.FUNCTION_ID_INITIALIZE_INPUT_STRUCT_VS, CustomShaderPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_INPUT_STRUCT_VS, - [" vsInput.attributes.positionMC = attributes.positionMC;"] + [" vsInput.attributes.positionMC = attributes.positionMC;"], ); ShaderBuilderTester.expectHasFragmentFunctionUnordered( shaderBuilder, CustomShaderPipelineStage.FUNCTION_ID_INITIALIZE_INPUT_STRUCT_FS, CustomShaderPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_INPUT_STRUCT_FS, - [" fsInput.attributes.temperature = attributes.temperature;"] + [" fsInput.attributes.temperature = attributes.temperature;"], ); }); @@ -619,7 +619,7 @@ describe("Scene/Model/CustomShaderPipelineStage", function () { " Metadata metadata;", " MetadataClass metadataClass;", " MetadataStatistics metadataStatistics;", - ] + ], ); }); @@ -654,13 +654,13 @@ describe("Scene/Model/CustomShaderPipelineStage", function () { shaderBuilder, CustomShaderPipelineStage.STRUCT_ID_ATTRIBUTES_VS, CustomShaderPipelineStage.STRUCT_NAME_ATTRIBUTES, - [] + [], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, CustomShaderPipelineStage.STRUCT_ID_ATTRIBUTES_FS, CustomShaderPipelineStage.STRUCT_NAME_ATTRIBUTES, - [" vec3 positionMC;", " vec3 positionWC;", " vec3 positionEC;"] + [" vec3 positionMC;", " vec3 positionWC;", " vec3 positionEC;"], ); ShaderBuilderTester.expectHasVertexStruct( @@ -673,7 +673,7 @@ describe("Scene/Model/CustomShaderPipelineStage", function () { " Metadata metadata;", " MetadataClass metadataClass;", " MetadataStatistics metadataStatistics;", - ] + ], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, @@ -685,14 +685,14 @@ describe("Scene/Model/CustomShaderPipelineStage", function () { " Metadata metadata;", " MetadataClass metadataClass;", " MetadataStatistics metadataStatistics;", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, CustomShaderPipelineStage.FUNCTION_ID_INITIALIZE_INPUT_STRUCT_VS, CustomShaderPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_INPUT_STRUCT_VS, - [] + [], ); ShaderBuilderTester.expectHasFragmentFunctionUnordered( shaderBuilder, @@ -702,7 +702,7 @@ describe("Scene/Model/CustomShaderPipelineStage", function () { " fsInput.attributes.positionMC = attributes.positionMC;", " fsInput.attributes.positionWC = attributes.positionWC;", " fsInput.attributes.positionEC = attributes.positionEC;", - ] + ], ); }); @@ -730,13 +730,13 @@ describe("Scene/Model/CustomShaderPipelineStage", function () { shaderBuilder, CustomShaderPipelineStage.STRUCT_ID_ATTRIBUTES_VS, CustomShaderPipelineStage.STRUCT_NAME_ATTRIBUTES, - [" vec2 texCoord_1;"] + [" vec2 texCoord_1;"], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, CustomShaderPipelineStage.STRUCT_ID_ATTRIBUTES_FS, CustomShaderPipelineStage.STRUCT_NAME_ATTRIBUTES, - [" vec3 tangentEC;"] + [" vec3 tangentEC;"], ); ShaderBuilderTester.expectHasVertexStruct( @@ -749,7 +749,7 @@ describe("Scene/Model/CustomShaderPipelineStage", function () { " Metadata metadata;", " MetadataClass metadataClass;", " MetadataStatistics metadataStatistics;", - ] + ], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, @@ -761,20 +761,20 @@ describe("Scene/Model/CustomShaderPipelineStage", function () { " Metadata metadata;", " MetadataClass metadataClass;", " MetadataStatistics metadataStatistics;", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, CustomShaderPipelineStage.FUNCTION_ID_INITIALIZE_INPUT_STRUCT_VS, CustomShaderPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_INPUT_STRUCT_VS, - [" vsInput.attributes.texCoord_1 = vec2(0.0);"] + [" vsInput.attributes.texCoord_1 = vec2(0.0);"], ); ShaderBuilderTester.expectHasFragmentFunctionUnordered( shaderBuilder, CustomShaderPipelineStage.FUNCTION_ID_INITIALIZE_INPUT_STRUCT_FS, CustomShaderPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_INPUT_STRUCT_FS, - [" fsInput.attributes.tangentEC = vec3(1.0, 0.0, 0.0);"] + [" fsInput.attributes.tangentEC = vec3(1.0, 0.0, 0.0);"], ); }); @@ -825,7 +825,7 @@ describe("Scene/Model/CustomShaderPipelineStage", function () { ShaderBuilderTester.expectVertexLinesEqual(shaderBuilder, []); ShaderBuilderTester.expectFragmentLinesContains( shaderBuilder, - emptyFragmentShader + emptyFragmentShader, ); }); @@ -845,7 +845,7 @@ describe("Scene/Model/CustomShaderPipelineStage", function () { ShaderBuilderTester.expectVertexLinesContains( shaderBuilder, - emptyVertexShader + emptyVertexShader, ); ShaderBuilderTester.expectFragmentLinesEqual(shaderBuilder, []); }); @@ -890,7 +890,7 @@ describe("Scene/Model/CustomShaderPipelineStage", function () { shaderBuilder, CustomShaderPipelineStage.STRUCT_ID_ATTRIBUTES_FS, CustomShaderPipelineStage.STRUCT_NAME_ATTRIBUTES, - [" vec3 positionWC;"] + [" vec3 positionWC;"], ); ShaderBuilderTester.expectHasFragmentStruct( @@ -903,7 +903,7 @@ describe("Scene/Model/CustomShaderPipelineStage", function () { " Metadata metadata;", " MetadataClass metadataClass;", " MetadataStatistics metadataStatistics;", - ] + ], ); expect(shaderBuilder._vertexShaderParts.functionIds).toEqual([]); @@ -911,7 +911,7 @@ describe("Scene/Model/CustomShaderPipelineStage", function () { shaderBuilder, CustomShaderPipelineStage.FUNCTION_ID_INITIALIZE_INPUT_STRUCT_FS, CustomShaderPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_INPUT_STRUCT_FS, - [" fsInput.attributes.positionWC = attributes.positionWC;"] + [" fsInput.attributes.positionWC = attributes.positionWC;"], ); }); }); diff --git a/packages/engine/Specs/Scene/Model/CustomShaderSpec.js b/packages/engine/Specs/Scene/Model/CustomShaderSpec.js index 669b4ab404a5..03c8b3698168 100644 --- a/packages/engine/Specs/Scene/Model/CustomShaderSpec.js +++ b/packages/engine/Specs/Scene/Model/CustomShaderSpec.js @@ -25,7 +25,7 @@ describe("Scene/Model/CustomShader", function () { expect(customShader.mode).toBe(CustomShaderMode.MODIFY_MATERIAL); expect(customShader.lightingModel).not.toBeDefined(); expect(customShader.translucencyMode).toBe( - CustomShaderTranslucencyMode.INHERIT + CustomShaderTranslucencyMode.INHERIT, ); expect(customShader.uniforms).toEqual({}); expect(customShader.varyings).toEqual({}); @@ -46,7 +46,7 @@ describe("Scene/Model/CustomShader", function () { expect(customShader.mode).toBe(CustomShaderMode.REPLACE_MATERIAL); expect(customShader.lightingModel).toBe(LightingModel.PBR); expect(customShader.translucencyMode).toBe( - CustomShaderTranslucencyMode.TRANSLUCENT + CustomShaderTranslucencyMode.TRANSLUCENT, ); expect(customShader.uniforms).toEqual({}); expect(customShader.varyings).toEqual({}); @@ -225,7 +225,7 @@ describe("Scene/Model/CustomShader", function () { expect(customShader.usedVariablesVertex).toEqual(expectedVertexVariables); expect(customShader.usedVariablesFragment).toEqual( - expectedFragmentVariables + expectedFragmentVariables, ); }); @@ -408,13 +408,13 @@ describe("Scene/Model/CustomShader", function () { expect(customShader.uniformMap.u_blue).toBeDefined(); expect(customShader.uniformMap.u_blue()).not.toBeDefined(); - return waitForTextureLoad(customShader, "u_blue").then(function ( - texture - ) { - expect(customShader.uniformMap.u_blue()).toBe(texture); - expect(texture.width).toBe(2); - expect(texture.height).toBe(2); - }); + return waitForTextureLoad(customShader, "u_blue").then( + function (texture) { + expect(customShader.uniformMap.u_blue()).toBe(texture); + expect(texture.width).toBe(2); + expect(texture.height).toBe(2); + }, + ); }); it("can change texture uniform value", function () { @@ -432,28 +432,28 @@ describe("Scene/Model/CustomShader", function () { }); shaders.push(customShader); - return waitForTextureLoad(customShader, "u_testTexture").then(function ( - blueTexture - ) { - expect(customShader.uniformMap.u_testTexture()).toBe(blueTexture); - expect(blueTexture.width).toBe(2); - expect(blueTexture.height).toBe(2); - customShader.setUniform( - "u_testTexture", - new TextureUniform({ - url: greenUrl, - }) - ); - return waitForTextureLoad(customShader, "u_testTexture").then( - function (greenTexture) { - expect(customShader.uniformMap.u_testTexture()).toBe( - greenTexture - ); - expect(greenTexture.width).toBe(1); - expect(greenTexture.height).toBe(4); - } - ); - }); + return waitForTextureLoad(customShader, "u_testTexture").then( + function (blueTexture) { + expect(customShader.uniformMap.u_testTexture()).toBe(blueTexture); + expect(blueTexture.width).toBe(2); + expect(blueTexture.height).toBe(2); + customShader.setUniform( + "u_testTexture", + new TextureUniform({ + url: greenUrl, + }), + ); + return waitForTextureLoad(customShader, "u_testTexture").then( + function (greenTexture) { + expect(customShader.uniformMap.u_testTexture()).toBe( + greenTexture, + ); + expect(greenTexture.width).toBe(1); + expect(greenTexture.height).toBe(4); + }, + ); + }, + ); }); it("destroys", function () { @@ -471,19 +471,19 @@ describe("Scene/Model/CustomShader", function () { }); shaders.push(customShader); - return waitForTextureLoad(customShader, "u_blue").then(function ( - texture - ) { - expect(customShader.isDestroyed()).toBe(false); - expect(texture.isDestroyed()).toBe(false); + return waitForTextureLoad(customShader, "u_blue").then( + function (texture) { + expect(customShader.isDestroyed()).toBe(false); + expect(texture.isDestroyed()).toBe(false); - customShader.destroy(); + customShader.destroy(); - expect(customShader.isDestroyed()).toBe(true); - expect(texture.isDestroyed()).toBe(true); - }); + expect(customShader.isDestroyed()).toBe(true); + expect(texture.isDestroyed()).toBe(true); + }, + ); }); }, - "WebGL" + "WebGL", ); }); diff --git a/packages/engine/Specs/Scene/Model/DequantizationPipelineStageSpec.js b/packages/engine/Specs/Scene/Model/DequantizationPipelineStageSpec.js index c8eed6be5bd5..47cef0858305 100644 --- a/packages/engine/Specs/Scene/Model/DequantizationPipelineStageSpec.js +++ b/packages/engine/Specs/Scene/Model/DequantizationPipelineStageSpec.js @@ -96,7 +96,7 @@ describe( [ " attributes.normalMC = czm_octDecode(a_quantized_normalMC, model_normalizationRange_normalMC).zxy;", " attributes.positionMC = model_quantizedVolumeOffset_positionMC + a_quantized_positionMC * model_quantizedVolumeStepSize_positionMC;", - ] + ], ); ShaderBuilderTester.expectHasFragmentDefines(shaderBuilder, []); ShaderBuilderTester.expectHasFragmentFunctionIds(shaderBuilder, []); @@ -125,9 +125,11 @@ describe( const uniformValues = { normalRange: uniformMap.model_normalizationRange_normalMC(), positionOffset: uniformMap.model_quantizedVolumeOffset_positionMC(), - positionStepSize: uniformMap.model_quantizedVolumeStepSize_positionMC(), + positionStepSize: + uniformMap.model_quantizedVolumeStepSize_positionMC(), texCoordOffset: uniformMap.model_quantizedVolumeOffset_texCoord_0(), - texCoordStepSize: uniformMap.model_quantizedVolumeStepSize_texCoord_0(), + texCoordStepSize: + uniformMap.model_quantizedVolumeStepSize_texCoord_0(), }; const expected = { @@ -135,20 +137,20 @@ describe( positionOffset: new Cartesian3( -2.430910110473633, 0.2667999863624573, - -1.3960000276565552 + -1.3960000276565552, ), positionStepSize: new Cartesian3( 0.0002971928118058615, 0.0002971928118058615, - 0.0002971928118058615 + 0.0002971928118058615, ), texCoordOffset: new Cartesian2( 0.0029563899151980877, - 0.015672028064727783 + 0.015672028064727783, ), texCoordStepSize: new Cartesian2( 0.0002397004064622816, - 0.0002397004064622816 + 0.0002397004064622816, ), }; @@ -180,9 +182,11 @@ describe( const uniformValues = { normalRange: uniformMap.model_normalizationRange_normalMC(), texCoordOffset: uniformMap.model_quantizedVolumeOffset_texCoord_0(), - texCoordStepSize: uniformMap.model_quantizedVolumeStepSize_texCoord_0(), + texCoordStepSize: + uniformMap.model_quantizedVolumeStepSize_texCoord_0(), positionOffset: uniformMap.model_quantizedVolumeOffset_positionMC(), - positionStepSize: uniformMap.model_quantizedVolumeStepSize_positionMC(), + positionStepSize: + uniformMap.model_quantizedVolumeStepSize_positionMC(), colorOffset: uniformMap.model_quantizedVolumeOffset_color_0(), colorStepSize: uniformMap.model_quantizedVolumeStepSize_color_0(), }; @@ -193,24 +197,24 @@ describe( positionStepSize: new Cartesian3( 0.00006103888176768602, 0.00006103888176768602, - 0.00006103888176768602 + 0.00006103888176768602, ), texCoordOffset: new Cartesian2(0, 0), texCoordStepSize: new Cartesian2( 0.0002442002442002442, - 0.0002442002442002442 + 0.0002442002442002442, ), colorOffset: new Cartesian4( 4.908018991223173e-10, 0.0006933663971722126, 0.000028382812160998583, - 0 + 0, ), colorStepSize: new Cartesian4( 0.00392145689795999, 0.00392145689795999, 0.00392145689795999, - 1 + 1, ), }; @@ -240,22 +244,24 @@ describe( const uniformValues = { texCoordOffset: uniformMap.model_quantizedVolumeOffset_texCoord_0(), - texCoordStepSize: uniformMap.model_quantizedVolumeStepSize_texCoord_0(), + texCoordStepSize: + uniformMap.model_quantizedVolumeStepSize_texCoord_0(), positionOffset: uniformMap.model_quantizedVolumeOffset_positionMC(), - positionStepSize: uniformMap.model_quantizedVolumeStepSize_positionMC(), + positionStepSize: + uniformMap.model_quantizedVolumeStepSize_positionMC(), }; const expected = { texCoordOffset: new Cartesian2(0, 0), texCoordStepSize: new Cartesian2( 0.0002442002442002442, - 0.0002442002442002442 + 0.0002442002442002442, ), positionOffset: new Cartesian3(-0.5, -0.5, -0.5), positionStepSize: new Cartesian3( 0.00006103888176768602, 0.00006103888176768602, - 0.00006103888176768602 + 0.00006103888176768602, ), }; @@ -284,12 +290,12 @@ describe( shaderBuilder, DequantizationPipelineStage.FUNCTION_ID_DEQUANTIZATION_STAGE_VS, DequantizationPipelineStage.FUNCTION_SIGNATURE_DEQUANTIZATION_STAGE_VS, - [] + [], ); ShaderBuilderTester.expectHasFragmentDefines(shaderBuilder, []); ShaderBuilderTester.expectHasFragmentFunctionIds(shaderBuilder, []); }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/Extensions/Gpm/GltfGpmLoaderSpec.js b/packages/engine/Specs/Scene/Model/Extensions/Gpm/GltfGpmLoaderSpec.js index 6a4178441d26..ddaf8ddfb390 100644 --- a/packages/engine/Specs/Scene/Model/Extensions/Gpm/GltfGpmLoaderSpec.js +++ b/packages/engine/Specs/Scene/Model/Extensions/Gpm/GltfGpmLoaderSpec.js @@ -62,8 +62,8 @@ describe("Scene/Model/Extensions/Gpm/GltfGpmLoader", function () { Cartesian3.equalsEpsilon( actualAnchorPoint.position, expectedPosition, - CesiumMath.EPSILON6 - ) + CesiumMath.EPSILON6, + ), ).toBeTrue(); const expectedAdjustmentParams = new Cartesian3(0.1, 0.2, 0.3); @@ -71,21 +71,21 @@ describe("Scene/Model/Extensions/Gpm/GltfGpmLoader", function () { Cartesian3.equalsEpsilon( actualAnchorPoint.adjustmentParams, expectedAdjustmentParams, - CesiumMath.EPSILON6 - ) + CesiumMath.EPSILON6, + ), ).toBeTrue(); const expectedCovarianceDirect = Matrix3.fromArray( [0.1, 0.2, 0.4, 0.2, 0.3, 0.5, 0.4, 0.5, 0.6], 0, - new Matrix3() + new Matrix3(), ); expect( Matrix3.equalsEpsilon( result.covarianceDirect, expectedCovarianceDirect, - CesiumMath.EPSILON6 - ) + CesiumMath.EPSILON6, + ), ).toBeTrue(); }); @@ -151,8 +151,8 @@ describe("Scene/Model/Extensions/Gpm/GltfGpmLoader", function () { Cartesian3.equalsEpsilon( actualAnchorPoint.position, expectedPosition, - CesiumMath.EPSILON6 - ) + CesiumMath.EPSILON6, + ), ).toBeTrue(); const expectedAdjustmentParams = new Cartesian3(0.1, 0.2, 0.3); @@ -160,21 +160,21 @@ describe("Scene/Model/Extensions/Gpm/GltfGpmLoader", function () { Cartesian3.equalsEpsilon( actualAnchorPoint.adjustmentParams, expectedAdjustmentParams, - CesiumMath.EPSILON6 - ) + CesiumMath.EPSILON6, + ), ).toBeTrue(); const expectedCovarianceMatrix = Matrix3.fromArray( [0.1, 0.2, 0.4, 0.2, 0.3, 0.5, 0.4, 0.5, 0.6], 0, - new Matrix3() + new Matrix3(), ); expect( Matrix3.equalsEpsilon( actualAnchorPoint.covarianceMatrix, expectedCovarianceMatrix, - CesiumMath.EPSILON6 - ) + CesiumMath.EPSILON6, + ), ).toBeTrue(); expect(result.intraTileCorrelationGroups.length).toBe(1); @@ -188,8 +188,8 @@ describe("Scene/Model/Extensions/Gpm/GltfGpmLoader", function () { Cartesian3.equalsEpsilon( correlationGroup.rotationThetas, expectedRotationThetas, - CesiumMath.EPSILON6 - ) + CesiumMath.EPSILON6, + ), ).toBeTrue(); const params = correlationGroup.params; diff --git a/packages/engine/Specs/Scene/Model/Extensions/Gpm/GltfMeshPrimitiveGpmLoaderSpec.js b/packages/engine/Specs/Scene/Model/Extensions/Gpm/GltfMeshPrimitiveGpmLoaderSpec.js index 81591889fbdc..b68aa8a9f834 100644 --- a/packages/engine/Specs/Scene/Model/Extensions/Gpm/GltfMeshPrimitiveGpmLoaderSpec.js +++ b/packages/engine/Specs/Scene/Model/Extensions/Gpm/GltfMeshPrimitiveGpmLoaderSpec.js @@ -103,8 +103,7 @@ function createEmbeddedGltf(gpmExtension) { }, buffers: [ { - uri: - "data:application/gltf-buffer;base64,AAABAAIAAQADAAIAAAAAAAAAAAAAAAAAAACAPwAAAAAAAAAAAAAAAAAAgD8AAAAAAACAPwAAgD8AAAAAAAAAAAAAAAAAAIA/AAAAAAAAAAAAAIA/AAAAAAAAAAAAAIA/AAAAAAAAAAAAAIA/AAAAAAAAgD8AAAAAAACAPwAAgD8AAAAAAAAAAAAAAAAAAAAAAACAPwAAAAAAAAAA", + uri: "data:application/gltf-buffer;base64,AAABAAIAAQADAAIAAAAAAAAAAAAAAAAAAACAPwAAAAAAAAAAAAAAAAAAgD8AAAAAAACAPwAAgD8AAAAAAAAAAAAAAAAAAIA/AAAAAAAAAAAAAIA/AAAAAAAAAAAAAIA/AAAAAAAAAAAAAIA/AAAAAAAAgD8AAAAAAACAPwAAgD8AAAAAAAAAAAAAAAAAAAAAAACAPwAAAAAAAAAA", byteLength: 156, }, ], @@ -125,8 +124,7 @@ function createEmbeddedGltf(gpmExtension) { ], images: [ { - uri: - "data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAABAAAAAQCAYAAAAf8/9hAAABs0lEQVR42hXMUwCWBwBA0b8Wlo1lLHNt2a4tLZtbrdZatm1jWcu2bSxrtWq1Zds438O5jzcUCoU+hSEsXxCO8EQgIl8SichEISrRiE4MQjElFrGJQ1ziEZ8EJOQrEpGYJCQlGcmDQQpJSSpS8zVpSEs60pOBjGQiM1nISrZgkF2+IQff8h05yUVu8pCXfOSnAAUpROFgUESKUozilKAkpShNGcryPT9QjvJUoGIwqCQ/UpkqVKUa1alBTWpRmzrUpR71aRAMGkojGtOEn/iZpjTjF5rTgl9pyW+04vdg0Fra0JZ2tKcDHelEZ7rQlW50pwc96RUMeksf+tKP/gxgIIMYzBCGMozhjGAko4LBaBnDWMYxnglMZBJ/MJkpTGUa05nBzGAwS2Yzh7n8yTzms4CFLGIxS1jKMpazIhislFWsZg1rWcd6NrCRTWxmC1vZxnZ2BIOdsovd7GEv+9jPAQ5yiMMc4Sh/cYzjweCEnOQUpznDWc5xngv8zUUu8Q+XucLVYPCvXOM6//E/N7jJLW5zh7vc4z4PeMijYPBYnvCUZzznBS95xWve8JZ3vOcDH/nEZ7gvfpBCxLDKAAAAAElFTkSuQmCC", + uri: "data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAABAAAAAQCAYAAAAf8/9hAAABs0lEQVR42hXMUwCWBwBA0b8Wlo1lLHNt2a4tLZtbrdZatm1jWcu2bSxrtWq1Zds438O5jzcUCoU+hSEsXxCO8EQgIl8SichEISrRiE4MQjElFrGJQ1ziEZ8EJOQrEpGYJCQlGcmDQQpJSSpS8zVpSEs60pOBjGQiM1nISrZgkF2+IQff8h05yUVu8pCXfOSnAAUpROFgUESKUozilKAkpShNGcryPT9QjvJUoGIwqCQ/UpkqVKUa1alBTWpRmzrUpR71aRAMGkojGtOEn/iZpjTjF5rTgl9pyW+04vdg0Fra0JZ2tKcDHelEZ7rQlW50pwc96RUMeksf+tKP/gxgIIMYzBCGMozhjGAko4LBaBnDWMYxnglMZBJ/MJkpTGUa05nBzGAwS2Yzh7n8yTzms4CFLGIxS1jKMpazIhislFWsZg1rWcd6NrCRTWxmC1vZxnZ2BIOdsovd7GEv+9jPAQ5yiMMc4Sh/cYzjweCEnOQUpznDWc5xngv8zUUu8Q+XucLVYPCvXOM6//E/N7jJLW5zh7vc4z4PeMijYPBYnvCUZzznBS95xWve8JZ3vOcDH/nEZ7gvfpBCxLDKAAAAAElFTkSuQmCC", mimeType: "image/png", }, ], @@ -363,7 +361,7 @@ describe( const destroyTexture = spyOn( GltfTextureLoader.prototype, - "destroy" + "destroy", ).and.callThrough(); const loader = new GltfMeshPrimitiveGpmLoader({ @@ -395,7 +393,7 @@ describe( const destroyTexture = spyOn( GltfTextureLoader.prototype, - "destroy" + "destroy", ).and.callThrough(); const loader = new GltfMeshPrimitiveGpmLoader({ @@ -425,5 +423,5 @@ describe( return resolveAfterDestroy(true); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/FeatureIdPipelineStageSpec.js b/packages/engine/Specs/Scene/Model/FeatureIdPipelineStageSpec.js index 889c6e5c2eca..91a653a3a154 100644 --- a/packages/engine/Specs/Scene/Model/FeatureIdPipelineStageSpec.js +++ b/packages/engine/Specs/Scene/Model/FeatureIdPipelineStageSpec.js @@ -115,31 +115,31 @@ describe( shaderBuilder, FeatureIdPipelineStage.STRUCT_ID_FEATURE_IDS_VS, FeatureIdPipelineStage.STRUCT_NAME_FEATURE_IDS, - [] + [], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, FeatureIdPipelineStage.STRUCT_ID_FEATURE_IDS_FS, FeatureIdPipelineStage.STRUCT_NAME_FEATURE_IDS, - [] + [], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, FeatureIdPipelineStage.FUNCTION_ID_INITIALIZE_FEATURE_IDS_VS, FeatureIdPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_FEATURE_IDS, - [] + [], ); ShaderBuilderTester.expectHasFragmentFunctionUnordered( shaderBuilder, FeatureIdPipelineStage.FUNCTION_ID_INITIALIZE_FEATURE_IDS_FS, FeatureIdPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_FEATURE_IDS, - [] + [], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, FeatureIdPipelineStage.FUNCTION_ID_SET_FEATURE_ID_VARYINGS, FeatureIdPipelineStage.FUNCTION_SIGNATURE_SET_FEATURE_ID_VARYINGS, - [] + [], ); ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, []); ShaderBuilderTester.expectHasFragmentDefines(shaderBuilder, []); @@ -187,7 +187,7 @@ describe( " int featureId_1;", " int perPoint;", " int town;", - ] + ], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, @@ -198,7 +198,7 @@ describe( " int featureId_1;", " int perPoint;", " int town;", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, @@ -207,7 +207,7 @@ describe( [ " featureIds.featureId_0 = int(czm_round(a_implicit_featureId_0));", " featureIds.featureId_1 = int(czm_round(attributes.featureId_0));", - ] + ], ); ShaderBuilderTester.expectHasFragmentFunctionUnordered( shaderBuilder, @@ -216,7 +216,7 @@ describe( [ " featureIds.featureId_0 = int(czm_round(v_implicit_featureId_0));", " featureIds.featureId_1 = int(czm_round(attributes.featureId_0));", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, @@ -225,7 +225,7 @@ describe( [ " featureIds.perPoint = featureIds.featureId_0;", " featureIds.town = featureIds.featureId_1;", - ] + ], ); ShaderBuilderTester.expectHasFragmentFunctionUnordered( shaderBuilder, @@ -234,13 +234,13 @@ describe( [ " featureIds.perPoint = featureIds.featureId_0;", " featureIds.town = featureIds.featureId_1;", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, FeatureIdPipelineStage.FUNCTION_ID_SET_FEATURE_ID_VARYINGS, FeatureIdPipelineStage.FUNCTION_SIGNATURE_SET_FEATURE_ID_VARYINGS, - [" v_implicit_featureId_0 = a_implicit_featureId_0;"] + [" v_implicit_featureId_0 = a_implicit_featureId_0;"], ); ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, []); ShaderBuilderTester.expectHasFragmentDefines(shaderBuilder, []); @@ -273,7 +273,7 @@ describe( expect(implicitAttribute.normalize).toBe(false); expect(implicitAttribute.componentsPerAttribute).toBe(1); expect(implicitAttribute.componentDatatype).toBe( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(implicitAttribute.strideInBytes).toBe(4); expect(implicitAttribute.offsetInBytes).toBe(0); @@ -309,7 +309,7 @@ describe( " int featureId_1;", " int buildings;", " int defaultIdsTest;", - ] + ], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, @@ -320,7 +320,7 @@ describe( " int featureId_1;", " int buildings;", " int defaultIdsTest;", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, @@ -329,7 +329,7 @@ describe( [ " featureIds.featureId_0 = int(czm_round(attributes.featureId_0));", " featureIds.featureId_1 = int(czm_round(a_implicit_featureId_1));", - ] + ], ); ShaderBuilderTester.expectHasFragmentFunctionUnordered( shaderBuilder, @@ -338,7 +338,7 @@ describe( [ " featureIds.featureId_0 = int(czm_round(attributes.featureId_0));", " featureIds.featureId_1 = int(czm_round(v_implicit_featureId_1));", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, @@ -347,7 +347,7 @@ describe( [ " featureIds.buildings = featureIds.featureId_0;", " featureIds.defaultIdsTest = featureIds.featureId_1;", - ] + ], ); ShaderBuilderTester.expectHasFragmentFunctionUnordered( shaderBuilder, @@ -356,13 +356,13 @@ describe( [ " featureIds.buildings = featureIds.featureId_0;", " featureIds.defaultIdsTest = featureIds.featureId_1;", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, FeatureIdPipelineStage.FUNCTION_ID_SET_FEATURE_ID_VARYINGS, FeatureIdPipelineStage.FUNCTION_SIGNATURE_SET_FEATURE_ID_VARYINGS, - [" v_implicit_featureId_1 = a_implicit_featureId_1;"] + [" v_implicit_featureId_1 = a_implicit_featureId_1;"], ); ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, []); ShaderBuilderTester.expectHasFragmentDefines(shaderBuilder, []); @@ -395,7 +395,7 @@ describe( expect(implicitAttribute.normalize).toBe(false); expect(implicitAttribute.componentsPerAttribute).toBe(1); expect(implicitAttribute.componentDatatype).toBe( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(implicitAttribute.strideInBytes).toBe(4); expect(implicitAttribute.offsetInBytes).toBe(0); @@ -426,19 +426,19 @@ describe( shaderBuilder, FeatureIdPipelineStage.STRUCT_ID_FEATURE_IDS_VS, FeatureIdPipelineStage.STRUCT_NAME_FEATURE_IDS, - [] + [], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, FeatureIdPipelineStage.STRUCT_ID_FEATURE_IDS_FS, FeatureIdPipelineStage.STRUCT_NAME_FEATURE_IDS, - [" int featureId_0;", " int landCover;"] + [" int featureId_0;", " int landCover;"], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, FeatureIdPipelineStage.FUNCTION_ID_INITIALIZE_FEATURE_IDS_VS, FeatureIdPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_FEATURE_IDS, - [] + [], ); ShaderBuilderTester.expectHasFragmentFunctionUnordered( shaderBuilder, @@ -446,25 +446,25 @@ describe( FeatureIdPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_FEATURE_IDS, [ " featureIds.featureId_0 = czm_unpackUint(texture(u_featureIdTexture_0, v_texCoord_0).r);", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, FeatureIdPipelineStage.FUNCTION_ID_INITIALIZE_FEATURE_ID_ALIASES_VS, FeatureIdPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_FEATURE_ID_ALIASES, - [] + [], ); ShaderBuilderTester.expectHasFragmentFunctionUnordered( shaderBuilder, FeatureIdPipelineStage.FUNCTION_ID_INITIALIZE_FEATURE_ID_ALIASES_FS, FeatureIdPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_FEATURE_ID_ALIASES, - [" featureIds.landCover = featureIds.featureId_0;"] + [" featureIds.landCover = featureIds.featureId_0;"], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, FeatureIdPipelineStage.FUNCTION_ID_SET_FEATURE_ID_VARYINGS, FeatureIdPipelineStage.FUNCTION_SIGNATURE_SET_FEATURE_ID_VARYINGS, - [] + [], ); ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, []); ShaderBuilderTester.expectHasFragmentDefines(shaderBuilder, []); @@ -488,14 +488,14 @@ describe( expect(uniformMap.u_featureIdTexture_0).toBeDefined(); const featureIdTexture = primitive.featureIds[0]; expect(uniformMap.u_featureIdTexture_0()).toBe( - featureIdTexture.textureReader.texture + featureIdTexture.textureReader.texture, ); }); }); it("adds feature ID texture transforms to the shader", async function () { const gltfLoader = await loadGltf( - featureIdTextureWithTextureTransformUrl + featureIdTextureWithTextureTransformUrl, ); const components = gltfLoader.components; const node = components.nodes[0]; @@ -510,19 +510,19 @@ describe( shaderBuilder, FeatureIdPipelineStage.STRUCT_ID_FEATURE_IDS_VS, FeatureIdPipelineStage.STRUCT_NAME_FEATURE_IDS, - [] + [], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, FeatureIdPipelineStage.STRUCT_ID_FEATURE_IDS_FS, FeatureIdPipelineStage.STRUCT_NAME_FEATURE_IDS, - [" int featureId_0;"] + [" int featureId_0;"], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, FeatureIdPipelineStage.FUNCTION_ID_INITIALIZE_FEATURE_IDS_VS, FeatureIdPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_FEATURE_IDS, - [] + [], ); ShaderBuilderTester.expectHasFragmentFunctionUnordered( shaderBuilder, @@ -530,19 +530,19 @@ describe( FeatureIdPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_FEATURE_IDS, [ " featureIds.featureId_0 = czm_unpackUint(texture(u_featureIdTexture_0, vec2(u_featureIdTexture_0Transform * vec3(v_texCoord_0, 1.0))).r);", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, FeatureIdPipelineStage.FUNCTION_ID_INITIALIZE_FEATURE_ID_ALIASES_VS, FeatureIdPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_FEATURE_ID_ALIASES, - [] + [], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, FeatureIdPipelineStage.FUNCTION_ID_SET_FEATURE_ID_VARYINGS, FeatureIdPipelineStage.FUNCTION_SIGNATURE_SET_FEATURE_ID_VARYINGS, - [] + [], ); ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, []); ShaderBuilderTester.expectHasFragmentDefines(shaderBuilder, []); @@ -567,7 +567,7 @@ describe( expect(uniformMap.u_featureIdTexture_0).toBeDefined(); const featureIdTexture = primitive.featureIds[0]; expect(uniformMap.u_featureIdTexture_0()).toBe( - featureIdTexture.textureReader.texture + featureIdTexture.textureReader.texture, ); }); @@ -586,7 +586,7 @@ describe( shaderBuilder, FeatureIdPipelineStage.STRUCT_ID_FEATURE_IDS_VS, FeatureIdPipelineStage.STRUCT_NAME_FEATURE_IDS, - [] + [], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, @@ -607,13 +607,13 @@ describe( " int idsGR;", " int idsAGBB;", " int idsGWithNull;", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, FeatureIdPipelineStage.FUNCTION_ID_INITIALIZE_FEATURE_IDS_VS, FeatureIdPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_FEATURE_IDS, - [] + [], ); ShaderBuilderTester.expectHasFragmentFunctionUnordered( shaderBuilder, @@ -627,13 +627,13 @@ describe( " featureIds.featureId_4 = czm_unpackUint(texture(u_featureIdTexture_4, v_texCoord_0).gr);", " featureIds.featureId_5 = czm_unpackUint(texture(u_featureIdTexture_5, v_texCoord_0).agbb);", " featureIds.featureId_6 = czm_unpackUint(texture(u_featureIdTexture_6, v_texCoord_0).g);", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, FeatureIdPipelineStage.FUNCTION_ID_INITIALIZE_FEATURE_ID_ALIASES_VS, FeatureIdPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_FEATURE_ID_ALIASES, - [] + [], ); ShaderBuilderTester.expectHasFragmentFunctionUnordered( shaderBuilder, @@ -647,13 +647,13 @@ describe( " featureIds.idsGR = featureIds.featureId_4;", " featureIds.idsAGBB = featureIds.featureId_5;", " featureIds.idsGWithNull = featureIds.featureId_6;", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, FeatureIdPipelineStage.FUNCTION_ID_SET_FEATURE_ID_VARYINGS, FeatureIdPipelineStage.FUNCTION_SIGNATURE_SET_FEATURE_ID_VARYINGS, - [] + [], ); ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, []); ShaderBuilderTester.expectHasFragmentDefines(shaderBuilder, []); @@ -713,7 +713,7 @@ describe( " int instanceFeatureId_1;", " int perInstance;", " int section;", - ] + ], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, @@ -724,7 +724,7 @@ describe( " int instanceFeatureId_1;", " int perInstance;", " int section;", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, @@ -733,7 +733,7 @@ describe( [ " featureIds.instanceFeatureId_0 = int(czm_round(a_implicit_instanceFeatureId_0));", " featureIds.instanceFeatureId_1 = int(czm_round(a_instanceFeatureId_0));", - ] + ], ); ShaderBuilderTester.expectHasFragmentFunctionUnordered( shaderBuilder, @@ -742,7 +742,7 @@ describe( [ " featureIds.instanceFeatureId_0 = int(czm_round(v_implicit_instanceFeatureId_0));", " featureIds.instanceFeatureId_1 = int(czm_round(v_instanceFeatureId_0));", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, @@ -751,7 +751,7 @@ describe( [ " featureIds.perInstance = featureIds.instanceFeatureId_0;", " featureIds.section = featureIds.instanceFeatureId_1;", - ] + ], ); ShaderBuilderTester.expectHasFragmentFunctionUnordered( shaderBuilder, @@ -760,7 +760,7 @@ describe( [ " featureIds.perInstance = featureIds.instanceFeatureId_0;", " featureIds.section = featureIds.instanceFeatureId_1;", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, @@ -769,7 +769,7 @@ describe( [ " v_instanceFeatureId_0 = a_instanceFeatureId_0;", " v_implicit_instanceFeatureId_0 = a_implicit_instanceFeatureId_0;", - ] + ], ); ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, []); ShaderBuilderTester.expectHasFragmentDefines(shaderBuilder, []); @@ -803,7 +803,7 @@ describe( expect(implicitAttribute.normalize).toBe(false); expect(implicitAttribute.componentsPerAttribute).toBe(1); expect(implicitAttribute.componentDatatype).toBe( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(implicitAttribute.strideInBytes).toBe(4); expect(implicitAttribute.offsetInBytes).toBe(0); @@ -817,5 +817,5 @@ describe( }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/GeoJsonLoaderSpec.js b/packages/engine/Specs/Scene/Model/GeoJsonLoaderSpec.js index adb5ca1b8bd1..5edd68d8acdf 100644 --- a/packages/engine/Specs/Scene/Model/GeoJsonLoaderSpec.js +++ b/packages/engine/Specs/Scene/Model/GeoJsonLoaderSpec.js @@ -93,12 +93,12 @@ describe( const attributes = primitive.attributes; const positionAttribute = getAttribute( attributes, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); const featureIdAttribute = getAttribute( attributes, VertexAttributeSemantic.FEATURE_ID, - 0 + 0, ); const indices = primitive.indices; const material = primitive.material; @@ -109,7 +109,7 @@ describe( expect(positionAttribute.buffer).toBeDefined(); expect(positionAttribute.buffer.sizeInBytes).toBe( - expected.vertexCount * 3 * 4 + expected.vertexCount * 3 * 4, ); expect(positionAttribute.count).toBe(expected.vertexCount); expect(positionAttribute.min).toBeDefined(); @@ -117,7 +117,7 @@ describe( expect(featureIdAttribute.buffer).toBeDefined(); expect(featureIdAttribute.buffer.sizeInBytes).toBe( - expected.vertexCount * 4 + expected.vertexCount * 4, ); expect(featureIdAttribute.count).toBe(expected.vertexCount); @@ -252,5 +252,5 @@ describe( }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/GeometryPipelineStageSpec.js b/packages/engine/Specs/Scene/Model/GeometryPipelineStageSpec.js index cc34a4795f4b..57d9e3794a1f 100644 --- a/packages/engine/Specs/Scene/Model/GeometryPipelineStageSpec.js +++ b/packages/engine/Specs/Scene/Model/GeometryPipelineStageSpec.js @@ -111,13 +111,13 @@ describe( shaderBuilder, SelectedFeatureIdPipelineStage.STRUCT_ID_SELECTED_FEATURE, SelectedFeatureIdPipelineStage.STRUCT_NAME_SELECTED_FEATURE, - [] + [], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, SelectedFeatureIdPipelineStage.STRUCT_ID_SELECTED_FEATURE, SelectedFeatureIdPipelineStage.STRUCT_NAME_SELECTED_FEATURE, - [] + [], ); } @@ -167,7 +167,7 @@ describe( GeometryPipelineStage.process( renderResources, positionOnlyPrimitive, - scene.frameState + scene.frameState, ); const shaderBuilder = renderResources.shaderBuilder; @@ -179,7 +179,7 @@ describe( expect(positionAttribute.vertexBuffer).toBeDefined(); expect(positionAttribute.componentsPerAttribute).toEqual(3); expect(positionAttribute.componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(positionAttribute.offsetInBytes).toBe(0); expect(positionAttribute.strideInBytes).toBe(12); @@ -188,31 +188,35 @@ describe( shaderBuilder, GeometryPipelineStage.STRUCT_ID_PROCESSED_ATTRIBUTES_VS, GeometryPipelineStage.STRUCT_NAME_PROCESSED_ATTRIBUTES, - [" vec3 positionMC;"] + [" vec3 positionMC;"], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, GeometryPipelineStage.STRUCT_ID_PROCESSED_ATTRIBUTES_FS, GeometryPipelineStage.STRUCT_NAME_PROCESSED_ATTRIBUTES, - [" vec3 positionMC;", " vec3 positionWC;", " vec3 positionEC;"] + [ + " vec3 positionMC;", + " vec3 positionWC;", + " vec3 positionEC;", + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, GeometryPipelineStage.FUNCTION_ID_INITIALIZE_ATTRIBUTES, GeometryPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_ATTRIBUTES, - [" attributes.positionMC = a_positionMC;"] + [" attributes.positionMC = a_positionMC;"], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, GeometryPipelineStage.FUNCTION_ID_SET_DYNAMIC_VARYINGS_VS, GeometryPipelineStage.FUNCTION_SIGNATURE_SET_DYNAMIC_VARYINGS, - [] + [], ); ShaderBuilderTester.expectHasFragmentFunctionUnordered( shaderBuilder, GeometryPipelineStage.FUNCTION_ID_SET_DYNAMIC_VARYINGS_FS, GeometryPipelineStage.FUNCTION_SIGNATURE_SET_DYNAMIC_VARYINGS, - [] + [], ); ShaderBuilderTester.expectHasVaryings(shaderBuilder, [ "vec3 v_positionEC;", @@ -224,7 +228,7 @@ describe( ShaderBuilderTester.expectHasAttributes( shaderBuilder, "in vec3 a_positionMC;", - [] + [], ); verifyFeatureStruct(shaderBuilder); }); @@ -238,7 +242,7 @@ describe( GeometryPipelineStage.process( renderResources, primitive, - scene.frameState + scene.frameState, ); const shaderBuilder = renderResources.shaderBuilder; @@ -251,7 +255,7 @@ describe( expect(normalAttribute.vertexBuffer).toBeDefined(); expect(normalAttribute.componentsPerAttribute).toEqual(3); expect(normalAttribute.componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(normalAttribute.offsetInBytes).toBe(0); expect(normalAttribute.strideInBytes).toBe(12); @@ -261,7 +265,7 @@ describe( expect(positionAttribute.vertexBuffer).toBeDefined(); expect(positionAttribute.componentsPerAttribute).toEqual(3); expect(positionAttribute.componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(positionAttribute.offsetInBytes).toBe(288); expect(positionAttribute.strideInBytes).toBe(12); @@ -271,7 +275,7 @@ describe( expect(texCoord0Attribute.vertexBuffer).toBeDefined(); expect(texCoord0Attribute.componentsPerAttribute).toEqual(2); expect(texCoord0Attribute.componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(texCoord0Attribute.offsetInBytes).toBe(0); expect(texCoord0Attribute.strideInBytes).toBe(8); @@ -280,7 +284,11 @@ describe( shaderBuilder, GeometryPipelineStage.STRUCT_ID_PROCESSED_ATTRIBUTES_VS, GeometryPipelineStage.STRUCT_NAME_PROCESSED_ATTRIBUTES, - [" vec3 positionMC;", " vec3 normalMC;", " vec2 texCoord_0;"] + [ + " vec3 positionMC;", + " vec3 normalMC;", + " vec2 texCoord_0;", + ], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, @@ -292,7 +300,7 @@ describe( " vec3 positionEC;", " vec3 normalEC;", " vec2 texCoord_0;", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, @@ -302,19 +310,19 @@ describe( " attributes.positionMC = a_positionMC;", " attributes.normalMC = a_normalMC;", " attributes.texCoord_0 = a_texCoord_0;", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, GeometryPipelineStage.FUNCTION_ID_SET_DYNAMIC_VARYINGS_VS, GeometryPipelineStage.FUNCTION_SIGNATURE_SET_DYNAMIC_VARYINGS, - [" v_texCoord_0 = attributes.texCoord_0;"] + [" v_texCoord_0 = attributes.texCoord_0;"], ); ShaderBuilderTester.expectHasFragmentFunctionUnordered( shaderBuilder, GeometryPipelineStage.FUNCTION_ID_SET_DYNAMIC_VARYINGS_FS, GeometryPipelineStage.FUNCTION_SIGNATURE_SET_DYNAMIC_VARYINGS, - [" attributes.texCoord_0 = v_texCoord_0;"] + [" attributes.texCoord_0 = v_texCoord_0;"], ); ShaderBuilderTester.expectHasVaryings(shaderBuilder, [ "vec3 v_normalEC;", @@ -334,7 +342,7 @@ describe( ShaderBuilderTester.expectHasAttributes( shaderBuilder, "in vec3 a_positionMC;", - ["in vec3 a_normalMC;", "in vec2 a_texCoord_0;"] + ["in vec3 a_normalMC;", "in vec2 a_texCoord_0;"], ); verifyFeatureStruct(shaderBuilder); }); @@ -353,7 +361,7 @@ describe( GeometryPipelineStage.process( renderResources, primitive, - scene2D.frameState + scene2D.frameState, ); const shaderBuilder = renderResources.shaderBuilder; @@ -369,7 +377,7 @@ describe( expect(positionAttribute.vertexBuffer).toBeDefined(); expect(positionAttribute.componentsPerAttribute).toEqual(3); expect(positionAttribute.componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(positionAttribute.offsetInBytes).toBe(288); expect(positionAttribute.strideInBytes).toBe(12); @@ -377,11 +385,11 @@ describe( const position2DAttribute = attributes[2]; expect(position2DAttribute.index).toEqual(2); expect(position2DAttribute.vertexBuffer).toBe( - runtimePrimitive.positionBuffer2D + runtimePrimitive.positionBuffer2D, ); expect(position2DAttribute.componentsPerAttribute).toEqual(3); expect(position2DAttribute.componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(position2DAttribute.offsetInBytes).toBe(0); expect(position2DAttribute.strideInBytes).toBeUndefined(); @@ -398,7 +406,7 @@ describe( " vec3 position2D;", " vec3 normalMC;", " vec2 texCoord_0;", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, @@ -409,7 +417,7 @@ describe( " attributes.position2D = a_position2D;", " attributes.normalMC = a_normalMC;", " attributes.texCoord_0 = a_texCoord_0;", - ] + ], ); ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, [ "HAS_NORMALS", @@ -422,7 +430,7 @@ describe( "in vec3 a_position2D;", "in vec3 a_normalMC;", "in vec2 a_texCoord_0;", - ] + ], ); verifyFeatureStruct(shaderBuilder); }); @@ -437,7 +445,7 @@ describe( GeometryPipelineStage.process( renderResources, primitive, - scene.frameState + scene.frameState, ); const shaderBuilder = renderResources.shaderBuilder; @@ -450,7 +458,7 @@ describe( expect(texCoord0Attribute.vertexBuffer).toBeDefined(); expect(texCoord0Attribute.componentsPerAttribute).toEqual(2); expect(texCoord0Attribute.componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(texCoord0Attribute.offsetInBytes).toBe(0); expect(texCoord0Attribute.strideInBytes).toBe(8); @@ -460,7 +468,7 @@ describe( expect(normalAttribute.vertexBuffer).toBeDefined(); expect(normalAttribute.componentsPerAttribute).toEqual(3); expect(normalAttribute.componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(normalAttribute.offsetInBytes).toBe(0); expect(normalAttribute.strideInBytes).toBe(12); @@ -470,7 +478,7 @@ describe( expect(tangentAttribute.vertexBuffer).toBeDefined(); expect(tangentAttribute.componentsPerAttribute).toEqual(4); expect(tangentAttribute.componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(tangentAttribute.offsetInBytes).toBe(0); expect(tangentAttribute.strideInBytes).toBe(16); @@ -480,7 +488,7 @@ describe( expect(positionAttribute.vertexBuffer).toBeDefined(); expect(positionAttribute.componentsPerAttribute).toEqual(3); expect(positionAttribute.componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(positionAttribute.offsetInBytes).toBe(0); expect(positionAttribute.strideInBytes).toBe(12); @@ -496,7 +504,7 @@ describe( " float tangentSignMC;", " vec3 bitangentMC;", " vec2 texCoord_0;", - ] + ], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, @@ -510,7 +518,7 @@ describe( " vec3 tangentEC;", " vec3 bitangentEC;", " vec2 texCoord_0;", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, @@ -522,19 +530,19 @@ describe( " attributes.tangentMC = a_tangentMC.xyz;", " attributes.tangentSignMC = a_tangentMC.w;", " attributes.texCoord_0 = a_texCoord_0;", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, GeometryPipelineStage.FUNCTION_ID_SET_DYNAMIC_VARYINGS_VS, GeometryPipelineStage.FUNCTION_SIGNATURE_SET_DYNAMIC_VARYINGS, - [" v_texCoord_0 = attributes.texCoord_0;"] + [" v_texCoord_0 = attributes.texCoord_0;"], ); ShaderBuilderTester.expectHasFragmentFunctionUnordered( shaderBuilder, GeometryPipelineStage.FUNCTION_ID_SET_DYNAMIC_VARYINGS_FS, GeometryPipelineStage.FUNCTION_SIGNATURE_SET_DYNAMIC_VARYINGS, - [" attributes.texCoord_0 = v_texCoord_0;"] + [" attributes.texCoord_0 = v_texCoord_0;"], ); ShaderBuilderTester.expectHasVaryings(shaderBuilder, [ "vec3 v_normalEC;", @@ -564,7 +572,7 @@ describe( "in vec3 a_normalMC;", "in vec4 a_tangentMC;", "in vec2 a_texCoord_0;", - ] + ], ); verifyFeatureStruct(shaderBuilder); }); @@ -579,7 +587,7 @@ describe( GeometryPipelineStage.process( renderResources, primitive, - scene.frameState + scene.frameState, ); const shaderBuilder = renderResources.shaderBuilder; @@ -592,7 +600,7 @@ describe( expect(positionAttribute.vertexBuffer).toBeDefined(); expect(positionAttribute.componentsPerAttribute).toEqual(3); expect(positionAttribute.componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); const texCoord0Attribute = attributes[1]; @@ -600,7 +608,7 @@ describe( expect(texCoord0Attribute.vertexBuffer).toBeDefined(); expect(texCoord0Attribute.componentsPerAttribute).toEqual(2); expect(texCoord0Attribute.componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(texCoord0Attribute.offsetInBytes).toBe(0); expect(texCoord0Attribute.strideInBytes).toBe(8); @@ -610,7 +618,7 @@ describe( expect(texCoord1Attribute.vertexBuffer).toBeDefined(); expect(texCoord1Attribute.componentsPerAttribute).toEqual(2); expect(texCoord1Attribute.componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(texCoord1Attribute.offsetInBytes).toBe(0); expect(texCoord1Attribute.strideInBytes).toBe(8); @@ -623,7 +631,7 @@ describe( " vec3 positionMC;", " vec2 texCoord_0;", " vec2 texCoord_1;", - ] + ], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, @@ -635,7 +643,7 @@ describe( " vec3 positionEC;", " vec2 texCoord_0;", " vec2 texCoord_1;", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, @@ -645,7 +653,7 @@ describe( " attributes.positionMC = a_positionMC;", " attributes.texCoord_0 = a_texCoord_0;", " attributes.texCoord_1 = a_texCoord_1;", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, @@ -654,7 +662,7 @@ describe( [ " v_texCoord_0 = attributes.texCoord_0;", " v_texCoord_1 = attributes.texCoord_1;", - ] + ], ); ShaderBuilderTester.expectHasFragmentFunctionUnordered( shaderBuilder, @@ -663,7 +671,7 @@ describe( [ " attributes.texCoord_0 = v_texCoord_0;", " attributes.texCoord_1 = v_texCoord_1;", - ] + ], ); ShaderBuilderTester.expectHasVaryings(shaderBuilder, [ "vec2 v_texCoord_0;", @@ -683,7 +691,7 @@ describe( ShaderBuilderTester.expectHasAttributes( shaderBuilder, "in vec3 a_positionMC;", - ["in vec2 a_texCoord_0;", "in vec2 a_texCoord_1;"] + ["in vec2 a_texCoord_0;", "in vec2 a_texCoord_1;"], ); verifyFeatureStruct(shaderBuilder); }); @@ -698,7 +706,7 @@ describe( GeometryPipelineStage.process( renderResources, primitive, - scene.frameState + scene.frameState, ); const shaderBuilder = renderResources.shaderBuilder; @@ -711,7 +719,7 @@ describe( expect(color0Attribute.vertexBuffer).toBeDefined(); expect(color0Attribute.componentsPerAttribute).toEqual(4); expect(color0Attribute.componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(color0Attribute.offsetInBytes).toBe(0); expect(color0Attribute.strideInBytes).toBe(16); @@ -721,7 +729,7 @@ describe( expect(normalAttribute.vertexBuffer).toBeDefined(); expect(normalAttribute.componentsPerAttribute).toEqual(3); expect(normalAttribute.componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(normalAttribute.offsetInBytes).toBe(0); expect(normalAttribute.strideInBytes).toBe(12); @@ -731,7 +739,7 @@ describe( expect(positionAttribute.vertexBuffer).toBeDefined(); expect(positionAttribute.componentsPerAttribute).toEqual(3); expect(positionAttribute.componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(positionAttribute.offsetInBytes).toBe(0); expect(positionAttribute.strideInBytes).toBe(12); @@ -741,7 +749,7 @@ describe( expect(texCoord0Attribute.vertexBuffer).toBeDefined(); expect(texCoord0Attribute.componentsPerAttribute).toEqual(2); expect(texCoord0Attribute.componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(texCoord0Attribute.offsetInBytes).toBe(0); expect(texCoord0Attribute.strideInBytes).toBe(8); @@ -755,7 +763,7 @@ describe( " vec3 normalMC;", " vec4 color_0;", " vec2 texCoord_0;", - ] + ], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, @@ -768,7 +776,7 @@ describe( " vec3 normalEC;", " vec4 color_0;", " vec2 texCoord_0;", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, @@ -779,7 +787,7 @@ describe( " attributes.normalMC = a_normalMC;", " attributes.color_0 = a_color_0;", " attributes.texCoord_0 = a_texCoord_0;", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, @@ -788,7 +796,7 @@ describe( [ " v_color_0 = attributes.color_0;", " v_texCoord_0 = attributes.texCoord_0;", - ] + ], ); ShaderBuilderTester.expectHasFragmentFunctionUnordered( shaderBuilder, @@ -797,7 +805,7 @@ describe( [ " attributes.color_0 = v_color_0;", " attributes.texCoord_0 = v_texCoord_0;", - ] + ], ); ShaderBuilderTester.expectHasVaryings(shaderBuilder, [ "vec3 v_normalEC;", @@ -820,7 +828,11 @@ describe( ShaderBuilderTester.expectHasAttributes( shaderBuilder, "in vec3 a_positionMC;", - ["in vec3 a_normalMC;", "in vec4 a_color_0;", "in vec2 a_texCoord_0;"] + [ + "in vec3 a_normalMC;", + "in vec4 a_color_0;", + "in vec2 a_texCoord_0;", + ], ); verifyFeatureStruct(shaderBuilder); }); @@ -835,7 +847,7 @@ describe( GeometryPipelineStage.process( renderResources, primitive, - scene.frameState + scene.frameState, ); const shaderBuilder = renderResources.shaderBuilder; @@ -848,7 +860,7 @@ describe( expect(positionAttribute.vertexBuffer).toBeDefined(); expect(positionAttribute.componentsPerAttribute).toEqual(3); expect(positionAttribute.componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(positionAttribute.offsetInBytes).toBe(0); expect(positionAttribute.strideInBytes).toBe(24); @@ -858,7 +870,7 @@ describe( expect(color0Attribute.vertexBuffer).toBeDefined(); expect(color0Attribute.componentsPerAttribute).toEqual(3); expect(color0Attribute.componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(color0Attribute.offsetInBytes).toBe(12); expect(color0Attribute.strideInBytes).toBe(24); @@ -867,7 +879,7 @@ describe( shaderBuilder, GeometryPipelineStage.STRUCT_ID_PROCESSED_ATTRIBUTES_VS, GeometryPipelineStage.STRUCT_NAME_PROCESSED_ATTRIBUTES, - [" vec3 positionMC;", " vec4 color_0;"] + [" vec3 positionMC;", " vec4 color_0;"], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, @@ -878,7 +890,7 @@ describe( " vec3 positionWC;", " vec3 positionEC;", " vec4 color_0;", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, @@ -887,19 +899,19 @@ describe( [ " attributes.positionMC = a_positionMC;", " attributes.color_0 = a_color_0;", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, GeometryPipelineStage.FUNCTION_ID_SET_DYNAMIC_VARYINGS_VS, GeometryPipelineStage.FUNCTION_SIGNATURE_SET_DYNAMIC_VARYINGS, - [" v_color_0 = attributes.color_0;"] + [" v_color_0 = attributes.color_0;"], ); ShaderBuilderTester.expectHasFragmentFunctionUnordered( shaderBuilder, GeometryPipelineStage.FUNCTION_ID_SET_DYNAMIC_VARYINGS_FS, GeometryPipelineStage.FUNCTION_SIGNATURE_SET_DYNAMIC_VARYINGS, - [" attributes.color_0 = v_color_0;"] + [" attributes.color_0 = v_color_0;"], ); ShaderBuilderTester.expectHasVaryings(shaderBuilder, [ "vec4 v_color_0;", @@ -918,7 +930,7 @@ describe( ShaderBuilderTester.expectHasAttributes( shaderBuilder, "in vec3 a_positionMC;", - ["in vec4 a_color_0;"] + ["in vec4 a_color_0;"], ); verifyFeatureStruct(shaderBuilder); }); @@ -930,7 +942,7 @@ describe( GeometryPipelineStage.process( renderResources, customAttributePrimitive, - scene.frameState + scene.frameState, ); const shaderBuilder = renderResources.shaderBuilder; @@ -943,7 +955,7 @@ describe( expect(positionAttribute.vertexBuffer).toBeDefined(); expect(positionAttribute.componentsPerAttribute).toEqual(3); expect(positionAttribute.componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(positionAttribute.offsetInBytes).toBe(0); expect(positionAttribute.strideInBytes).toBe(12); @@ -953,7 +965,7 @@ describe( expect(customAttribute.vertexBuffer).toBeDefined(); expect(customAttribute.componentsPerAttribute).toEqual(2); expect(customAttribute.componentDatatype).toEqual( - ComponentDatatype.UNSIGNED_SHORT + ComponentDatatype.UNSIGNED_SHORT, ); expect(customAttribute.offsetInBytes).toBe(0); expect(customAttribute.strideInBytes).toBe(4); @@ -962,7 +974,7 @@ describe( shaderBuilder, GeometryPipelineStage.STRUCT_ID_PROCESSED_ATTRIBUTES_VS, GeometryPipelineStage.STRUCT_NAME_PROCESSED_ATTRIBUTES, - [" vec3 positionMC;", " vec2 temperature;"] + [" vec3 positionMC;", " vec2 temperature;"], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, @@ -973,7 +985,7 @@ describe( " vec3 positionWC;", " vec3 positionEC;", " vec2 temperature;", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, @@ -982,19 +994,19 @@ describe( [ " attributes.positionMC = a_positionMC;", " attributes.temperature = a_temperature;", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, GeometryPipelineStage.FUNCTION_ID_SET_DYNAMIC_VARYINGS_VS, GeometryPipelineStage.FUNCTION_SIGNATURE_SET_DYNAMIC_VARYINGS, - [" v_temperature = attributes.temperature;"] + [" v_temperature = attributes.temperature;"], ); ShaderBuilderTester.expectHasFragmentFunctionUnordered( shaderBuilder, GeometryPipelineStage.FUNCTION_ID_SET_DYNAMIC_VARYINGS_FS, GeometryPipelineStage.FUNCTION_SIGNATURE_SET_DYNAMIC_VARYINGS, - [" attributes.temperature = v_temperature;"] + [" attributes.temperature = v_temperature;"], ); ShaderBuilderTester.expectHasVaryings(shaderBuilder, [ "vec2 v_temperature;", @@ -1005,7 +1017,7 @@ describe( ShaderBuilderTester.expectHasAttributes( shaderBuilder, "in vec3 a_positionMC;", - ["in vec2 a_temperature;"] + ["in vec2 a_temperature;"], ); verifyFeatureStruct(shaderBuilder); }); @@ -1019,7 +1031,7 @@ describe( GeometryPipelineStage.process( renderResources, primitive, - scene.frameState + scene.frameState, ); const shaderBuilder = renderResources.shaderBuilder; @@ -1032,7 +1044,7 @@ describe( expect(positionAttribute.vertexBuffer).toBeDefined(); expect(positionAttribute.componentsPerAttribute).toEqual(3); expect(positionAttribute.componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(positionAttribute.offsetInBytes).toBe(0); expect(positionAttribute.strideInBytes).toBe(12); @@ -1042,7 +1054,7 @@ describe( expect(normalAttribute.vertexBuffer).toBeDefined(); expect(normalAttribute.componentsPerAttribute).toEqual(3); expect(normalAttribute.componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(normalAttribute.offsetInBytes).toBe(0); expect(normalAttribute.strideInBytes).toBe(12); @@ -1052,7 +1064,7 @@ describe( expect(featureId0Attribute.vertexBuffer).toBeDefined(); expect(featureId0Attribute.componentsPerAttribute).toEqual(1); expect(featureId0Attribute.componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(featureId0Attribute.offsetInBytes).toBe(0); expect(featureId0Attribute.strideInBytes).toBe(4); @@ -1065,7 +1077,7 @@ describe( " vec3 positionMC;", " vec3 normalMC;", " float featureId_0;", - ] + ], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, @@ -1077,7 +1089,7 @@ describe( " vec3 positionEC;", " vec3 normalEC;", " float featureId_0;", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, @@ -1087,12 +1099,12 @@ describe( " attributes.positionMC = a_positionMC;", " attributes.normalMC = a_normalMC;", " attributes.featureId_0 = a_featureId_0;", - ] + ], ); ShaderBuilderTester.expectHasAttributes( shaderBuilder, "in vec3 a_positionMC;", - ["in float a_featureId_0;", "in vec3 a_normalMC;"] + ["in float a_featureId_0;", "in vec3 a_normalMC;"], ); ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, [ "HAS_FEATURE_ID_0", @@ -1115,7 +1127,7 @@ describe( GeometryPipelineStage.process( renderResources, primitive, - scene.frameState + scene.frameState, ); const shaderBuilder = renderResources.shaderBuilder; @@ -1128,7 +1140,7 @@ describe( expect(positionAttribute.vertexBuffer).toBeDefined(); expect(positionAttribute.componentsPerAttribute).toEqual(3); expect(positionAttribute.componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(positionAttribute.offsetInBytes).toBe(0); expect(positionAttribute.strideInBytes).toBe(12); @@ -1138,7 +1150,7 @@ describe( expect(featureId0Attribute.vertexBuffer).toBeDefined(); expect(featureId0Attribute.componentsPerAttribute).toEqual(1); expect(featureId0Attribute.componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(featureId0Attribute.offsetInBytes).toBe(0); expect(featureId0Attribute.strideInBytes).toBe(4); @@ -1147,7 +1159,7 @@ describe( shaderBuilder, GeometryPipelineStage.STRUCT_ID_PROCESSED_ATTRIBUTES_VS, GeometryPipelineStage.STRUCT_NAME_PROCESSED_ATTRIBUTES, - [" vec3 positionMC;", " float featureId_0;"] + [" vec3 positionMC;", " float featureId_0;"], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, @@ -1158,7 +1170,7 @@ describe( " vec3 positionWC;", " vec3 positionEC;", " float featureId_0;", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, @@ -1167,12 +1179,12 @@ describe( [ " attributes.positionMC = a_positionMC;", " attributes.featureId_0 = a_featureId_0;", - ] + ], ); ShaderBuilderTester.expectHasAttributes( shaderBuilder, "in vec3 a_positionMC;", - ["in float a_featureId_0;"] + ["in float a_featureId_0;"], ); ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, [ "HAS_FEATURE_ID_0", @@ -1195,7 +1207,7 @@ describe( GeometryPipelineStage.process( renderResources, primitive, - scene.frameState + scene.frameState, ); const shaderBuilder = renderResources.shaderBuilder; @@ -1208,7 +1220,7 @@ describe( expect(normalAttribute.vertexBuffer).toBeDefined(); expect(normalAttribute.componentsPerAttribute).toEqual(2); expect(normalAttribute.componentDatatype).toEqual( - ComponentDatatype.UNSIGNED_SHORT + ComponentDatatype.UNSIGNED_SHORT, ); expect(normalAttribute.offsetInBytes).toBe(0); expect(normalAttribute.strideInBytes).not.toBeDefined(); @@ -1218,7 +1230,7 @@ describe( expect(positionAttribute.vertexBuffer).toBeDefined(); expect(positionAttribute.componentsPerAttribute).toEqual(3); expect(positionAttribute.componentDatatype).toEqual( - ComponentDatatype.UNSIGNED_SHORT + ComponentDatatype.UNSIGNED_SHORT, ); expect(positionAttribute.offsetInBytes).toBe(0); expect(positionAttribute.strideInBytes).not.toBeDefined(); @@ -1228,7 +1240,7 @@ describe( expect(texCoord0Attribute.vertexBuffer).toBeDefined(); expect(texCoord0Attribute.componentsPerAttribute).toEqual(2); expect(texCoord0Attribute.componentDatatype).toEqual( - ComponentDatatype.UNSIGNED_SHORT + ComponentDatatype.UNSIGNED_SHORT, ); expect(texCoord0Attribute.offsetInBytes).toBe(0); expect(texCoord0Attribute.strideInBytes).not.toBeDefined(); @@ -1237,7 +1249,11 @@ describe( shaderBuilder, GeometryPipelineStage.STRUCT_ID_PROCESSED_ATTRIBUTES_VS, GeometryPipelineStage.STRUCT_NAME_PROCESSED_ATTRIBUTES, - [" vec3 positionMC;", " vec3 normalMC;", " vec2 texCoord_0;"] + [ + " vec3 positionMC;", + " vec3 normalMC;", + " vec2 texCoord_0;", + ], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, @@ -1249,19 +1265,19 @@ describe( " vec3 positionEC;", " vec3 normalEC;", " vec2 texCoord_0;", - ] + ], ); // Initialization is skipped for dequantized attributes ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, GeometryPipelineStage.FUNCTION_ID_INITIALIZE_ATTRIBUTES, GeometryPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_ATTRIBUTES, - [] + [], ); ShaderBuilderTester.expectHasAttributes( shaderBuilder, "in vec3 a_quantized_positionMC;", - ["in vec2 a_quantized_normalMC;", "in vec2 a_quantized_texCoord_0;"] + ["in vec2 a_quantized_normalMC;", "in vec2 a_quantized_texCoord_0;"], ); ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, [ "HAS_NORMALS", @@ -1285,7 +1301,7 @@ describe( GeometryPipelineStage.process( renderResources, primitive, - scene.frameState + scene.frameState, ); const shaderBuilder = renderResources.shaderBuilder; @@ -1298,7 +1314,7 @@ describe( expect(positionAttribute.vertexBuffer).toBeDefined(); expect(positionAttribute.componentsPerAttribute).toEqual(3); expect(positionAttribute.componentDatatype).toEqual( - ComponentDatatype.UNSIGNED_SHORT + ComponentDatatype.UNSIGNED_SHORT, ); expect(positionAttribute.offsetInBytes).toBe(0); expect(positionAttribute.strideInBytes).not.toBeDefined(); @@ -1308,7 +1324,7 @@ describe( expect(normalAttribute.vertexBuffer).toBeDefined(); expect(normalAttribute.componentsPerAttribute).toEqual(2); expect(normalAttribute.componentDatatype).toEqual( - ComponentDatatype.UNSIGNED_BYTE + ComponentDatatype.UNSIGNED_BYTE, ); expect(normalAttribute.offsetInBytes).toBe(0); expect(normalAttribute.strideInBytes).not.toBeDefined(); @@ -1318,7 +1334,7 @@ describe( expect(tangentAttribute.vertexBuffer).toBeDefined(); expect(tangentAttribute.componentsPerAttribute).toEqual(4); expect(tangentAttribute.componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(normalAttribute.offsetInBytes).toBe(0); expect(normalAttribute.strideInBytes).not.toBeDefined(); @@ -1328,7 +1344,7 @@ describe( expect(texCoord0Attribute.vertexBuffer).toBeDefined(); expect(texCoord0Attribute.componentsPerAttribute).toEqual(2); expect(texCoord0Attribute.componentDatatype).toEqual( - ComponentDatatype.UNSIGNED_SHORT + ComponentDatatype.UNSIGNED_SHORT, ); expect(texCoord0Attribute.offsetInBytes).toBe(0); expect(texCoord0Attribute.strideInBytes).not.toBeDefined(); @@ -1344,7 +1360,7 @@ describe( " float tangentSignMC;", " vec3 bitangentMC;", " vec2 texCoord_0;", - ] + ], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, @@ -1358,7 +1374,7 @@ describe( " vec3 tangentEC;", " vec3 bitangentEC;", " vec2 texCoord_0;", - ] + ], ); // Initialization is skipped for dequantized attributes ShaderBuilderTester.expectHasVertexFunctionUnordered( @@ -1368,7 +1384,7 @@ describe( [ " attributes.tangentMC = a_tangentMC.xyz;", " attributes.tangentSignMC = a_tangentMC.w;", - ] + ], ); ShaderBuilderTester.expectHasAttributes( shaderBuilder, @@ -1377,7 +1393,7 @@ describe( "in vec2 a_quantized_normalMC;", "in vec2 a_quantized_texCoord_0;", "in vec4 a_tangentMC;", - ] + ], ); ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, [ "HAS_BITANGENTS", @@ -1406,7 +1422,7 @@ describe( GeometryPipelineStage.process( renderResources, primitive, - scene2D.frameState + scene2D.frameState, ); const shaderBuilder = renderResources.shaderBuilder; @@ -1419,7 +1435,7 @@ describe( expect(normalAttribute.vertexBuffer).toBeDefined(); expect(normalAttribute.componentsPerAttribute).toEqual(2); expect(normalAttribute.componentDatatype).toEqual( - ComponentDatatype.UNSIGNED_SHORT + ComponentDatatype.UNSIGNED_SHORT, ); expect(normalAttribute.offsetInBytes).toBe(0); expect(normalAttribute.strideInBytes).not.toBeDefined(); @@ -1429,7 +1445,7 @@ describe( expect(positionAttribute.vertexBuffer).toBeDefined(); expect(positionAttribute.componentsPerAttribute).toEqual(3); expect(positionAttribute.componentDatatype).toEqual( - ComponentDatatype.UNSIGNED_SHORT + ComponentDatatype.UNSIGNED_SHORT, ); expect(positionAttribute.offsetInBytes).toBe(0); expect(positionAttribute.strideInBytes).not.toBeDefined(); @@ -1439,7 +1455,7 @@ describe( expect(positionAttribute2D.vertexBuffer).toBeDefined(); expect(positionAttribute2D.componentsPerAttribute).toEqual(3); expect(positionAttribute2D.componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(positionAttribute2D.offsetInBytes).toBe(0); expect(positionAttribute2D.strideInBytes).not.toBeDefined(); @@ -1449,7 +1465,7 @@ describe( expect(texCoord0Attribute.vertexBuffer).toBeDefined(); expect(texCoord0Attribute.componentsPerAttribute).toEqual(2); expect(texCoord0Attribute.componentDatatype).toEqual( - ComponentDatatype.UNSIGNED_SHORT + ComponentDatatype.UNSIGNED_SHORT, ); expect(texCoord0Attribute.offsetInBytes).toBe(0); expect(texCoord0Attribute.strideInBytes).not.toBeDefined(); @@ -1463,7 +1479,7 @@ describe( " vec3 position2D;", " vec3 normalMC;", " vec2 texCoord_0;", - ] + ], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, @@ -1475,7 +1491,7 @@ describe( " vec3 positionEC;", " vec3 normalEC;", " vec2 texCoord_0;", - ] + ], ); // While initialization is skipped for dequantized attributes, @@ -1484,7 +1500,7 @@ describe( shaderBuilder, GeometryPipelineStage.FUNCTION_ID_INITIALIZE_ATTRIBUTES, GeometryPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_ATTRIBUTES, - [" attributes.position2D = a_position2D;"] + [" attributes.position2D = a_position2D;"], ); ShaderBuilderTester.expectHasAttributes( shaderBuilder, @@ -1493,7 +1509,7 @@ describe( "in vec3 a_position2D;", "in vec2 a_quantized_normalMC;", "in vec2 a_quantized_texCoord_0;", - ] + ], ); ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, [ "HAS_NORMALS", @@ -1507,171 +1523,171 @@ describe( }); it("processes model with matrix attributes", function () { - return loadGltf(boxTexturedWithPropertyAttributes).then(function ( - gltfLoader - ) { - const components = gltfLoader.components; - const primitive = components.nodes[1].primitives[0]; - const renderResources = mockRenderResources(primitive); - - GeometryPipelineStage.process( - renderResources, - primitive, - scene.frameState - ); - - const shaderBuilder = renderResources.shaderBuilder; - const attributes = renderResources.attributes; - - expect(attributes.length).toEqual(6); - - const normalAttribute = attributes[0]; - expect(normalAttribute.index).toEqual(1); - expect(normalAttribute.vertexBuffer).toBeDefined(); - expect(normalAttribute.componentsPerAttribute).toEqual(3); - expect(normalAttribute.componentDatatype).toEqual( - ComponentDatatype.FLOAT - ); - expect(normalAttribute.offsetInBytes).toBe(0); - expect(normalAttribute.strideInBytes).toBe(12); - - const positionAttribute = attributes[1]; - expect(positionAttribute.index).toEqual(0); - expect(positionAttribute.vertexBuffer).toBeDefined(); - expect(positionAttribute.componentsPerAttribute).toEqual(3); - expect(positionAttribute.componentDatatype).toEqual( - ComponentDatatype.FLOAT - ); - expect(positionAttribute.offsetInBytes).toBe(288); - expect(positionAttribute.strideInBytes).toBe(12); - - const texCoord0Attribute = attributes[2]; - expect(texCoord0Attribute.index).toEqual(2); - expect(texCoord0Attribute.vertexBuffer).toBeDefined(); - expect(texCoord0Attribute.componentsPerAttribute).toEqual(2); - expect(texCoord0Attribute.componentDatatype).toEqual( - ComponentDatatype.FLOAT - ); - expect(texCoord0Attribute.offsetInBytes).toBe(0); - expect(texCoord0Attribute.strideInBytes).toBe(8); - - const warpMatrixAttribute = attributes[3]; - expect(warpMatrixAttribute.index).toEqual(3); - expect(warpMatrixAttribute.vertexBuffer).toBeDefined(); - expect(warpMatrixAttribute.componentsPerAttribute).toEqual(2); - expect(warpMatrixAttribute.componentDatatype).toEqual( - ComponentDatatype.FLOAT - ); - expect(warpMatrixAttribute.offsetInBytes).toBe(0); - expect(warpMatrixAttribute.strideInBytes).toBe(16); - - const warpMatrixAttributePart2 = attributes[4]; - expect(warpMatrixAttributePart2.index).toEqual(4); - expect(warpMatrixAttributePart2.vertexBuffer).toBeDefined(); - expect(warpMatrixAttributePart2.componentsPerAttribute).toEqual(2); - expect(warpMatrixAttributePart2.componentDatatype).toEqual( - ComponentDatatype.FLOAT - ); - expect(warpMatrixAttributePart2.offsetInBytes).toBe(8); - expect(warpMatrixAttributePart2.strideInBytes).toBe(16); - - const temperaturesAttribute = attributes[5]; - expect(temperaturesAttribute.index).toEqual(5); - expect(temperaturesAttribute.vertexBuffer).toBeDefined(); - expect(temperaturesAttribute.componentsPerAttribute).toEqual(2); - expect(temperaturesAttribute.componentDatatype).toEqual( - ComponentDatatype.UNSIGNED_SHORT - ); - expect(temperaturesAttribute.offsetInBytes).toBe(0); - expect(temperaturesAttribute.strideInBytes).toBe(4); - - ShaderBuilderTester.expectHasVertexStruct( - shaderBuilder, - GeometryPipelineStage.STRUCT_ID_PROCESSED_ATTRIBUTES_VS, - GeometryPipelineStage.STRUCT_NAME_PROCESSED_ATTRIBUTES, - [ - " vec3 positionMC;", - " vec3 normalMC;", - " vec2 texCoord_0;", - " mat2 warp_matrix;", - " vec2 temperatures;", - ] - ); - ShaderBuilderTester.expectHasFragmentStruct( - shaderBuilder, - GeometryPipelineStage.STRUCT_ID_PROCESSED_ATTRIBUTES_FS, - GeometryPipelineStage.STRUCT_NAME_PROCESSED_ATTRIBUTES, - [ - " vec3 positionMC;", - " vec3 positionWC;", - " vec3 positionEC;", - " vec3 normalEC;", - " vec2 texCoord_0;", - " mat2 warp_matrix;", - " vec2 temperatures;", - ] - ); - ShaderBuilderTester.expectHasVertexFunctionUnordered( - shaderBuilder, - GeometryPipelineStage.FUNCTION_ID_INITIALIZE_ATTRIBUTES, - GeometryPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_ATTRIBUTES, - [ - " attributes.positionMC = a_positionMC;", - " attributes.normalMC = a_normalMC;", - " attributes.texCoord_0 = a_texCoord_0;", - " attributes.warp_matrix = a_warp_matrix;", - " attributes.temperatures = a_temperatures;", - ] - ); - ShaderBuilderTester.expectHasVertexFunctionUnordered( - shaderBuilder, - GeometryPipelineStage.FUNCTION_ID_SET_DYNAMIC_VARYINGS_VS, - GeometryPipelineStage.FUNCTION_SIGNATURE_SET_DYNAMIC_VARYINGS, - [ - " v_texCoord_0 = attributes.texCoord_0;", - " v_warp_matrix = attributes.warp_matrix;", - " v_temperatures = attributes.temperatures;", - ] - ); - ShaderBuilderTester.expectHasFragmentFunctionUnordered( - shaderBuilder, - GeometryPipelineStage.FUNCTION_ID_SET_DYNAMIC_VARYINGS_FS, - GeometryPipelineStage.FUNCTION_SIGNATURE_SET_DYNAMIC_VARYINGS, - [ - " attributes.texCoord_0 = v_texCoord_0;", - " attributes.warp_matrix = v_warp_matrix;", - " attributes.temperatures = v_temperatures;", - ] - ); - ShaderBuilderTester.expectHasVaryings(shaderBuilder, [ - "vec3 v_normalEC;", - "vec2 v_texCoord_0;", - "vec3 v_positionEC;", - "vec3 v_positionMC;", - "vec3 v_positionWC;", - "mat2 v_warp_matrix;", - "vec2 v_temperatures;", - ]); - ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, [ - "HAS_NORMALS", - "HAS_TEXCOORD_0", - ]); - ShaderBuilderTester.expectHasFragmentDefines(shaderBuilder, [ - "HAS_NORMALS", - "HAS_TEXCOORD_0", - ]); - ShaderBuilderTester.expectHasAttributes( - shaderBuilder, - "in vec3 a_positionMC;", - [ - "in vec3 a_normalMC;", - "in vec2 a_texCoord_0;", - "in mat2 a_warp_matrix;", - "in vec2 a_temperatures;", - ] - ); - verifyFeatureStruct(shaderBuilder); - }); + return loadGltf(boxTexturedWithPropertyAttributes).then( + function (gltfLoader) { + const components = gltfLoader.components; + const primitive = components.nodes[1].primitives[0]; + const renderResources = mockRenderResources(primitive); + + GeometryPipelineStage.process( + renderResources, + primitive, + scene.frameState, + ); + + const shaderBuilder = renderResources.shaderBuilder; + const attributes = renderResources.attributes; + + expect(attributes.length).toEqual(6); + + const normalAttribute = attributes[0]; + expect(normalAttribute.index).toEqual(1); + expect(normalAttribute.vertexBuffer).toBeDefined(); + expect(normalAttribute.componentsPerAttribute).toEqual(3); + expect(normalAttribute.componentDatatype).toEqual( + ComponentDatatype.FLOAT, + ); + expect(normalAttribute.offsetInBytes).toBe(0); + expect(normalAttribute.strideInBytes).toBe(12); + + const positionAttribute = attributes[1]; + expect(positionAttribute.index).toEqual(0); + expect(positionAttribute.vertexBuffer).toBeDefined(); + expect(positionAttribute.componentsPerAttribute).toEqual(3); + expect(positionAttribute.componentDatatype).toEqual( + ComponentDatatype.FLOAT, + ); + expect(positionAttribute.offsetInBytes).toBe(288); + expect(positionAttribute.strideInBytes).toBe(12); + + const texCoord0Attribute = attributes[2]; + expect(texCoord0Attribute.index).toEqual(2); + expect(texCoord0Attribute.vertexBuffer).toBeDefined(); + expect(texCoord0Attribute.componentsPerAttribute).toEqual(2); + expect(texCoord0Attribute.componentDatatype).toEqual( + ComponentDatatype.FLOAT, + ); + expect(texCoord0Attribute.offsetInBytes).toBe(0); + expect(texCoord0Attribute.strideInBytes).toBe(8); + + const warpMatrixAttribute = attributes[3]; + expect(warpMatrixAttribute.index).toEqual(3); + expect(warpMatrixAttribute.vertexBuffer).toBeDefined(); + expect(warpMatrixAttribute.componentsPerAttribute).toEqual(2); + expect(warpMatrixAttribute.componentDatatype).toEqual( + ComponentDatatype.FLOAT, + ); + expect(warpMatrixAttribute.offsetInBytes).toBe(0); + expect(warpMatrixAttribute.strideInBytes).toBe(16); + + const warpMatrixAttributePart2 = attributes[4]; + expect(warpMatrixAttributePart2.index).toEqual(4); + expect(warpMatrixAttributePart2.vertexBuffer).toBeDefined(); + expect(warpMatrixAttributePart2.componentsPerAttribute).toEqual(2); + expect(warpMatrixAttributePart2.componentDatatype).toEqual( + ComponentDatatype.FLOAT, + ); + expect(warpMatrixAttributePart2.offsetInBytes).toBe(8); + expect(warpMatrixAttributePart2.strideInBytes).toBe(16); + + const temperaturesAttribute = attributes[5]; + expect(temperaturesAttribute.index).toEqual(5); + expect(temperaturesAttribute.vertexBuffer).toBeDefined(); + expect(temperaturesAttribute.componentsPerAttribute).toEqual(2); + expect(temperaturesAttribute.componentDatatype).toEqual( + ComponentDatatype.UNSIGNED_SHORT, + ); + expect(temperaturesAttribute.offsetInBytes).toBe(0); + expect(temperaturesAttribute.strideInBytes).toBe(4); + + ShaderBuilderTester.expectHasVertexStruct( + shaderBuilder, + GeometryPipelineStage.STRUCT_ID_PROCESSED_ATTRIBUTES_VS, + GeometryPipelineStage.STRUCT_NAME_PROCESSED_ATTRIBUTES, + [ + " vec3 positionMC;", + " vec3 normalMC;", + " vec2 texCoord_0;", + " mat2 warp_matrix;", + " vec2 temperatures;", + ], + ); + ShaderBuilderTester.expectHasFragmentStruct( + shaderBuilder, + GeometryPipelineStage.STRUCT_ID_PROCESSED_ATTRIBUTES_FS, + GeometryPipelineStage.STRUCT_NAME_PROCESSED_ATTRIBUTES, + [ + " vec3 positionMC;", + " vec3 positionWC;", + " vec3 positionEC;", + " vec3 normalEC;", + " vec2 texCoord_0;", + " mat2 warp_matrix;", + " vec2 temperatures;", + ], + ); + ShaderBuilderTester.expectHasVertexFunctionUnordered( + shaderBuilder, + GeometryPipelineStage.FUNCTION_ID_INITIALIZE_ATTRIBUTES, + GeometryPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_ATTRIBUTES, + [ + " attributes.positionMC = a_positionMC;", + " attributes.normalMC = a_normalMC;", + " attributes.texCoord_0 = a_texCoord_0;", + " attributes.warp_matrix = a_warp_matrix;", + " attributes.temperatures = a_temperatures;", + ], + ); + ShaderBuilderTester.expectHasVertexFunctionUnordered( + shaderBuilder, + GeometryPipelineStage.FUNCTION_ID_SET_DYNAMIC_VARYINGS_VS, + GeometryPipelineStage.FUNCTION_SIGNATURE_SET_DYNAMIC_VARYINGS, + [ + " v_texCoord_0 = attributes.texCoord_0;", + " v_warp_matrix = attributes.warp_matrix;", + " v_temperatures = attributes.temperatures;", + ], + ); + ShaderBuilderTester.expectHasFragmentFunctionUnordered( + shaderBuilder, + GeometryPipelineStage.FUNCTION_ID_SET_DYNAMIC_VARYINGS_FS, + GeometryPipelineStage.FUNCTION_SIGNATURE_SET_DYNAMIC_VARYINGS, + [ + " attributes.texCoord_0 = v_texCoord_0;", + " attributes.warp_matrix = v_warp_matrix;", + " attributes.temperatures = v_temperatures;", + ], + ); + ShaderBuilderTester.expectHasVaryings(shaderBuilder, [ + "vec3 v_normalEC;", + "vec2 v_texCoord_0;", + "vec3 v_positionEC;", + "vec3 v_positionMC;", + "vec3 v_positionWC;", + "mat2 v_warp_matrix;", + "vec2 v_temperatures;", + ]); + ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, [ + "HAS_NORMALS", + "HAS_TEXCOORD_0", + ]); + ShaderBuilderTester.expectHasFragmentDefines(shaderBuilder, [ + "HAS_NORMALS", + "HAS_TEXCOORD_0", + ]); + ShaderBuilderTester.expectHasAttributes( + shaderBuilder, + "in vec3 a_positionMC;", + [ + "in vec3 a_normalMC;", + "in vec2 a_texCoord_0;", + "in mat2 a_warp_matrix;", + "in vec2 a_temperatures;", + ], + ); + verifyFeatureStruct(shaderBuilder); + }, + ); }); it("processes POSITION attribute for instanced model for 2D", function () { @@ -1687,7 +1703,7 @@ describe( GeometryPipelineStage.process( renderResources, primitive, - scene2D.frameState + scene2D.frameState, ); const shaderBuilder = renderResources.shaderBuilder; @@ -1703,7 +1719,7 @@ describe( expect(positionAttribute.vertexBuffer).toBeDefined(); expect(positionAttribute.componentsPerAttribute).toEqual(3); expect(positionAttribute.componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(positionAttribute.offsetInBytes).toBe(0); expect(positionAttribute.strideInBytes).toBe(12); @@ -1714,7 +1730,11 @@ describe( shaderBuilder, GeometryPipelineStage.STRUCT_ID_PROCESSED_ATTRIBUTES_VS, GeometryPipelineStage.STRUCT_NAME_PROCESSED_ATTRIBUTES, - [" vec3 positionMC;", " vec3 position2D;", " vec3 normalMC;"] + [ + " vec3 positionMC;", + " vec3 position2D;", + " vec3 normalMC;", + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, @@ -1723,7 +1743,7 @@ describe( [ " attributes.positionMC = a_positionMC;", " attributes.normalMC = a_normalMC;", - ] + ], ); ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, [ "HAS_NORMALS", @@ -1731,11 +1751,11 @@ describe( ShaderBuilderTester.expectHasAttributes( shaderBuilder, "in vec3 a_positionMC;", - ["in vec3 a_normalMC;"] + ["in vec3 a_normalMC;"], ); verifyFeatureStruct(shaderBuilder); }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/I3dmLoaderSpec.js b/packages/engine/Specs/Scene/Model/I3dmLoaderSpec.js index a23fae09288c..7237c8ae1dc3 100644 --- a/packages/engine/Specs/Scene/Model/I3dmLoaderSpec.js +++ b/packages/engine/Specs/Scene/Model/I3dmLoaderSpec.js @@ -88,7 +88,7 @@ describe( async function expectLoadError(arrayBuffer) { const resource = Resource.createIfNeeded( - "http://example.com/content.i3dm" + "http://example.com/content.i3dm", ); const loader = new I3dmLoader({ i3dmResource: resource, @@ -99,7 +99,7 @@ describe( (async () => { await loader.load(); await waitForLoaderProcess(loader, scene); - })() + })(), ).toBeRejectedWithError(RuntimeError); } @@ -127,7 +127,7 @@ describe( for (let j = 0; j < attributesLength; j++) { const attribute = node.instances.attributes[j]; expect(expectedSemantics.indexOf(attribute.semantic) > -1).toEqual( - true + true, ); expect(attribute.count).toEqual(instancesLength); @@ -174,7 +174,7 @@ describe( InstanceAttributeSemantic.ROTATION, InstanceAttributeSemantic.FEATURE_ID, ], - 25 + 25, ); }); }); @@ -188,7 +188,7 @@ describe( InstanceAttributeSemantic.ROTATION, InstanceAttributeSemantic.FEATURE_ID, ], - 25 + 25, ); }); }); @@ -202,7 +202,7 @@ describe( InstanceAttributeSemantic.ROTATION, InstanceAttributeSemantic.FEATURE_ID, ], - 25 + 25, ); }); }); @@ -216,7 +216,7 @@ describe( InstanceAttributeSemantic.ROTATION, InstanceAttributeSemantic.FEATURE_ID, ], - 25 + 25, ); }); }); @@ -230,7 +230,7 @@ describe( InstanceAttributeSemantic.ROTATION, InstanceAttributeSemantic.FEATURE_ID, ], - 25 + 25, ); }); }); @@ -244,7 +244,7 @@ describe( InstanceAttributeSemantic.ROTATION, InstanceAttributeSemantic.FEATURE_ID, ], - 25 + 25, ); }); }); @@ -259,7 +259,7 @@ describe( InstanceAttributeSemantic.SCALE, InstanceAttributeSemantic.FEATURE_ID, ], - 25 + 25, ); }); }); @@ -274,7 +274,7 @@ describe( InstanceAttributeSemantic.SCALE, InstanceAttributeSemantic.FEATURE_ID, ], - 25 + 25, ); }); }); @@ -288,7 +288,7 @@ describe( InstanceAttributeSemantic.ROTATION, InstanceAttributeSemantic.FEATURE_ID, ], - 25 + 25, ); const transform = loader.components.transform; @@ -311,7 +311,7 @@ describe( InstanceAttributeSemantic.ROTATION, InstanceAttributeSemantic.FEATURE_ID, ], - 25 + 25, ); const transform = loader.components.transform; @@ -334,7 +334,7 @@ describe( InstanceAttributeSemantic.ROTATION, InstanceAttributeSemantic.FEATURE_ID, ], - 25 + 25, ); const transform = loader.components.transform; @@ -350,19 +350,19 @@ describe( }); it("loads InstancedQuantizedOct32POrientationUrl", function () { - return loadI3dm(instancedQuantizedOct32POrientationUrl).then(function ( - loader - ) { - verifyInstances( - loader, - [ - InstanceAttributeSemantic.TRANSLATION, - InstanceAttributeSemantic.ROTATION, - InstanceAttributeSemantic.FEATURE_ID, - ], - 25 - ); - }); + return loadI3dm(instancedQuantizedOct32POrientationUrl).then( + function (loader) { + verifyInstances( + loader, + [ + InstanceAttributeSemantic.TRANSLATION, + InstanceAttributeSemantic.ROTATION, + InstanceAttributeSemantic.FEATURE_ID, + ], + 25, + ); + }, + ); }); it("loads InstancedWithTransformUrl", function () { @@ -373,7 +373,7 @@ describe( InstanceAttributeSemantic.TRANSLATION, InstanceAttributeSemantic.FEATURE_ID, ], - 25 + 25, ); }); }); @@ -387,7 +387,7 @@ describe( InstanceAttributeSemantic.ROTATION, InstanceAttributeSemantic.FEATURE_ID, ], - 25 + 25, ); }); }); @@ -401,7 +401,7 @@ describe( InstanceAttributeSemantic.ROTATION, InstanceAttributeSemantic.FEATURE_ID, ], - 25 + 25, ); }); }); @@ -433,5 +433,5 @@ describe( await expectLoadError(arrayBuffer); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/ImageBasedLightingPipelineStageSpec.js b/packages/engine/Specs/Scene/Model/ImageBasedLightingPipelineStageSpec.js index cc2c3bf9ce1f..9c3f32e422ed 100644 --- a/packages/engine/Specs/Scene/Model/ImageBasedLightingPipelineStageSpec.js +++ b/packages/engine/Specs/Scene/Model/ImageBasedLightingPipelineStageSpec.js @@ -35,7 +35,7 @@ describe("Scene/Model/ImageBasedLightingPipelineStage", function () { ImageBasedLightingPipelineStage.process( renderResources, mockModel, - mockFrameState + mockFrameState, ); ShaderBuilderTester.expectHasFragmentDefines(shaderBuilder, [ @@ -56,19 +56,19 @@ describe("Scene/Model/ImageBasedLightingPipelineStage", function () { expect( Cartesian2.equals( uniformMap.model_iblFactor(), - imageBasedLighting.imageBasedLightingFactor - ) + imageBasedLighting.imageBasedLightingFactor, + ), ).toBe(true); expect( Matrix3.equals( uniformMap.model_iblReferenceFrameMatrix(), - mockModel._iblReferenceFrameMatrix - ) + mockModel._iblReferenceFrameMatrix, + ), ).toBe(true); expect(uniformMap.model_luminanceAtZenith()).toEqual( - imageBasedLighting.luminanceAtZenith + imageBasedLighting.luminanceAtZenith, ); }); @@ -106,7 +106,7 @@ describe("Scene/Model/ImageBasedLightingPipelineStage", function () { ImageBasedLightingPipelineStage.process( renderResources, mockModel, - mockFrameState + mockFrameState, ); ShaderBuilderTester.expectHasFragmentDefines(shaderBuilder, [ @@ -124,19 +124,19 @@ describe("Scene/Model/ImageBasedLightingPipelineStage", function () { expect( Cartesian2.equals( uniformMap.model_iblFactor(), - imageBasedLighting.imageBasedLightingFactor - ) + imageBasedLighting.imageBasedLightingFactor, + ), ).toBe(true); expect( Matrix3.equals( uniformMap.model_iblReferenceFrameMatrix(), - mockModel._iblReferenceFrameMatrix - ) + mockModel._iblReferenceFrameMatrix, + ), ).toBe(true); expect(uniformMap.model_sphericalHarmonicCoefficients()).toBe( - testCoefficients + testCoefficients, ); }); @@ -169,7 +169,7 @@ describe("Scene/Model/ImageBasedLightingPipelineStage", function () { ImageBasedLightingPipelineStage.process( renderResources, mockModel, - mockFrameState + mockFrameState, ); ShaderBuilderTester.expectHasFragmentDefines(shaderBuilder, [ @@ -188,15 +188,15 @@ describe("Scene/Model/ImageBasedLightingPipelineStage", function () { expect( Cartesian2.equals( uniformMap.model_iblFactor(), - imageBasedLighting.imageBasedLightingFactor - ) + imageBasedLighting.imageBasedLightingFactor, + ), ).toBe(true); expect( Matrix3.equals( uniformMap.model_iblReferenceFrameMatrix(), - mockModel._iblReferenceFrameMatrix - ) + mockModel._iblReferenceFrameMatrix, + ), ).toBe(true); expect(uniformMap.model_specularEnvironmentMaps()).toBeDefined(); diff --git a/packages/engine/Specs/Scene/Model/InstancingPipelineStageSpec.js b/packages/engine/Specs/Scene/Model/InstancingPipelineStageSpec.js index bc739a877da7..73077d44a515 100644 --- a/packages/engine/Specs/Scene/Model/InstancingPipelineStageSpec.js +++ b/packages/engine/Specs/Scene/Model/InstancingPipelineStageSpec.js @@ -144,7 +144,7 @@ describe( async function loadI3dm(i3dmPath) { const arrayBuffer = await Resource.fetchArrayBuffer(i3dmPath); const i3dmLoader = new I3dmLoader( - getI3dmOptions(i3dmPath, { arrayBuffer: arrayBuffer }) + getI3dmOptions(i3dmPath, { arrayBuffer: arrayBuffer }), ); gltfLoaders.push(i3dmLoader); await i3dmLoader.load(); @@ -170,17 +170,17 @@ describe( InstancingPipelineStage.process( renderResources, node, - scene.frameState + scene.frameState, ); expect(renderResources.attributes.length).toBe(4); const runtimeNode = renderResources.runtimeNode; expect(runtimeNode.instancingTranslationMin).toEqual( - new Cartesian3(-2, -2, 0) + new Cartesian3(-2, -2, 0), ); expect(runtimeNode.instancingTranslationMax).toEqual( - new Cartesian3(2, 2, 0) + new Cartesian3(2, 2, 0), ); // Ensure that the max / min are only computed once by checking if @@ -188,56 +188,56 @@ describe( InstancingPipelineStage.process( renderResources, node, - scene.frameState + scene.frameState, ); expect(runtimeNode.instancingTranslationMin).toEqual( - new Cartesian3(-2, -2, 0) + new Cartesian3(-2, -2, 0), ); expect(runtimeNode.instancingTranslationMax).toEqual( - new Cartesian3(2, 2, 0) + new Cartesian3(2, 2, 0), ); }); }); it("sets instancing TRANSLATION min and max from attributes", function () { - return loadGltf(boxInstancedTranslationMinMax).then(function ( - gltfLoader - ) { - const components = gltfLoader.components; - const node = components.nodes[0]; - const renderResources = mockRenderResources(node); - - InstancingPipelineStage.process( - renderResources, - node, - scene.frameState - ); + return loadGltf(boxInstancedTranslationMinMax).then( + function (gltfLoader) { + const components = gltfLoader.components; + const node = components.nodes[0]; + const renderResources = mockRenderResources(node); + + InstancingPipelineStage.process( + renderResources, + node, + scene.frameState, + ); - expect(renderResources.attributes.length).toBe(1); + expect(renderResources.attributes.length).toBe(1); - const runtimeNode = renderResources.runtimeNode; - expect(runtimeNode.instancingTranslationMax).toEqual( - new Cartesian3(2, 2, 0) - ); - expect(runtimeNode.instancingTranslationMin).toEqual( - new Cartesian3(-2, -2, 0) - ); + const runtimeNode = renderResources.runtimeNode; + expect(runtimeNode.instancingTranslationMax).toEqual( + new Cartesian3(2, 2, 0), + ); + expect(runtimeNode.instancingTranslationMin).toEqual( + new Cartesian3(-2, -2, 0), + ); - // Ensure that the max / min are still defined after the stage is re-run. - InstancingPipelineStage.process( - renderResources, - node, - scene.frameState - ); + // Ensure that the max / min are still defined after the stage is re-run. + InstancingPipelineStage.process( + renderResources, + node, + scene.frameState, + ); - expect(runtimeNode.instancingTranslationMin).toEqual( - new Cartesian3(-2, -2, 0) - ); - expect(runtimeNode.instancingTranslationMax).toEqual( - new Cartesian3(2, 2, 0) - ); - }); + expect(runtimeNode.instancingTranslationMin).toEqual( + new Cartesian3(-2, -2, 0), + ); + expect(runtimeNode.instancingTranslationMax).toEqual( + new Cartesian3(2, 2, 0), + ); + }, + ); }); it("creates instancing matrices vertex attributes when ROTATION is present", function () { @@ -252,7 +252,7 @@ describe( InstancingPipelineStage.process( renderResources, node, - scene.frameState + scene.frameState, ); expect(renderResources.attributes.length).toBe(4); @@ -295,7 +295,7 @@ describe( InstancingPipelineStage.process( renderResources, node, - scene2D.frameState + scene2D.frameState, ); expect(renderResources.attributes.length).toBe(7); @@ -332,12 +332,12 @@ describe( const translationMatrix = Matrix4.fromTranslation( runtimeNode.instancingReferencePoint2D, - scratchMatrix4 + scratchMatrix4, ); const expectedMatrix = Matrix4.multiplyTransformation( scene2D.context.uniformState.view, translationMatrix, - scratchMatrix4 + scratchMatrix4, ); const uniformMap = renderResources.uniformMap; expect(uniformMap.u_modelView2D()).toEqual(expectedMatrix); @@ -357,71 +357,33 @@ describe( const renderResources = mockRenderResources(node); const expectedTransformsTypedArray = new Float32Array([ - 0.5999999642372131, - 0, - 0, - -2, - 0, - 0.4949747323989868, - -0.7071067094802856, - 2, - 0, - 0.49497467279434204, - 0.7071067690849304, - 0, - 0.7071068286895752, - 4.174155421310388e-8, - 0.3535534143447876, - -2, - 0.5, - 0.7071068286895752, - -0.2500000298023224, - -2, - -0.5000000596046448, - 0.7071068286895752, - 0.25, - 0, - 0.375, - -0.10000001639127731, - 0.3535534143447876, - 2, - 0.6401650905609131, - 0.029289301484823227, - -0.2500000298023224, - -2, - 0.10983504354953766, - 0.1707106977701187, - 0.25, - 0, - 0.4898979365825653, - -0.3674234449863434, - 0.44999992847442627, - 2, - 0.5277916193008423, - 0.028420301154255867, - -0.6749999523162842, - 2, - 0.3484765887260437, - 0.4734894633293152, - 0.3897113800048828, - 0, + 0.5999999642372131, 0, 0, -2, 0, 0.4949747323989868, + -0.7071067094802856, 2, 0, 0.49497467279434204, 0.7071067690849304, 0, + 0.7071068286895752, 4.174155421310388e-8, 0.3535534143447876, -2, 0.5, + 0.7071068286895752, -0.2500000298023224, -2, -0.5000000596046448, + 0.7071068286895752, 0.25, 0, 0.375, -0.10000001639127731, + 0.3535534143447876, 2, 0.6401650905609131, 0.029289301484823227, + -0.2500000298023224, -2, 0.10983504354953766, 0.1707106977701187, + 0.25, 0, 0.4898979365825653, -0.3674234449863434, 0.44999992847442627, + 2, 0.5277916193008423, 0.028420301154255867, -0.6749999523162842, 2, + 0.3484765887260437, 0.4734894633293152, 0.3897113800048828, 0, ]); - const transforms = InstancingPipelineStage._getInstanceTransformsAsMatrices( - node.instances, - node.instances.attributes[0].count, - renderResources - ); - const transformsTypedArray = InstancingPipelineStage._transformsToTypedArray( - transforms - ); + const transforms = + InstancingPipelineStage._getInstanceTransformsAsMatrices( + node.instances, + node.instances.attributes[0].count, + renderResources, + ); + const transformsTypedArray = + InstancingPipelineStage._transformsToTypedArray(transforms); expect(transformsTypedArray.length).toEqual( - expectedTransformsTypedArray.length + expectedTransformsTypedArray.length, ); for (let i = 0; i < expectedTransformsTypedArray.length; i++) { expect(transformsTypedArray[i]).toEqualEpsilon( expectedTransformsTypedArray[i], - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); } }); @@ -433,96 +395,85 @@ describe( return; } - return loadGltf(instancedWithNormalizedRotation).then(function ( - gltfLoader - ) { - const components = gltfLoader.components; - const node = components.nodes[0]; - const renderResources = mockRenderResources(node); - - // Check that the first two matrices are dequantized correctly. The - // first matrix is the identity matrix, and the second matrix has a - // slight translation, rotation and scale. - const secondMatrixComponents = [ - 1.1007905724243354, - 0.07140440309598281, - -0.1331359457080602, - 0, - -0.04344372372420601, - 1.0874251248973055, - 0.22401538735190446, - 0, - 0.1446942006095891, - -0.21672946758564085, - 1.0801183172918447, - 0, - 1.1111111640930176, - 1.1111111640930176, - 1.1111111640930176, - 1, - ]; - const expectedTransforms = [ - Matrix4.IDENTITY, - Matrix4.unpack(secondMatrixComponents), - ]; - - const transforms = InstancingPipelineStage._getInstanceTransformsAsMatrices( - node.instances, - node.instances.attributes[0].count, - renderResources - ); - - expect(transforms.length).toBe(10); - - const length = expectedTransforms.length; - for (let i = 0; i < length; i++) { - expect(transforms[i]).toEqualEpsilon( - expectedTransforms[i], - CesiumMath.EPSILON10 - ); - } - }); + return loadGltf(instancedWithNormalizedRotation).then( + function (gltfLoader) { + const components = gltfLoader.components; + const node = components.nodes[0]; + const renderResources = mockRenderResources(node); + + // Check that the first two matrices are dequantized correctly. The + // first matrix is the identity matrix, and the second matrix has a + // slight translation, rotation and scale. + const secondMatrixComponents = [ + 1.1007905724243354, 0.07140440309598281, -0.1331359457080602, 0, + -0.04344372372420601, 1.0874251248973055, 0.22401538735190446, 0, + 0.1446942006095891, -0.21672946758564085, 1.0801183172918447, 0, + 1.1111111640930176, 1.1111111640930176, 1.1111111640930176, 1, + ]; + const expectedTransforms = [ + Matrix4.IDENTITY, + Matrix4.unpack(secondMatrixComponents), + ]; + + const transforms = + InstancingPipelineStage._getInstanceTransformsAsMatrices( + node.instances, + node.instances.attributes[0].count, + renderResources, + ); + + expect(transforms.length).toBe(10); + + const length = expectedTransforms.length; + for (let i = 0; i < length; i++) { + expect(transforms[i]).toEqualEpsilon( + expectedTransforms[i], + CesiumMath.EPSILON10, + ); + } + }, + ); }); it("creates TRANSLATION vertex attributes with min/max present", function () { - return loadGltf(boxInstancedTranslationMinMax).then(function ( - gltfLoader - ) { - const components = gltfLoader.components; - const node = components.nodes[0]; - const renderResources = mockRenderResources(node); - - scene.renderForSpecs(); - InstancingPipelineStage.process( - renderResources, - node, - scene.frameState - ); - - expect(renderResources.attributes.length).toBe(1); - - const shaderBuilder = renderResources.shaderBuilder; - ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, [ - "HAS_INSTANCING", - "HAS_INSTANCE_TRANSLATION", - ]); - ShaderBuilderTester.expectHasFragmentDefines(shaderBuilder, [ - "HAS_INSTANCING", - "HAS_INSTANCE_TRANSLATION", - ]); - - ShaderBuilderTester.expectHasAttributes(shaderBuilder, undefined, [ - "in vec3 a_instanceTranslation;", - ]); - - // No additional buffer was created. - expect(renderResources.model._pipelineResources.length).toEqual(0); - expect(renderResources.model._modelResources.length).toEqual(0); + return loadGltf(boxInstancedTranslationMinMax).then( + function (gltfLoader) { + const components = gltfLoader.components; + const node = components.nodes[0]; + const renderResources = mockRenderResources(node); + + scene.renderForSpecs(); + InstancingPipelineStage.process( + renderResources, + node, + scene.frameState, + ); - // Attributes with buffers already loaded in will be counted - // in NodeStatisticsPipelineStage. - expect(renderResources.model.statistics.geometryByteLength).toBe(0); - }); + expect(renderResources.attributes.length).toBe(1); + + const shaderBuilder = renderResources.shaderBuilder; + ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, [ + "HAS_INSTANCING", + "HAS_INSTANCE_TRANSLATION", + ]); + ShaderBuilderTester.expectHasFragmentDefines(shaderBuilder, [ + "HAS_INSTANCING", + "HAS_INSTANCE_TRANSLATION", + ]); + + ShaderBuilderTester.expectHasAttributes(shaderBuilder, undefined, [ + "in vec3 a_instanceTranslation;", + ]); + + // No additional buffer was created. + expect(renderResources.model._pipelineResources.length).toEqual(0); + expect(renderResources.model._modelResources.length).toEqual(0); + + // Attributes with buffers already loaded in will be counted + // in NodeStatisticsPipelineStage. + expect(renderResources.model.statistics.geometryByteLength).toBe(0); + }, + ); }); it("creates TRANSLATION vertex attributes without min/max present", function () { @@ -536,14 +487,14 @@ describe( InstancingPipelineStage.process( renderResources, node, - scene.frameState + scene.frameState, ); expect(renderResources.attributes.length).toBe(1); const translationAttribute = ModelUtility.getAttributeBySemantic( instances, - InstanceAttributeSemantic.TRANSLATION + InstanceAttributeSemantic.TRANSLATION, ); // Expect the typed array to be unloaded. expect(translationAttribute.typedArray).toBeUndefined(); @@ -591,14 +542,14 @@ describe( InstancingPipelineStage.process( renderResources, node, - scene2D.frameState + scene2D.frameState, ); expect(renderResources.attributes.length).toBe(2); const translationAttribute = ModelUtility.getAttributeBySemantic( instances, - InstanceAttributeSemantic.TRANSLATION + InstanceAttributeSemantic.TRANSLATION, ); // Expect the typed array to be unloaded. expect(translationAttribute.typedArray).toBeUndefined(); @@ -627,12 +578,12 @@ describe( const translationMatrix = Matrix4.fromTranslation( runtimeNode.instancingReferencePoint2D, - scratchMatrix4 + scratchMatrix4, ); const expectedMatrix = Matrix4.multiplyTransformation( scene2D.context.uniformState.view, translationMatrix, - scratchMatrix4 + scratchMatrix4, ); const uniformMap = renderResources.uniformMap; expect(uniformMap.u_modelView2D()).toEqual(expectedMatrix); @@ -662,14 +613,14 @@ describe( axisCorrectionMatrix: ModelUtility.getAxisCorrectionMatrix( Axis.Y, Axis.Z, - new Matrix4() + new Matrix4(), ), }, }, uniformMap: {}, runtimeNode: { computedTransform: Matrix4.fromTranslation( - new Cartesian3(0.0, 2.0, 0.0) + new Cartesian3(0.0, 2.0, 0.0), ), }, }; @@ -690,7 +641,7 @@ describe( InstancingPipelineStage.process( renderResources, node, - scene.frameState + scene.frameState, ); ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, [ @@ -722,18 +673,18 @@ describe( let expectedModelView = Matrix4.multiplyTransformation( view, modelMatrix, - new Matrix4() + new Matrix4(), ); expectedModelView = Matrix4.multiplyTransformation( expectedModelView, rtcTransform, - expectedModelView + expectedModelView, ); const uniformMap = renderResources.uniformMap; expect(uniformMap.u_instance_modifiedModelView()).toEqualEpsilon( expectedModelView, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); // The second part of the matrix. @@ -744,11 +695,11 @@ describe( const expectedNodeTransform = Matrix4.multiplyTransformation( axisCorrection, computedTransform, - new Matrix4() + new Matrix4(), ); expect(uniformMap.u_instance_nodeTransform()).toEqualEpsilon( expectedNodeTransform, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); // The matrix transforms buffer will be counted by NodeStatisticsPipelineStage. @@ -756,5 +707,5 @@ describe( }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/MaterialPipelineStageSpec.js b/packages/engine/Specs/Scene/Model/MaterialPipelineStageSpec.js index f7dd44fefae7..128eef15b282 100644 --- a/packages/engine/Specs/Scene/Model/MaterialPipelineStageSpec.js +++ b/packages/engine/Specs/Scene/Model/MaterialPipelineStageSpec.js @@ -251,7 +251,7 @@ describe( const material = primitive.material; material.emissiveFactor = Cartesian3.clone( - ModelComponents.Material.DEFAULT_EMISSIVE_FACTOR + ModelComponents.Material.DEFAULT_EMISSIVE_FACTOR, ); const metallicRoughness = material.metallicRoughness; @@ -471,15 +471,12 @@ describe( "USE_METALLIC_ROUGHNESS", ]); - const { - anisotropyStrength, - anisotropyRotation, - anisotropyTexture, - } = primitive.material.anisotropy; + const { anisotropyStrength, anisotropyRotation, anisotropyTexture } = + primitive.material.anisotropy; const expectedAnisotropy = Cartesian3.fromElements( Math.cos(anisotropyRotation), Math.sin(anisotropyRotation), - anisotropyStrength + anisotropyStrength, ); const expectedUniforms = { u_anisotropy: expectedAnisotropy, @@ -835,7 +832,7 @@ describe( uniformMap, textureReader, "u_testTexture", - "TEST" + "TEST", ); ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, []); @@ -867,7 +864,7 @@ describe( textureReader, "u_testTexture", "TEST", - mockFrameState.context.defaultTexture + mockFrameState.context.defaultTexture, ); ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, []); @@ -902,7 +899,7 @@ describe( textureReader, "u_testTexture", "TEST", - mockFrameState.context.defaultTexture + mockFrameState.context.defaultTexture, ); expectUniformMap(uniformMap, { @@ -910,5 +907,5 @@ describe( }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/MetadataPipelineStageSpec.js b/packages/engine/Specs/Scene/Model/MetadataPipelineStageSpec.js index 8600d2edef03..a873646d0dab 100644 --- a/packages/engine/Specs/Scene/Model/MetadataPipelineStageSpec.js +++ b/packages/engine/Specs/Scene/Model/MetadataPipelineStageSpec.js @@ -95,13 +95,13 @@ describe( shaderBuilder, structName, structName, - structFields + structFields, ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, structName, structName, - structFields + structFields, ); } } @@ -121,31 +121,31 @@ describe( shaderBuilder, MetadataPipelineStage.STRUCT_ID_METADATA_VS, MetadataPipelineStage.STRUCT_NAME_METADATA, - [] + [], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, MetadataPipelineStage.STRUCT_ID_METADATA_FS, MetadataPipelineStage.STRUCT_NAME_METADATA, - [] + [], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, MetadataPipelineStage.FUNCTION_ID_INITIALIZE_METADATA_VS, MetadataPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_METADATA, - [] + [], ); ShaderBuilderTester.expectHasFragmentFunctionUnordered( shaderBuilder, MetadataPipelineStage.FUNCTION_ID_INITIALIZE_METADATA_FS, MetadataPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_METADATA, - [] + [], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, MetadataPipelineStage.FUNCTION_ID_SET_METADATA_VARYINGS, MetadataPipelineStage.FUNCTION_SIGNATURE_SET_METADATA_VARYINGS, - [] + [], ); ShaderBuilderTester.expectHasVertexUniforms(shaderBuilder, []); ShaderBuilderTester.expectHasFragmentUniforms(shaderBuilder, []); @@ -155,120 +155,120 @@ describe( }); it("Adds property attributes to the shader", function () { - return loadGltf(pointCloudWithPropertyAttributes).then(function ( - gltfLoader - ) { - const components = gltfLoader.components; - const node = components.nodes[0]; - const primitive = node.primitives[0]; - const frameState = scene.frameState; - const renderResources = mockRenderResources(components); - - MetadataPipelineStage.process(renderResources, primitive, frameState); - - const shaderBuilder = renderResources.shaderBuilder; - - const metadataTypes = ["float"]; - checkMetadataClassStructs(shaderBuilder, metadataTypes); - - ShaderBuilderTester.expectHasVertexStruct( - shaderBuilder, - MetadataPipelineStage.STRUCT_ID_METADATA_VS, - MetadataPipelineStage.STRUCT_NAME_METADATA, - [ - " float circleT;", - " float iteration;", - " float pointId;", - " float toroidalNormalized;", - " float poloidalNormalized;", - " float toroidalAngle;", - " float poloidalAngle;", - ] - ); - ShaderBuilderTester.expectHasFragmentStruct( - shaderBuilder, - MetadataPipelineStage.STRUCT_ID_METADATA_FS, - MetadataPipelineStage.STRUCT_NAME_METADATA, - [ - " float circleT;", - " float iteration;", - " float pointId;", - " float toroidalNormalized;", - " float poloidalNormalized;", - " float toroidalAngle;", - " float poloidalAngle;", - ] - ); - ShaderBuilderTester.expectHasVertexFunctionUnordered( - shaderBuilder, - MetadataPipelineStage.FUNCTION_ID_INITIALIZE_METADATA_VS, - MetadataPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_METADATA, - [ - " metadata.circleT = attributes.circle_t;", - " metadata.iteration = attributes.featureId_0;", - " metadata.pointId = attributes.featureId_1;", - " metadata.toroidalNormalized = czm_valueTransform(u_toroidalNormalized_offset, u_toroidalNormalized_scale, attributes.featureId_0);", - " metadata.poloidalNormalized = czm_valueTransform(u_poloidalNormalized_offset, u_poloidalNormalized_scale, attributes.featureId_1);", - " metadata.toroidalAngle = czm_valueTransform(u_toroidalAngle_offset, u_toroidalAngle_scale, attributes.featureId_0);", - " metadata.poloidalAngle = czm_valueTransform(u_poloidalAngle_offset, u_poloidalAngle_scale, attributes.featureId_1);", - ] - ); - ShaderBuilderTester.expectHasFragmentFunctionUnordered( - shaderBuilder, - MetadataPipelineStage.FUNCTION_ID_INITIALIZE_METADATA_FS, - MetadataPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_METADATA, - [ - " metadata.circleT = attributes.circle_t;", - " metadata.iteration = attributes.featureId_0;", - " metadata.pointId = attributes.featureId_1;", - " metadata.toroidalNormalized = czm_valueTransform(u_toroidalNormalized_offset, u_toroidalNormalized_scale, attributes.featureId_0);", - " metadata.poloidalNormalized = czm_valueTransform(u_poloidalNormalized_offset, u_poloidalNormalized_scale, attributes.featureId_1);", - " metadata.toroidalAngle = czm_valueTransform(u_toroidalAngle_offset, u_toroidalAngle_scale, attributes.featureId_0);", - " metadata.poloidalAngle = czm_valueTransform(u_poloidalAngle_offset, u_poloidalAngle_scale, attributes.featureId_1);", - ] - ); - ShaderBuilderTester.expectHasVertexFunctionUnordered( - shaderBuilder, - MetadataPipelineStage.FUNCTION_ID_SET_METADATA_VARYINGS, - MetadataPipelineStage.FUNCTION_SIGNATURE_SET_METADATA_VARYINGS, - [] - ); - ShaderBuilderTester.expectHasVertexUniforms(shaderBuilder, [ - "uniform float u_toroidalNormalized_offset;", - "uniform float u_toroidalNormalized_scale;", - "uniform float u_poloidalNormalized_offset;", - "uniform float u_poloidalNormalized_scale;", - "uniform float u_toroidalAngle_offset;", - "uniform float u_toroidalAngle_scale;", - "uniform float u_poloidalAngle_offset;", - "uniform float u_poloidalAngle_scale;", - ]); - ShaderBuilderTester.expectHasFragmentUniforms(shaderBuilder, [ - "uniform float u_toroidalNormalized_offset;", - "uniform float u_toroidalNormalized_scale;", - "uniform float u_poloidalNormalized_offset;", - "uniform float u_poloidalNormalized_scale;", - "uniform float u_toroidalAngle_offset;", - "uniform float u_toroidalAngle_scale;", - "uniform float u_poloidalAngle_offset;", - "uniform float u_poloidalAngle_scale;", - ]); - - // The offsets and scales should be exactly as they appear in the glTF - const uniformMap = renderResources.uniformMap; - expect(uniformMap.u_toroidalNormalized_offset()).toBe(0); - expect(uniformMap.u_toroidalNormalized_scale()).toBe( - 0.034482758620689655 - ); - expect(uniformMap.u_poloidalNormalized_offset()).toBe(0); - expect(uniformMap.u_poloidalNormalized_scale()).toBe( - 0.05263157894736842 - ); - expect(uniformMap.u_toroidalAngle_offset()).toBe(0); - expect(uniformMap.u_toroidalAngle_scale()).toBe(0.21666156231653746); - expect(uniformMap.u_poloidalAngle_offset()).toBe(-3.141592653589793); - expect(uniformMap.u_poloidalAngle_scale()).toBe(0.3306939635357677); - }); + return loadGltf(pointCloudWithPropertyAttributes).then( + function (gltfLoader) { + const components = gltfLoader.components; + const node = components.nodes[0]; + const primitive = node.primitives[0]; + const frameState = scene.frameState; + const renderResources = mockRenderResources(components); + + MetadataPipelineStage.process(renderResources, primitive, frameState); + + const shaderBuilder = renderResources.shaderBuilder; + + const metadataTypes = ["float"]; + checkMetadataClassStructs(shaderBuilder, metadataTypes); + + ShaderBuilderTester.expectHasVertexStruct( + shaderBuilder, + MetadataPipelineStage.STRUCT_ID_METADATA_VS, + MetadataPipelineStage.STRUCT_NAME_METADATA, + [ + " float circleT;", + " float iteration;", + " float pointId;", + " float toroidalNormalized;", + " float poloidalNormalized;", + " float toroidalAngle;", + " float poloidalAngle;", + ], + ); + ShaderBuilderTester.expectHasFragmentStruct( + shaderBuilder, + MetadataPipelineStage.STRUCT_ID_METADATA_FS, + MetadataPipelineStage.STRUCT_NAME_METADATA, + [ + " float circleT;", + " float iteration;", + " float pointId;", + " float toroidalNormalized;", + " float poloidalNormalized;", + " float toroidalAngle;", + " float poloidalAngle;", + ], + ); + ShaderBuilderTester.expectHasVertexFunctionUnordered( + shaderBuilder, + MetadataPipelineStage.FUNCTION_ID_INITIALIZE_METADATA_VS, + MetadataPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_METADATA, + [ + " metadata.circleT = attributes.circle_t;", + " metadata.iteration = attributes.featureId_0;", + " metadata.pointId = attributes.featureId_1;", + " metadata.toroidalNormalized = czm_valueTransform(u_toroidalNormalized_offset, u_toroidalNormalized_scale, attributes.featureId_0);", + " metadata.poloidalNormalized = czm_valueTransform(u_poloidalNormalized_offset, u_poloidalNormalized_scale, attributes.featureId_1);", + " metadata.toroidalAngle = czm_valueTransform(u_toroidalAngle_offset, u_toroidalAngle_scale, attributes.featureId_0);", + " metadata.poloidalAngle = czm_valueTransform(u_poloidalAngle_offset, u_poloidalAngle_scale, attributes.featureId_1);", + ], + ); + ShaderBuilderTester.expectHasFragmentFunctionUnordered( + shaderBuilder, + MetadataPipelineStage.FUNCTION_ID_INITIALIZE_METADATA_FS, + MetadataPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_METADATA, + [ + " metadata.circleT = attributes.circle_t;", + " metadata.iteration = attributes.featureId_0;", + " metadata.pointId = attributes.featureId_1;", + " metadata.toroidalNormalized = czm_valueTransform(u_toroidalNormalized_offset, u_toroidalNormalized_scale, attributes.featureId_0);", + " metadata.poloidalNormalized = czm_valueTransform(u_poloidalNormalized_offset, u_poloidalNormalized_scale, attributes.featureId_1);", + " metadata.toroidalAngle = czm_valueTransform(u_toroidalAngle_offset, u_toroidalAngle_scale, attributes.featureId_0);", + " metadata.poloidalAngle = czm_valueTransform(u_poloidalAngle_offset, u_poloidalAngle_scale, attributes.featureId_1);", + ], + ); + ShaderBuilderTester.expectHasVertexFunctionUnordered( + shaderBuilder, + MetadataPipelineStage.FUNCTION_ID_SET_METADATA_VARYINGS, + MetadataPipelineStage.FUNCTION_SIGNATURE_SET_METADATA_VARYINGS, + [], + ); + ShaderBuilderTester.expectHasVertexUniforms(shaderBuilder, [ + "uniform float u_toroidalNormalized_offset;", + "uniform float u_toroidalNormalized_scale;", + "uniform float u_poloidalNormalized_offset;", + "uniform float u_poloidalNormalized_scale;", + "uniform float u_toroidalAngle_offset;", + "uniform float u_toroidalAngle_scale;", + "uniform float u_poloidalAngle_offset;", + "uniform float u_poloidalAngle_scale;", + ]); + ShaderBuilderTester.expectHasFragmentUniforms(shaderBuilder, [ + "uniform float u_toroidalNormalized_offset;", + "uniform float u_toroidalNormalized_scale;", + "uniform float u_poloidalNormalized_offset;", + "uniform float u_poloidalNormalized_scale;", + "uniform float u_toroidalAngle_offset;", + "uniform float u_toroidalAngle_scale;", + "uniform float u_poloidalAngle_offset;", + "uniform float u_poloidalAngle_scale;", + ]); + + // The offsets and scales should be exactly as they appear in the glTF + const uniformMap = renderResources.uniformMap; + expect(uniformMap.u_toroidalNormalized_offset()).toBe(0); + expect(uniformMap.u_toroidalNormalized_scale()).toBe( + 0.034482758620689655, + ); + expect(uniformMap.u_poloidalNormalized_offset()).toBe(0); + expect(uniformMap.u_poloidalNormalized_scale()).toBe( + 0.05263157894736842, + ); + expect(uniformMap.u_toroidalAngle_offset()).toBe(0); + expect(uniformMap.u_toroidalAngle_scale()).toBe(0.21666156231653746); + expect(uniformMap.u_poloidalAngle_offset()).toBe(-3.141592653589793); + expect(uniformMap.u_poloidalAngle_scale()).toBe(0.3306939635357677); + }, + ); }); it("Adds property textures to the shader", function () { @@ -290,7 +290,7 @@ describe( shaderBuilder, MetadataPipelineStage.STRUCT_ID_METADATA_VS, MetadataPipelineStage.STRUCT_NAME_METADATA, - [] + [], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, @@ -300,13 +300,13 @@ describe( " float insulation;", " int insideTemperature;", " int outsideTemperature;", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, MetadataPipelineStage.FUNCTION_ID_INITIALIZE_METADATA_VS, MetadataPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_METADATA, - [] + [], ); ShaderBuilderTester.expectHasFragmentFunctionUnordered( shaderBuilder, @@ -317,13 +317,13 @@ describe( " metadata.insideTemperature = int(255.0 * texture(u_propertyTexture_1, attributes.texCoord_0).r);", " metadata.outsideTemperature = int(255.0 * texture(u_propertyTexture_1, attributes.texCoord_0).g);", " metadataClass.insulation.defaultValue = float(1);", - ] + ], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, MetadataPipelineStage.FUNCTION_ID_SET_METADATA_VARYINGS, MetadataPipelineStage.FUNCTION_SIGNATURE_SET_METADATA_VARYINGS, - [] + [], ); ShaderBuilderTester.expectHasVertexUniforms(shaderBuilder, []); ShaderBuilderTester.expectHasFragmentUniforms(shaderBuilder, [ @@ -337,7 +337,7 @@ describe( const uniformMap = renderResources.uniformMap; expect(uniformMap.u_propertyTexture_1()).toBe( - texture1.textureReader.texture + texture1.textureReader.texture, ); }); }); @@ -361,25 +361,25 @@ describe( shaderBuilder, MetadataPipelineStage.STRUCT_ID_METADATA_VS, MetadataPipelineStage.STRUCT_NAME_METADATA, - [] + [], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, MetadataPipelineStage.STRUCT_ID_METADATA_FS, MetadataPipelineStage.STRUCT_NAME_METADATA, - [" float exampleProperty;"] + [" float exampleProperty;"], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, MetadataPipelineStage.FUNCTION_ID_INITIALIZE_METADATA_VS, MetadataPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_METADATA, - [] + [], ); ShaderBuilderTester.expectHasVertexFunctionUnordered( shaderBuilder, MetadataPipelineStage.FUNCTION_ID_SET_METADATA_VARYINGS, MetadataPipelineStage.FUNCTION_SIGNATURE_SET_METADATA_VARYINGS, - [] + [], ); ShaderBuilderTester.expectHasVertexUniforms(shaderBuilder, []); ShaderBuilderTester.expectHasFragmentUniforms(shaderBuilder, [ @@ -394,115 +394,115 @@ describe( const uniformMap = renderResources.uniformMap; expect(uniformMap.u_propertyTexture_0()).toBe( - texture1.textureReader.texture + texture1.textureReader.texture, ); }); it("Handles property textures with vector values", function () { - return loadGltf(propertyTextureWithVectorProperties).then(function ( - gltfLoader - ) { - const components = gltfLoader.components; - const node = components.nodes[0]; - const primitive = node.primitives[0]; - const frameState = scene.frameState; - const renderResources = mockRenderResources(components); - - MetadataPipelineStage.process(renderResources, primitive, frameState); - - const shaderBuilder = renderResources.shaderBuilder; - - const metadataTypes = ["vec2", "int", "ivec3", "vec3"]; - checkMetadataClassStructs(shaderBuilder, metadataTypes); - - ShaderBuilderTester.expectHasVertexStruct( - shaderBuilder, - MetadataPipelineStage.STRUCT_ID_METADATA_VS, - MetadataPipelineStage.STRUCT_NAME_METADATA, - [] - ); - ShaderBuilderTester.expectHasFragmentStruct( - shaderBuilder, - MetadataPipelineStage.STRUCT_ID_METADATA_FS, - MetadataPipelineStage.STRUCT_NAME_METADATA, - [ - " vec2 vec2Property;", - " int uint8Property;", - " ivec3 uint8vec3Property;", - " vec3 arrayProperty;", - " vec2 valueTransformProperty;", - ] - ); - - // Check for the MetadataClass struct, containing the specific fields - // required by this test dataset - ShaderBuilderTester.expectHasFragmentStruct( - shaderBuilder, - MetadataPipelineStage.STRUCT_ID_METADATA_CLASS_FS, - MetadataPipelineStage.STRUCT_NAME_METADATA_CLASS, - [ - " vec2MetadataClass vec2Property;", - " intMetadataClass uint8Property;", - " ivec3MetadataClass uint8vec3Property;", - " vec3MetadataClass arrayProperty;", - " vec2MetadataClass valueTransformProperty;", - ] - ); - - ShaderBuilderTester.expectHasVertexFunctionUnordered( - shaderBuilder, - MetadataPipelineStage.FUNCTION_ID_INITIALIZE_METADATA_VS, - MetadataPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_METADATA, - [] - ); - - // Check that the correct values are assigned to the metadata and metadataClass structs - ShaderBuilderTester.expectHasFragmentFunctionUnordered( - shaderBuilder, - MetadataPipelineStage.FUNCTION_ID_INITIALIZE_METADATA_FS, - MetadataPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_METADATA, - [ - " metadata.vec2Property = texture(u_propertyTexture_1, attributes.texCoord_0).gb;", - " metadata.uint8Property = int(255.0 * texture(u_propertyTexture_1, attributes.texCoord_0).r);", - " metadata.uint8vec3Property = ivec3(255.0 * texture(u_propertyTexture_1, attributes.texCoord_0).rgb);", - " metadata.arrayProperty = texture(u_propertyTexture_1, attributes.texCoord_0).rgb;", - " metadata.valueTransformProperty = czm_valueTransform(u_valueTransformProperty_offset, u_valueTransformProperty_scale, texture(u_propertyTexture_1, attributes.texCoord_0).rg);", - " metadataClass.uint8vec3Property.defaultValue = ivec3(255,0,0);", - " metadataClass.uint8vec3Property.maxValue = ivec3(30,17,50);", - " metadataClass.uint8vec3Property.minValue = ivec3(10,10,10);", - " metadataClass.uint8vec3Property.noData = ivec3(19,13,50);", - ] - ); - ShaderBuilderTester.expectHasVertexFunctionUnordered( - shaderBuilder, - MetadataPipelineStage.FUNCTION_ID_SET_METADATA_VARYINGS, - MetadataPipelineStage.FUNCTION_SIGNATURE_SET_METADATA_VARYINGS, - [] - ); - ShaderBuilderTester.expectHasVertexUniforms(shaderBuilder, []); - ShaderBuilderTester.expectHasFragmentUniforms(shaderBuilder, [ - "uniform sampler2D u_propertyTexture_1;", - "uniform vec2 u_valueTransformProperty_offset;", - "uniform vec2 u_valueTransformProperty_scale;", - ]); - - // everything shares the same texture. - const structuralMetadata = renderResources.model.structuralMetadata; - const propertyTexture1 = structuralMetadata.getPropertyTexture(0); - const texture1 = propertyTexture1.getProperty("arrayProperty"); - - const uniformMap = renderResources.uniformMap; - expect(uniformMap.u_propertyTexture_1()).toBe( - texture1.textureReader.texture - ); - - expect(uniformMap.u_valueTransformProperty_offset()).toEqual( - new Cartesian2(1, 1) - ); - expect(uniformMap.u_valueTransformProperty_scale()).toEqual( - new Cartesian2(2, 2) - ); - }); + return loadGltf(propertyTextureWithVectorProperties).then( + function (gltfLoader) { + const components = gltfLoader.components; + const node = components.nodes[0]; + const primitive = node.primitives[0]; + const frameState = scene.frameState; + const renderResources = mockRenderResources(components); + + MetadataPipelineStage.process(renderResources, primitive, frameState); + + const shaderBuilder = renderResources.shaderBuilder; + + const metadataTypes = ["vec2", "int", "ivec3", "vec3"]; + checkMetadataClassStructs(shaderBuilder, metadataTypes); + + ShaderBuilderTester.expectHasVertexStruct( + shaderBuilder, + MetadataPipelineStage.STRUCT_ID_METADATA_VS, + MetadataPipelineStage.STRUCT_NAME_METADATA, + [], + ); + ShaderBuilderTester.expectHasFragmentStruct( + shaderBuilder, + MetadataPipelineStage.STRUCT_ID_METADATA_FS, + MetadataPipelineStage.STRUCT_NAME_METADATA, + [ + " vec2 vec2Property;", + " int uint8Property;", + " ivec3 uint8vec3Property;", + " vec3 arrayProperty;", + " vec2 valueTransformProperty;", + ], + ); + + // Check for the MetadataClass struct, containing the specific fields + // required by this test dataset + ShaderBuilderTester.expectHasFragmentStruct( + shaderBuilder, + MetadataPipelineStage.STRUCT_ID_METADATA_CLASS_FS, + MetadataPipelineStage.STRUCT_NAME_METADATA_CLASS, + [ + " vec2MetadataClass vec2Property;", + " intMetadataClass uint8Property;", + " ivec3MetadataClass uint8vec3Property;", + " vec3MetadataClass arrayProperty;", + " vec2MetadataClass valueTransformProperty;", + ], + ); + + ShaderBuilderTester.expectHasVertexFunctionUnordered( + shaderBuilder, + MetadataPipelineStage.FUNCTION_ID_INITIALIZE_METADATA_VS, + MetadataPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_METADATA, + [], + ); + + // Check that the correct values are assigned to the metadata and metadataClass structs + ShaderBuilderTester.expectHasFragmentFunctionUnordered( + shaderBuilder, + MetadataPipelineStage.FUNCTION_ID_INITIALIZE_METADATA_FS, + MetadataPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_METADATA, + [ + " metadata.vec2Property = texture(u_propertyTexture_1, attributes.texCoord_0).gb;", + " metadata.uint8Property = int(255.0 * texture(u_propertyTexture_1, attributes.texCoord_0).r);", + " metadata.uint8vec3Property = ivec3(255.0 * texture(u_propertyTexture_1, attributes.texCoord_0).rgb);", + " metadata.arrayProperty = texture(u_propertyTexture_1, attributes.texCoord_0).rgb;", + " metadata.valueTransformProperty = czm_valueTransform(u_valueTransformProperty_offset, u_valueTransformProperty_scale, texture(u_propertyTexture_1, attributes.texCoord_0).rg);", + " metadataClass.uint8vec3Property.defaultValue = ivec3(255,0,0);", + " metadataClass.uint8vec3Property.maxValue = ivec3(30,17,50);", + " metadataClass.uint8vec3Property.minValue = ivec3(10,10,10);", + " metadataClass.uint8vec3Property.noData = ivec3(19,13,50);", + ], + ); + ShaderBuilderTester.expectHasVertexFunctionUnordered( + shaderBuilder, + MetadataPipelineStage.FUNCTION_ID_SET_METADATA_VARYINGS, + MetadataPipelineStage.FUNCTION_SIGNATURE_SET_METADATA_VARYINGS, + [], + ); + ShaderBuilderTester.expectHasVertexUniforms(shaderBuilder, []); + ShaderBuilderTester.expectHasFragmentUniforms(shaderBuilder, [ + "uniform sampler2D u_propertyTexture_1;", + "uniform vec2 u_valueTransformProperty_offset;", + "uniform vec2 u_valueTransformProperty_scale;", + ]); + + // everything shares the same texture. + const structuralMetadata = renderResources.model.structuralMetadata; + const propertyTexture1 = structuralMetadata.getPropertyTexture(0); + const texture1 = propertyTexture1.getProperty("arrayProperty"); + + const uniformMap = renderResources.uniformMap; + expect(uniformMap.u_propertyTexture_1()).toBe( + texture1.textureReader.texture, + ); + + expect(uniformMap.u_valueTransformProperty_offset()).toEqual( + new Cartesian2(1, 1), + ); + expect(uniformMap.u_valueTransformProperty_scale()).toEqual( + new Cartesian2(2, 2), + ); + }, + ); }); it("Handles a tileset with metadata statistics", function () { @@ -517,7 +517,7 @@ describe( return Cesium3DTilesTester.loadTileset( scene, tilesetWithMetadataStatistics, - tilesetOptions + tilesetOptions, ).then(function (tileset) { expect(tileset).toBeDefined(); expect(tileset.tilesLoaded).toBe(true); @@ -562,13 +562,13 @@ describe( shaderBuilder, structName, structName, - structFields + structFields, ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, structName, structName, - structFields + structFields, ); // Check main metadata, metadataClass, metadataStatistics structs @@ -580,13 +580,13 @@ describe( shaderBuilder, MetadataPipelineStage.STRUCT_ID_METADATA_VS, MetadataPipelineStage.STRUCT_NAME_METADATA, - metadataFields + metadataFields, ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, MetadataPipelineStage.STRUCT_ID_METADATA_FS, MetadataPipelineStage.STRUCT_NAME_METADATA, - metadataFields + metadataFields, ); const metadataClassFields = [ @@ -597,13 +597,13 @@ describe( shaderBuilder, MetadataPipelineStage.STRUCT_ID_METADATA_CLASS_VS, MetadataPipelineStage.STRUCT_NAME_METADATA_CLASS, - metadataClassFields + metadataClassFields, ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, MetadataPipelineStage.STRUCT_ID_METADATA_CLASS_FS, MetadataPipelineStage.STRUCT_NAME_METADATA_CLASS, - metadataClassFields + metadataClassFields, ); const metadataStatisticsFields = [ @@ -613,13 +613,13 @@ describe( shaderBuilder, MetadataPipelineStage.STRUCT_ID_METADATA_STATISTICS_VS, MetadataPipelineStage.STRUCT_NAME_METADATA_STATISTICS, - metadataStatisticsFields + metadataStatisticsFields, ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, MetadataPipelineStage.STRUCT_ID_METADATA_STATISTICS_FS, MetadataPipelineStage.STRUCT_NAME_METADATA_STATISTICS, - metadataStatisticsFields + metadataStatisticsFields, ); // Check that the correct values are set in the initializeMetadata function @@ -638,16 +638,16 @@ describe( renderResources.shaderBuilder, MetadataPipelineStage.FUNCTION_ID_INITIALIZE_METADATA_VS, MetadataPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_METADATA, - assignments + assignments, ); ShaderBuilderTester.expectHasFragmentFunctionUnordered( renderResources.shaderBuilder, MetadataPipelineStage.FUNCTION_ID_INITIALIZE_METADATA_FS, MetadataPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_METADATA, - assignments + assignments, ); }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/Model3DTileContentSpec.js b/packages/engine/Specs/Scene/Model/Model3DTileContentSpec.js index 1aa9a724e95c..289dc253d350 100644 --- a/packages/engine/Specs/Scene/Model/Model3DTileContentSpec.js +++ b/packages/engine/Specs/Scene/Model/Model3DTileContentSpec.js @@ -160,11 +160,11 @@ describe( }); function rendersGeoJson(url) { - return Cesium3DTilesTester.loadTileset(scene, url).then(function ( - tileset - ) { - Cesium3DTilesTester.expectRender(scene, tileset); - }); + return Cesium3DTilesTester.loadTileset(scene, url).then( + function (tileset) { + Cesium3DTilesTester.expectRender(scene, tileset); + }, + ); } it("renders GeoJSON MultiPolygon", function () { @@ -201,31 +201,31 @@ describe( function picksGeoJson(url, hasProperties, expectedFeatureId) { expectedFeatureId = defaultValue(expectedFeatureId, 0); - return Cesium3DTilesTester.loadTileset(scene, url).then(function ( - tileset - ) { - const content = tileset.root.content; - tileset.show = false; - expect(scene).toPickPrimitive(undefined); - tileset.show = true; - expect(scene).toPickAndCall(function (result) { - expect(result).toBeDefined(); - expect(result.primitive).toBe(tileset); - expect(result.content).toBe(content); - const featureId = result.featureId; - expect(featureId).toBe(expectedFeatureId); - const feature = content.getFeature(featureId); - expect(feature).toBeDefined(); - - if (hasProperties) { - expect(feature.getProperty("name")).toBe("UL"); - expect(feature.getProperty("code")).toBe(12); - } else { - expect(feature.getProperty("name")).toBeUndefined(); - expect(feature.getProperty("code")).toBeUndefined(); - } - }); - }); + return Cesium3DTilesTester.loadTileset(scene, url).then( + function (tileset) { + const content = tileset.root.content; + tileset.show = false; + expect(scene).toPickPrimitive(undefined); + tileset.show = true; + expect(scene).toPickAndCall(function (result) { + expect(result).toBeDefined(); + expect(result.primitive).toBe(tileset); + expect(result.content).toBe(content); + const featureId = result.featureId; + expect(featureId).toBe(expectedFeatureId); + const feature = content.getFeature(featureId); + expect(feature).toBeDefined(); + + if (hasProperties) { + expect(feature.getProperty("name")).toBe("UL"); + expect(feature.getProperty("code")).toBe(12); + } else { + expect(feature.getProperty("name")).toBeUndefined(); + expect(feature.getProperty("code")).toBeUndefined(); + } + }); + }, + ); } it("picks GeoJSON MultiPolygon", function () { @@ -270,14 +270,14 @@ describe( return Cesium3DTilesTester.loadTileset(scene, withBatchTableUrl).then( function (tileset) { Cesium3DTilesTester.expectRenderTileset(scene, tileset); - } + }, ); }); it("renders b3dm with a binary batch table", function () { return Cesium3DTilesTester.loadTileset( scene, - withBatchTableBinaryUrl + withBatchTableBinaryUrl, ).then(function (tileset) { Cesium3DTilesTester.expectRenderTileset(scene, tileset); }); @@ -286,7 +286,7 @@ describe( it("renders b3dm content without batch table", function () { return Cesium3DTilesTester.loadTileset( scene, - withoutBatchTableUrl + withoutBatchTableUrl, ).then(function (tileset) { Cesium3DTilesTester.expectRenderTileset(scene, tileset); }); @@ -296,14 +296,14 @@ describe( return Cesium3DTilesTester.loadTileset(scene, noBatchIdsUrl).then( function (tileset) { Cesium3DTilesTester.expectRender(scene, tileset); - } + }, ); }); it("picks from b3dm", function () { return Cesium3DTilesTester.loadTileset( scene, - withoutBatchTableUrl + withoutBatchTableUrl, ).then(function (tileset) { const content = tileset.root.content; tileset.show = false; @@ -325,14 +325,14 @@ describe( return Cesium3DTilesTester.loadTileset(scene, translucentUrl).then( function (tileset) { Cesium3DTilesTester.expectRenderTileset(scene, tileset); - } + }, ); }); it("renders with a mix of opaque and translucent features", function () { return Cesium3DTilesTester.loadTileset( scene, - translucentOpaqueMixUrl + translucentOpaqueMixUrl, ).then(function (tileset) { Cesium3DTilesTester.expectRenderTileset(scene, tileset); }); @@ -342,38 +342,38 @@ describe( return Cesium3DTilesTester.loadTileset(scene, texturedUrl).then( function (tileset) { Cesium3DTilesTester.expectRender(scene, tileset); - } + }, ); }); function expectRenderWithTransform(url) { setCamera(centerLongitude, centerLatitude, 15.0); - return Cesium3DTilesTester.loadTileset(scene, url).then(function ( - tileset - ) { - Cesium3DTilesTester.expectRenderTileset(scene, tileset); + return Cesium3DTilesTester.loadTileset(scene, url).then( + function (tileset) { + Cesium3DTilesTester.expectRenderTileset(scene, tileset); - const newLongitude = -1.31962; - const newLatitude = 0.698874; - const newCenter = Cartesian3.fromRadians( - newLongitude, - newLatitude, - 0.0 - ); - const newHPR = new HeadingPitchRoll(); - const newTransform = Transforms.headingPitchRollToFixedFrame( - newCenter, - newHPR - ); + const newLongitude = -1.31962; + const newLatitude = 0.698874; + const newCenter = Cartesian3.fromRadians( + newLongitude, + newLatitude, + 0.0, + ); + const newHPR = new HeadingPitchRoll(); + const newTransform = Transforms.headingPitchRollToFixedFrame( + newCenter, + newHPR, + ); - // Update tile transform - tileset.root.transform = newTransform; - scene.renderForSpecs(); + // Update tile transform + tileset.root.transform = newTransform; + scene.renderForSpecs(); - // Move the camera to the new location - setCamera(newLongitude, newLatitude, 15.0); - Cesium3DTilesTester.expectRenderTileset(scene, tileset); - }); + // Move the camera to the new location + setCamera(newLongitude, newLatitude, 15.0); + Cesium3DTilesTester.expectRenderTileset(scene, tileset); + }, + ); } it("renders with a tile transform and box bounding volume", function () { @@ -405,7 +405,7 @@ describe( expect(content.hasProperty(featureId, "id")).toBe(true); expect(content.getFeature(featureId)).toBeDefined(); }); - } + }, ); }); @@ -417,7 +417,7 @@ describe( expect(content.innerContents).toBeUndefined(); expect(content.hasProperty(0, "id")).toBe(true); expect(content.getFeature(0)).toBeDefined(); - } + }, ); }); @@ -450,7 +450,7 @@ describe( expect(content.geometryByteLength).toEqual(geometryByteLength); expect(content.texturesByteLength).toEqual(texturesByteLength); expect(content.batchTableByteLength).toEqual( - batchTexturesByteLength + batchTexturesByteLength, ); // Pick the tile and expect the texture memory to increase @@ -458,9 +458,9 @@ describe( expect(content.geometryByteLength).toEqual(geometryByteLength); expect(content.texturesByteLength).toEqual(texturesByteLength); expect(content.batchTableByteLength).toEqual( - batchTexturesByteLength + pickTexturesByteLength + batchTexturesByteLength + pickTexturesByteLength, ); - } + }, ); }); @@ -472,7 +472,7 @@ describe( creditDisplay._currentFrameCredits.lightboxCredits.values; expect(credits.length).toEqual(1); expect(credits[0].credit.html).toEqual("Sample Copyright"); - } + }, ); }); @@ -525,7 +525,7 @@ describe( it("renders i3dm content", function () { return Cesium3DTilesTester.loadTileset( scene, - instancedWithBatchTableUrl + instancedWithBatchTableUrl, ).then(function (tileset) { Cesium3DTilesTester.expectRender(scene, tileset); }); @@ -534,7 +534,7 @@ describe( it("renders with external gltf", function () { return Cesium3DTilesTester.loadTileset( scene, - instancedExternalGltfUrl + instancedExternalGltfUrl, ).then(function (tileset) { Cesium3DTilesTester.expectRenderTileset(scene, tileset); }); @@ -543,7 +543,7 @@ describe( it("renders without normals", function () { return Cesium3DTilesTester.loadTileset( scene, - instancedWithoutNormalsUrl + instancedWithoutNormalsUrl, ).then(function (tileset) { Cesium3DTilesTester.expectRenderTileset(scene, tileset); }); @@ -552,7 +552,7 @@ describe( it("renders with batch table", function () { return Cesium3DTilesTester.loadTileset( scene, - instancedWithBatchTableUrl + instancedWithBatchTableUrl, ).then(function (tileset) { Cesium3DTilesTester.expectRenderTileset(scene, tileset); }); @@ -561,7 +561,7 @@ describe( it("renders without batch table", function () { return Cesium3DTilesTester.loadTileset( scene, - instancedWithoutBatchTableUrl + instancedWithoutBatchTableUrl, ).then(function (tileset) { Cesium3DTilesTester.expectRenderTileset(scene, tileset); }); @@ -570,7 +570,7 @@ describe( it("renders with batch ids", function () { return Cesium3DTilesTester.loadTileset( scene, - instancedWithBatchIdsUrl + instancedWithBatchIdsUrl, ).then(function (tileset) { Cesium3DTilesTester.expectRenderTileset(scene, tileset); }); @@ -579,7 +579,7 @@ describe( it("renders with textures", function () { return Cesium3DTilesTester.loadTileset( scene, - instancedTexturedUrl + instancedTexturedUrl, ).then(function (tileset) { Cesium3DTilesTester.expectRenderTileset(scene, tileset); }); @@ -588,7 +588,7 @@ describe( it("gets memory usage", function () { return Cesium3DTilesTester.loadTileset( scene, - instancedTexturedUrl + instancedTexturedUrl, ).then(function (tileset) { const content = tileset.root.content; @@ -625,7 +625,7 @@ describe( expect(content.geometryByteLength).toEqual(geometryByteLength); expect(content.texturesByteLength).toEqual(texturesByteLength); expect(content.batchTableByteLength).toEqual( - batchTexturesByteLength + pickTexturesByteLength + batchTexturesByteLength + pickTexturesByteLength, ); }); }); @@ -633,7 +633,7 @@ describe( it("picks from i3dm batch table", function () { return Cesium3DTilesTester.loadTileset( scene, - instancedWithBatchTableUrl + instancedWithBatchTableUrl, ).then(function (tileset) { const content = tileset.root.content; tileset.show = false; @@ -667,7 +667,7 @@ describe( return Cesium3DTilesTester.loadTileset(scene, pointCloudRGBAUrl).then( function (tileset) { Cesium3DTilesTester.expectRender(scene, tileset); - } + }, ); }); @@ -685,7 +685,7 @@ describe( expect(rgba[0]).toBeGreaterThan(rgba[1]); expect(rgba[0]).toBeGreaterThan(rgba[2]); }); - } + }, ); }); @@ -693,14 +693,14 @@ describe( return Cesium3DTilesTester.loadTileset(scene, pointCloudWGS84Url).then( function (tileset) { Cesium3DTilesTester.expectRender(scene, tileset); - } + }, ); }); it("renders point cloud with batch table", function () { return Cesium3DTilesTester.loadTileset( scene, - pointCloudBatchedUrl + pointCloudBatchedUrl, ).then(function (tileset) { Cesium3DTilesTester.expectRender(scene, tileset); }); @@ -709,7 +709,7 @@ describe( it("renders point cloud with per-point properties", function () { return Cesium3DTilesTester.loadTileset( scene, - pointCloudWithPerPointPropertiesUrl + pointCloudWithPerPointPropertiesUrl, ).then(function (tileset) { Cesium3DTilesTester.expectRender(scene, tileset); }); @@ -727,14 +727,14 @@ describe( expect(renderOptions).notToRender(color); tileset.debugColorizeTiles = false; expect(renderOptions).toRender(color); - } + }, ); }); it("renders pnts with color style", function () { return Cesium3DTilesTester.loadTileset( scene, - pointCloudWithPerPointPropertiesUrl + pointCloudWithPerPointPropertiesUrl, ).then(function (tileset) { // Verify render without style Cesium3DTilesTester.expectRender(scene, tileset); @@ -767,7 +767,7 @@ describe( it("renders pnts with show style", function () { return Cesium3DTilesTester.loadTileset( scene, - pointCloudWithPerPointPropertiesUrl + pointCloudWithPerPointPropertiesUrl, ).then(function (tileset) { // Verify render without style Cesium3DTilesTester.expectRender(scene, tileset); @@ -793,7 +793,7 @@ describe( it("renders pnts with point size style", function () { return Cesium3DTilesTester.loadTileset( scene, - pointCloudWithPerPointPropertiesUrl + pointCloudWithPerPointPropertiesUrl, ).then(function (tileset) { // Verify render without style Cesium3DTilesTester.expectRender(scene, tileset); @@ -816,7 +816,7 @@ describe( it("renders pnts with style using point cloud semantics", function () { return Cesium3DTilesTester.loadTileset( scene, - pointCloudWithPerPointPropertiesUrl + pointCloudWithPerPointPropertiesUrl, ).then(function (tileset) { // Verify render without style Cesium3DTilesTester.expectRender(scene, tileset); @@ -852,7 +852,7 @@ describe( it("renders pnts with style using point cloud properties", function () { return Cesium3DTilesTester.loadTileset( scene, - pointCloudWithPerPointPropertiesUrl + pointCloudWithPerPointPropertiesUrl, ).then(function (tileset) { // Verify render without style Cesium3DTilesTester.expectRender(scene, tileset); @@ -877,7 +877,7 @@ describe( it("renders pnts with style using point cloud properties (unicode)", function () { return Cesium3DTilesTester.loadTileset( scene, - pointCloudWithUnicodePropertyIdsUrl + pointCloudWithUnicodePropertyIdsUrl, ).then(function (tileset) { // Verify render without style Cesium3DTilesTester.expectRender(scene, tileset); @@ -903,7 +903,7 @@ describe( it("renders pnts with style and normals", function () { return Cesium3DTilesTester.loadTileset( scene, - pointCloudNormalsUrl + pointCloudNormalsUrl, ).then(function (tileset) { // Verify render without style Cesium3DTilesTester.expectRender(scene, tileset); @@ -930,7 +930,7 @@ describe( it("throws if style references the NORMAL semantic for pnts without normals", function () { return Cesium3DTilesTester.loadTileset( scene, - pointCloudWithPerPointPropertiesUrl + pointCloudWithPerPointPropertiesUrl, ).then(function (tileset) { // Verify render without style Cesium3DTilesTester.expectRender(scene, tileset); @@ -957,14 +957,14 @@ describe( expect(result.primitive).toBe(tileset); expect(result.content).toBe(content); }); - } + }, ); }); it("picks based on batchId", function () { return Cesium3DTilesTester.loadTileset( scene, - pointCloudBatchedUrl + pointCloudBatchedUrl, ).then(function (tileset) { // Get the original color let color; @@ -1001,14 +1001,14 @@ describe( expect(function () { return content.getFeature(0); }).toThrowDeveloperError(); - } + }, ); }); it("batched point cloud works", function () { return Cesium3DTilesTester.loadTileset( scene, - pointCloudBatchedUrl + pointCloudBatchedUrl, ).then(function (tileset) { const content = tileset.root.content; expect(content.featuresLength).toBe(8); @@ -1023,7 +1023,7 @@ describe( // table will be created. return Cesium3DTilesTester.loadTileset( scene, - pointCloudWithPerPointPropertiesUrl + pointCloudWithPerPointPropertiesUrl, ).then(function (tileset) { const content = tileset.root.content; expect(content.featuresLength).toBe(0); @@ -1039,7 +1039,7 @@ describe( return Cesium3DTilesTester.loadTileset( scene, - pointCloudBatchedUrl + pointCloudBatchedUrl, ).then(function (tileset) { // Get the number of picked sections with back face culling on let pickedCountCulling = 0; @@ -1070,7 +1070,7 @@ describe( Cesium3DTilesTester.loadTileset(scene, pointCloudNormalsUrl), Cesium3DTilesTester.loadTileset( scene, - pointCloudQuantizedOctEncodedUrl + pointCloudQuantizedOctEncodedUrl, ), ]; @@ -1087,7 +1087,7 @@ describe( for (let i = 0; i < length; ++i) { const content = tilesets[i].root.content; expect(content.geometryByteLength).toEqual( - expectedGeometryMemory[i] + expectedGeometryMemory[i], ); expect(content.texturesByteLength).toEqual(0); } @@ -1098,7 +1098,7 @@ describe( setCamera(centerLongitude, centerLatitude, 25.0); return Cesium3DTilesTester.loadTileset( scene, - instancedWithBatchTableUrl + instancedWithBatchTableUrl, ).then(function (tileset) { const content = tileset.root.content; tileset.show = false; @@ -1119,7 +1119,7 @@ describe( it("gets memory usage for batch point cloud", function () { return Cesium3DTilesTester.loadTileset( scene, - pointCloudBatchedUrl + pointCloudBatchedUrl, ).then(function (tileset) { const content = tileset.root.content; @@ -1147,7 +1147,7 @@ describe( expect(content.geometryByteLength).toEqual(pointCloudGeometryMemory); expect(content.texturesByteLength).toEqual(0); expect(content.batchTableByteLength).toEqual( - binaryPropertyMemory + batchTexturesByteLength + binaryPropertyMemory + batchTexturesByteLength, ); // Pick the tile and expect the texture memory to increase @@ -1157,7 +1157,7 @@ describe( expect(content.batchTableByteLength).toEqual( binaryPropertyMemory + batchTexturesByteLength + - pickTexturesByteLength + pickTexturesByteLength, ); }); }); @@ -1174,7 +1174,7 @@ describe( const center = new Cartesian3.fromRadians( centerLongitude, centerLatitude, - 5.0 + 5.0, ); scene.camera.lookAt(center, new HeadingPitchRange(0.0, -1.57, 5.0)); scene.postProcessStages.fxaa.enabled = false; @@ -1182,7 +1182,7 @@ describe( return Cesium3DTilesTester.loadTileset( scene, - pointCloudNoColorUrl + pointCloudNoColorUrl, ).then(function (tileset) { tileset.pointCloudShading.eyeDomeLighting = false; tileset.root.refine = Cesium3DTileRefine.REPLACE; @@ -1281,7 +1281,7 @@ describe( it("becomes ready with glb", async function () { const tileset = await Cesium3DTilesTester.loadTileset( scene, - glbContentUrl + glbContentUrl, ); expect(tileset.root.contentReady).toBeTrue(); expect(tileset.root.content).toBeDefined(); @@ -1290,7 +1290,7 @@ describe( it("becomes ready with glTF", async function () { const tileset = await Cesium3DTilesTester.loadTileset( scene, - gltfContentUrl + gltfContentUrl, ); expect(tileset.root.contentReady).toBeTrue(); expect(tileset.root.content).toBeDefined(); @@ -1300,14 +1300,14 @@ describe( return Cesium3DTilesTester.loadTileset(scene, glbContentUrl).then( function (tileset) { Cesium3DTilesTester.expectRender(scene, tileset); - } + }, ); }); it("renders glTF content", function () { return Cesium3DTilesTester.loadTileset( scene, - buildingsMetadataUrl + buildingsMetadataUrl, ).then(function (tileset) { Cesium3DTilesTester.expectRender(scene, tileset); }); @@ -1320,14 +1320,14 @@ describe( expect(function () { content.getFeature(0); }).toThrowDeveloperError(); - } + }, ); }); it("throws when calling getFeature with invalid index", function () { return Cesium3DTilesTester.loadTileset( scene, - buildingsMetadataUrl + buildingsMetadataUrl, ).then(function (tileset) { const content = tileset.root.content; expect(function () { @@ -1360,14 +1360,14 @@ describe( return content.getFeature(0); }).toThrowDeveloperError(); }); - } + }, ); }); it("picks from glTF feature table", function () { return Cesium3DTilesTester.loadTileset( scene, - buildingsMetadataUrl + buildingsMetadataUrl, ).then(function (tileset) { const content = tileset.root.content; tileset.show = false; @@ -1404,7 +1404,7 @@ describe( return Cesium3DTilesTester.loadTileset( scene, noBatchIdsUrl, - tilesetOptions + tilesetOptions, ).then(function (tileset) { // expectRender() renders twice, first with tileset.show = false, // then with tileset.show = true. @@ -1423,7 +1423,7 @@ describe( return Cesium3DTilesTester.loadTileset( scene, noBatchIdsUrl, - tilesetOptions + tilesetOptions, ).then(function (tileset) { // expectRenderBlank() renders twice, first with tileset.show = false, // then with tileset.show = true. @@ -1448,7 +1448,7 @@ describe( const content = tile.content; const model = content._model; const passOptions = Cesium3DTilePass.getPassOptions( - Cesium3DTilePass.RENDER + Cesium3DTilePass.RENDER, ); expect(model.clippingPlanes).toBeUndefined(); @@ -1467,7 +1467,7 @@ describe( tile.update(tileset, scene.frameState, passOptions); expect(model.clippingPlanes).toBeUndefined(); - } + }, ); }); @@ -1477,7 +1477,7 @@ describe( const tile = tileset.root; const model = tile.content._model; const passOptions = Cesium3DTilePass.getPassOptions( - Cesium3DTilePass.RENDER + Cesium3DTilePass.RENDER, ); expect(model.clippingPlanes).toBeUndefined(); @@ -1501,7 +1501,7 @@ describe( tile.update(tileset, scene.frameState, passOptions); expect(model.clippingPlanes).toBe(tileset.clippingPlanes); - } + }, ); }); @@ -1511,7 +1511,7 @@ describe( const tile = tileset.root; const model = tile.content._model; const passOptions = Cesium3DTilePass.getPassOptions( - Cesium3DTilePass.RENDER + Cesium3DTilePass.RENDER, ); expect(model.clippingPlanes).toBeUndefined(); @@ -1534,7 +1534,7 @@ describe( tile.update(tileset, scene.frameState, passOptions); expect(model.resetDrawCommands.calls.count()).toBe(2); - } + }, ); }); @@ -1556,7 +1556,7 @@ describe( clipPlane.distance = 5.0; expect(scene).toRender(color); - } + }, ); }); @@ -1572,14 +1572,14 @@ describe( tileset.clippingPlanes = new ClippingPlaneCollection({ planes: [clipPlane], modelMatrix: Transforms.eastNorthUpToFixedFrame( - tileset.boundingSphere.center + tileset.boundingSphere.center, ), edgeWidth: 20.0, edgeColor: Color.RED, }); expect(scene).notToRender(color); - } + }, ); }); @@ -1587,7 +1587,7 @@ describe( // Force uint8 mode - there's a slight rendering difference between // float and packed uint8 clipping planes for this test due to the small context spyOn(ClippingPlaneCollection, "useFloatTexture").and.returnValue( - false + false, ); return Cesium3DTilesTester.loadTileset(scene, withBatchTableUrl).then( function (tileset) { @@ -1602,7 +1602,7 @@ describe( new ClippingPlane(Cartesian3.UNIT_X, 0.0), ], modelMatrix: Transforms.eastNorthUpToFixedFrame( - tileset.boundingSphere.center + tileset.boundingSphere.center, ), unionClippingRegions: true, }); @@ -1612,7 +1612,7 @@ describe( tileset.clippingPlanes.unionClippingRegions = false; expect(scene).toRender(color); - } + }, ); }); @@ -1634,7 +1634,7 @@ describe( new ClippingPlane(Cartesian3.UNIT_X, 1.0), ], modelMatrix: Transforms.eastNorthUpToFixedFrame( - tileset.boundingSphere.center + tileset.boundingSphere.center, ), unionClippingRegions: true, }); @@ -1644,7 +1644,7 @@ describe( tileset.clippingPlanes.unionClippingRegions = false; expect(scene).toRender(color); - } + }, ); }); }); @@ -1673,7 +1673,7 @@ describe( const tileset = await Cesium3DTilesTester.loadTileset( scene, - withBatchTableUrl + withBatchTableUrl, ); let color; expect(scene).toRenderAndCall(function (rgba) { @@ -1712,7 +1712,7 @@ describe( } const depthColorAttribute = ColorGeometryInstanceAttribute.fromColor( - new Color(0.0, 0.0, 0.0, 1.0) + new Color(0.0, 0.0, 0.0, 1.0), ); return new Primitive({ @@ -1769,7 +1769,7 @@ describe( scene = createScene(); const translation = Ellipsoid.WGS84.geodeticSurfaceNormalCartographic( - new Cartographic(centerLongitude, centerLatitude) + new Cartographic(centerLongitude, centerLatitude), ); Cartesian3.multiplyByScalar(translation, -5.0, translation); modelMatrix = Matrix4.fromTranslation(translation); @@ -1779,12 +1779,12 @@ describe( centerLongitude - offset, centerLatitude - offset, centerLongitude + offset, - centerLatitude + offset + centerLatitude + offset, ); reusableGlobePrimitive = createPrimitive(rectangle, Pass.GLOBE); reusableTilesetPrimitive = createPrimitive( rectangle, - Pass.CESIUM_3D_TILE + Pass.CESIUM_3D_TILE, ); }); @@ -1796,7 +1796,7 @@ describe( globePrimitive = new MockPrimitive(reusableGlobePrimitive, Pass.GLOBE); tilesetPrimitive = new MockPrimitive( reusableTilesetPrimitive, - Pass.CESIUM_3D_TILE + Pass.CESIUM_3D_TILE, ); scene.primitives.add(globePrimitive); @@ -1936,7 +1936,7 @@ describe( it("assigns group metadata", function () { return Cesium3DTilesTester.loadTileset( scene, - withoutBatchTableUrl + withoutBatchTableUrl, ).then(function (tileset) { const content = tileset.root.content; content.group = new Cesium3DContentGroup({ metadata: groupMetadata }); @@ -1947,7 +1947,7 @@ describe( it("assigns metadata", function () { return Cesium3DTilesTester.loadTileset( scene, - withoutBatchTableUrl + withoutBatchTableUrl, ).then(function (tileset) { const content = tileset.root.content; content.metadata = contentMetadata; @@ -1956,5 +1956,5 @@ describe( }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/ModelAnimationChannelSpec.js b/packages/engine/Specs/Scene/Model/ModelAnimationChannelSpec.js index 20070d210ee7..b03ffb438fd1 100644 --- a/packages/engine/Specs/Scene/Model/ModelAnimationChannelSpec.js +++ b/packages/engine/Specs/Scene/Model/ModelAnimationChannelSpec.js @@ -128,7 +128,7 @@ describe("Scene/Model/ModelAnimationChannel", function () { const mockChannel = createMockChannel( mockNode, mockSampler, - AnimatedPropertyType.TRANSLATION + AnimatedPropertyType.TRANSLATION, ); const runtimeChannel = new ModelAnimationChannel({ @@ -154,7 +154,7 @@ describe("Scene/Model/ModelAnimationChannel", function () { const mockChannel = createMockChannel( mockNode, mockSampler, - AnimatedPropertyType.TRANSLATION + AnimatedPropertyType.TRANSLATION, ); const runtimeChannel = new ModelAnimationChannel({ @@ -180,7 +180,7 @@ describe("Scene/Model/ModelAnimationChannel", function () { const mockChannel = createMockChannel( mockNode, mockSampler, - AnimatedPropertyType.ROTATION + AnimatedPropertyType.ROTATION, ); const runtimeChannel = new ModelAnimationChannel({ @@ -206,7 +206,7 @@ describe("Scene/Model/ModelAnimationChannel", function () { const mockChannel = createMockChannel( mockNode, mockSampler, - AnimatedPropertyType.SCALE + AnimatedPropertyType.SCALE, ); const runtimeChannel = new ModelAnimationChannel({ @@ -247,7 +247,7 @@ describe("Scene/Model/ModelAnimationChannel", function () { const mockChannel = createMockChannel( mockNode, mockSampler, - AnimatedPropertyType.TRANSLATION + AnimatedPropertyType.TRANSLATION, ); const runtimeChannel = new ModelAnimationChannel({ @@ -285,7 +285,7 @@ describe("Scene/Model/ModelAnimationChannel", function () { const mockChannel = createMockChannel( mockNode, mockSampler, - AnimatedPropertyType.WEIGHTS + AnimatedPropertyType.WEIGHTS, ); const runtimeChannel = new ModelAnimationChannel({ @@ -324,7 +324,7 @@ describe("Scene/Model/ModelAnimationChannel", function () { const mockChannel = createMockChannel( mockNode, mockSampler, - AnimatedPropertyType.WEIGHTS + AnimatedPropertyType.WEIGHTS, ); const runtimeChannel = new ModelAnimationChannel({ @@ -361,7 +361,7 @@ describe("Scene/Model/ModelAnimationChannel", function () { const mockChannel = createMockChannel( mockNode, mockSampler, - AnimatedPropertyType.TRANSLATION + AnimatedPropertyType.TRANSLATION, ); const runtimeChannel = new ModelAnimationChannel({ @@ -379,7 +379,7 @@ describe("Scene/Model/ModelAnimationChannel", function () { runtimeChannel.animate(time); expect(runtimeNode.translation).toEqual(expected); expect(runtimeNode.transform).toEqual( - Matrix4.fromTranslation(expected, scratchTransform) + Matrix4.fromTranslation(expected, scratchTransform), ); time = (times[1] + times[2]) / 2.0; @@ -387,13 +387,13 @@ describe("Scene/Model/ModelAnimationChannel", function () { translationPoints[1], translationPoints[2], 0.5, - expected + expected, ); runtimeChannel.animate(time); expect(runtimeNode.translation).toEqual(expected); expect(runtimeNode.transform).toEqual( - Matrix4.fromTranslation(expected, scratchTransform) + Matrix4.fromTranslation(expected, scratchTransform), ); }); @@ -407,7 +407,7 @@ describe("Scene/Model/ModelAnimationChannel", function () { const mockChannel = createMockChannel( mockNode, mockSampler, - AnimatedPropertyType.ROTATION + AnimatedPropertyType.ROTATION, ); const runtimeChannel = new ModelAnimationChannel({ @@ -428,8 +428,8 @@ describe("Scene/Model/ModelAnimationChannel", function () { expect( runtimeNode.transform.equalsEpsilon( Matrix4.fromRotation(expectedMatrix, scratchTransform), - CesiumMath.EPSILON6 - ) + CesiumMath.EPSILON6, + ), ).toBe(true); time = (times[1] + times[2]) / 2.0; @@ -437,19 +437,19 @@ describe("Scene/Model/ModelAnimationChannel", function () { rotationPoints[1], rotationPoints[2], 0.5, - expected + expected, ); expectedMatrix = Matrix3.fromQuaternion(expected, expectedMatrix); runtimeChannel.animate(time); expect( - runtimeNode.rotation.equalsEpsilon(expected, CesiumMath.EPSILON6) + runtimeNode.rotation.equalsEpsilon(expected, CesiumMath.EPSILON6), ).toEqual(true); expect( runtimeNode.transform.equalsEpsilon( Matrix4.fromRotation(expectedMatrix, scratchTransform), - CesiumMath.EPSILON6 - ) + CesiumMath.EPSILON6, + ), ); }); @@ -463,7 +463,7 @@ describe("Scene/Model/ModelAnimationChannel", function () { const mockChannel = createMockChannel( mockNode, mockSampler, - AnimatedPropertyType.SCALE + AnimatedPropertyType.SCALE, ); const runtimeChannel = new ModelAnimationChannel({ @@ -482,7 +482,7 @@ describe("Scene/Model/ModelAnimationChannel", function () { runtimeChannel.animate(time); expect(runtimeNode.scale).toEqual(expected); expect(runtimeNode.transform).toEqual( - Matrix4.fromScale(expected, scratchTransform) + Matrix4.fromScale(expected, scratchTransform), ); time = (times[1] + times[2]) / 2.0; @@ -491,7 +491,7 @@ describe("Scene/Model/ModelAnimationChannel", function () { runtimeChannel.animate(time); expect(runtimeNode.scale).toEqual(expected); expect(runtimeNode.transform).toEqual( - Matrix4.fromScale(expected, scratchTransform) + Matrix4.fromScale(expected, scratchTransform), ); }); @@ -507,7 +507,7 @@ describe("Scene/Model/ModelAnimationChannel", function () { const mockChannel = createMockChannel( mockNode, mockSampler, - AnimatedPropertyType.WEIGHTS + AnimatedPropertyType.WEIGHTS, ); const runtimeChannel = new ModelAnimationChannel({ @@ -543,7 +543,7 @@ describe("Scene/Model/ModelAnimationChannel", function () { const mockChannel = createMockChannel( mockNode, mockSampler, - AnimatedPropertyType.TRANSLATION + AnimatedPropertyType.TRANSLATION, ); const runtimeChannel = new ModelAnimationChannel({ @@ -561,7 +561,7 @@ describe("Scene/Model/ModelAnimationChannel", function () { runtimeChannel.animate(time); expect(runtimeNode.translation).toEqual(expected); expect(runtimeNode.transform).toEqual( - Matrix4.fromTranslation(expected, scratchTransform) + Matrix4.fromTranslation(expected, scratchTransform), ); time = -10.0; @@ -570,7 +570,7 @@ describe("Scene/Model/ModelAnimationChannel", function () { runtimeChannel.animate(time); expect(runtimeNode.translation).toEqual(expected); expect(runtimeNode.transform).toEqual( - Matrix4.fromTranslation(expected, scratchTransform) + Matrix4.fromTranslation(expected, scratchTransform), ); }); @@ -584,7 +584,7 @@ describe("Scene/Model/ModelAnimationChannel", function () { const mockChannel = createMockChannel( mockNode, mockSampler, - AnimatedPropertyType.TRANSLATION + AnimatedPropertyType.TRANSLATION, ); const wrappedRuntimeAnimation = { @@ -608,7 +608,7 @@ describe("Scene/Model/ModelAnimationChannel", function () { runtimeChannel.animate(time); expect(runtimeNode.translation).toEqual(expected); expect(runtimeNode.transform).toEqual( - Matrix4.fromTranslation(expected, scratchTransform) + Matrix4.fromTranslation(expected, scratchTransform), ); time = -0.5; @@ -617,7 +617,7 @@ describe("Scene/Model/ModelAnimationChannel", function () { runtimeChannel.animate(time); expect(runtimeNode.translation).toEqual(expected); expect(runtimeNode.transform).toEqual( - Matrix4.fromTranslation(expected, scratchTransform) + Matrix4.fromTranslation(expected, scratchTransform), ); }); @@ -631,7 +631,7 @@ describe("Scene/Model/ModelAnimationChannel", function () { const mockChannel = createMockChannel( mockNode, mockSampler, - AnimatedPropertyType.TRANSLATION + AnimatedPropertyType.TRANSLATION, ); const runtimeChannel = new ModelAnimationChannel({ diff --git a/packages/engine/Specs/Scene/Model/ModelAnimationCollectionSpec.js b/packages/engine/Specs/Scene/Model/ModelAnimationCollectionSpec.js index cc938fab9721..b6c1f392a4e6 100644 --- a/packages/engine/Specs/Scene/Model/ModelAnimationCollectionSpec.js +++ b/packages/engine/Specs/Scene/Model/ModelAnimationCollectionSpec.js @@ -19,7 +19,7 @@ describe( "./Data/Models/glTF-2.0/InterpolationTest/glTF-Binary/InterpolationTest.glb"; const defaultDate = JulianDate.fromDate( - new Date("January 1, 2014 12:00:00 UTC") + new Date("January 1, 2014 12:00:00 UTC"), ); const scratchJulianDate = new JulianDate(); let scene; @@ -42,7 +42,7 @@ describe( { gltf: animatedTriangleUrl, }, - scene + scene, ).then(function (model) { const animationCollection = model.activeAnimations; expect(animationCollection).toBeDefined(); @@ -68,7 +68,7 @@ describe( { gltf: animatedTriangleUrl, }, - scene + scene, ).then(function (model) { expect(function () { model.activeAnimations.add({}); @@ -81,7 +81,7 @@ describe( { gltf: animatedTriangleUrl, }, - scene + scene, ).then(function (model) { expect(function () { model.activeAnimations.add({ @@ -96,7 +96,7 @@ describe( { gltf: animatedTriangleUrl, }, - scene + scene, ).then(function (model) { expect(function () { model.activeAnimations.add({ @@ -111,7 +111,7 @@ describe( { gltf: animatedTriangleUrl, }, - scene + scene, ).then(function (model) { expect(function () { model.activeAnimations.add({ @@ -127,7 +127,7 @@ describe( { gltf: animatedTriangleUrl, }, - scene + scene, ).then(function (model) { const runtimeAnimation = model.activeAnimations.add({ name: "Sample Animation", @@ -137,7 +137,7 @@ describe( expect(animationCollection.length).toBe(1); expect(runtimeAnimation).toBe( - animationCollection._runtimeAnimations[0] + animationCollection._runtimeAnimations[0], ); expect(runtimeAnimation.startTime).toBeUndefined(); expect(runtimeAnimation.delay).toBe(0.0); @@ -154,7 +154,7 @@ describe( { gltf: animatedTriangleUrl, }, - scene + scene, ).then(function (model) { const runtimeAnimation = model.activeAnimations.add({ index: 0, @@ -164,7 +164,7 @@ describe( expect(animationCollection.length).toBe(1); expect(runtimeAnimation).toBe( - animationCollection._runtimeAnimations[0] + animationCollection._runtimeAnimations[0], ); expect(runtimeAnimation.startTime).toBeUndefined(); expect(runtimeAnimation.delay).toBe(0.0); @@ -181,16 +181,16 @@ describe( { gltf: animatedTriangleUrl, }, - scene + scene, ).then(function (model) { const options = { index: 0, startTime: JulianDate.fromDate( - new Date("January 1, 2014 12:00:00 UTC") + new Date("January 1, 2014 12:00:00 UTC"), ), delay: 5.0, stopTime: JulianDate.fromDate( - new Date("January 1, 2014 12:01:30 UTC") + new Date("January 1, 2014 12:01:30 UTC"), ), multiplier: 0.5, reverse: true, @@ -203,7 +203,7 @@ describe( expect(animationCollection.length).toBe(1); expect(runtimeAnimation).toBe( - animationCollection._runtimeAnimations[0] + animationCollection._runtimeAnimations[0], ); expect(runtimeAnimation.startTime).toEqual(options.startTime); expect(runtimeAnimation.delay).toBe(5.0); @@ -230,7 +230,7 @@ describe( { gltf: interpolationTestUrl, }, - scene + scene, ).then(function (model) { expect(function () { model.activeAnimations.addAll({ @@ -245,7 +245,7 @@ describe( { gltf: interpolationTestUrl, }, - scene + scene, ).then(function (model) { const runtimeAnimations = model.activeAnimations.addAll(); @@ -256,7 +256,7 @@ describe( for (let i = 0; i < length; i++) { const runtimeAnimation = runtimeAnimations[i]; expect(runtimeAnimation).toBe( - animationCollection._runtimeAnimations[i] + animationCollection._runtimeAnimations[i], ); expect(runtimeAnimation.startTime).toBeUndefined(); expect(runtimeAnimation.delay).toBe(0.0); @@ -274,15 +274,15 @@ describe( { gltf: interpolationTestUrl, }, - scene + scene, ).then(function (model) { const options = { startTime: JulianDate.fromDate( - new Date("January 1, 2014 12:00:00 UTC") + new Date("January 1, 2014 12:00:00 UTC"), ), delay: 5.0, stopTime: JulianDate.fromDate( - new Date("January 1, 2014 12:01:30 UTC") + new Date("January 1, 2014 12:01:30 UTC"), ), multiplier: 0.5, reverse: true, @@ -298,7 +298,7 @@ describe( for (let i = 0; i < length; i++) { const runtimeAnimation = runtimeAnimations[i]; expect(runtimeAnimation).toBe( - animationCollection._runtimeAnimations[i] + animationCollection._runtimeAnimations[i], ); expect(runtimeAnimation.startTime).toEqual(options.startTime); expect(runtimeAnimation.delay).toBe(5.0); @@ -316,7 +316,7 @@ describe( { gltf: animatedTriangleUrl, }, - scene + scene, ).then(function (model) { const animationCollection = model.activeAnimations; animationCollection.add({ index: 0 }); @@ -329,13 +329,13 @@ describe( { gltf: animatedTriangleUrl, }, - scene + scene, ).then(function (firstModel) { return loadAndZoomToModelAsync( { gltf: animatedTriangleUrl, }, - scene + scene, ).then(function (secondModel) { const firstCollection = firstModel.activeAnimations; const animation = firstCollection.add({ index: 0 }); @@ -350,7 +350,7 @@ describe( { gltf: animatedTriangleUrl, }, - scene + scene, ).then(function (model) { const animationCollection = model.activeAnimations; const animation = animationCollection.add({ index: 0 }); @@ -363,7 +363,7 @@ describe( { gltf: animatedTriangleUrl, }, - scene + scene, ).then(function (model) { const animationCollection = model.activeAnimations; animationCollection.add({ index: 0 }); @@ -378,7 +378,7 @@ describe( { gltf: animatedTriangleUrl, }, - scene + scene, ).then(function (model) { const animationCollection = model.activeAnimations; animationCollection.add({ index: 0 }); @@ -393,7 +393,7 @@ describe( { gltf: interpolationTestUrl, }, - scene + scene, ).then(function (model) { const animationCollection = model.activeAnimations; const animation = animationCollection.add({ index: 3 }); @@ -406,7 +406,7 @@ describe( { gltf: animatedTriangleUrl, }, - scene + scene, ).then(function (model) { const animationCollection = model.activeAnimations; animationCollection.add({ index: 0 }); @@ -419,13 +419,13 @@ describe( { gltf: animatedTriangleUrl, }, - scene + scene, ).then(function (firstModel) { return loadAndZoomToModelAsync( { gltf: animatedTriangleUrl, }, - scene + scene, ).then(function (secondModel) { const firstCollection = firstModel.activeAnimations; const animation = firstCollection.add({ index: 0 }); @@ -440,7 +440,7 @@ describe( { gltf: interpolationTestUrl, }, - scene + scene, ).then(function (model) { const animationCollection = model.activeAnimations; const animationToRemove = animationCollection.add({ index: 0 }); @@ -460,7 +460,7 @@ describe( { gltf: interpolationTestUrl, }, - scene + scene, ).then(function (model) { const animationCollection = model.activeAnimations; animationCollection.addAll(); @@ -475,7 +475,7 @@ describe( { gltf: interpolationTestUrl, }, - scene + scene, ).then(function (model) { const animationCollection = model.activeAnimations; expect(animationCollection.length).toBe(0); @@ -488,7 +488,7 @@ describe( { gltf: animatedTriangleUrl, }, - scene + scene, ).then(function (model) { let time = defaultDate; const animations = model.activeAnimations; @@ -516,7 +516,7 @@ describe( time = JulianDate.addSeconds(time, 1.0, scratchJulianDate); return stopped; }, - { timeout: 10000 } + { timeout: 10000 }, ).then(function () { expect(spyStart).toHaveBeenCalledWith(model, animation); @@ -540,7 +540,7 @@ describe( { gltf: animatedTriangleUrl, }, - scene + scene, ).then(function (model) { const time = defaultDate; const animationCollection = model.activeAnimations; @@ -553,10 +553,10 @@ describe( scene.renderForSpecs(time); scene.renderForSpecs( - JulianDate.addSeconds(time, 1.0, scratchJulianDate) + JulianDate.addSeconds(time, 1.0, scratchJulianDate), ); scene.renderForSpecs( - JulianDate.addSeconds(time, 2.0, scratchJulianDate) + JulianDate.addSeconds(time, 2.0, scratchJulianDate), ); expect(spyUpdate.calls.count()).toEqual(3); @@ -572,7 +572,7 @@ describe( { gltf: animatedTriangleUrl, }, - scene + scene, ).then(function (model) { const time = defaultDate; const animationCollection = model.activeAnimations; @@ -587,7 +587,7 @@ describe( scene.renderForSpecs(time); // Does not fire start event scene.renderForSpecs( - JulianDate.addSeconds(time, 1.0, scratchJulianDate) + JulianDate.addSeconds(time, 1.0, scratchJulianDate), ); expect(spyStart.calls.count()).toEqual(1); @@ -599,7 +599,7 @@ describe( { gltf: animatedTriangleUrl, }, - scene + scene, ).then(function (model) { const time = defaultDate; const animationCollection = model.activeAnimations; @@ -612,10 +612,10 @@ describe( animation.update.addEventListener(spyUpdate); scene.renderForSpecs( - JulianDate.addSeconds(time, -2.0, scratchJulianDate) + JulianDate.addSeconds(time, -2.0, scratchJulianDate), ); scene.renderForSpecs( - JulianDate.addSeconds(time, -1.0, scratchJulianDate) + JulianDate.addSeconds(time, -1.0, scratchJulianDate), ); scene.renderForSpecs(time); @@ -629,10 +629,10 @@ describe( { gltf: animatedTriangleUrl, }, - scene + scene, ).then(function (model) { const time = JulianDate.fromDate( - new Date("January 1, 2014 12:00:00 UTC") + new Date("January 1, 2014 12:00:00 UTC"), ); const endDate = new Date("January 1, 2014 12:00:00 UTC"); endDate.setMilliseconds(500); @@ -650,10 +650,10 @@ describe( scene.renderForSpecs(time); scene.renderForSpecs( - JulianDate.addSeconds(time, 0.5, scratchJulianDate) + JulianDate.addSeconds(time, 0.5, scratchJulianDate), ); scene.renderForSpecs( - JulianDate.addSeconds(time, 1.0, scratchJulianDate) + JulianDate.addSeconds(time, 1.0, scratchJulianDate), ); expect(spyUpdate.calls.count()).toEqual(3); @@ -668,7 +668,7 @@ describe( { gltf: animatedTriangleUrl, }, - scene + scene, ).then(function (model) { const time = defaultDate; const animationCollection = model.activeAnimations; @@ -686,34 +686,34 @@ describe( scene.renderForSpecs(time); animationTime = 0.1; scene.renderForSpecs( - JulianDate.addSeconds(time, 1.0, scratchJulianDate) + JulianDate.addSeconds(time, 1.0, scratchJulianDate), ); // no update because animationTime didn't change scene.renderForSpecs( - JulianDate.addSeconds(time, 2.0, scratchJulianDate) + JulianDate.addSeconds(time, 2.0, scratchJulianDate), ); animationTime = 0.2; // no update because scene time didn't change scene.renderForSpecs( - JulianDate.addSeconds(time, 2.0, scratchJulianDate) + JulianDate.addSeconds(time, 2.0, scratchJulianDate), ); animationTime = 0.3; scene.renderForSpecs( - JulianDate.addSeconds(time, 3.0, scratchJulianDate) + JulianDate.addSeconds(time, 3.0, scratchJulianDate), ); expect(spyUpdate.calls.count()).toEqual(3); expect(spyUpdate.calls.argsFor(0)[2]).toEqualEpsilon( 0.0, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(spyUpdate.calls.argsFor(1)[2]).toEqualEpsilon( 0.1, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(spyUpdate.calls.argsFor(2)[2]).toEqualEpsilon( 0.3, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); }); }); @@ -723,7 +723,7 @@ describe( { gltf: animatedTriangleUrl, }, - scene + scene, ).then(function (model) { const time = defaultDate; const animationCollection = model.activeAnimations; @@ -750,15 +750,15 @@ describe( expect(spyUpdate.calls.count()).toEqual(3); expect(spyUpdate.calls.argsFor(0)[2]).toEqualEpsilon( 0.0, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(spyUpdate.calls.argsFor(1)[2]).toEqualEpsilon( 0.1, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(spyUpdate.calls.argsFor(2)[2]).toEqualEpsilon( 0.3, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); }); }); @@ -768,7 +768,7 @@ describe( { gltf: animatedTriangleUrl, }, - scene + scene, ).then(function (model) { const time = defaultDate; const animationCollection = model.activeAnimations; @@ -783,10 +783,10 @@ describe( scene.renderForSpecs(time); scene.renderForSpecs( - JulianDate.addSeconds(time, 1.0, scratchJulianDate) + JulianDate.addSeconds(time, 1.0, scratchJulianDate), ); scene.renderForSpecs( - JulianDate.addSeconds(time, 2.0, scratchJulianDate) + JulianDate.addSeconds(time, 2.0, scratchJulianDate), ); expect(spyUpdate.calls.count()).toEqual(3); @@ -801,7 +801,7 @@ describe( { gltf: animatedTriangleUrl, }, - scene + scene, ).then(function (model) { const time = defaultDate; const animationCollection = model.activeAnimations; @@ -816,10 +816,10 @@ describe( scene.renderForSpecs(time); scene.renderForSpecs( - JulianDate.addSeconds(time, 0.5, scratchJulianDate) + JulianDate.addSeconds(time, 0.5, scratchJulianDate), ); scene.renderForSpecs( - JulianDate.addSeconds(time, 1.0, scratchJulianDate) + JulianDate.addSeconds(time, 1.0, scratchJulianDate), ); expect(spyUpdate.calls.count()).toEqual(3); @@ -834,7 +834,7 @@ describe( { gltf: animatedTriangleUrl, }, - scene + scene, ).then(function (model) { const time = defaultDate; const animationCollection = model.activeAnimations; @@ -849,13 +849,13 @@ describe( scene.renderForSpecs(time); scene.renderForSpecs( - JulianDate.addSeconds(time, 0.5, scratchJulianDate) + JulianDate.addSeconds(time, 0.5, scratchJulianDate), ); scene.renderForSpecs( - JulianDate.addSeconds(time, 1.0, scratchJulianDate) + JulianDate.addSeconds(time, 1.0, scratchJulianDate), ); scene.renderForSpecs( - JulianDate.addSeconds(time, 1.5, scratchJulianDate) + JulianDate.addSeconds(time, 1.5, scratchJulianDate), ); expect(spyUpdate.calls.count()).toEqual(4); @@ -871,7 +871,7 @@ describe( { gltf: animatedTriangleUrl, }, - scene + scene, ).then(function (model) { const time = defaultDate; const animationCollection = model.activeAnimations; @@ -886,16 +886,16 @@ describe( scene.renderForSpecs(time); scene.renderForSpecs( - JulianDate.addSeconds(time, 0.5, scratchJulianDate) + JulianDate.addSeconds(time, 0.5, scratchJulianDate), ); scene.renderForSpecs( - JulianDate.addSeconds(time, 1.0, scratchJulianDate) + JulianDate.addSeconds(time, 1.0, scratchJulianDate), ); scene.renderForSpecs( - JulianDate.addSeconds(time, 1.5, scratchJulianDate) + JulianDate.addSeconds(time, 1.5, scratchJulianDate), ); scene.renderForSpecs( - JulianDate.addSeconds(time, 2.0, scratchJulianDate) + JulianDate.addSeconds(time, 2.0, scratchJulianDate), ); expect(spyUpdate.calls.count()).toEqual(5); @@ -907,5 +907,5 @@ describe( }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/ModelAnimationSpec.js b/packages/engine/Specs/Scene/Model/ModelAnimationSpec.js index 12d2b936b02a..045d5d33c025 100644 --- a/packages/engine/Specs/Scene/Model/ModelAnimationSpec.js +++ b/packages/engine/Specs/Scene/Model/ModelAnimationSpec.js @@ -84,12 +84,12 @@ describe("Scene/Model/ModelAnimation", function () { createMockChannel( mockNode, mockTranslationSampler, - AnimatedPropertyType.TRANSLATION + AnimatedPropertyType.TRANSLATION, ), createMockChannel( mockNode, mockRotationSampler, - AnimatedPropertyType.ROTATION + AnimatedPropertyType.ROTATION, ), ], name: "Sample Animation", @@ -98,7 +98,7 @@ describe("Scene/Model/ModelAnimation", function () { const runtimeAnimation = new ModelAnimation( mockModel, mockAnimation, - emptyOptions + emptyOptions, ); expect(runtimeAnimation.animation).toBe(mockAnimation); @@ -128,12 +128,12 @@ describe("Scene/Model/ModelAnimation", function () { createMockChannel( mockNode, mockTranslationSampler, - AnimatedPropertyType.TRANSLATION + AnimatedPropertyType.TRANSLATION, ), createMockChannel( mockNode, mockRotationSampler, - AnimatedPropertyType.ROTATION + AnimatedPropertyType.ROTATION, ), ], name: "Sample Animation", @@ -152,7 +152,7 @@ describe("Scene/Model/ModelAnimation", function () { const runtimeAnimation = new ModelAnimation( mockModel, mockAnimation, - options + options, ); expect(runtimeAnimation.animation).toBe(mockAnimation); @@ -182,7 +182,7 @@ describe("Scene/Model/ModelAnimation", function () { createMockChannel( mockNode, mockTranslationSampler, - AnimatedPropertyType.TRANSLATION + AnimatedPropertyType.TRANSLATION, ), { sampler: mockRotationSampler, @@ -195,7 +195,7 @@ describe("Scene/Model/ModelAnimation", function () { const runtimeAnimation = new ModelAnimation( mockModel, mockAnimation, - emptyOptions + emptyOptions, ); expect(runtimeAnimation.animation).toBe(mockAnimation); @@ -216,12 +216,12 @@ describe("Scene/Model/ModelAnimation", function () { createMockChannel( mockNode, mockTranslationSampler, - AnimatedPropertyType.TRANSLATION + AnimatedPropertyType.TRANSLATION, ), createMockChannel( mockNode, mockRotationSampler, - AnimatedPropertyType.ROTATION + AnimatedPropertyType.ROTATION, ), ], name: "Sample Animation", @@ -230,7 +230,7 @@ describe("Scene/Model/ModelAnimation", function () { const runtimeAnimation = new ModelAnimation( mockModel, mockAnimation, - emptyOptions + emptyOptions, ); expect(runtimeNode.translation).toEqual(Cartesian3.ZERO); @@ -245,7 +245,7 @@ describe("Scene/Model/ModelAnimation", function () { expect(runtimeNode.translation).toEqual(new Cartesian3(4.0, 5.0, 6.0)); expect(runtimeNode.rotation).toEqual( - new Quaternion(0.0, 0.0, 0.707, -0.707) + new Quaternion(0.0, 0.0, 0.707, -0.707), ); }); }); diff --git a/packages/engine/Specs/Scene/Model/ModelArticulationSpec.js b/packages/engine/Specs/Scene/Model/ModelArticulationSpec.js index 4cc07a260bd3..804d78bec6e3 100644 --- a/packages/engine/Specs/Scene/Model/ModelArticulationSpec.js +++ b/packages/engine/Specs/Scene/Model/ModelArticulationSpec.js @@ -195,7 +195,7 @@ describe("Scene/Model/ModelArticulation", function () { const transform = Matrix4.fromTranslation( new Cartesian3(1.0, 2.0, 3.0), - new Matrix4() + new Matrix4(), ); const node = mockRuntimeNode(transform); @@ -216,7 +216,7 @@ describe("Scene/Model/ModelArticulation", function () { const transform = Matrix4.fromTranslation( new Cartesian3(1.0, 2.0, 3.0), - new Matrix4() + new Matrix4(), ); const node = mockRuntimeNode(transform); @@ -226,7 +226,7 @@ describe("Scene/Model/ModelArticulation", function () { let expectedMatrix = Matrix4.fromTranslation( new Cartesian3(50.0, 0.0, 0.0), - new Matrix4() + new Matrix4(), ); const rotation = CesiumMath.toRadians(180.0); @@ -235,19 +235,19 @@ describe("Scene/Model/ModelArticulation", function () { expectedMatrix = Matrix4.multiplyByMatrix3( expectedMatrix, expectedRotation, - expectedMatrix + expectedMatrix, ); expectedMatrix = Matrix4.multiplyByUniformScale( expectedMatrix, 0.5, - expectedMatrix + expectedMatrix, ); expectedMatrix = Matrix4.multiplyTransformation( transform, expectedMatrix, - expectedMatrix + expectedMatrix, ); runtimeArticulation.apply(); diff --git a/packages/engine/Specs/Scene/Model/ModelArticulationStageSpec.js b/packages/engine/Specs/Scene/Model/ModelArticulationStageSpec.js index 13e8be131b3d..490e94330f9a 100644 --- a/packages/engine/Specs/Scene/Model/ModelArticulationStageSpec.js +++ b/packages/engine/Specs/Scene/Model/ModelArticulationStageSpec.js @@ -157,11 +157,11 @@ describe("Scene/Model/ModelArticulationStage", function () { 50.0, 0.0, 0.0, - scratchCartesian3 + scratchCartesian3, ); const expectedMatrix = Matrix4.fromTranslation( expectedTranslation, - scratchExpectedMatrix + scratchExpectedMatrix, ); let resultMatrix = Matrix4.clone(Matrix4.IDENTITY, scratchResultMatrix); @@ -191,11 +191,11 @@ describe("Scene/Model/ModelArticulationStage", function () { 0.0, 50.0, 0.0, - scratchCartesian3 + scratchCartesian3, ); const expectedMatrix = Matrix4.fromTranslation( expectedTranslation, - scratchExpectedMatrix + scratchExpectedMatrix, ); let resultMatrix = Matrix4.clone(Matrix4.IDENTITY, scratchResultMatrix); @@ -225,11 +225,11 @@ describe("Scene/Model/ModelArticulationStage", function () { 0.0, 0.0, 50.0, - scratchCartesian3 + scratchCartesian3, ); const expectedMatrix = Matrix4.fromTranslation( expectedTranslation, - scratchExpectedMatrix + scratchExpectedMatrix, ); let resultMatrix = Matrix4.clone(Matrix4.IDENTITY, scratchResultMatrix); @@ -258,7 +258,7 @@ describe("Scene/Model/ModelArticulationStage", function () { const expectedRotation = Matrix3.fromRotationX(value, scratchMatrix3); const expectedMatrix = Matrix4.fromRotation( expectedRotation, - scratchExpectedMatrix + scratchExpectedMatrix, ); let resultMatrix = Matrix4.clone(Matrix4.IDENTITY, scratchResultMatrix); @@ -288,7 +288,7 @@ describe("Scene/Model/ModelArticulationStage", function () { const expectedRotation = Matrix3.fromRotationY(value, scratchMatrix3); const expectedMatrix = Matrix4.fromRotation( expectedRotation, - scratchExpectedMatrix + scratchExpectedMatrix, ); let resultMatrix = Matrix4.clone(Matrix4.IDENTITY, scratchResultMatrix); @@ -318,7 +318,7 @@ describe("Scene/Model/ModelArticulationStage", function () { const expectedRotation = Matrix3.fromRotationZ(value, scratchMatrix3); const expectedMatrix = Matrix4.fromRotation( expectedRotation, - scratchExpectedMatrix + scratchExpectedMatrix, ); let resultMatrix = Matrix4.clone(Matrix4.IDENTITY, scratchResultMatrix); @@ -348,11 +348,11 @@ describe("Scene/Model/ModelArticulationStage", function () { 0.5, 1.0, 1.0, - scratchCartesian3 + scratchCartesian3, ); const expectedMatrix = Matrix4.fromScale( expectedScale, - scratchExpectedMatrix + scratchExpectedMatrix, ); let resultMatrix = Matrix4.clone(Matrix4.IDENTITY, scratchResultMatrix); @@ -382,11 +382,11 @@ describe("Scene/Model/ModelArticulationStage", function () { 1.0, 0.5, 1.0, - scratchCartesian3 + scratchCartesian3, ); const expectedMatrix = Matrix4.fromScale( expectedScale, - scratchExpectedMatrix + scratchExpectedMatrix, ); let resultMatrix = Matrix4.clone(Matrix4.IDENTITY, scratchResultMatrix); @@ -416,11 +416,11 @@ describe("Scene/Model/ModelArticulationStage", function () { 1.0, 1.0, 0.5, - scratchCartesian3 + scratchCartesian3, ); const expectedMatrix = Matrix4.fromScale( expectedScale, - scratchExpectedMatrix + scratchExpectedMatrix, ); let resultMatrix = Matrix4.clone(Matrix4.IDENTITY, scratchResultMatrix); diff --git a/packages/engine/Specs/Scene/Model/ModelClippingPlanesPipelineStageSpec.js b/packages/engine/Specs/Scene/Model/ModelClippingPlanesPipelineStageSpec.js index 734a88a3b433..d51edda0f504 100644 --- a/packages/engine/Specs/Scene/Model/ModelClippingPlanesPipelineStageSpec.js +++ b/packages/engine/Specs/Scene/Model/ModelClippingPlanesPipelineStageSpec.js @@ -45,7 +45,7 @@ describe("Scene/Model/ModelClippingPlanesPipelineStage", function () { ModelClippingPlanesPipelineStage.process( renderResources, mockModel, - mockFrameState + mockFrameState, ); ShaderBuilderTester.expectHasFragmentDefines(shaderBuilder, [ @@ -69,17 +69,17 @@ describe("Scene/Model/ModelClippingPlanesPipelineStage", function () { edgeColor.r, edgeColor.g, edgeColor.b, - clippingPlanes.edgeWidth + clippingPlanes.edgeWidth, ); expect( - Color.equals(uniformMap.model_clippingPlanesEdgeStyle(), expectedStyle) + Color.equals(uniformMap.model_clippingPlanesEdgeStyle(), expectedStyle), ).toBe(true); expect( Matrix4.equals( uniformMap.model_clippingPlanesMatrix(), - mockModel._clippingPlanesMatrix - ) + mockModel._clippingPlanesMatrix, + ), ).toBe(true); ShaderBuilderTester.expectFragmentLinesEqual(shaderBuilder, [ @@ -108,7 +108,7 @@ describe("Scene/Model/ModelClippingPlanesPipelineStage", function () { ModelClippingPlanesPipelineStage.process( renderResources, mockModel, - mockFrameState + mockFrameState, ); ShaderBuilderTester.expectHasFragmentDefines(shaderBuilder, [ @@ -146,7 +146,7 @@ describe("Scene/Model/ModelClippingPlanesPipelineStage", function () { ModelClippingPlanesPipelineStage.process( renderResources, mockModel, - mockFrameState + mockFrameState, ); ShaderBuilderTester.expectHasFragmentDefines(shaderBuilder, [ diff --git a/packages/engine/Specs/Scene/Model/ModelClippingPolygonsPipelineStageSpec.js b/packages/engine/Specs/Scene/Model/ModelClippingPolygonsPipelineStageSpec.js index 756d865e8688..964c0990130c 100644 --- a/packages/engine/Specs/Scene/Model/ModelClippingPolygonsPipelineStageSpec.js +++ b/packages/engine/Specs/Scene/Model/ModelClippingPolygonsPipelineStageSpec.js @@ -14,12 +14,8 @@ import createContext from "../../../../../Specs/createContext.js"; describe("Scene/Model/ModelClippingPolygonsPipelineStage", function () { const positions = Cartesian3.fromRadiansArray([ - -1.3194369277314022, - 0.6988062530900625, - -1.31941, - 0.69879, - -1.3193931220959367, - 0.698743632490865, + -1.3194369277314022, 0.6988062530900625, -1.31941, 0.69879, + -1.3193931220959367, 0.698743632490865, ]); let polygon, clippingPolygons, context, model; @@ -69,7 +65,7 @@ describe("Scene/Model/ModelClippingPolygonsPipelineStage", function () { ModelClippingPolygonsPipelineStage.process( renderResources, model, - mockFrameState + mockFrameState, ); ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, [ @@ -132,7 +128,7 @@ describe("Scene/Model/ModelClippingPolygonsPipelineStage", function () { ModelClippingPolygonsPipelineStage.process( renderResources, model, - mockFrameState + mockFrameState, ); ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, [ diff --git a/packages/engine/Specs/Scene/Model/ModelColorPipelineStageSpec.js b/packages/engine/Specs/Scene/Model/ModelColorPipelineStageSpec.js index d68bec1590c9..4d1ac96a7d19 100644 --- a/packages/engine/Specs/Scene/Model/ModelColorPipelineStageSpec.js +++ b/packages/engine/Specs/Scene/Model/ModelColorPipelineStageSpec.js @@ -50,8 +50,8 @@ describe( expect(uniformMap.model_colorBlend()).toEqual( ColorBlendMode.getColorBlend( mockModel.colorBlendMode, - mockModel.colorBlendAmount - ) + mockModel.colorBlendAmount, + ), ); }); @@ -82,8 +82,8 @@ describe( expect(uniformMap.model_colorBlend()).toEqual( ColorBlendMode.getColorBlend( mockModel.colorBlendMode, - mockModel.colorBlendAmount - ) + mockModel.colorBlendAmount, + ), ); }); @@ -110,5 +110,5 @@ describe( }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/ModelDrawCommandSpec.js b/packages/engine/Specs/Scene/Model/ModelDrawCommandSpec.js index 6e86f1b0f1b6..565e227470e5 100644 --- a/packages/engine/Specs/Scene/Model/ModelDrawCommandSpec.js +++ b/packages/engine/Specs/Scene/Model/ModelDrawCommandSpec.js @@ -119,14 +119,14 @@ describe( const boundingSphereTransform2D = defaultValue( options.boundingSphereTransform2D, - Matrix4.IDENTITY + Matrix4.IDENTITY, ); const sceneGraph = resources.model.sceneGraph; sceneGraph._boundingSphere2D = BoundingSphere.transform( sceneGraph._boundingSphere2D, boundingSphereTransform2D, - sceneGraph._boundingSphere2D + sceneGraph._boundingSphere2D, ); return resources; @@ -137,14 +137,14 @@ describe( options.modelMatrix = defaultValue( options.modelMatrix, - Matrix4.clone(Matrix4.IDENTITY) + Matrix4.clone(Matrix4.IDENTITY), ); const boundingSphere = new BoundingSphere(Cartesian3.ZERO, 1.0); options.boundingVolume = BoundingSphere.transform( boundingSphere, options.modelMatrix, - boundingSphere + boundingSphere, ); options.renderState = defaultValue( @@ -154,7 +154,7 @@ describe( enabled: true, func: DepthFunction.LESS_OR_EQUAL, }, - }) + }), ); options.pass = defaultValue(options.pass, Pass.OPAQUE); @@ -165,13 +165,13 @@ describe( const idlMatrix = Matrix4.fromTranslation( Cartesian3.fromDegrees(180, 0), - new Matrix4() + new Matrix4(), ); const idlMatrix2D = Transforms.basisTo2D( mockFrameState2D.mapProjection, idlMatrix, - idlMatrix + idlMatrix, ); // Creates a ModelDrawCommand with the specified derived commands. @@ -208,7 +208,7 @@ describe( if (derive2D) { drawCommand.pushCommands( mockFrameState2D, - mockFrameState2D.commandList + mockFrameState2D.commandList, ); mockFrameState2D.commandList.length = 0; } @@ -239,7 +239,7 @@ describe( // Verify if the skip level of detail commands are defined / undefined. const skipLevelOfDetailDefined = defaultValue( expected.skipLevelOfDetail, - false + false, ); const skipLodBackfaceCommand = drawCommand._skipLodBackfaceCommand; const skipLodStencilCommand = drawCommand._skipLodStencilCommand; @@ -257,11 +257,11 @@ describe( function verifyDerivedCommandUpdateFlags(derivedCommand, expected) { expect(derivedCommand.updateShadows).toEqual(expected.updateShadows); expect(derivedCommand.updateBackFaceCulling).toEqual( - expected.updateBackFaceCulling + expected.updateBackFaceCulling, ); expect(derivedCommand.updateCullFace).toEqual(expected.updateCullFace); expect(derivedCommand.updateDebugShowBoundingVolume).toEqual( - expected.updateDebugShowBoundingVolume + expected.updateDebugShowBoundingVolume, ); } @@ -298,7 +298,7 @@ describe( expect(drawCommand.command).toBe(command); expect(drawCommand.runtimePrimitive).toBe( - renderResources.runtimePrimitive + renderResources.runtimePrimitive, ); expect(drawCommand.model).toBe(renderResources.model); @@ -379,7 +379,7 @@ describe( function verifySilhouetteModelDerivedCommand( derivedCommand, stencilReference, - modelIsInvisible + modelIsInvisible, ) { const command = derivedCommand.command; const renderState = command.renderState; @@ -420,7 +420,7 @@ describe( function verifySilhouetteColorDerivedCommand( derivedCommand, stencilReference, - silhouetteIsTranslucent + silhouetteIsTranslucent, ) { const command = derivedCommand.command; const renderState = command.renderState; @@ -467,7 +467,7 @@ describe( drawCommand, modelIsTranslucent, modelIsInvisible, - silhouetteIsTranslucent + silhouetteIsTranslucent, ) { const command = drawCommand.command; const derivedCommands = drawCommand._derivedCommands; @@ -499,7 +499,7 @@ describe( verifySilhouetteModelDerivedCommand( silhouetteModelCommand, stencilReference, - modelIsInvisible + modelIsInvisible, ); const silhouetteColorCommand = derivedCommands[2]; @@ -516,7 +516,7 @@ describe( verifySilhouetteColorDerivedCommand( silhouetteColorCommand, stencilReference, - silhouetteIsTranslucent + silhouetteIsTranslucent, ); } @@ -540,7 +540,7 @@ describe( drawCommand, modelIsTranslucent, modelIsInvisible, - silhouetteIsTranslucent + silhouetteIsTranslucent, ); }); @@ -567,7 +567,7 @@ describe( drawCommand, modelIsTranslucent, modelIsInvisible, - silhouetteIsTranslucent + silhouetteIsTranslucent, ); }); @@ -592,7 +592,7 @@ describe( drawCommand, modelIsTranslucent, modelIsInvisible, - silhouetteIsTranslucent + silhouetteIsTranslucent, ); }); @@ -618,7 +618,7 @@ describe( drawCommand, modelIsTranslucent, modelIsInvisible, - silhouetteIsTranslucent + silhouetteIsTranslucent, ); }); }); @@ -657,19 +657,19 @@ describe( expect(stencilTest.enabled).toBe(true); expect(stencilTest.mask).toEqual(StencilConstants.SKIP_LOD_MASK); expect(stencilTest.reference).toEqual( - StencilConstants.CESIUM_3D_TILE_MASK + StencilConstants.CESIUM_3D_TILE_MASK, ); expect(stencilTest.frontFunction).toEqual( - StencilFunction.GREATER_OR_EQUAL + StencilFunction.GREATER_OR_EQUAL, ); expect(stencilTest.frontOperation.zPass).toEqual( - StencilOperation.REPLACE + StencilOperation.REPLACE, ); expect(stencilTest.backFunction).toEqual( - StencilFunction.GREATER_OR_EQUAL + StencilFunction.GREATER_OR_EQUAL, ); expect(stencilTest.backOperation.zPass).toEqual( - StencilOperation.REPLACE + StencilOperation.REPLACE, ); const expectedStencilMask = @@ -928,7 +928,7 @@ describe( drawCommand.pushCommands( mockFrameState2D, - mockFrameState2D.commandList + mockFrameState2D.commandList, ); const originalCommand2D = originalCommand.derivedCommand2D; @@ -940,7 +940,7 @@ describe( expect(originalDrawCommand.modelMatrix).toBe(drawCommand._modelMatrix); expect(originalDrawCommand2D.modelMatrix).toBe( - drawCommand._modelMatrix2D + drawCommand._modelMatrix2D, ); const commandList = mockFrameState2D.commandList; @@ -971,7 +971,7 @@ describe( drawCommand.pushCommands( mockFrameState2D, - mockFrameState2D.commandList + mockFrameState2D.commandList, ); const originalCommand2D = originalCommand.derivedCommand2D; @@ -988,10 +988,10 @@ describe( const translucentDrawCommand2D = translucentCommand2D.command; expect(translucentDrawCommand.modelMatrix).toBe( - drawCommand._modelMatrix + drawCommand._modelMatrix, ); expect(translucentDrawCommand2D.modelMatrix).toBe( - drawCommand._modelMatrix2D + drawCommand._modelMatrix2D, ); const commandList = mockFrameState2D.commandList; @@ -1025,7 +1025,7 @@ describe( drawCommand.pushCommands( mockFrameState2D, - mockFrameState2D.commandList + mockFrameState2D.commandList, ); const silhouetteModelCommand2D = @@ -1078,7 +1078,7 @@ describe( drawCommand.pushCommands( mockFrameState2D, - mockFrameState2D.commandList + mockFrameState2D.commandList, ); const skipLodBackfaceCommand2D = @@ -1094,14 +1094,14 @@ describe( const backfaceDrawCommand2D = skipLodBackfaceCommand2D.command; expect(backfaceDrawCommand.modelMatrix).toBe(drawCommand._modelMatrix); expect(backfaceDrawCommand2D.modelMatrix).toBe( - drawCommand._modelMatrix2D + drawCommand._modelMatrix2D, ); const stencilDrawCommand = skipLodStencilCommand.command; const stencilDrawCommand2D = skipLodStencilCommand2D.command; expect(stencilDrawCommand.modelMatrix).toBe(drawCommand._modelMatrix); expect(stencilDrawCommand2D.modelMatrix).toBe( - drawCommand._modelMatrix2D + drawCommand._modelMatrix2D, ); const commandList = mockFrameState2D.commandList; @@ -1119,12 +1119,12 @@ describe( it("pushCommands doesn't derive 2D commands if model is not near IDL", function () { const modelMatrix = Matrix4.fromTranslation( Cartesian3.fromDegrees(100, 250), - scratchModelMatrix + scratchModelMatrix, ); const modelMatrix2D = Transforms.basisTo2D( mockFrameState2D.mapProjection, modelMatrix, - modelMatrix + modelMatrix, ); const renderResources = mockRenderResources({ boundingSphereTransform2D: modelMatrix2D, @@ -1142,7 +1142,7 @@ describe( drawCommand.pushCommands( mockFrameState2D, - mockFrameState2D.commandList + mockFrameState2D.commandList, ); // The 2D command should not be derived. @@ -1167,22 +1167,22 @@ describe( const translation = Matrix4.getTranslation( idlMatrix2D, - scratchTranslation + scratchTranslation, ); drawCommand.pushCommands( mockFrameState2D, - mockFrameState2D.commandList + mockFrameState2D.commandList, ); const expectedModelMatrix = computeExpected2DMatrix( idlMatrix2D, - mockFrameState2D + mockFrameState2D, ); const expectedTranslation = Matrix4.getTranslation( expectedModelMatrix, - scratchExpectedTranslation + scratchExpectedTranslation, ); const originalCommand = drawCommand._originalCommand; @@ -1198,7 +1198,7 @@ describe( expect(translucentDrawCommand.modelMatrix).toEqual(idlMatrix2D); expect(originalDrawCommand.boundingVolume.center).toEqual(translation); expect(translucentDrawCommand.boundingVolume.center).toEqual( - translation + translation, ); const originalDrawCommand2D = originalCommand2D.command; @@ -1206,13 +1206,13 @@ describe( expect(originalDrawCommand2D.modelMatrix).toEqual(expectedModelMatrix); expect(translucentDrawCommand2D.modelMatrix).toEqual( - expectedModelMatrix + expectedModelMatrix, ); expect(originalDrawCommand2D.boundingVolume.center).toEqual( - expectedTranslation + expectedTranslation, ); expect(translucentDrawCommand2D.boundingVolume.center).toEqual( - expectedTranslation + expectedTranslation, ); }); }); @@ -1267,7 +1267,7 @@ describe( const silhouetteCommands = []; drawCommand.pushSilhouetteCommands( mockFrameState2D, - silhouetteCommands + silhouetteCommands, ); expect(silhouetteCommands.length).toEqual(2); expect(silhouetteCommands[0]).toBe(colorDrawCommand); @@ -1293,7 +1293,7 @@ describe( const translation = Cartesian3.fromDegrees(100, 25); const modelMatrix = Matrix4.fromTranslation( translation, - scratchModelMatrix + scratchModelMatrix, ); drawCommand.modelMatrix = modelMatrix; @@ -1316,17 +1316,17 @@ describe( let modelMatrix2D = Matrix4.fromTranslation( Cartesian3.fromDegrees(100, 25), - scratchModelMatrix + scratchModelMatrix, ); modelMatrix2D = Transforms.basisTo2D( mockFrameState2D.mapProjection, modelMatrix2D, - modelMatrix2D + modelMatrix2D, ); const translation = Matrix4.getTranslation( modelMatrix2D, - scratchTranslation + scratchTranslation, ); drawCommand.modelMatrix = modelMatrix2D; @@ -1343,16 +1343,16 @@ describe( // Update the model matrix for the 2D commands drawCommand.pushCommands( mockFrameState2D, - mockFrameState2D.commandList + mockFrameState2D.commandList, ); const expectedModelMatrix = computeExpected2DMatrix( modelMatrix2D, - mockFrameState2D + mockFrameState2D, ); const expectedTranslation = Matrix4.getTranslation( expectedModelMatrix, - scratchExpectedTranslation + scratchExpectedTranslation, ); // The second half of the derived command list contains 2D commands. @@ -1710,7 +1710,7 @@ describe( } expect(command.debugShowBoundingVolume).toBe( - updateDebugShowBoundingVolume + updateDebugShowBoundingVolume, ); } }); @@ -1741,11 +1741,11 @@ describe( } expect(command.debugShowBoundingVolume).toBe( - updateDebugShowBoundingVolume + updateDebugShowBoundingVolume, ); } }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/ModelFeatureTableSpec.js b/packages/engine/Specs/Scene/Model/ModelFeatureTableSpec.js index ee195d8ce093..d16cd5128f5a 100644 --- a/packages/engine/Specs/Scene/Model/ModelFeatureTableSpec.js +++ b/packages/engine/Specs/Scene/Model/ModelFeatureTableSpec.js @@ -131,7 +131,7 @@ describe("Scene/Model/ModelFeatureTable", function () { for (let i = 0; i < modelFeatures.length; i++) { const feature = modelFeatures[i]; expect(feature.getProperty(propertyName)).toEqual( - propertyValues[propertyName][i] + propertyValues[propertyName][i], ); } } @@ -154,7 +154,7 @@ describe("Scene/Model/ModelFeatureTable", function () { for (i = 0; i < modelFeatures.length; i++) { feature = modelFeatures[i]; expect(feature.getPropertyInherited("height")).toEqual( - propertyValues["height"][i] + propertyValues["height"][i], ); expect(feature.getPropertyInherited("_height")).toBeUndefined(); } @@ -163,7 +163,7 @@ describe("Scene/Model/ModelFeatureTable", function () { for (i = 0; i < modelFeatures.length; i++) { feature = modelFeatures[i]; expect(feature.getPropertyInherited("HEIGHT_SEMANTIC")).toEqual( - propertyValues["height"][i] + propertyValues["height"][i], ); expect(feature.getPropertyInherited("_HEIGHT_")).toBeUndefined(); } diff --git a/packages/engine/Specs/Scene/Model/ModelMatrixUpdateStageSpec.js b/packages/engine/Specs/Scene/Model/ModelMatrixUpdateStageSpec.js index 17ffae777fe4..34d699423f47 100644 --- a/packages/engine/Specs/Scene/Model/ModelMatrixUpdateStageSpec.js +++ b/packages/engine/Specs/Scene/Model/ModelMatrixUpdateStageSpec.js @@ -37,12 +37,12 @@ describe( const rotation = Quaternion.fromAxisAngle( Cartesian3.UNIT_Y, - CesiumMath.toRadians(180) + CesiumMath.toRadians(180), ); const modelMatrix = Matrix4.fromTranslationQuaternionRotationScale( new Cartesian3(10, 0, 0), rotation, - new Cartesian3(1, 1, 1) + new Cartesian3(1, 1, 1), ); let scene; @@ -138,12 +138,12 @@ describe( node.transform = Matrix4.multiplyTransformation( node.transform, transform, - new Matrix4() + new Matrix4(), ); expect(node._transformDirty).toEqual(true); expect( - Matrix4.equals(node.originalTransform, expectedOriginalTransform) + Matrix4.equals(node.originalTransform, expectedOriginalTransform), ).toBe(true); } @@ -152,7 +152,7 @@ describe( { gltf: simpleSkin, }, - scene + scene, ); scene.renderForSpecs(); @@ -168,19 +168,19 @@ describe( const expectedComputedTransform = Matrix4.multiplyTransformation( sceneGraph.computedModelMatrix, node.transform, - new Matrix4() + new Matrix4(), ); const expectedModelMatrix = Matrix4.multiplyTransformation( drawCommand.modelMatrix, transform, - new Matrix4() + new Matrix4(), ); const expectedBoundingSphere = BoundingSphere.transform( primitive.boundingSphere, expectedComputedTransform, - new BoundingSphere() + new BoundingSphere(), ); scene.renderForSpecs(); @@ -190,14 +190,14 @@ describe( Matrix4.equalsEpsilon( drawCommand.modelMatrix, expectedModelMatrix, - CesiumMath.EPSILON15 - ) + CesiumMath.EPSILON15, + ), ).toBe(true); expect( BoundingSphere.equals( drawCommand.boundingVolume, - expectedBoundingSphere - ) + expectedBoundingSphere, + ), ).toBe(true); }); @@ -206,7 +206,7 @@ describe( { gltf: simpleSkin, }, - scene + scene, ); modifyModel(model); @@ -221,23 +221,23 @@ describe( let transformedDrawCommand = getDrawCommand(transformedLeafNode); const childTransformation = Matrix4.fromTranslation( - new Cartesian3(0, 5, 0) + new Cartesian3(0, 5, 0), ); applyTransform(transformedLeafNode, childTransformation); const rootTransformation = Matrix4.fromTranslation( - new Cartesian3(12, 5, 0) + new Cartesian3(12, 5, 0), ); applyTransform(rootNode, rootTransformation); const expectedRootModelMatrix = Matrix4.multiplyTransformation( rootTransformation, rootDrawCommand.modelMatrix, - new Matrix4() + new Matrix4(), ); const expectedStaticLeafModelMatrix = Matrix4.clone( staticDrawCommand.modelMatrix, - new Matrix4() + new Matrix4(), ); const finalTransform = new Matrix4(); @@ -245,7 +245,7 @@ describe( const expectedTransformedLeafModelMatrix = Matrix4.multiplyTransformation( finalTransform, transformedDrawCommand.modelMatrix, - new Matrix4() + new Matrix4(), ); scene.renderForSpecs(); @@ -255,10 +255,10 @@ describe( expect(rootDrawCommand.modelMatrix).toEqual(expectedRootModelMatrix); expect(staticDrawCommand.modelMatrix).toEqual( - expectedStaticLeafModelMatrix + expectedStaticLeafModelMatrix, ); expect(transformedDrawCommand.modelMatrix).toEqual( - expectedTransformedLeafModelMatrix + expectedTransformedLeafModelMatrix, ); }); @@ -267,7 +267,7 @@ describe( { gltf: simpleSkin, }, - scene + scene, ); modifyModel(model); @@ -284,17 +284,17 @@ describe( const expectedRootModelMatrix = Matrix4.multiplyTransformation( modelMatrix, rootDrawCommand.modelMatrix, - new Matrix4() + new Matrix4(), ); const expectedStaticLeafModelMatrix = Matrix4.multiplyTransformation( modelMatrix, staticDrawCommand.modelMatrix, - new Matrix4() + new Matrix4(), ); const expectedTransformedLeafModelMatrix = Matrix4.multiplyTransformation( modelMatrix, transformedDrawCommand.modelMatrix, - new Matrix4() + new Matrix4(), ); model.modelMatrix = modelMatrix; @@ -306,10 +306,10 @@ describe( expect(rootDrawCommand.modelMatrix).toEqual(expectedRootModelMatrix); expect(staticDrawCommand.modelMatrix).toEqual( - expectedStaticLeafModelMatrix + expectedStaticLeafModelMatrix, ); expect(transformedDrawCommand.modelMatrix).toEqual( - expectedTransformedLeafModelMatrix + expectedTransformedLeafModelMatrix, ); }); @@ -318,7 +318,7 @@ describe( { gltf: simpleSkin, }, - scene + scene, ); modifyModel(model); @@ -328,7 +328,7 @@ describe( const scaledModelMatrix = Matrix4.multiplyByUniformScale( modelMatrix, modelScale, - new Matrix4() + new Matrix4(), ); const rootNode = getParentRootNode(model); @@ -342,17 +342,17 @@ describe( const expectedRootModelMatrix = Matrix4.multiplyTransformation( scaledModelMatrix, rootDrawCommand.modelMatrix, - new Matrix4() + new Matrix4(), ); const expectedStaticLeafModelMatrix = Matrix4.multiplyTransformation( scaledModelMatrix, staticDrawCommand.modelMatrix, - new Matrix4() + new Matrix4(), ); const expectedTransformedLeafModelMatrix = Matrix4.multiplyTransformation( scaledModelMatrix, transformedDrawCommand.modelMatrix, - new Matrix4() + new Matrix4(), ); model.modelMatrix = modelMatrix; @@ -364,10 +364,10 @@ describe( expect(rootDrawCommand.modelMatrix).toEqual(expectedRootModelMatrix); expect(staticDrawCommand.modelMatrix).toEqual( - expectedStaticLeafModelMatrix + expectedStaticLeafModelMatrix, ); expect(transformedDrawCommand.modelMatrix).toEqual( - expectedTransformedLeafModelMatrix + expectedTransformedLeafModelMatrix, ); }); @@ -376,7 +376,7 @@ describe( { gltf: simpleSkin, }, - scene + scene, ); modifyModel(model); @@ -401,5 +401,5 @@ describe( expect(childDrawCommand.cullFace).toBe(CullFace.FRONT); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/ModelRenderResourcesSpec.js b/packages/engine/Specs/Scene/Model/ModelRenderResourcesSpec.js index 26c843b4c6fd..6fe8cf58d8ed 100644 --- a/packages/engine/Specs/Scene/Model/ModelRenderResourcesSpec.js +++ b/packages/engine/Specs/Scene/Model/ModelRenderResourcesSpec.js @@ -25,7 +25,7 @@ describe( enabled: true, func: DepthFunction.LESS_OR_EQUAL, }, - }) + }), ); expect(modelResources.model).toBe(mockModel); @@ -35,9 +35,9 @@ describe( expect(modelResources.hasSkipLevelOfDetail).toBe(false); ShaderBuilderTester.expectHasFragmentDefines( modelResources.shaderBuilder, - [] + [], ); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/ModelRuntimeNodeSpec.js b/packages/engine/Specs/Scene/Model/ModelRuntimeNodeSpec.js index 7bf4f8a1c3b6..6f73a0ca076c 100644 --- a/packages/engine/Specs/Scene/Model/ModelRuntimeNodeSpec.js +++ b/packages/engine/Specs/Scene/Model/ModelRuntimeNodeSpec.js @@ -40,7 +40,7 @@ describe("Scene/Model/ModelRuntimeNode", function () { transform, transformToRoot, runtimeNode, - originalTransform + originalTransform, ) { originalTransform = defaultValue(originalTransform, transform); @@ -51,7 +51,7 @@ describe("Scene/Model/ModelRuntimeNode", function () { const computedTransform = Matrix4.multiplyTransformation( transformToRoot, transform, - scratchMatrix + scratchMatrix, ); expect(runtimeNode.computedTransform).toEqual(computedTransform); @@ -275,7 +275,7 @@ describe("Scene/Model/ModelRuntimeNode", function () { const translationMatrix = Matrix4.fromTranslation( translation, - scratchTransform + scratchTransform, ); expect(node.translation).toEqual(translation); @@ -305,7 +305,7 @@ describe("Scene/Model/ModelRuntimeNode", function () { const rotationMatrix3 = Matrix3.fromQuaternion(rotation, new Matrix3()); const rotationMatrix = Matrix4.fromRotation( rotationMatrix3, - scratchTransform + scratchTransform, ); expect(node.rotation).toEqual(rotation); @@ -461,7 +461,7 @@ describe("Scene/Model/ModelRuntimeNode", function () { const newTransform = Matrix4.multiplyByTranslation( Matrix4.IDENTITY, new Cartesian3(10, 0, 0), - new Matrix4() + new Matrix4(), ); node.transform = newTransform; @@ -485,7 +485,7 @@ describe("Scene/Model/ModelRuntimeNode", function () { const newTransform = Matrix4.multiplyByTranslation( Matrix4.IDENTITY, new Cartesian3(10, 0, 0), - new Matrix4() + new Matrix4(), ); node.transform = newTransform; diff --git a/packages/engine/Specs/Scene/Model/ModelSceneGraphSpec.js b/packages/engine/Specs/Scene/Model/ModelSceneGraphSpec.js index 8de1acdc3ee6..147536b015b8 100644 --- a/packages/engine/Specs/Scene/Model/ModelSceneGraphSpec.js +++ b/packages/engine/Specs/Scene/Model/ModelSceneGraphSpec.js @@ -50,7 +50,7 @@ describe( it("creates runtime nodes and runtime primitives from a model", async function () { const model = await loadAndZoomToModelAsync( { gltf: vertexColorGltfUrl }, - scene + scene, ); const sceneGraph = model._sceneGraph; const components = sceneGraph._components; @@ -75,7 +75,7 @@ describe( { gltf: buildingsMetadata, }, - scene + scene, ); model.style = style; @@ -101,7 +101,7 @@ describe( { gltf: buildingsMetadata, }, - scene + scene, ); model.style = style; @@ -131,7 +131,7 @@ describe( { gltf: buildingsMetadata, }, - scene + scene, ); model.style = style; @@ -153,7 +153,7 @@ describe( spyOn(ModelSceneGraph.prototype, "pushDrawCommands").and.callThrough(); const model = await loadAndZoomToModelAsync( { gltf: parentGltfUrl }, - scene + scene, ); const sceneGraph = model._sceneGraph; @@ -183,7 +183,7 @@ describe( it("stores runtime nodes correctly", async function () { const model = await loadAndZoomToModelAsync( { gltf: parentGltfUrl }, - scene + scene, ); const sceneGraph = model._sceneGraph; @@ -204,7 +204,7 @@ describe( upAxis: Axis.Z, forwardAxis: Axis.X, }, - scene + scene, ); const sceneGraph = model._sceneGraph; const components = sceneGraph._components; @@ -214,7 +214,7 @@ describe( expect(components.forwardAxis).toEqual(Axis.X); const parentTransform = ModelUtility.getNodeTransform( - components.nodes[0] + components.nodes[0], ); const childTransform = ModelUtility.getNodeTransform(components.nodes[1]); expect(runtimeNodes[0].transform).toEqual(parentTransform); @@ -226,7 +226,7 @@ describe( it("creates runtime skin from model", async function () { const model = await loadAndZoomToModelAsync( { gltf: simpleSkinGltfUrl }, - scene + scene, ); const sceneGraph = model._sceneGraph; @@ -258,7 +258,7 @@ describe( it("creates articulation from model", async function () { const model = await loadAndZoomToModelAsync( { gltf: boxArticulationsUrl }, - scene + scene, ); const sceneGraph = model._sceneGraph; @@ -283,7 +283,7 @@ describe( { gltf: boxArticulationsUrl, }, - scene + scene, ); const sceneGraph = model._sceneGraph; const runtimeNodes = sceneGraph._runtimeNodes; @@ -325,7 +325,7 @@ describe( color: Color.RED, gltf: parentGltfUrl, }, - scene + scene, ); expect(ModelColorPipelineStage.process).toHaveBeenCalled(); }); @@ -336,7 +336,7 @@ describe( { gltf: buildingsMetadata, }, - scene + scene, ); model.customShader = new CustomShader(); model.update(scene.frameState); @@ -351,7 +351,7 @@ describe( { gltf: buildingsMetadata, }, - scene + scene, ); model.customShader = new CustomShader(); model.update(scene.frameState); @@ -366,7 +366,7 @@ describe( { gltf: buildingsMetadata, }, - scene + scene, ); model.customShader = new CustomShader(); model.update(scene.frameState); @@ -381,7 +381,7 @@ describe( { gltf: buildingsMetadata, }, - scene + scene, ); model.customShader = new CustomShader(); model.update(scene.frameState); @@ -393,7 +393,7 @@ describe( { gltf: duckUrl, }, - scene + scene, ); const frameState = scene.frameState; const commandList = frameState.commandList; @@ -442,5 +442,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/ModelSilhouettePipelineStageSpec.js b/packages/engine/Specs/Scene/Model/ModelSilhouettePipelineStageSpec.js index a25da3380d4b..243c07aff70c 100644 --- a/packages/engine/Specs/Scene/Model/ModelSilhouettePipelineStageSpec.js +++ b/packages/engine/Specs/Scene/Model/ModelSilhouettePipelineStageSpec.js @@ -57,7 +57,7 @@ describe("Scene/Model/ModelSilhouettePipelineStage", function () { const uniformMap = renderResources.uniformMap; expect(uniformMap.model_silhouetteColor()).toEqual( - mockModel.silhouetteColor + mockModel.silhouetteColor, ); expect(uniformMap.model_silhouetteSize()).toEqual(mockModel.silhouetteSize); expect(uniformMap.model_silhouettePass()).toBe(false); diff --git a/packages/engine/Specs/Scene/Model/ModelSpec.js b/packages/engine/Specs/Scene/Model/ModelSpec.js index 8a6386bd7c18..aa4f099a657a 100644 --- a/packages/engine/Specs/Scene/Model/ModelSpec.js +++ b/packages/engine/Specs/Scene/Model/ModelSpec.js @@ -67,7 +67,7 @@ describe( const animatedTriangleOffset = new HeadingPitchRange( CesiumMath.PI / 2.0, 0, - 2.0 + 2.0, ); const boxTexturedGltfUrl = @@ -127,14 +127,14 @@ describe( const fixedFrameTransform = Transforms.localFrameToFixedFrameGenerator( "north", - "west" + "west", ); const modelMatrix = Transforms.headingPitchRollToFixedFrame( Cartesian3.fromDegrees(-123.0744619, 44.0503706, 0), new HeadingPitchRoll(0, 0, 0), Ellipsoid.WGS84, - fixedFrameTransform + fixedFrameTransform, ); let scene; @@ -177,7 +177,7 @@ describe( const scratchBytes = []; const defaultDate = JulianDate.fromDate( - new Date("January 1, 2014 12:00:00 UTC") + new Date("January 1, 2014 12:00:00 UTC"), ); function verifyRender(model, shouldRender, options) { @@ -191,7 +191,7 @@ describe( const backgroundColor = defaultValue( options.backgroundColor, - Color.BLACK + Color.BLACK, ); const targetScene = defaultValue(options.scene, scene); @@ -245,7 +245,7 @@ describe( const expectedCount = WireframeIndexGenerator.getWireframeIndicesCount( primitiveType, - commandCounts[i] + commandCounts[i], ); expect(command.count).toEqual(expectedCount); } @@ -270,7 +270,7 @@ describe( it("fromGltfAsync throws with undefined url", async function () { await expectAsync( - Model.fromGltfAsync({}) + Model.fromGltfAsync({}), ).toBeRejectedWithDeveloperError(); }); @@ -279,7 +279,7 @@ describe( const buffer = await resource.fetchArrayBuffer(); const model = await loadAndZoomToModelAsync( { gltf: new Uint8Array(buffer) }, - scene + scene, ); expect(model.ready).toEqual(true); expect(model._sceneGraph).toBeDefined(); @@ -295,7 +295,7 @@ describe( gltf: gltf, basePath: boxTexturedGltfUrl, }, - scene + scene, ); expect(model.ready).toEqual(true); expect(model._sceneGraph).toBeDefined(); @@ -311,7 +311,7 @@ describe( gltf: gltf, basePath: microcosm, }, - scene + scene, ); expect(model.ready).toEqual(true); expect(model._sceneGraph).toBeDefined(); @@ -324,7 +324,7 @@ describe( { url: boxTexturedGltfUrl, }, - scene + scene, ); expect(model.ready).toEqual(true); expect(model._sceneGraph).toBeDefined(); @@ -342,11 +342,11 @@ describe( expect(gltf).toEqual( jasmine.objectContaining({ asset: { generator: "COLLADA2GLTF", version: "2.0" }, - }) + }), ); }, }, - scene + scene, ); expect(model.ready).toEqual(true); expect(model._sceneGraph).toBeDefined(); @@ -371,7 +371,7 @@ describe( expect(e).toBeInstanceOf(RuntimeError); expect(e.message).toContain("Failed to load texture"); expect(e.message).toContain( - "Failed to load image: non-existent-path.png" + "Failed to load image: non-existent-path.png", ); finished = true; }); @@ -397,7 +397,7 @@ describe( model.errorEvent.addEventListener((e) => { expect(e).toBeInstanceOf(RuntimeError); expect(e.message).toContain( - `Failed to load model: ${boxTexturedGltfUrl}` + `Failed to load model: ${boxTexturedGltfUrl}`, ); expect(e.message).toContain("Failed to load texture"); finished = true; @@ -424,7 +424,7 @@ describe( model.errorEvent.addEventListener((e) => { expect(e).toBeInstanceOf(RuntimeError); expect(e.message).toContain( - `Failed to load model: ${boxTexturedGltfUrl}` + `Failed to load model: ${boxTexturedGltfUrl}`, ); expect(e.message).toContain("Failed to load vertex buffer"); finished = true; @@ -439,7 +439,7 @@ describe( it("loads with asynchronous set to true", async function () { const jobSchedulerExecute = spyOn( JobScheduler.prototype, - "execute" + "execute", ).and.callThrough(); const model = await loadAndZoomToModelAsync( @@ -447,7 +447,7 @@ describe( gltf: boxTexturedGltfUrl, asynchronous: true, }, - scene + scene, ); const loader = model.loader; expect(loader._asynchronous).toBe(true); @@ -458,7 +458,7 @@ describe( it("loads with asynchronous set to false", async function () { const jobSchedulerExecute = spyOn( JobScheduler.prototype, - "execute" + "execute", ).and.callThrough(); const model = await loadAndZoomToModelAsync( @@ -466,7 +466,7 @@ describe( gltf: boxTexturedGltfUrl, asynchronous: false, }, - scene + scene, ); const loader = model.loader; expect(loader._asynchronous).toBe(false); @@ -477,7 +477,7 @@ describe( it("initializes feature table", async function () { const model = await loadAndZoomToModelAsync( { gltf: buildingsMetadata }, - scene + scene, ); expect(model.ready).toEqual(true); expect(model.featureTables).toBeDefined(); @@ -506,7 +506,7 @@ describe( { gltf: boxTexturedGlbUrl, }, - scene + scene, ); expect(model.show).toEqual(true); expect(model.modelMatrix).toEqual(Matrix4.IDENTITY); @@ -564,17 +564,17 @@ describe( gltf: gltf, basePath: triangleWithoutIndicesUrl, modelMatrix: Transforms.eastNorthUpToFixedFrame( - Cartesian3.fromDegrees(0.0, 0.0, 100.0) + Cartesian3.fromDegrees(0.0, 0.0, 100.0), ), }, - scene + scene, ); // Orient the camera so it doesn't back-face cull the triangle. const center = model.boundingSphere.center; const range = 4.0 * model.boundingSphere.radius; scene.camera.lookAt( center, - new HeadingPitchRange(-CesiumMath.PI_OVER_TWO, 0, range) + new HeadingPitchRange(-CesiumMath.PI_OVER_TWO, 0, range), ); // The triangle's diagonal edge is slightly out of frame. @@ -593,7 +593,7 @@ describe( gltf: gltf, basePath: vertexColorTestUrl, }, - scene + scene, ); const renderOptions = { scene: scene, @@ -627,10 +627,10 @@ describe( gltf: gltf, basePath: twoSidedPlaneUrl, modelMatrix: Transforms.eastNorthUpToFixedFrame( - Cartesian3.fromDegrees(0.0, 0.0, 100.0) + Cartesian3.fromDegrees(0.0, 0.0, 100.0), ), }, - scene + scene, ); const renderOptions = { scene: scene, @@ -641,7 +641,7 @@ describe( const range = 4.0 * model.boundingSphere.radius; scene.camera.lookAt( center, - new HeadingPitchRange(0, -CesiumMath.PI_OVER_TWO, range) + new HeadingPitchRange(0, -CesiumMath.PI_OVER_TWO, range), ); // The top of the double-sided plane should render brightly, since @@ -654,7 +654,7 @@ describe( scene.camera.lookAt( center, - new HeadingPitchRange(0, CesiumMath.PI_OVER_TWO, range) + new HeadingPitchRange(0, CesiumMath.PI_OVER_TWO, range), ); // The bottom of the plane should render darker than the top, since @@ -679,7 +679,7 @@ describe( gltf: gltf, basePath: emissiveTextureUrl, }, - scene + scene, ); const renderOptions = { scene: scene, @@ -710,7 +710,7 @@ describe( scale: 10.0, offset: offset, }, - scene + scene, ); verifyRender(model, true); }); @@ -723,7 +723,7 @@ describe( gltf: gltf, basePath: boxSpecularUrl, }, - scene + scene, ); verifyRender(model, true); }); @@ -736,7 +736,7 @@ describe( gltf: gltf, basePath: boxAnisotropyUrl, }, - scene + scene, ); verifyRender(model, true); }); @@ -749,14 +749,14 @@ describe( gltf: gltf, basePath: boxClearcoatUrl, }, - scene + scene, ); verifyRender(model, true); }); it("transforms property textures with KHR_texture_transform", async function () { const resource = Resource.createIfNeeded( - propertyTextureWithTextureTransformUrl + propertyTextureWithTextureTransformUrl, ); // The texture in the example model contains contains 8x8 pixels // with increasing 'red' component values [0 to 64)*3, interpreted @@ -794,7 +794,7 @@ describe( // texture is fully loaded when the model is rendered! incrementallyLoadTextures: false, }, - scene + scene, ); const renderOptions = { scene: scene, @@ -806,7 +806,7 @@ describe( scene.camera.position = new Cartesian3(0.15, 0.1, 0.1); scene.camera.direction = Cartesian3.negate( Cartesian3.UNIT_X, - new Cartesian3() + new Cartesian3(), ); scene.camera.up = Cartesian3.clone(Cartesian3.UNIT_Z); scene.camera.frustum.near = 0.01; @@ -824,7 +824,7 @@ describe( it("transforms feature ID textures with KHR_texture_transform", async function () { const resource = Resource.createIfNeeded( - featureIdTextureWithTextureTransformUrl + featureIdTextureWithTextureTransformUrl, ); // The texture in the example model contains contains 8x8 pixels // with increasing 'red' component values [0 to 64)*3. @@ -860,7 +860,7 @@ describe( // texture is fully loaded when the model is rendered! incrementallyLoadTextures: false, }, - scene + scene, ); const renderOptions = { scene: scene, @@ -873,7 +873,7 @@ describe( scene.camera.position = new Cartesian3(0.15, 0.1, 0.1); scene.camera.direction = Cartesian3.negate( Cartesian3.UNIT_X, - new Cartesian3() + new Cartesian3(), ); scene.camera.up = Cartesian3.clone(Cartesian3.UNIT_Z); scene.camera.frustum.near = 0.01; @@ -902,7 +902,7 @@ describe( basePath: morphPrimitivesTestUrl, offset: offset, }, - scene + scene, ); // The background color must be changed because the model's texture // contains black, which can confuse the test. @@ -922,7 +922,7 @@ describe( it("renders Draco-compressed model", async function () { const model = await loadAndZoomToModelAsync( { gltf: dracoCesiumManUrl }, - scene + scene, ); verifyRender(model, true); }); @@ -941,14 +941,14 @@ describe( const model = scene.primitives.add( await Model.fromGltfAsync({ url: dracoCesiumManUrl, - }) + }), ); let failed = false; model.errorEvent.addEventListener((e) => { expect(e).toBeInstanceOf(RuntimeError); expect(e.message).toContain( - `Failed to load model: ${dracoCesiumManUrl}` + `Failed to load model: ${dracoCesiumManUrl}`, ); expect(e.message).toContain("Failed to load Draco"); expect(e.message).toContain("Custom error"); @@ -960,7 +960,7 @@ describe( scene.renderForSpecs(); return failed; }, - { timeout: 10000 } + { timeout: 10000 }, ); }); @@ -970,7 +970,7 @@ describe( gltf: animatedTriangleUrl, offset: animatedTriangleOffset, }, - scene + scene, ); const animationCollection = model.activeAnimations; expect(animationCollection).toBeDefined(); @@ -989,7 +989,7 @@ describe( gltf: animatedTriangleUrl, offset: animatedTriangleOffset, }, - scene + scene, ); // Move camera so that the triangle is in view. scene.camera.moveDown(0.5); @@ -1020,7 +1020,7 @@ describe( { gltf: boxCesiumRtcUrl, }, - scene + scene, ); verifyRender(model, true); }); @@ -1028,7 +1028,7 @@ describe( it("adds animation to draco-compressed model", async function () { const model = await loadAndZoomToModelAsync( { gltf: dracoCesiumManUrl }, - scene + scene, ); verifyRender(model, true); @@ -1046,7 +1046,7 @@ describe( const offset = new HeadingPitchRange( CesiumMath.PI_OVER_TWO, -CesiumMath.PI_OVER_FOUR, - 1 + 1, ); const resource = Resource.createIfNeeded(boxInstancedNoNormalsUrl); @@ -1057,7 +1057,7 @@ describe( basePath: boxInstancedNoNormalsUrl, offset: offset, }, - scene + scene, ); const renderOptions = { zoomToModel: false, @@ -1071,7 +1071,7 @@ describe( const buffer = await resource.fetchArrayBuffer(); const model = await loadAndZoomToModelAsync( { gltf: new Uint8Array(buffer), show: false }, - scene + scene, ); expect(model.ready).toEqual(true); expect(model.show).toEqual(false); @@ -1088,7 +1088,7 @@ describe( gltf: boxTexturedGlbUrl, modelMatrix: modelMatrix, }, - scene2D + scene2D, ); expect(model.ready).toEqual(true); verifyRender(model, true, { @@ -1102,10 +1102,10 @@ describe( { gltf: boxTexturedGlbUrl, modelMatrix: Transforms.eastNorthUpToFixedFrame( - Cartesian3.fromDegrees(180.0, 0.0) + Cartesian3.fromDegrees(180.0, 0.0), ), }, - scene2D + scene2D, ); expect(model.ready).toEqual(true); verifyRender(model, true, { @@ -1114,7 +1114,7 @@ describe( }); model.modelMatrix = Transforms.eastNorthUpToFixedFrame( - Cartesian3.fromDegrees(-180.0, 0.0) + Cartesian3.fromDegrees(-180.0, 0.0), ); verifyRender(model, true, { zoomToModel: false, @@ -1128,7 +1128,7 @@ describe( gltf: boxTexturedGlbUrl, modelMatrix: modelMatrix, }, - sceneCV + sceneCV, ); expect(model.ready).toEqual(true); scene.camera.moveBackward(1.0); @@ -1144,7 +1144,7 @@ describe( gltf: boxTexturedGlbUrl, modelMatrix: modelMatrix, }, - sceneCV + sceneCV, ); expect(model.ready).toEqual(true); scene.camera.moveBackward(1.0); @@ -1168,7 +1168,7 @@ describe( projectTo2D: true, incrementallyLoadTextures: false, }, - scene2D + scene2D, ); expect(model.ready).toEqual(true); verifyRender(model, true, { @@ -1185,7 +1185,7 @@ describe( projectTo2D: true, incrementallyLoadTextures: false, }, - sceneCV + sceneCV, ); expect(model.ready).toEqual(true); sceneCV.camera.moveBackward(1.0); @@ -1202,7 +1202,7 @@ describe( modelMatrix: modelMatrix, projectTo2D: true, }, - scene + scene, ); const commandList = scene.frameState.commandList; expect(model.ready).toEqual(true); @@ -1224,7 +1224,7 @@ describe( it("applies style to model with feature table", async function () { const model = await loadAndZoomToModelAsync( { gltf: buildingsMetadata }, - scene + scene, ); // Renders without style. @@ -1247,7 +1247,7 @@ describe( }); verifyRender(model, true); expect(model._styleCommandsNeeded).toBe( - StyleCommandsNeeded.ALL_TRANSLUCENT + StyleCommandsNeeded.ALL_TRANSLUCENT, ); // Does not render with invisible color. @@ -1274,7 +1274,7 @@ describe( it("applies style to model without feature table", async function () { const model = await loadAndZoomToModelAsync( { gltf: boxTexturedGlbUrl }, - scene + scene, ); const renderOptions = { @@ -1350,7 +1350,7 @@ describe( basePath: boxTexturedGltfUrl, credit: credit, }, - scene + scene, ); scene.renderForSpecs(); const creditDisplay = scene.frameState.creditDisplay; @@ -1370,7 +1370,7 @@ describe( basePath: boxTexturedGltfUrl, credit: creditString, }, - scene + scene, ); scene.renderForSpecs(); const creditDisplay = scene.frameState.creditDisplay; @@ -1388,7 +1388,7 @@ describe( gltf: gltf, basePath: boxWithCreditsUrl, }, - scene + scene, ); const expectedCredits = [ "First Source", @@ -1415,7 +1415,7 @@ describe( basePath: boxWithCreditsUrl, credit: "User Credit", }, - scene + scene, ); model._resourceCredits = [new Credit("Resource Credit")]; const expectedCredits = [ @@ -1446,7 +1446,7 @@ describe( credit: "User Credit", showCreditsOnScreen: true, }, - scene + scene, ); const expectedCredits = [ "User Credit", @@ -1474,7 +1474,7 @@ describe( credit: "User Credit", showCreditsOnScreen: false, }, - scene + scene, ); const expectedCredits = [ "User Credit", @@ -1523,7 +1523,7 @@ describe( credit: new Credit("User Credit", false), showCreditsOnScreen: true, }, - scene + scene, ); scene.renderForSpecs(); const creditDisplay = scene.frameState.creditDisplay; @@ -1564,7 +1564,7 @@ describe( const buffer = await resource.fetchArrayBuffer(); const model = await loadAndZoomToModelAsync( { gltf: new Uint8Array(buffer), enableDebugWireframe: true }, - sceneWithWebgl1 + sceneWithWebgl1, ); verifyDebugWireframe(model, PrimitiveType.TRIANGLES); }); @@ -1574,7 +1574,7 @@ describe( const buffer = await resource.fetchArrayBuffer(); const model = await loadAndZoomToModelAsync( { gltf: new Uint8Array(buffer), enableDebugWireframe: false }, - sceneWithWebgl1 + sceneWithWebgl1, ); const commandList = scene.frameState.commandList; const commandCounts = []; @@ -1604,7 +1604,7 @@ describe( const buffer = await resource.fetchArrayBuffer(); const model = await loadAndZoomToModelAsync( { gltf: new Uint8Array(buffer) }, - scene + scene, ); verifyDebugWireframe(model, PrimitiveType.TRIANGLES, { scene: scene, @@ -1614,7 +1614,7 @@ describe( it("debugWireframe works for model without indices", async function () { const model = await loadAndZoomToModelAsync( { gltf: triangleWithoutIndicesUrl, enableDebugWireframe: true }, - scene + scene, ); verifyDebugWireframe(model, PrimitiveType.TRIANGLES, { hasIndices: false, @@ -1624,7 +1624,7 @@ describe( it("debugWireframe works for model with triangle strip", async function () { const model = await loadAndZoomToModelAsync( { gltf: triangleStripUrl, enableDebugWireframe: true }, - scene + scene, ); verifyDebugWireframe(model, PrimitiveType.TRIANGLE_STRIP); }); @@ -1632,7 +1632,7 @@ describe( it("debugWireframe works for model with triangle fan", async function () { const model = await loadAndZoomToModelAsync( { gltf: triangleFanUrl, enableDebugWireframe: true }, - scene + scene, ); verifyDebugWireframe(model, PrimitiveType.TRIANGLE_FAN); }); @@ -1640,7 +1640,7 @@ describe( it("debugWireframe ignores points", async function () { const model = await loadAndZoomToModelAsync( { gltf: pointCloudUrl, enableDebugWireframe: true }, - scene + scene, ); scene.renderForSpecs(); const commandList = scene.frameState.commandList; @@ -1664,7 +1664,7 @@ describe( const buffer = await resource.fetchArrayBuffer(); const model = await loadAndZoomToModelAsync( { gltf: new Uint8Array(buffer), debugShowBoundingVolume: true }, - scene + scene, ); scene.renderForSpecs(); const commandList = scene.frameState.commandList; @@ -1694,14 +1694,14 @@ describe( const buffer = await resource.fetchArrayBuffer(); const model = await loadAndZoomToModelAsync( { gltf: new Uint8Array(buffer) }, - scene + scene, ); const boundingSphere = model.boundingSphere; expect(boundingSphere).toBeDefined(); expect(boundingSphere.center).toEqual(new Cartesian3()); expect(boundingSphere.radius).toEqualEpsilon( 0.8660254037844386, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); }); @@ -1715,11 +1715,11 @@ describe( expect(boundingSphere).toBeDefined(); expect(boundingSphere.center).toEqualEpsilon( new Cartesian3(0.0320296511054039, 0, 0.7249599695205688), - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); expect(boundingSphere.radius).toEqualEpsilon( 0.9484635280120018, - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); }); @@ -1728,7 +1728,7 @@ describe( { gltf: boxCesiumRtcUrl, }, - scene + scene, ); const boundingSphere = model.boundingSphere; expect(boundingSphere).toBeDefined(); @@ -1738,7 +1738,7 @@ describe( it("boundingSphere updates bounding sphere when invoked", async function () { const model = await loadAndZoomToModelAsync( { gltf: boxTexturedGlbUrl }, - scene + scene, ); const expectedRadius = 0.8660254037844386; const translation = new Cartesian3(10, 0, 0); @@ -1752,7 +1752,7 @@ describe( expect(boundingSphere.center).toEqual(translation); expect(boundingSphere.radius).toEqualEpsilon( 2.0 * expectedRadius, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); }); }); @@ -1773,7 +1773,7 @@ describe( gltf: new Uint8Array(buffer), imageBasedLighting: imageBasedLighting, }, - scene + scene, ); await pollToPromise(function () { scene.render(); @@ -1790,11 +1790,11 @@ describe( const expectedIblTransform = Matrix3.multiply( yUpToZUp, viewRotation, - new Matrix3() + new Matrix3(), ); expect(model._iblReferenceFrameMatrix).toEqualEpsilon( expectedIblTransform, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); }); }); @@ -1811,7 +1811,7 @@ describe( offset: offset, id: boxTexturedGlbUrl, }, - scene + scene, ); expect(model.id).toBe(boxTexturedGlbUrl); @@ -1830,7 +1830,7 @@ describe( gltf: boxTexturedGlbUrl, offset: offset, }, - scene + scene, ); expect(model.id).toBeUndefined(); @@ -1862,7 +1862,7 @@ describe( gltf: boxTexturedGlbUrl, offset: offset, }, - scene + scene, ); expect(scene).toPickAndCall(function (result) { expect(result.primitive).toBeInstanceOf(Model); @@ -1886,7 +1886,7 @@ describe( offset: offset, id: boxTexturedGlbUrl, }, - scene + scene, ); expect(scene).toPickAndCall(function (result) { expect(result.primitive).toBeInstanceOf(Model); @@ -1911,7 +1911,7 @@ describe( offset: offset, id: boxTexturedGlbUrl, }, - scene + scene, ); expect(scene).toPickAndCall(function (result) { expect(result.primitive).toBeInstanceOf(Model); @@ -1943,7 +1943,7 @@ describe( allowPicking: false, offset: offset, }, - scene + scene, ); expect(scene).toPickAndCall(function (result) { expect(result).toBeUndefined(); @@ -1965,7 +1965,7 @@ describe( gltf: boxTexturedGlbUrl, offset: offset, }, - scene + scene, ); model.show = false; expect(scene).toPickAndCall(function (result) { @@ -1978,7 +1978,7 @@ describe( function setFeaturesWithOpacity( featureTable, opaqueFeaturesLength, - translucentFeaturesLength + translucentFeaturesLength, ) { for (let i = 0; i < opaqueFeaturesLength; i++) { const feature = featureTable.getFeature(i); @@ -1999,7 +1999,7 @@ describe( { gltf: buildingsMetadata, }, - scene + scene, ); const featureTable = model.featureTables[model.featureTableId]; @@ -2008,7 +2008,7 @@ describe( scene.renderForSpecs(); expect(featureTable.styleCommandsNeededDirty).toEqual(false); expect(featureTable._styleCommandsNeeded).toEqual( - StyleCommandsNeeded.ALL_OPAQUE + StyleCommandsNeeded.ALL_OPAQUE, ); // Set some features to translucent. @@ -2016,7 +2016,7 @@ describe( scene.renderForSpecs(); expect(featureTable.styleCommandsNeededDirty).toEqual(true); expect(featureTable._styleCommandsNeeded).toEqual( - StyleCommandsNeeded.OPAQUE_AND_TRANSLUCENT + StyleCommandsNeeded.OPAQUE_AND_TRANSLUCENT, ); // Set some more features to translucent. @@ -2024,7 +2024,7 @@ describe( scene.renderForSpecs(); expect(featureTable.styleCommandsNeededDirty).toEqual(false); expect(featureTable._styleCommandsNeeded).toEqual( - StyleCommandsNeeded.OPAQUE_AND_TRANSLUCENT + StyleCommandsNeeded.OPAQUE_AND_TRANSLUCENT, ); // Set all features to translucent. @@ -2032,7 +2032,7 @@ describe( scene.renderForSpecs(); expect(featureTable.styleCommandsNeededDirty).toEqual(true); expect(featureTable._styleCommandsNeeded).toEqual( - StyleCommandsNeeded.ALL_TRANSLUCENT + StyleCommandsNeeded.ALL_TRANSLUCENT, ); }); @@ -2045,7 +2045,7 @@ describe( gltf: boxInstanced, instanceFeatureIdLabel: "section", }, - scene + scene, ); expect(model.featureTableId).toEqual(1); }); @@ -2055,7 +2055,7 @@ describe( { gltf: microcosm, }, - scene + scene, ); expect(model.featureTableId).toEqual(0); }); @@ -2065,7 +2065,7 @@ describe( { gltf: buildingsMetadata, }, - scene + scene, ); expect(model.featureTableId).toEqual(0); }); @@ -2075,7 +2075,7 @@ describe( { gltf: buildingsMetadata, }, - scene + scene, ); expect(model.featureIdLabel).toBe("featureId_0"); model.featureIdLabel = "buildings"; @@ -2092,7 +2092,7 @@ describe( { gltf: boxInstanced, }, - scene + scene, ); expect(model.instanceFeatureIdLabel).toBe("instanceFeatureId_0"); model.instanceFeatureIdLabel = "section"; @@ -2114,7 +2114,7 @@ describe( forwardAxis: Axis.X, modelMatrix: transform, }, - scene + scene, ); const sceneGraph = model.sceneGraph; scene.renderForSpecs(); @@ -2130,11 +2130,11 @@ describe( const translation = new Cartesian3(10, 0, 0); const updateModelMatrix = spyOn( ModelSceneGraph.prototype, - "updateModelMatrix" + "updateModelMatrix", ).and.callThrough(); const model = await loadAndZoomToModelAsync( { gltf: boxTexturedGlbUrl, upAxis: Axis.Z, forwardAxis: Axis.X }, - scene + scene, ); verifyRender(model, true); const sceneGraph = model.sceneGraph; @@ -2143,7 +2143,7 @@ describe( Matrix4.multiplyTransformation( model.modelMatrix, transform, - model.modelMatrix + model.modelMatrix, ); scene.renderForSpecs(); @@ -2160,7 +2160,7 @@ describe( const translation = new Cartesian3(10, 0, 0); const model = await loadAndZoomToModelAsync( { gltf: boxTexturedGlbUrl, upAxis: Axis.Z, forwardAxis: Axis.X }, - scene + scene, ); const transform = Matrix4.fromTranslation(translation); expect(model.boundingSphere.center).toEqual(Cartesian3.ZERO); @@ -2168,7 +2168,7 @@ describe( Matrix4.multiplyTransformation( model.modelMatrix, transform, - model.modelMatrix + model.modelMatrix, ); scene.renderForSpecs(); @@ -2181,7 +2181,7 @@ describe( gltf: boxTexturedGlbUrl, modelMatrix: modelMatrix, }, - scene2D + scene2D, ); verifyRender(model, true, { zoomToModel: false, @@ -2202,7 +2202,7 @@ describe( modelMatrix: modelMatrix, projectTo2D: true, }, - scene2D + scene2D, ); expect(function () { model.modelMatrix = Matrix4.IDENTITY; @@ -2229,7 +2229,7 @@ describe( modelMatrix: Transforms.eastNorthUpToFixedFrame(position), scene: scene, }, - scene + scene, ); expect(model.heightReference).toEqual(HeightReference.CLAMP_TO_GROUND); expect(model._scene).toBe(scene); @@ -2245,7 +2245,7 @@ describe( modelMatrix: Transforms.eastNorthUpToFixedFrame(position), scene: scene, }, - scene + scene, ); expect(model.heightReference).toEqual(HeightReference.NONE); expect(model._clampedModelMatrix).toBeUndefined(); @@ -2269,14 +2269,14 @@ describe( heightReference: HeightReference.CLAMP_TO_GROUND, scene: scene, }, - scene + scene, ); expect(model.heightReference).toEqual(HeightReference.CLAMP_TO_GROUND); expect(scene.updateHeight).toHaveBeenCalledWith( Ellipsoid.WGS84.cartesianToCartographic(position), jasmine.any(Function), - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); }); @@ -2292,7 +2292,7 @@ describe( heightReference: HeightReference.NONE, scene: scene, }, - scene + scene, ); model.heightReference = HeightReference.CLAMP_TO_GROUND; @@ -2302,7 +2302,7 @@ describe( expect(scene.updateHeight).toHaveBeenCalledWith( Ellipsoid.WGS84.cartesianToCartographic(position), jasmine.any(Function), - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); }); @@ -2318,7 +2318,7 @@ describe( heightReference: HeightReference.CLAMP_TO_GROUND, scene: scene, }, - scene + scene, ); model.heightReference = HeightReference.NONE; @@ -2340,12 +2340,12 @@ describe( heightReference: HeightReference.CLAMP_TO_GROUND, scene: scene, }, - scene + scene, ); expect(scene.updateHeight).toHaveBeenCalledWith( Ellipsoid.WGS84.cartesianToCartographic(position), jasmine.any(Function), - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); model.heightReference = HeightReference.RELATIVE_TO_GROUND; @@ -2355,7 +2355,7 @@ describe( expect(scene.updateHeight).toHaveBeenCalledWith( Ellipsoid.WGS84.cartesianToCartographic(position), jasmine.any(Function), - HeightReference.RELATIVE_TO_GROUND + HeightReference.RELATIVE_TO_GROUND, ); }); @@ -2372,12 +2372,12 @@ describe( heightReference: HeightReference.CLAMP_TO_GROUND, scene: scene, }, - scene + scene, ); expect(scene.updateHeight).toHaveBeenCalledWith( Ellipsoid.WGS84.cartesianToCartographic(position), jasmine.any(Function), - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); // Modify the model matrix in place @@ -2391,7 +2391,7 @@ describe( expect(scene.updateHeight).toHaveBeenCalledWith( Ellipsoid.WGS84.cartesianToCartographic(position), jasmine.any(Function), - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); }); @@ -2408,12 +2408,12 @@ describe( heightReference: HeightReference.CLAMP_TO_GROUND, scene: scene, }, - scene + scene, ); expect(scene.updateHeight).toHaveBeenCalledWith( Ellipsoid.WGS84.cartesianToCartographic(position), jasmine.any(Function), - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); position = Cartesian3.fromDegrees(-73.0, 40.0); @@ -2427,7 +2427,7 @@ describe( expect(scene.updateHeight).toHaveBeenCalledWith( Ellipsoid.WGS84.cartesianToCartographic(position), jasmine.any(Function), - HeightReference.CLAMP_TO_GROUND + HeightReference.CLAMP_TO_GROUND, ); }); @@ -2439,19 +2439,19 @@ describe( cartographic.height = height; updateCallback(cartographic); }; - } + }, ); const model = await loadAndZoomToModelAsync( { gltf: boxTexturedGltfUrl, modelMatrix: Transforms.eastNorthUpToFixedFrame( - Cartesian3.fromDegrees(-72.0, 40.0) + Cartesian3.fromDegrees(-72.0, 40.0), ), heightReference: HeightReference.CLAMP_TO_GROUND, scene: scene, }, - scene + scene, ); invokeCallback(100.0); @@ -2467,12 +2467,12 @@ describe( { gltf: boxTexturedGltfUrl, modelMatrix: Transforms.eastNorthUpToFixedFrame( - Cartesian3.fromDegrees(-72.0, 40.0) + Cartesian3.fromDegrees(-72.0, 40.0), ), heightReference: HeightReference.CLAMP_TO_GROUND, scene: scene, }, - scene + scene, ); expect(model._heightDirty).toBe(false); const terrainProvider = new CesiumTerrainProvider({ @@ -2491,15 +2491,15 @@ describe( { gltf: boxTexturedGltfUrl, modelMatrix: Transforms.eastNorthUpToFixedFrame( - Cartesian3.fromDegrees(-72.0, 40.0) + Cartesian3.fromDegrees(-72.0, 40.0), ), heightReference: HeightReference.CLAMP_TO_GROUND, scene: undefined, }, - scene - ) + scene, + ), ).toBeRejectedWithDeveloperError( - "Height reference is not supported without a scene." + "Height reference is not supported without a scene.", ); }); @@ -2508,11 +2508,11 @@ describe( { gltf: boxTexturedGltfUrl, modelMatrix: Transforms.eastNorthUpToFixedFrame( - Cartesian3.fromDegrees(-72.0, 40.0) + Cartesian3.fromDegrees(-72.0, 40.0), ), heightReference: HeightReference.NONE, }, - scene + scene, ); expect(function () { @@ -2527,13 +2527,13 @@ describe( { gltf: boxTexturedGltfUrl, modelMatrix: Transforms.eastNorthUpToFixedFrame( - Cartesian3.fromDegrees(-72.0, 40.0) + Cartesian3.fromDegrees(-72.0, 40.0), ), heightReference: HeightReference.CLAMP_TO_GROUND, scene: scene, }, - scene - ) + scene, + ), ).toBeResolved(); }); @@ -2545,12 +2545,12 @@ describe( { gltf: boxTexturedGlbUrl, modelMatrix: Transforms.eastNorthUpToFixedFrame( - Cartesian3.fromDegrees(-72.0, 40.0) + Cartesian3.fromDegrees(-72.0, 40.0), ), heightReference: HeightReference.CLAMP_TO_GROUND, scene: scene, }, - scene + scene, ); scene.primitives.remove(model); @@ -2569,7 +2569,7 @@ describe( gltf: boxTexturedGltfUrl, distanceDisplayCondition: condition, }, - scene + scene, ); verifyRender(model, false); }); @@ -2582,7 +2582,7 @@ describe( { gltf: boxTexturedGltfUrl, }, - scene + scene, ); verifyRender(model, true); @@ -2601,7 +2601,7 @@ describe( { gltf: boxTexturedGltfUrl, }, - scene + scene, ); verifyRender(model, true); @@ -2614,7 +2614,7 @@ describe( // Model distance is between near and far values, should render frameState.camera.lookAt( Cartesian3.ZERO, - new HeadingPitchRange(0.0, 0.0, (far + near) * 0.5) + new HeadingPitchRange(0.0, 0.0, (far + near) * 0.5), ); verifyRender(model, true, { zoomToModel: false, @@ -2623,7 +2623,7 @@ describe( // Model distance is greater than far value, should not render frameState.camera.lookAt( Cartesian3.ZERO, - new HeadingPitchRange(0.0, 0.0, far + 10.0) + new HeadingPitchRange(0.0, 0.0, far + 10.0), ); verifyRender(model, false, { zoomToModel: false, @@ -2638,7 +2638,7 @@ describe( { gltf: boxTexturedGltfUrl, }, - scene + scene, ); expect(function () { model.distanceDisplayCondition = condition; @@ -2650,7 +2650,7 @@ describe( it("initializes with model color", async function () { const model = await loadAndZoomToModelAsync( { gltf: boxTexturedGltfUrl, color: Color.BLACK }, - scene + scene, ); verifyRender(model, false); }); @@ -2658,7 +2658,7 @@ describe( it("changing model color works", async function () { const model = await loadAndZoomToModelAsync( { gltf: boxTexturedGltfUrl }, - scene + scene, ); verifyRender(model, true); @@ -2682,7 +2682,7 @@ describe( gltf: boxTexturedGltfUrl, offset: offset, }, - scene + scene, ); const renderOptions = { scene: scene, @@ -2714,7 +2714,7 @@ describe( color: Color.fromAlpha(Color.BLACK, 0.0), offset: offset, }, - scene + scene, ); verifyRender(model, false); @@ -2764,7 +2764,7 @@ describe( color: Color.RED, colorBlendMode: ColorBlendMode.HIGHLIGHT, }, - scene + scene, ); expect(model.colorBlendMode).toEqual(ColorBlendMode.HIGHLIGHT); @@ -2785,7 +2785,7 @@ describe( color: Color.RED, colorBlendMode: ColorBlendMode.REPLACE, }, - scene + scene, ); expect(model.colorBlendMode).toEqual(ColorBlendMode.REPLACE); @@ -2806,7 +2806,7 @@ describe( color: Color.RED, colorBlendMode: ColorBlendMode.MIX, }, - scene + scene, ); expect(model.colorBlendMode).toEqual(ColorBlendMode.MIX); @@ -2827,7 +2827,7 @@ describe( color: Color.RED, colorBlendMode: ColorBlendMode.REPLACE, }, - scene + scene, ); expect(model.colorBlendMode).toEqual(ColorBlendMode.REPLACE); @@ -2869,7 +2869,7 @@ describe( colorBlendMode: ColorBlendMode.MIX, colorBlendAmount: 1.0, }, - scene + scene, ); expect(model.colorBlendAmount).toEqual(1.0); @@ -2890,7 +2890,7 @@ describe( gltf: boxTexturedGltfUrl, offset: offset, }, - scene + scene, ); const renderOptions = { scene: scene, @@ -2936,7 +2936,7 @@ describe( it("initializes with silhouette size", async function () { await loadAndZoomToModelAsync( { gltf: boxTexturedGltfUrl, silhouetteSize: 1.0 }, - scene + scene, ); const commands = scene.frameState.commandList; scene.renderForSpecs(); @@ -2950,7 +2950,7 @@ describe( it("changing silhouette size works", async function () { const model = await loadAndZoomToModelAsync( { gltf: boxTexturedGltfUrl }, - scene + scene, ); const commands = scene.frameState.commandList; scene.renderForSpecs(); @@ -2980,7 +2980,7 @@ describe( silhouetteSize: 1.0, silhouetteColor: Color.fromAlpha(Color.GREEN, 0.5), }, - scene + scene, ); const commands = scene.frameState.commandList; scene.renderForSpecs(); @@ -2994,7 +2994,7 @@ describe( it("silhouette is disabled by invisible color", async function () { const model = await loadAndZoomToModelAsync( { gltf: boxTexturedGltfUrl, silhouetteSize: 1.0 }, - scene + scene, ); const commands = scene.frameState.commandList; scene.renderForSpecs(); @@ -3018,7 +3018,7 @@ describe( silhouetteSize: 1.0, color: Color.fromAlpha(Color.WHITE, 0.0), }, - scene + scene, ); const commands = scene.frameState.commandList; scene.renderForSpecs(); @@ -3043,7 +3043,7 @@ describe( silhouetteSize: 1.0, color: Color.fromAlpha(Color.WHITE, 0.5), }, - scene + scene, ); const commands = scene.frameState.commandList; scene.renderForSpecs(); @@ -3065,7 +3065,7 @@ describe( color: Color.fromAlpha(Color.WHITE, 0.5), silhouetteColor: Color.fromAlpha(Color.RED, 0.5), }, - scene + scene, ); const commands = scene.frameState.commandList; scene.renderForSpecs(); @@ -3083,14 +3083,14 @@ describe( gltf: boxTexturedGltfUrl, silhouetteSize: 1.0, }, - scene + scene, ); await loadAndZoomToModelAsync( { gltf: boxTexturedGltfUrl, silhouetteSize: 1.0, }, - scene + scene, ); const commands = scene.frameState.commandList; scene.renderForSpecs(); @@ -3111,7 +3111,7 @@ describe( it("initializes with light color", async function () { const model = await loadAndZoomToModelAsync( { gltf: boxTexturedGltfUrl, lightColor: Cartesian3.ZERO }, - scene + scene, ); verifyRender(model, false); }); @@ -3119,7 +3119,7 @@ describe( it("changing light color works", async function () { const model = await loadAndZoomToModelAsync( { gltf: boxTexturedGltfUrl }, - scene + scene, ); model.lightColor = Cartesian3.ZERO; verifyRender(model, false); @@ -3134,7 +3134,7 @@ describe( it("light color doesn't affect unlit models", async function () { const model = await loadAndZoomToModelAsync( { gltf: boxUnlitUrl }, - scene + scene, ); const options = { zoomToModel: false, @@ -3160,7 +3160,7 @@ describe( }); const model = await loadAndZoomToModelAsync( { gltf: boxTexturedGltfUrl, imageBasedLighting: ibl }, - scene + scene, ); expect(model.imageBasedLighting).toBe(ibl); }); @@ -3168,19 +3168,19 @@ describe( it("creates default imageBasedLighting", async function () { const model = await loadAndZoomToModelAsync( { gltf: boxTexturedGltfUrl }, - scene + scene, ); const imageBasedLighting = model.imageBasedLighting; expect(imageBasedLighting).toBeDefined(); expect( Cartesian2.equals( imageBasedLighting.imageBasedLightingFactor, - new Cartesian2(1, 1) - ) + new Cartesian2(1, 1), + ), ).toBe(true); expect(imageBasedLighting.luminanceAtZenith).toBe(0.2); expect( - imageBasedLighting.sphericalHarmonicCoefficients + imageBasedLighting.sphericalHarmonicCoefficients, ).toBeUndefined(); expect(imageBasedLighting.specularEnvironmentMaps).toBeUndefined(); }); @@ -3191,7 +3191,7 @@ describe( }); const model = await loadAndZoomToModelAsync( { gltf: boxTexturedGltfUrl }, - scene + scene, ); const renderOptions = { scene: scene, @@ -3218,7 +3218,7 @@ describe( imageBasedLightingFactor: Cartesian2.ZERO, }), }, - scene + scene, ); const renderOptions = { scene: scene, @@ -3246,7 +3246,7 @@ describe( luminanceAtZenith: 0.0, }), }, - scene + scene, ); const renderOptions = { scene: scene, @@ -3273,47 +3273,47 @@ describe( const L00 = new Cartesian3( 0.692622075009195, 0.4543516001819, - 0.36910172299235 + 0.36910172299235, ); // L00, irradiance, pre-scaled base const L1_1 = new Cartesian3( 0.289407068366422, 0.16789310162658, - 0.106174907004792 + 0.106174907004792, ); // L1-1, irradiance, pre-scaled base const L10 = new Cartesian3( -0.591502034778913, -0.28152432317119, - 0.124647554708491 + 0.124647554708491, ); // L10, irradiance, pre-scaled base const L11 = new Cartesian3( 0.34945458117126, 0.163273486841657, - -0.03095643545207 + -0.03095643545207, ); // L11, irradiance, pre-scaled base const L2_2 = new Cartesian3( 0.22171176447426, 0.11771991868122, - 0.031381053430064 + 0.031381053430064, ); // L2-2, irradiance, pre-scaled base const L2_1 = new Cartesian3( -0.348955284677868, -0.187256994042823, - -0.026299717727617 + -0.026299717727617, ); // L2-1, irradiance, pre-scaled base const L20 = new Cartesian3( 0.119982671127227, 0.076784552175028, - 0.055517838847755 + 0.055517838847755, ); // L20, irradiance, pre-scaled base const L21 = new Cartesian3( -0.545546043202299, -0.279787444030397, - -0.086854000285261 + -0.086854000285261, ); // L21, irradiance, pre-scaled base const L22 = new Cartesian3( 0.160417569726332, 0.120896423762313, - 0.121102528320197 + 0.121102528320197, ); // L22, irradiance, pre-scaled base const coefficients = [L00, L1_1, L10, L11, L2_2, L2_1, L20, L21, L22]; const model = await loadAndZoomToModelAsync( @@ -3323,7 +3323,7 @@ describe( sphericalHarmonicCoefficients: coefficients, }), }, - scene + scene, ); scene.highDynamicRange = true; @@ -3358,7 +3358,7 @@ describe( specularEnvironmentMaps: url, }), }, - scene + scene, ); const ibl = model.imageBasedLighting; @@ -3396,7 +3396,7 @@ describe( gltf: boomBoxUrl, scale: 10.0, }, - scene + scene, ); expect(scene.specularEnvironmentMapsSupported).toBe(false); verifyRender(model, true); @@ -3412,7 +3412,7 @@ describe( forwardAxis: Axis.X, scale: 0.0, }, - scene + scene, ); scene.renderForSpecs(); @@ -3424,7 +3424,7 @@ describe( it("changing scale works", async function () { const updateModelMatrix = spyOn( ModelSceneGraph.prototype, - "updateModelMatrix" + "updateModelMatrix", ).and.callThrough(); const model = await loadAndZoomToModelAsync( { @@ -3432,7 +3432,7 @@ describe( upAxis: Axis.Z, forwardAxis: Axis.X, }, - scene + scene, ); verifyRender(model, true); model.scale = 0.0; @@ -3454,7 +3454,7 @@ describe( gltf: new Uint8Array(buffer), scale: 10, }, - scene + scene, ); scene.renderForSpecs(); @@ -3463,7 +3463,7 @@ describe( expect(boundingSphere.center).toEqual(Cartesian3.ZERO); expect(boundingSphere.radius).toEqualEpsilon( expectedRadius * 10.0, - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); model.scale = 0.0; @@ -3476,7 +3476,7 @@ describe( expect(boundingSphere.center).toEqual(Cartesian3.ZERO); expect(boundingSphere.radius).toEqualEpsilon( expectedRadius, - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); }); @@ -3488,7 +3488,7 @@ describe( gltf: new Uint8Array(buffer), scale: 10, }, - scene + scene, ); const expectedRadius = 0.866; const expectedCenter = new Cartesian3(5.0, 0.0, 0.0); @@ -3496,22 +3496,22 @@ describe( const axisCorrectionMatrix = ModelUtility.getAxisCorrectionMatrix( Axis.Y, Axis.Z, - new Matrix4() + new Matrix4(), ); Matrix4.multiplyTransformation( axisCorrectionMatrix, expectedTranslation, - expectedTranslation + expectedTranslation, ); Matrix4.getTranslation(expectedTranslation, expectedCenter); const boundingSphere = model.boundingSphere; expect(boundingSphere.center).toEqual( - Cartesian3.multiplyByScalar(expectedCenter, 10.0, new Cartesian3()) + Cartesian3.multiplyByScalar(expectedCenter, 10.0, new Cartesian3()), ); expect(boundingSphere.radius).toEqualEpsilon( expectedRadius * 10.0, - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); model.scale = 0.0; @@ -3524,7 +3524,7 @@ describe( expect(boundingSphere.center).toEqual(expectedCenter); expect(boundingSphere.radius).toEqualEpsilon( expectedRadius, - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); }); }); @@ -3541,7 +3541,7 @@ describe( minimumPixelSize: 1, offset: new HeadingPitchRange(0, 0, 500), }, - scene + scene, ); const renderOptions = { zoomToModel: false, @@ -3555,14 +3555,14 @@ describe( expect(model.scale).toEqual(1.0); expect(model.boundingSphere.radius).toEqualEpsilon( expectedRadius, - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); }); it("changing minimumPixelSize works", async function () { const updateModelMatrix = spyOn( ModelSceneGraph.prototype, - "updateModelMatrix" + "updateModelMatrix", ).and.callThrough(); const model = await loadAndZoomToModelAsync( { @@ -3572,7 +3572,7 @@ describe( minimumPixelSize: 1, offset: new HeadingPitchRange(0, 0, 500), }, - scene + scene, ); const renderOptions = { zoomToModel: false, @@ -3596,7 +3596,7 @@ describe( it("changing minimumPixelSize doesn't affect bounding sphere or scale", async function () { const updateModelMatrix = spyOn( ModelSceneGraph.prototype, - "updateModelMatrix" + "updateModelMatrix", ).and.callThrough(); const model = await loadAndZoomToModelAsync( { @@ -3606,7 +3606,7 @@ describe( minimumPixelSize: 1, offset: new HeadingPitchRange(0, 0, 500), }, - scene + scene, ); const expectedRadius = 0.866; scene.renderForSpecs(); @@ -3614,7 +3614,7 @@ describe( expect(model.scale).toEqual(1.0); expect(model.boundingSphere.radius).toEqualEpsilon( expectedRadius, - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); model.minimumPixelSize = 0.0; @@ -3623,7 +3623,7 @@ describe( expect(model.scale).toEqual(1.0); expect(model.boundingSphere.radius).toEqualEpsilon( expectedRadius, - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); model.minimumPixelSize = 1; @@ -3632,7 +3632,7 @@ describe( expect(model.scale).toEqual(1.0); expect(model.boundingSphere.radius).toEqualEpsilon( expectedRadius, - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); }); }); @@ -3648,7 +3648,7 @@ describe( forwardAxis: Axis.X, maximumScale: 0.0, }, - scene + scene, ); scene.renderForSpecs(); verifyRender(model, false); @@ -3659,7 +3659,7 @@ describe( it("changing maximumScale works", async function () { const updateModelMatrix = spyOn( ModelSceneGraph.prototype, - "updateModelMatrix" + "updateModelMatrix", ).and.callThrough(); const model = await loadAndZoomToModelAsync( { @@ -3668,7 +3668,7 @@ describe( forwardAxis: Axis.X, scale: 2.0, }, - scene + scene, ); scene.renderForSpecs(); verifyRender(model, true); @@ -3693,7 +3693,7 @@ describe( scale: 20, maximumScale: 10, }, - scene + scene, ); scene.renderForSpecs(); @@ -3702,7 +3702,7 @@ describe( expect(boundingSphere.center).toEqual(Cartesian3.ZERO); expect(boundingSphere.radius).toEqualEpsilon( expectedRadius * 10.0, - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); model.maximumScale = 0.0; @@ -3715,7 +3715,7 @@ describe( expect(boundingSphere.center).toEqual(Cartesian3.ZERO); expect(boundingSphere.radius).toEqualEpsilon( expectedRadius, - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); }); @@ -3728,7 +3728,7 @@ describe( minimumPixelSize: 1, maximumScale: 10, }, - scene + scene, ); scene.renderForSpecs(); @@ -3737,7 +3737,7 @@ describe( expect(boundingSphere.center).toEqual(Cartesian3.ZERO); expect(boundingSphere.radius).toEqualEpsilon( expectedRadius, - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); model.maximumScale = 0.0; @@ -3750,7 +3750,7 @@ describe( expect(boundingSphere.center).toEqual(Cartesian3.ZERO); expect(boundingSphere.radius).toEqualEpsilon( expectedRadius, - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); }); }); @@ -3760,11 +3760,11 @@ describe( { gltf: boxTexturedGltfUrl, }, - scene + scene, ); const resetDrawCommands = spyOn( model, - "resetDrawCommands" + "resetDrawCommands", ).and.callThrough(); expect(model.ready).toBe(true); @@ -3779,11 +3779,11 @@ describe( { gltf: boxTexturedGltfUrl, }, - scene + scene, ); const resetDrawCommands = spyOn( model, - "resetDrawCommands" + "resetDrawCommands", ).and.callThrough(); expect(model.ready).toBe(true); expect(model.hasVerticalExaggeration).toBe(true); @@ -3800,7 +3800,7 @@ describe( { gltf: boxTexturedGltfUrl, }, - scene + scene, ); expect(model.ready).toBe(true); model._ignoreCommands = true; @@ -3816,7 +3816,7 @@ describe( gltf: boxTexturedGltfUrl, cull: true, }, - scene + scene, ); expect(model.cull).toEqual(true); @@ -3826,7 +3826,7 @@ describe( // Commands should not be submitted when model is out of view. model.modelMatrix = Matrix4.fromTranslation( - new Cartesian3(100.0, 0.0, 0.0) + new Cartesian3(100.0, 0.0, 0.0), ); scene.renderForSpecs(); expect(scene.frustumCommandsList.length).toEqual(0); @@ -3838,7 +3838,7 @@ describe( gltf: boxTexturedGltfUrl, cull: false, }, - scene + scene, ); expect(model.cull).toEqual(false); @@ -3849,7 +3849,7 @@ describe( // Commands should still be submitted when model is out of view. model.modelMatrix = Matrix4.fromTranslation( - new Cartesian3(0.0, 100.0, 0.0) + new Cartesian3(0.0, 100.0, 0.0), ); scene.renderForSpecs(); expect(scene.frustumCommandsList.length).toEqual(length); @@ -3862,7 +3862,7 @@ describe( const boxBackFaceCullingOffset = new HeadingPitchRange( Math.PI / 2, 0, - 2.0 + 2.0, ); it("enables back-face culling", async function () { @@ -3872,7 +3872,7 @@ describe( backFaceCulling: true, offset: boxBackFaceCullingOffset, }, - scene + scene, ); verifyRender(model, false, { zoomToModel: false, @@ -3886,7 +3886,7 @@ describe( backFaceCulling: false, offset: boxBackFaceCullingOffset, }, - scene + scene, ); verifyRender(model, true, { zoomToModel: false, @@ -3900,7 +3900,7 @@ describe( backFaceCulling: true, offset: boxBackFaceCullingOffset, }, - scene + scene, ); verifyRender(model, false, { zoomToModel: false, @@ -3920,7 +3920,7 @@ describe( backFaceCulling: false, offset: boxBackFaceCullingOffset, }, - scene + scene, ); verifyRender(model, true, { zoomToModel: false, @@ -3941,7 +3941,7 @@ describe( offset: boxBackFaceCullingOffset, color: new Color(0, 0, 1.0, 0.5), }, - scene + scene, ); verifyRender(model, true, { zoomToModel: false, @@ -3967,7 +3967,7 @@ describe( gltf: boxTexturedGlbUrl, modelMatrix: Matrix4.fromUniformScale(-1.0), }, - scene + scene, ); const renderOptions = { scene: scene, @@ -4002,11 +4002,11 @@ describe( }); await loadAndZoomToModelAsync( { gltf: boxTexturedGlbUrl, clippingPlanes: clippingPlanes }, - scene + scene, ); const model = await loadAndZoomToModelAsync( { gltf: boxTexturedGlbUrl }, - scene + scene, ); expect(function () { model.clippingPlanes = clippingPlanes; @@ -4020,7 +4020,7 @@ describe( }); const model = await loadAndZoomToModelAsync( { gltf: boxTexturedGlbUrl }, - scene + scene, ); const gl = scene.frameState.context._gl; spyOn(gl, "texImage2D").and.callThrough(); @@ -4044,7 +4044,7 @@ describe( }); const model = await loadAndZoomToModelAsync( { gltf: boxTexturedGlbUrl, clippingPlanes: clippingPlanes }, - scene + scene, ); verifyRender(model, false); @@ -4056,7 +4056,7 @@ describe( const direction = Cartesian3.multiplyByScalar( Cartesian3.UNIT_X, -1, - new Cartesian3() + new Cartesian3(), ); const plane = new ClippingPlane(direction, 0.0); const clippingPlanes = new ClippingPlaneCollection({ @@ -4064,7 +4064,7 @@ describe( }); const model = await loadAndZoomToModelAsync( { gltf: boxTexturedGlbUrl }, - scene + scene, ); let modelColor; verifyRender(model, true); @@ -4092,7 +4092,7 @@ describe( }); const model = await loadAndZoomToModelAsync( { gltf: boxTexturedGlbUrl, clippingPlanes: clippingPlanes }, - scene + scene, ); verifyRender(model, false); @@ -4107,7 +4107,7 @@ describe( }); const model = await loadAndZoomToModelAsync( { gltf: boxTexturedGlbUrl, clippingPlanes: clippingPlanes }, - scene + scene, ); verifyRender(model, false); @@ -4125,7 +4125,7 @@ describe( const model = await loadAndZoomToModelAsync( { gltf: boxTexturedGlbUrl, clippingPlanes: clippingPlanes }, - scene + scene, ); verifyRender(model, false); @@ -4152,7 +4152,7 @@ describe( const model = await loadAndZoomToModelAsync( { gltf: boxTexturedGlbUrl }, - scene + scene, ); let modelColor; verifyRender(model, true); @@ -4182,7 +4182,7 @@ describe( }); const model = await loadAndZoomToModelAsync( { gltf: boxTexturedGlbUrl }, - scene + scene, ); verifyRender(model, true); @@ -4199,7 +4199,7 @@ describe( { gltf: boxTexturedGlbUrl, }, - scene + scene, ); const clippingPlanes = new ClippingPlaneCollection({ planes: [new ClippingPlane(Cartesian3.UNIT_X, 0.0)], @@ -4219,7 +4219,7 @@ describe( { gltf: boxTexturedGlbUrl, }, - scene + scene, ); const clippingPlanes = new ClippingPlaneCollection({ planes: [new ClippingPlane(Cartesian3.UNIT_X, 0.0)], @@ -4258,13 +4258,13 @@ describe( }); const modelA = await loadAndZoomToModelAsync( { gltf: boxTexturedGlbUrl }, - scene + scene, ); modelA.clippingPolygons = collection; const modelB = await loadAndZoomToModelAsync( { gltf: boxTexturedGlbUrl }, - scene + scene, ); expect(function () { @@ -4282,7 +4282,7 @@ describe( }); const model = await loadAndZoomToModelAsync( { gltf: boxTexturedGlbUrl }, - scene + scene, ); model.clippingPolygons = collection; verifyRender(model, false); @@ -4301,7 +4301,7 @@ describe( }); const model = await loadAndZoomToModelAsync( { gltf: boxTexturedGlbUrl }, - scene + scene, ); let modelColor; verifyRender(model, true); @@ -4330,7 +4330,7 @@ describe( { gltf: boxTexturedGlbUrl, }, - scene + scene, ); model.clippingPolygons = collection; verifyRender(model, true); @@ -4351,7 +4351,7 @@ describe( { gltf: boxTexturedGlbUrl, }, - scene + scene, ); model.clippingPolygons = collection; verifyRender(model, false); @@ -4365,7 +4365,7 @@ describe( { gltf: boxTexturedGlbUrl, }, - scene + scene, ); const collection = new ClippingPolygonCollection({ polygons: [polygon], @@ -4385,7 +4385,7 @@ describe( { gltf: boxTexturedGlbUrl, }, - scene + scene, ); const collection = new ClippingPolygonCollection({ polygons: [polygon], @@ -4404,7 +4404,7 @@ describe( url: boxTexturedGltfUrl, classificationType: ClassificationType.CESIUM_3D_TILE, }, - scene + scene, ); expect(model.classificationType).toBe(ClassificationType.CESIUM_3D_TILE); @@ -4416,7 +4416,7 @@ describe( it("gets triangle count", async function () { const model = await loadAndZoomToModelAsync( { gltf: boxTexturedGltfUrl }, - scene + scene, ); const statistics = model.statistics; expect(statistics.trianglesLength).toEqual(12); @@ -4425,7 +4425,7 @@ describe( it("gets point count", async function () { const model = await loadAndZoomToModelAsync( { gltf: pointCloudUrl }, - scene + scene, ); const statistics = model.statistics; expect(statistics.pointsLength).toEqual(2500); @@ -4434,7 +4434,7 @@ describe( it("gets memory usage for geometry and textures", async function () { const model = await loadAndZoomToModelAsync( { gltf: boxTexturedGltfUrl, incrementallyLoadTextures: false }, - scene + scene, ); const expectedGeometryMemory = 840; // Texture is 256*256 and then is mipmapped @@ -4448,13 +4448,13 @@ describe( it("gets memory usage for property tables", async function () { const model = await loadAndZoomToModelAsync( { gltf: buildingsMetadata }, - scene + scene, ); const expectedPropertyTableMemory = 110; const statistics = model.statistics; expect(statistics.propertyTablesByteLength).toEqual( - expectedPropertyTableMemory + expectedPropertyTableMemory, ); }); }); @@ -4475,7 +4475,7 @@ describe( { gltf: boxArticulationsUrl, }, - scene + scene, ); expect(function () { model.setArticulationStage("SampleArticulation MoveX", "bad"); @@ -4497,7 +4497,7 @@ describe( { gltf: boxArticulationsUrl, }, - scene + scene, ); verifyRender(model, true); @@ -4535,7 +4535,7 @@ describe( { gltf: boxArticulationsUrl, }, - scene + scene, ); expect(function () { model.getNode(); @@ -4547,7 +4547,7 @@ describe( { gltf: boxArticulationsUrl, }, - scene + scene, ); const node = model.getNode("I don't exist"); expect(node).toBeUndefined(); @@ -4558,7 +4558,7 @@ describe( { gltf: boxArticulationsUrl, }, - scene + scene, ); const node = model.getNode("Root"); @@ -4575,7 +4575,7 @@ describe( { gltf: boxArticulationsUrl, }, - scene + scene, ); verifyRender(model, true); const node = model.getNode("Root"); @@ -4590,7 +4590,7 @@ describe( { gltf: boxArticulationsUrl, }, - scene + scene, ); verifyRender(model, true); const node = model.getNode("Root"); @@ -4638,10 +4638,10 @@ describe( { url: boxTexturedGltfUrl, modelMatrix: Transforms.eastNorthUpToFixedFrame( - Cartesian3.fromDegrees(0, 0, 10.0) + Cartesian3.fromDegrees(0, 0, 10.0), ), }, - scene + scene, ); viewFog(scene, model); @@ -4686,10 +4686,10 @@ describe( { url: boxTexturedGltfUrl, modelMatrix: Transforms.eastNorthUpToFixedFrame( - Cartesian3.fromDegrees(0, 0, 10.0) + Cartesian3.fromDegrees(0, 0, 10.0), ), }, - scene + scene, ); // In order for fog to render, the camera needs to be @@ -4754,10 +4754,10 @@ describe( { url: boxTexturedGltfUrl, modelMatrix: Transforms.eastNorthUpToFixedFrame( - Cartesian3.fromDegrees(0, 0, 10.0) + Cartesian3.fromDegrees(0, 0, 10.0), ), }, - scene + scene, ); viewFog(scene, model); @@ -4818,10 +4818,10 @@ describe( { url: boxTexturedGltfUrl, modelMatrix: Transforms.eastNorthUpToFixedFrame( - Cartesian3.fromDegrees(0, 0, 10.0) + Cartesian3.fromDegrees(0, 0, 10.0), ), }, - scene + scene, ); viewFog(scene, model); @@ -4872,10 +4872,10 @@ describe( { url: boxTexturedGltfUrl, modelMatrix: Transforms.eastNorthUpToFixedFrame( - Cartesian3.fromDegrees(0, 0, 10.0) + Cartesian3.fromDegrees(0, 0, 10.0), ), }, - scene + scene, ); viewFog(scene, model); @@ -4945,10 +4945,10 @@ describe( { url: boxTexturedGltfUrl, modelMatrix: Transforms.eastNorthUpToFixedFrame( - Cartesian3.fromDegrees(0, 0, 10.0) + Cartesian3.fromDegrees(0, 0, 10.0), ), }, - scene + scene, ); viewFog(scene, model); @@ -4996,10 +4996,10 @@ describe( { url: boxTexturedGltfUrl, modelMatrix: Transforms.eastNorthUpToFixedFrame( - Cartesian3.fromDegrees(0, 0, 10.0) + Cartesian3.fromDegrees(0, 0, 10.0), ), }, - scene + scene, ); viewFog(scene, model); @@ -5044,19 +5044,19 @@ describe( url: boxTexturedGltfUrl, enablePick: !scene.frameState.context.webgl2, }, - scene + scene, ); const ray = scene.camera.getPickRay( new Cartesian2( scene.drawingBufferWidth / 2.0, - scene.drawingBufferHeight / 2.0 - ) + scene.drawingBufferHeight / 2.0, + ), ); const expected = new Cartesian3(0.5, 0, 0.5); expect(model.pick(ray, scene.frameState)).toEqualEpsilon( expected, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); @@ -5064,7 +5064,7 @@ describe( spyOn(ShaderProgram.prototype, "destroy").and.callThrough(); const model = await loadAndZoomToModelAsync( { gltf: boxTexturedGlbUrl }, - scene + scene, ); const resources = model._pipelineResources; const loader = model._loader; @@ -5119,5 +5119,5 @@ describe( } }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/ModelUtilitySpec.js b/packages/engine/Specs/Scene/Model/ModelUtilitySpec.js index f29424fc5587..daa00cf38fde 100644 --- a/packages/engine/Specs/Scene/Model/ModelUtilitySpec.js +++ b/packages/engine/Specs/Scene/Model/ModelUtilitySpec.js @@ -213,7 +213,7 @@ describe("Scene/Model/ModelUtility", function () { const minMax = ModelUtility.getPositionMinMax( mockPrimitive, new Cartesian3(-5, -5, -5), - new Cartesian3(5, 5, 5) + new Cartesian3(5, 5, 5), ); const expectedMin = new Cartesian3(-5.5, -5.5, -5.5); @@ -228,14 +228,14 @@ describe("Scene/Model/ModelUtility", function () { const expectedCombinedMatrix = Matrix4.multiplyTransformation( expectedYToZMatrix, Axis.Z_UP_TO_X_UP, - new Matrix4() + new Matrix4(), ); // If already in ECEF, this should return identity let resultMatrix = ModelUtility.getAxisCorrectionMatrix( Axis.Z, Axis.X, - new Matrix4() + new Matrix4(), ); expect(Matrix4.equals(resultMatrix, Matrix4.IDENTITY)).toBe(true); @@ -243,7 +243,7 @@ describe("Scene/Model/ModelUtility", function () { resultMatrix = ModelUtility.getAxisCorrectionMatrix( Axis.Y, Axis.Z, - new Matrix4() + new Matrix4(), ); expect(Matrix4.equals(resultMatrix, expectedCombinedMatrix)).toBe(true); @@ -251,14 +251,14 @@ describe("Scene/Model/ModelUtility", function () { resultMatrix = ModelUtility.getAxisCorrectionMatrix( Axis.Y, Axis.X, - new Matrix4() + new Matrix4(), ); expect(Matrix4.equals(resultMatrix, expectedYToZMatrix)).toBe(true); resultMatrix = ModelUtility.getAxisCorrectionMatrix( Axis.X, Axis.Y, - new Matrix4() + new Matrix4(), ); expect(Matrix4.equals(resultMatrix, expectedXToZMatrix)).toBe(true); }); @@ -276,29 +276,29 @@ describe("Scene/Model/ModelUtility", function () { expect( ModelUtility.getAttributeBySemantic( nodeIntanceAttributes, - InstanceAttributeSemantic.TRANSLATION - ) + InstanceAttributeSemantic.TRANSLATION, + ), ).toBeDefined(); expect( ModelUtility.getAttributeBySemantic( nodeIntanceAttributes, - InstanceAttributeSemantic.ROTATION - ) + InstanceAttributeSemantic.ROTATION, + ), ).toBeDefined(); expect( ModelUtility.getAttributeBySemantic( nodeIntanceAttributes, - InstanceAttributeSemantic.SCALE - ) + InstanceAttributeSemantic.SCALE, + ), ).toBeDefined(); expect( ModelUtility.getAttributeBySemantic( nodeIntanceAttributes, - InstanceAttributeSemantic.FEATURE_ID - ) + InstanceAttributeSemantic.FEATURE_ID, + ), ).toBeDefined(); expect( - ModelUtility.getAttributeBySemantic(nodeIntanceAttributes, "UNKNOWN") + ModelUtility.getAttributeBySemantic(nodeIntanceAttributes, "UNKNOWN"), ).toBeUndefined(); const primitiveAttributes = { @@ -314,37 +314,37 @@ describe("Scene/Model/ModelUtility", function () { expect( ModelUtility.getAttributeBySemantic( primitiveAttributes, - VertexAttributeSemantic.POSITION - ) + VertexAttributeSemantic.POSITION, + ), ).toBeDefined(); expect( ModelUtility.getAttributeBySemantic( primitiveAttributes, - VertexAttributeSemantic.NORMAL - ) + VertexAttributeSemantic.NORMAL, + ), ).toBeDefined(); expect( ModelUtility.getAttributeBySemantic( primitiveAttributes, - VertexAttributeSemantic.TANGENT - ) + VertexAttributeSemantic.TANGENT, + ), ).toBeDefined(); expect( ModelUtility.getAttributeBySemantic( primitiveAttributes, VertexAttributeSemantic.TEXCOORD, - 0 - ) + 0, + ), ).toBeDefined(); expect( ModelUtility.getAttributeBySemantic( primitiveAttributes, VertexAttributeSemantic.TEXCOORD, - 1 - ) + 1, + ), ).toBeDefined(); expect( - ModelUtility.getAttributeBySemantic(primitiveAttributes, "UNKNOWN") + ModelUtility.getAttributeBySemantic(primitiveAttributes, "UNKNOWN"), ).toBeUndefined(); }); @@ -352,10 +352,10 @@ describe("Scene/Model/ModelUtility", function () { const featureIds = [{ label: "perVertex" }, { label: "perFace" }]; expect(ModelUtility.getFeatureIdsByLabel(featureIds, "perVertex")).toBe( - featureIds[0] + featureIds[0], ); expect(ModelUtility.getFeatureIdsByLabel(featureIds, "perFace")).toBe( - featureIds[1] + featureIds[1], ); }); @@ -366,10 +366,10 @@ describe("Scene/Model/ModelUtility", function () { ]; expect(ModelUtility.getFeatureIdsByLabel(featureIds, "featureId_0")).toBe( - featureIds[0] + featureIds[0], ); expect(ModelUtility.getFeatureIdsByLabel(featureIds, "featureId_1")).toBe( - featureIds[1] + featureIds[1], ); }); @@ -377,7 +377,7 @@ describe("Scene/Model/ModelUtility", function () { const featureIds = [{ label: "perVertex" }, { label: "perFace" }]; expect( - ModelUtility.getFeatureIdsByLabel(featureIds, "other") + ModelUtility.getFeatureIdsByLabel(featureIds, "other"), ).not.toBeDefined(); }); diff --git a/packages/engine/Specs/Scene/Model/MorphTargetsPipelineStageSpec.js b/packages/engine/Specs/Scene/Model/MorphTargetsPipelineStageSpec.js index 82b547bd50d6..e3a9377cf475 100644 --- a/packages/engine/Specs/Scene/Model/MorphTargetsPipelineStageSpec.js +++ b/packages/engine/Specs/Scene/Model/MorphTargetsPipelineStageSpec.js @@ -63,7 +63,7 @@ describe( attribute, expectedIndex, expectedOffset, - expectedStride + expectedStride, ) { expect(attribute.index).toEqual(expectedIndex); expect(attribute.vertexBuffer).toBeDefined(); @@ -113,7 +113,7 @@ describe( positionAttribute, expectedIndex, expectedByteOffset, - expectedStride + expectedStride, ); ShaderBuilderTester.expectHasVertexFunction( @@ -124,7 +124,7 @@ describe( " vec3 morphedPosition = position;", " morphedPosition += u_morphWeights[0] * a_targetPosition_0;", " return morphedPosition;", - ] + ], ); ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, [ @@ -145,7 +145,7 @@ describe( const uniformMap = renderResources.uniformMap; expect(uniformMap.u_morphWeights()).toBe( - renderResources.runtimeNode.morphWeights + renderResources.runtimeNode.morphWeights, ); }); }); @@ -186,7 +186,7 @@ describe( attribute, i + 1, expectedByteOffset, - expectedStride + expectedStride, ); } @@ -199,7 +199,7 @@ describe( " morphedPosition += u_morphWeights[0] * a_targetPosition_0;", " morphedPosition += u_morphWeights[1] * a_targetPosition_1;", " return morphedPosition;", - ] + ], ); ShaderBuilderTester.expectHasVertexFunction( @@ -211,7 +211,7 @@ describe( " morphedNormal += u_morphWeights[0] * a_targetNormal_0;", " morphedNormal += u_morphWeights[1] * a_targetNormal_1;", " return morphedNormal;", - ] + ], ); ShaderBuilderTester.expectHasVertexFunction( @@ -223,7 +223,7 @@ describe( " morphedTangent += u_morphWeights[0] * a_targetTangent_0;", " morphedTangent += u_morphWeights[1] * a_targetTangent_1;", " return morphedTangent;", - ] + ], ); ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, [ @@ -249,10 +249,10 @@ describe( const uniformMap = renderResources.uniformMap; expect(uniformMap.u_morphWeights()).toBe( - renderResources.runtimeNode.morphWeights + renderResources.runtimeNode.morphWeights, ); }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/NodeRenderResourcesSpec.js b/packages/engine/Specs/Scene/Model/NodeRenderResourcesSpec.js index 2c97e91d328f..55f1d221e752 100644 --- a/packages/engine/Specs/Scene/Model/NodeRenderResourcesSpec.js +++ b/packages/engine/Specs/Scene/Model/NodeRenderResourcesSpec.js @@ -48,7 +48,7 @@ describe( const modelResources = new ModelRenderResources(mockModel); const nodeResources = new NodeRenderResources( modelResources, - runtimeNode + runtimeNode, ); const defaultRenderState = RenderState.getState( @@ -57,7 +57,7 @@ describe( enabled: true, func: DepthFunction.LESS_OR_EQUAL, }, - }) + }), ); expect(nodeResources.runtimeNode).toBe(runtimeNode); @@ -78,7 +78,7 @@ describe( const nodeResources = new NodeRenderResources( modelResources, - runtimeNode + runtimeNode, ); nodeResources.shaderBuilder.addDefine("NODE"); @@ -86,7 +86,7 @@ describe( // The node's render resources should be a clone of the model's. expect(nodeResources.renderStateOptions).not.toBe( - modelResources.renderStateOptions + modelResources.renderStateOptions, ); expect(nodeResources.renderStateOptions.cull).toEqual({ enabled: true, @@ -97,21 +97,21 @@ describe( // The node's shader builder should be a clone of the model's expect(nodeResources.shaderBuilder).not.toBe( - modelResources.shaderBuilder + modelResources.shaderBuilder, ); // The model shader must not be modified by the node... ShaderBuilderTester.expectHasFragmentDefines( modelResources.shaderBuilder, - ["MODEL"] + ["MODEL"], ); // ...but the node shader will be updated. ShaderBuilderTester.expectHasFragmentDefines( nodeResources.shaderBuilder, - ["MODEL", "NODE"] + ["MODEL", "NODE"], ); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/NodeStatisticsPipelineStageSpec.js b/packages/engine/Specs/Scene/Model/NodeStatisticsPipelineStageSpec.js index d900e93dbbd8..704f92620a1d 100644 --- a/packages/engine/Specs/Scene/Model/NodeStatisticsPipelineStageSpec.js +++ b/packages/engine/Specs/Scene/Model/NodeStatisticsPipelineStageSpec.js @@ -96,47 +96,47 @@ describe( }); it("updates statistics for an instanced model", function () { - return loadGltf(boxInstancedTranslationMinMax).then(function ( - gltfLoader - ) { - const components = gltfLoader.components; - const node = components.nodes[0]; - const renderResources = mockRenderResources(components); + return loadGltf(boxInstancedTranslationMinMax).then( + function (gltfLoader) { + const components = gltfLoader.components; + const node = components.nodes[0]; + const renderResources = mockRenderResources(components); - NodeStatisticsPipelineStage.process(renderResources, node); + NodeStatisticsPipelineStage.process(renderResources, node); - const statistics = renderResources.model.statistics; + const statistics = renderResources.model.statistics; - // Model contains four translated instances: - // 4 vec3s * 3 floats/vec3 * 4 bytes/float = 48 - const expectedByteLength = 48; + // Model contains four translated instances: + // 4 vec3s * 3 floats/vec3 * 4 bytes/float = 48 + const expectedByteLength = 48; - expect(statistics.pointsLength).toBe(0); - expect(statistics.trianglesLength).toBe(0); - expect(statistics.geometryByteLength).toBe(expectedByteLength); - expect(statistics.texturesByteLength).toBe(0); - expect(statistics.propertyTablesByteLength).toBe(0); - }); + expect(statistics.pointsLength).toBe(0); + expect(statistics.trianglesLength).toBe(0); + expect(statistics.geometryByteLength).toBe(expectedByteLength); + expect(statistics.texturesByteLength).toBe(0); + expect(statistics.propertyTablesByteLength).toBe(0); + }, + ); }); it("_countInstancingAttributes counts attributes with buffers", function () { - return loadGltf(boxInstancedTranslationMinMax).then(function ( - gltfLoader - ) { - const statistics = new ModelStatistics(); - const components = gltfLoader.components; - const node = components.nodes[0]; - - NodeStatisticsPipelineStage._countInstancingAttributes( - statistics, - node.instances - ); - - // Model contains four translated instances: - // 4 instances * 3 floats * 4 bytes per float - const expectedByteLength = 4 * 12; - expect(statistics.geometryByteLength).toBe(expectedByteLength); - }); + return loadGltf(boxInstancedTranslationMinMax).then( + function (gltfLoader) { + const statistics = new ModelStatistics(); + const components = gltfLoader.components; + const node = components.nodes[0]; + + NodeStatisticsPipelineStage._countInstancingAttributes( + statistics, + node.instances, + ); + + // Model contains four translated instances: + // 4 instances * 3 floats * 4 bytes per float + const expectedByteLength = 4 * 12; + expect(statistics.geometryByteLength).toBe(expectedByteLength); + }, + ); }); it("_countInstancingAttributes does not count attributes without buffers", function () { @@ -150,7 +150,7 @@ describe( NodeStatisticsPipelineStage._countInstancingAttributes( statistics, - node.instances + node.instances, ); // 4 feature ids * 4 bytes per float @@ -172,12 +172,12 @@ describe( NodeStatisticsPipelineStage._countGeneratedBuffers( statistics, - mockRuntimeNode + mockRuntimeNode, ); const transformsBuffer = mockRuntimeNode.instancingTransformsBuffer; expect(statistics.geometryByteLength).toBe( - transformsBuffer.sizeInBytes + transformsBuffer.sizeInBytes, ); }); }); @@ -195,41 +195,41 @@ describe( NodeStatisticsPipelineStage._countGeneratedBuffers( statistics, - mockRuntimeNode + mockRuntimeNode, ); const transformsBuffer2D = mockRuntimeNode.instancingTransformsBuffer2D; expect(statistics.geometryByteLength).toBe( - transformsBuffer2D.sizeInBytes + transformsBuffer2D.sizeInBytes, ); }); }); it("_countGeneratedBuffers counts instancing translation buffer for 2D", function () { - return loadGltf(boxInstancedTranslationMinMax).then(function ( - gltfLoader - ) { - const statistics = new ModelStatistics(); - const mockRuntimeNode = { - instancingTranslationBuffer2D: { - // Model contains four translated instances: - // 4 instances * 3 floats * 4 bytes per float - sizeInBytes: 48, - }, - }; - - NodeStatisticsPipelineStage._countGeneratedBuffers( - statistics, - mockRuntimeNode - ); - - const translationBuffer2D = - mockRuntimeNode.instancingTranslationBuffer2D; - expect(statistics.geometryByteLength).toBe( - translationBuffer2D.sizeInBytes - ); - }); + return loadGltf(boxInstancedTranslationMinMax).then( + function (gltfLoader) { + const statistics = new ModelStatistics(); + const mockRuntimeNode = { + instancingTranslationBuffer2D: { + // Model contains four translated instances: + // 4 instances * 3 floats * 4 bytes per float + sizeInBytes: 48, + }, + }; + + NodeStatisticsPipelineStage._countGeneratedBuffers( + statistics, + mockRuntimeNode, + ); + + const translationBuffer2D = + mockRuntimeNode.instancingTranslationBuffer2D; + expect(statistics.geometryByteLength).toBe( + translationBuffer2D.sizeInBytes, + ); + }, + ); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/PickingPipelineStageSpec.js b/packages/engine/Specs/Scene/Model/PickingPipelineStageSpec.js index 5e44cd7e1c69..e1a0a7235c96 100644 --- a/packages/engine/Specs/Scene/Model/PickingPipelineStageSpec.js +++ b/packages/engine/Specs/Scene/Model/PickingPipelineStageSpec.js @@ -121,7 +121,7 @@ describe( expect(detailPickObject.model).toEqual(model); expect(detailPickObject.node).toEqual(renderResources.runtimeNode); expect(detailPickObject.primitive).toEqual( - renderResources.runtimePrimitive + renderResources.runtimePrimitive, ); } @@ -318,7 +318,7 @@ describe( expect(renderResources.attributeIndex).toEqual(2); expect(pickIdAttribute.vertexBuffer).toBeDefined(); expect(pickIdAttribute.vertexBuffer.sizeInBytes).toEqual( - renderResources.instanceCount * 4 + renderResources.instanceCount * 4, ); expect(pickIdAttribute.instanceDivisor).toEqual(1); @@ -327,7 +327,7 @@ describe( const statistics = renderResources.model.statistics; expect(statistics.geometryByteLength).toBe( - renderResources.instanceCount * 4 + renderResources.instanceCount * 4, ); expect(renderResources.pickId).toEqual("v_pickColor"); @@ -368,10 +368,10 @@ describe( ]); expect(renderResources.pickId).toEqual( - "((selectedFeature.id < int(model_featuresLength)) ? texture(model_pickTexture, selectedFeature.st) : vec4(0.0))" + "((selectedFeature.id < int(model_featuresLength)) ? texture(model_pickTexture, selectedFeature.st) : vec4(0.0))", ); }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/PntsLoaderSpec.js b/packages/engine/Specs/Scene/Model/PntsLoaderSpec.js index 5fec3e9e9999..f3ac4d570a39 100644 --- a/packages/engine/Specs/Scene/Model/PntsLoaderSpec.js +++ b/packages/engine/Specs/Scene/Model/PntsLoaderSpec.js @@ -101,7 +101,7 @@ describe( async function expectLoadError(arrayBuffer) { await expectAsync(loadPntsArrayBuffer(arrayBuffer)).toBeRejectedWithError( - RuntimeError + RuntimeError, ); } @@ -119,7 +119,7 @@ describe( const expectedPropertyTableCount = isBatched ? 1 : 0; expect(structuralMetadata.propertyTableCount).toEqual( - expectedPropertyTableCount + expectedPropertyTableCount, ); const propertyTable = structuralMetadata.getPropertyTable(0); @@ -140,7 +140,7 @@ describe( // Check the property declaration is expected expect(property.type).toEqual(expectedProperty.type); expect(property.componentType).toEqual( - expectedProperty.componentType + expectedProperty.componentType, ); // if batched, binary properties will appear in the property table. @@ -157,12 +157,12 @@ describe( // (batched points) or the property attribute (per-point properties) if (isBatched) { expect(propertyTable.getPropertyIds(0).sort()).toEqual( - tablePropertyNames.sort() + tablePropertyNames.sort(), ); } else { const propertyAttribute = structuralMetadata.getPropertyAttribute(0); expect(Object.keys(propertyAttribute.properties).sort()).toEqual( - attributePropertyNames.sort() + attributePropertyNames.sort(), ); } } @@ -189,7 +189,7 @@ describe( const quantization = attribute.quantization; expect(quantization.componentDatatype).toBe( - ComponentDatatype.UNSIGNED_SHORT + ComponentDatatype.UNSIGNED_SHORT, ); expect(quantization.normalizationRange).toBeDefined(); expect(quantization.octEncoded).toBe(false); @@ -388,7 +388,7 @@ describe( expectNormalOctEncoded( attributes[1], ComponentDatatype.UNSIGNED_BYTE, - false + false, ); expectColorRGB(attributes[2]); }); @@ -421,7 +421,7 @@ describe( expectNormalOctEncoded( attributes[1], ComponentDatatype.UNSIGNED_BYTE, - false + false, ); expectColorRGB(attributes[2]); }); @@ -448,7 +448,7 @@ describe( componentType: MetadataComponentType.UINT16, }, }, - isBatched + isBatched, ); const primitive = components.nodes[0].primitives[0]; @@ -459,7 +459,7 @@ describe( expectNormalOctEncoded( attributes[1], ComponentDatatype.UNSIGNED_BYTE, - true + true, ); expectColorRGB(attributes[2]); }); @@ -486,7 +486,7 @@ describe( componentType: MetadataComponentType.UINT16, }, }, - isBatched + isBatched, ); const primitive = components.nodes[0].primitives[0]; @@ -520,7 +520,7 @@ describe( componentType: MetadataComponentType.UINT32, }, }, - isBatched + isBatched, ); const primitive = components.nodes[0].primitives[0]; @@ -531,7 +531,7 @@ describe( expectNormalOctEncoded( attributes[1], ComponentDatatype.UNSIGNED_BYTE, - true + true, ); expectColorRGB(attributes[2]); expectBatchId(attributes[3], ComponentDatatype.UNSIGNED_BYTE); @@ -573,7 +573,7 @@ describe( componentType: MetadataComponentType.UINT32, }, }, - isBatched + isBatched, ); const primitive = components.nodes[0].primitives[0]; @@ -632,74 +632,74 @@ describe( }); it("loads PointCloudWithPerPointProperties", function () { - return loadPnts(pointCloudWithPerPointPropertiesUrl).then(function ( - loader - ) { - const components = loader.components; - expect(components).toBeDefined(); - const isBatched = false; - expectMetadata( - components.structuralMetadata, - { - temperature: { - type: MetadataType.SCALAR, - componentType: MetadataComponentType.FLOAT32, - }, - secondaryColor: { - type: MetadataType.VEC3, - componentType: MetadataComponentType.FLOAT32, - }, - id: { - type: MetadataType.SCALAR, - componentType: MetadataComponentType.UINT16, + return loadPnts(pointCloudWithPerPointPropertiesUrl).then( + function (loader) { + const components = loader.components; + expect(components).toBeDefined(); + const isBatched = false; + expectMetadata( + components.structuralMetadata, + { + temperature: { + type: MetadataType.SCALAR, + componentType: MetadataComponentType.FLOAT32, + }, + secondaryColor: { + type: MetadataType.VEC3, + componentType: MetadataComponentType.FLOAT32, + }, + id: { + type: MetadataType.SCALAR, + componentType: MetadataComponentType.UINT16, + }, }, - }, - isBatched - ); + isBatched, + ); - const primitive = components.nodes[0].primitives[0]; - const attributes = primitive.attributes; - // 2 geometry attributes + 3 metadata attributes - expect(attributes.length).toBe(5); - expectPosition(attributes[0]); - expectColorRGB(attributes[1]); - }); + const primitive = components.nodes[0].primitives[0]; + const attributes = primitive.attributes; + // 2 geometry attributes + 3 metadata attributes + expect(attributes.length).toBe(5); + expectPosition(attributes[0]); + expectColorRGB(attributes[1]); + }, + ); }); it("loads PointCloudWithUnicodePropertyIds", function () { - return loadPnts(pointCloudWithUnicodePropertyIdsUrl).then(function ( - loader - ) { - const components = loader.components; - expect(components).toBeDefined(); - const isBatched = false; - expectMetadata( - components.structuralMetadata, - { - // Originally "temperature ℃", but sanitized for GLSL - temperature_: { - type: MetadataType.SCALAR, - componentType: MetadataComponentType.FLOAT32, - }, - secondaryColor: { - type: MetadataType.VEC3, - componentType: MetadataComponentType.FLOAT32, - }, - id: { - type: MetadataType.SCALAR, - componentType: MetadataComponentType.UINT16, + return loadPnts(pointCloudWithUnicodePropertyIdsUrl).then( + function (loader) { + const components = loader.components; + expect(components).toBeDefined(); + const isBatched = false; + expectMetadata( + components.structuralMetadata, + { + // Originally "temperature ℃", but sanitized for GLSL + temperature_: { + type: MetadataType.SCALAR, + componentType: MetadataComponentType.FLOAT32, + }, + secondaryColor: { + type: MetadataType.VEC3, + componentType: MetadataComponentType.FLOAT32, + }, + id: { + type: MetadataType.SCALAR, + componentType: MetadataComponentType.UINT16, + }, }, - }, - isBatched - ); + isBatched, + ); - const primitive = components.nodes[0].primitives[0]; - const attributes = primitive.attributes; - // 2 geometry attributes + 3 metadata attributes - expect(attributes.length).toBe(5); - expectPosition(attributes[0]); - expectColorRGB(attributes[1]); - }); + const primitive = components.nodes[0].primitives[0]; + const attributes = primitive.attributes; + // 2 geometry attributes + 3 metadata attributes + expect(attributes.length).toBe(5); + expectPosition(attributes[0]); + expectColorRGB(attributes[1]); + }, + ); }); it("loads attributes for 2D", function () { @@ -718,7 +718,7 @@ describe( expect(positionAttribute.typedArray).toBeDefined(); expectColorRGB(attributes[1]); - } + }, ); }); @@ -744,7 +744,7 @@ describe( componentType: MetadataComponentType.UINT16, }, }, - isBatched + isBatched, ); const primitive = components.nodes[0].primitives[0]; @@ -759,10 +759,10 @@ describe( expectNormalOctEncoded( attributes[1], ComponentDatatype.UNSIGNED_BYTE, - true + true, ); expectColorRGB(attributes[2]); - } + }, ); }); @@ -850,7 +850,7 @@ describe( await expectAsync(loadPnts(pointCloudDracoUrl)).toBeRejectedWithError( RuntimeError, - "Failed to load Draco pnts\nmy error" + "Failed to load Draco pnts\nmy error", ); }); @@ -866,5 +866,5 @@ describe( }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/PointCloudStylingPipelineStageSpec.js b/packages/engine/Specs/Scene/Model/PointCloudStylingPipelineStageSpec.js index d2fb924c6212..11cf22014bd7 100644 --- a/packages/engine/Specs/Scene/Model/PointCloudStylingPipelineStageSpec.js +++ b/packages/engine/Specs/Scene/Model/PointCloudStylingPipelineStageSpec.js @@ -80,7 +80,7 @@ describe( function mockPntsRenderResources(options) { const pointCloudShading = defaultValue( options.pointCloudShading, - new PointCloudShading() + new PointCloudShading(), ); const shaderBuilder = new ShaderBuilder(); const uniformMap = {}; @@ -133,7 +133,7 @@ describe( PointCloudStylingPipelineStage.process( renderResources, mockPrimitive, - scene.frameState + scene.frameState, ); ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, []); @@ -170,7 +170,7 @@ describe( PointCloudStylingPipelineStage.process( renderResources, mockPrimitive, - scene.frameState + scene.frameState, ); ShaderBuilderTester.expectHasVaryings(shaderBuilder, [ @@ -193,7 +193,7 @@ describe( ShaderBuilderTester.expectVertexLinesContains( shaderBuilder, - `vec4 getColorFromStyle${functionParameterList}` + `vec4 getColorFromStyle${functionParameterList}`, ); }); @@ -217,7 +217,7 @@ describe( PointCloudStylingPipelineStage.process( renderResources, mockPrimitive, - scene.frameState + scene.frameState, ); ShaderBuilderTester.expectHasVaryings(shaderBuilder, [ @@ -240,7 +240,7 @@ describe( ShaderBuilderTester.expectVertexLinesContains( shaderBuilder, - `vec4 getColorFromStyle${functionParameterList}` + `vec4 getColorFromStyle${functionParameterList}`, ); expect(renderResources.alphaOptions.pass).toEqual(Pass.TRANSLUCENT); @@ -266,7 +266,7 @@ describe( PointCloudStylingPipelineStage.process( renderResources, mockPrimitive, - scene.frameState + scene.frameState, ); ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, [ @@ -283,7 +283,7 @@ describe( ShaderBuilderTester.expectVertexLinesContains( shaderBuilder, - `float getPointSizeFromStyle${functionParameterList}` + `float getPointSizeFromStyle${functionParameterList}`, ); }); @@ -307,7 +307,7 @@ describe( PointCloudStylingPipelineStage.process( renderResources, mockPrimitive, - scene.frameState + scene.frameState, ); ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, [ @@ -324,7 +324,7 @@ describe( ShaderBuilderTester.expectVertexLinesContains( shaderBuilder, - `bool getShowFromStyle${functionParameterList}` + `bool getShowFromStyle${functionParameterList}`, ); }); @@ -347,7 +347,7 @@ describe( PointCloudStylingPipelineStage.process( renderResources, mockPrimitive, - scene.frameState + scene.frameState, ); ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, [ @@ -357,12 +357,12 @@ describe( ShaderBuilderTester.expectVertexLinesContains( shaderBuilder, - `bool getShowFromStyle${functionParameterList}` + `bool getShowFromStyle${functionParameterList}`, ); ShaderBuilderTester.expectVertexLinesContains( shaderBuilder, - "attributes.positionMC" + "attributes.positionMC", ); }); @@ -385,7 +385,7 @@ describe( PointCloudStylingPipelineStage.process( renderResources, mockPrimitive, - scene.frameState + scene.frameState, ); ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, [ @@ -395,12 +395,12 @@ describe( ShaderBuilderTester.expectVertexLinesContains( shaderBuilder, - `vec4 getColorFromStyle${functionParameterList}` + `vec4 getColorFromStyle${functionParameterList}`, ); ShaderBuilderTester.expectVertexLinesContains( shaderBuilder, - "v_positionWC" + "v_positionWC", ); }); @@ -423,7 +423,7 @@ describe( PointCloudStylingPipelineStage.process( renderResources, mockPrimitiveWithNormals, - scene.frameState + scene.frameState, ); ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, [ @@ -433,12 +433,12 @@ describe( ShaderBuilderTester.expectVertexLinesContains( shaderBuilder, - `vec4 getColorFromStyle${functionParameterList}` + `vec4 getColorFromStyle${functionParameterList}`, ); ShaderBuilderTester.expectVertexLinesContains( shaderBuilder, - "attributes.normalMC" + "attributes.normalMC", ); }); @@ -461,7 +461,7 @@ describe( PointCloudStylingPipelineStage.process( renderResources, mockPrimitive, - scene.frameState + scene.frameState, ); ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, [ @@ -471,12 +471,12 @@ describe( ShaderBuilderTester.expectVertexLinesContains( shaderBuilder, - `vec4 getColorFromStyle${functionParameterList}` + `vec4 getColorFromStyle${functionParameterList}`, ); ShaderBuilderTester.expectVertexLinesContains( shaderBuilder, - "attributes.color_0" + "attributes.color_0", ); }); @@ -499,7 +499,7 @@ describe( PointCloudStylingPipelineStage.process( renderResources, mockPrimitive, - scene.frameState + scene.frameState, ); }).toThrowError(RuntimeError); }); @@ -531,7 +531,7 @@ describe( PointCloudStylingPipelineStage.process( renderResources, mockPrimitive, - scene.frameState + scene.frameState, ); ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, [ @@ -542,21 +542,21 @@ describe( ShaderBuilderTester.expectVertexLinesContains( shaderBuilder, - `bool getShowFromStyle${functionParameterList}` + `bool getShowFromStyle${functionParameterList}`, ); ShaderBuilderTester.expectVertexLinesContains( shaderBuilder, - `vec4 getColorFromStyle${functionParameterList}` + `vec4 getColorFromStyle${functionParameterList}`, ); ShaderBuilderTester.expectVertexLinesContains( shaderBuilder, - "metadata.temperature" + "metadata.temperature", ); ShaderBuilderTester.expectVertexLinesContains( shaderBuilder, - "metadata.id" + "metadata.id", ); }); @@ -582,7 +582,7 @@ describe( PointCloudStylingPipelineStage.process( renderResources, mockPrimitive, - scene.frameState + scene.frameState, ); ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, [ @@ -625,7 +625,7 @@ describe( PointCloudStylingPipelineStage.process( renderResources, mockPrimitive, - scene.frameState + scene.frameState, ); ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, []); @@ -654,7 +654,7 @@ describe( PointCloudStylingPipelineStage.process( renderResources, mockPrimitive, - scene.frameState + scene.frameState, ); ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, [ @@ -684,7 +684,7 @@ describe( PointCloudStylingPipelineStage.process( renderResources, mockPrimitive, - scene.frameState + scene.frameState, ); ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, [ @@ -715,7 +715,7 @@ describe( PointCloudStylingPipelineStage.process( renderResources, mockPrimitive, - frameState + frameState, ); const attenuation = uniformMap.model_pointCloudParameters(); @@ -744,7 +744,7 @@ describe( PointCloudStylingPipelineStage.process( renderResources, mockPrimitive, - frameState + frameState, ); const attenuation = uniformMap.model_pointCloudParameters(); @@ -774,7 +774,7 @@ describe( PointCloudStylingPipelineStage.process( renderResources, mockPrimitive, - frameState + frameState, ); const attenuation = uniformMap.model_pointCloudParameters(); @@ -793,7 +793,7 @@ describe( PointCloudStylingPipelineStage.process( renderResources, mockPrimitive, - frameState + frameState, ); const attenuation = uniformMap.model_pointCloudParameters(); @@ -821,7 +821,7 @@ describe( PointCloudStylingPipelineStage.process( renderResources, mockPrimitive, - frameState + frameState, ); const attenuation = uniformMap.model_pointCloudParameters(); @@ -849,7 +849,7 @@ describe( PointCloudStylingPipelineStage.process( renderResources, mockPrimitive, - frameState + frameState, ); const attenuation = uniformMap.model_pointCloudParameters(); @@ -878,7 +878,7 @@ describe( PointCloudStylingPipelineStage.process( renderResources, mockPrimitive, - frameState + frameState, ); const attenuation = uniformMap.model_pointCloudParameters(); @@ -898,7 +898,7 @@ describe( PointCloudStylingPipelineStage.process( renderResources, mockPrimitive, - frameState + frameState, ); const attenuation = uniformMap.model_pointCloudParameters(); @@ -918,7 +918,7 @@ describe( PointCloudStylingPipelineStage.process( renderResources, mockPrimitive, - frameState + frameState, ); const attenuation = uniformMap.model_pointCloudParameters(); @@ -940,7 +940,7 @@ describe( PointCloudStylingPipelineStage.process( renderResources, mockPrimitive, - frameState + frameState, ); const attenuation = uniformMap.model_pointCloudParameters(); @@ -962,7 +962,7 @@ describe( PointCloudStylingPipelineStage.process( renderResources, mockPrimitive, - frameState + frameState, ); const attenuation = uniformMap.model_pointCloudParameters(); @@ -986,12 +986,12 @@ describe( PointCloudStylingPipelineStage.process( renderResources, mockPrimitive, - frameState + frameState, ); const attenuation = uniformMap.model_pointCloudParameters(); expect(attenuation.z).toBe(Number.POSITIVE_INFINITY); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/PrimitiveLoadPlanSpec.js b/packages/engine/Specs/Scene/Model/PrimitiveLoadPlanSpec.js index 213612f94c55..30887321fc9d 100644 --- a/packages/engine/Specs/Scene/Model/PrimitiveLoadPlanSpec.js +++ b/packages/engine/Specs/Scene/Model/PrimitiveLoadPlanSpec.js @@ -44,11 +44,11 @@ describe( const loadPlan = new PrimitiveLoadPlan(primitive); loadPlan.indicesPlan = new PrimitiveLoadPlan.IndicesLoadPlan( - primitive.indices + primitive.indices, ); const [positions] = primitive.attributes; loadPlan.attributePlans.push( - new PrimitiveLoadPlan.AttributeLoadPlan(positions) + new PrimitiveLoadPlan.AttributeLoadPlan(positions), ); return loadPlan; @@ -179,10 +179,8 @@ describe( // A new attribute is created for the outline coordinates expect(loadPlan.attributePlans.length).toBe(2); - const [ - outputPositionPlan, - outlineCoordinatesPlan, - ] = loadPlan.attributePlans; + const [outputPositionPlan, outlineCoordinatesPlan] = + loadPlan.attributePlans; expect(outputPositionPlan).toBe(positionPlan); expectOutlineCoordinates(loadPlan.primitive, outlineCoordinatesPlan); @@ -214,10 +212,8 @@ describe( // A new attribute is created for the outline coordinates expect(loadPlan.attributePlans.length).toBe(2); - const [ - outputPositionPlan, - outlineCoordinatesPlan, - ] = loadPlan.attributePlans; + const [outputPositionPlan, outlineCoordinatesPlan] = + loadPlan.attributePlans; expect(outputPositionPlan).toBe(positionPlan); expectOutlineCoordinates(loadPlan.primitive, outlineCoordinatesPlan); @@ -264,10 +260,8 @@ describe( // A new attribute is created for the outline coordinates expect(loadPlan.attributePlans.length).toBe(2); - const [ - outputPositionPlan, - outlineCoordinatesPlan, - ] = loadPlan.attributePlans; + const [outputPositionPlan, outlineCoordinatesPlan] = + loadPlan.attributePlans; expect(outputPositionPlan).toBe(positionPlan); expectOutlineCoordinates(loadPlan.primitive, outlineCoordinatesPlan); @@ -297,5 +291,5 @@ describe( expect(indices.typedArray).toEqual(expectedIndices); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/PrimitiveOutlineGeneratorSpec.js b/packages/engine/Specs/Scene/Model/PrimitiveOutlineGeneratorSpec.js index 74a50168138d..1e3a0ace79e9 100644 --- a/packages/engine/Specs/Scene/Model/PrimitiveOutlineGeneratorSpec.js +++ b/packages/engine/Specs/Scene/Model/PrimitiveOutlineGeneratorSpec.js @@ -392,15 +392,7 @@ describe( }); attribute = new Float32Array([ - 0.0, - 1.0, - 2.0, - 3.0, - 4.0, - 5.0, - 6.0, - 7.0, - 8.0, + 0.0, 1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, ]); result = generator.updateAttribute(attribute); expectedAttribute = new Float32Array([ @@ -511,5 +503,5 @@ describe( }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/PrimitiveOutlinePipelineStageSpec.js b/packages/engine/Specs/Scene/Model/PrimitiveOutlinePipelineStageSpec.js index fa37b883cca6..02244c9a321c 100644 --- a/packages/engine/Specs/Scene/Model/PrimitiveOutlinePipelineStageSpec.js +++ b/packages/engine/Specs/Scene/Model/PrimitiveOutlinePipelineStageSpec.js @@ -91,7 +91,7 @@ describe( PrimitiveOutlinePipelineStage.process( renderResources, primitive, - frameState + frameState, ); const context = frameState.context; @@ -135,11 +135,11 @@ describe( expect(outlineCoordinates.index).toBe(1); expect(outlineCoordinates.vertexBuffer).toBe( - primitive.outlineCoordinates.buffer + primitive.outlineCoordinates.buffer, ); expect(outlineCoordinates.componentsPerAttribute).toBe(3); expect(outlineCoordinates.componentDatatype).toBe( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(outlineCoordinates.offsetInBytes).toBe(0); expect(outlineCoordinates.strideInBytes).not.toBeDefined(); @@ -147,5 +147,5 @@ describe( }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/PrimitiveRenderResourcesSpec.js b/packages/engine/Specs/Scene/Model/PrimitiveRenderResourcesSpec.js index ab230b293574..740e9218f0c0 100644 --- a/packages/engine/Specs/Scene/Model/PrimitiveRenderResourcesSpec.js +++ b/packages/engine/Specs/Scene/Model/PrimitiveRenderResourcesSpec.js @@ -85,7 +85,7 @@ describe( enabled: true, func: DepthFunction.LESS_OR_EQUAL, }, - }) + }), ); let runtimePrimitive; @@ -115,7 +115,7 @@ describe( const modelResources = new ModelRenderResources(mockModel); const nodeResources = new NodeRenderResources( modelResources, - runtimeNode + runtimeNode, ); return new PrimitiveRenderResources(nodeResources, undefined); }).toThrowDeveloperError(); @@ -125,11 +125,11 @@ describe( const modelResources = new ModelRenderResources(mockModel); const nodeResources = new NodeRenderResources( modelResources, - runtimeNode + runtimeNode, ); const primitiveResources = new PrimitiveRenderResources( nodeResources, - runtimePrimitive + runtimePrimitive, ); expect(primitiveResources.runtimePrimitive).toBe(runtimePrimitive); @@ -138,25 +138,25 @@ describe( expect(primitiveResources.indices).toBe(primitive.indices); expect(primitiveResources.primitiveType).toBe(PrimitiveType.TRIANGLES); expect(primitiveResources.positionMin).toEqual( - new Cartesian3(-1, -1, -1) + new Cartesian3(-1, -1, -1), ); expect(primitiveResources.positionMax).toEqual(new Cartesian3(1, 1, 1)); // The points are in a cube from -1, -1, -1 to 1, 1, 1. The center is // (0, 0, 0). The full diagonal is 2 * sqrt(3), so half is sqrt(3) expect(primitiveResources.boundingSphere.center).toEqualEpsilon( Cartesian3.ZERO, - CesiumMath.EPSILON9 + CesiumMath.EPSILON9, ); expect(primitiveResources.boundingSphere.radius).toEqualEpsilon( Math.sqrt(3), - CesiumMath.EPSILON9 + CesiumMath.EPSILON9, ); expect(primitiveResources.uniformMap).toEqual({}); expect(primitiveResources.lightingOptions.lightingModel).toEqual( - LightingModel.UNLIT + LightingModel.UNLIT, ); expect( - RenderState.getState(primitiveResources.renderStateOptions) + RenderState.getState(primitiveResources.renderStateOptions), ).toEqual(defaultRenderState); expect(primitiveResources.hasSilhouette).toBe(false); @@ -167,36 +167,36 @@ describe( const modelResources = new ModelRenderResources(mockModel); const nodeResources = new NodeRenderResources( modelResources, - runtimeNode + runtimeNode, ); const primitiveResources = new PrimitiveRenderResources( nodeResources, - runtimePrimitiveWithoutIndices + runtimePrimitiveWithoutIndices, ); expect(primitiveResources.count).toBe(8); expect(primitiveResources.indices).not.toBeDefined(); expect(primitiveResources.primitiveType).toBe(PrimitiveType.POINTS); expect(primitiveResources.positionMin).toEqual( - new Cartesian3(-2, -2, -2) + new Cartesian3(-2, -2, -2), ); expect(primitiveResources.positionMax).toEqual(new Cartesian3(2, 2, 2)); // The points are in a cube from -2, -2, -2 to 2, 2, 2. The center is // (0, 0, 0). The full diagonal is 4 * sqrt(3), so half is 2 * sqrt(3) expect(primitiveResources.boundingSphere.center).toEqualEpsilon( Cartesian3.ZERO, - CesiumMath.EPSILON9 + CesiumMath.EPSILON9, ); expect(primitiveResources.boundingSphere.radius).toEqualEpsilon( 2.0 * Math.sqrt(3), - CesiumMath.EPSILON9 + CesiumMath.EPSILON9, ); expect(primitiveResources.uniformMap).toEqual({}); expect(primitiveResources.lightingOptions.lightingModel).toEqual( - LightingModel.UNLIT + LightingModel.UNLIT, ); expect( - RenderState.getState(primitiveResources.renderStateOptions) + RenderState.getState(primitiveResources.renderStateOptions), ).toEqual(defaultRenderState); }); @@ -211,13 +211,13 @@ describe( const nodeResources = new NodeRenderResources( modelResources, - runtimeNode + runtimeNode, ); nodeResources.shaderBuilder.addDefine("NODE"); const primitiveResources = new PrimitiveRenderResources( nodeResources, - runtimePrimitive + runtimePrimitive, ); primitiveResources.shaderBuilder.addDefine("PRIMITIVE"); @@ -225,10 +225,10 @@ describe( // The primitive's shader builder should be a clone of the node's expect(primitiveResources.shaderBuilder).not.toBe( - modelResources.shaderBuilder + modelResources.shaderBuilder, ); expect(primitiveResources.shaderBuilder).not.toBe( - modelResources.shaderBuilder + modelResources.shaderBuilder, ); // The primitive should have inherited the renderStateOptions of the model's @@ -243,15 +243,15 @@ describe( // The defines should cascade through the three levels ShaderBuilderTester.expectHasFragmentDefines( modelResources.shaderBuilder, - ["MODEL"] + ["MODEL"], ); ShaderBuilderTester.expectHasFragmentDefines( nodeResources.shaderBuilder, - ["MODEL", "NODE"] + ["MODEL", "NODE"], ); ShaderBuilderTester.expectHasFragmentDefines( primitiveResources.shaderBuilder, - ["MODEL", "NODE", "PRIMITIVE"] + ["MODEL", "NODE", "PRIMITIVE"], ); }); @@ -264,14 +264,14 @@ describe( const nodeResources = new NodeRenderResources( modelResources, - runtimeNode + runtimeNode, ); nodeResources.shaderBuilder.addDefine("NODE"); nodeResources.renderStateOptions.blending = BlendingState.ALPHA_BLEND; const primitiveResources = new PrimitiveRenderResources( nodeResources, - runtimePrimitive + runtimePrimitive, ); expect(primitiveResources.runtimeNode).toBe(runtimeNode); @@ -282,9 +282,9 @@ describe( enabled: true, }); expect(primitiveResources.renderStateOptions.blending).toEqual( - BlendingState.ALPHA_BLEND + BlendingState.ALPHA_BLEND, ); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/PrimitiveStatisticsPipelineStageSpec.js b/packages/engine/Specs/Scene/Model/PrimitiveStatisticsPipelineStageSpec.js index 54c2840a8f33..92bf3249675b 100644 --- a/packages/engine/Specs/Scene/Model/PrimitiveStatisticsPipelineStageSpec.js +++ b/packages/engine/Specs/Scene/Model/PrimitiveStatisticsPipelineStageSpec.js @@ -337,7 +337,7 @@ describe( PrimitiveStatisticsPipelineStage._count2DPositions( statistics, - mockRuntimePrimitive + mockRuntimePrimitive, ); expect(statistics.geometryByteLength).toBe(0); @@ -365,7 +365,7 @@ describe( PrimitiveStatisticsPipelineStage._count2DPositions( statistics, - mockRuntimePrimitive + mockRuntimePrimitive, ); // This stage intentionally counts the GPU + CPU copies of the 2D @@ -383,7 +383,7 @@ describe( PrimitiveStatisticsPipelineStage._countMorphTargetAttributes( statistics, - primitive + primitive, ); let totalSize = 0; @@ -409,7 +409,7 @@ describe( PrimitiveStatisticsPipelineStage._countMaterialTextures( statistics, - primitive.material + primitive.material, ); expect(statistics.texturesByteLength).toBe(0); @@ -427,7 +427,7 @@ describe( PrimitiveStatisticsPipelineStage._countMaterialTextures( statistics, - primitive.material + primitive.material, ); const totalTextureSize = @@ -452,7 +452,7 @@ describe( PrimitiveStatisticsPipelineStage._countMaterialTextures( statistics, - primitive.material + primitive.material, ); const totalTextureSize = @@ -475,13 +475,13 @@ describe( PrimitiveStatisticsPipelineStage._countFeatureIdTextures( statistics, - primitive.featureIds + primitive.featureIds, ); const featureIdTexture = primitive.featureIds[0]; expect(statistics.geometryByteLength).toBe(0); expect(statistics.texturesByteLength).toBe( - featureIdTexture.textureReader.texture.sizeInBytes + featureIdTexture.textureReader.texture.sizeInBytes, ); }); }); @@ -494,7 +494,7 @@ describe( PrimitiveStatisticsPipelineStage._countBinaryMetadata( statistics, - model + model, ); expect(statistics.geometryByteLength).toBe(0); @@ -509,33 +509,33 @@ describe( PrimitiveStatisticsPipelineStage._countBinaryMetadata( statistics, - model + model, ); const structuralMetadata = model.structuralMetadata; const propertyTable = structuralMetadata.getPropertyTable(0); expect(statistics.propertyTablesByteLength).toBe( - propertyTable.byteLength + propertyTable.byteLength, ); }); }); it("_countBinaryMetadata does not update statistics for property attributes", function () { - return loadGltf(pointCloudWithPropertyAttributes).then(function ( - gltfLoader - ) { - const statistics = new ModelStatistics(); - const components = gltfLoader.components; - const model = mockModel(components); - - PrimitiveStatisticsPipelineStage._countBinaryMetadata( - statistics, - model - ); - - expect(statistics.geometryByteLength).toBe(0); - }); + return loadGltf(pointCloudWithPropertyAttributes).then( + function (gltfLoader) { + const statistics = new ModelStatistics(); + const components = gltfLoader.components; + const model = mockModel(components); + + PrimitiveStatisticsPipelineStage._countBinaryMetadata( + statistics, + model, + ); + + expect(statistics.geometryByteLength).toBe(0); + }, + ); }); it("_countBinaryMetadata updates statistics for propertyTextures", function () { @@ -546,7 +546,7 @@ describe( PrimitiveStatisticsPipelineStage._countBinaryMetadata( statistics, - model + model, ); // everything shares the same texture, so the memory is only counted @@ -560,5 +560,5 @@ describe( }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/SceneMode2DPipelineStageSpec.js b/packages/engine/Specs/Scene/Model/SceneMode2DPipelineStageSpec.js index e5998f7f031e..17ea371b8ea6 100644 --- a/packages/engine/Specs/Scene/Model/SceneMode2DPipelineStageSpec.js +++ b/packages/engine/Specs/Scene/Model/SceneMode2DPipelineStageSpec.js @@ -108,7 +108,7 @@ describe( SceneMode2DPipelineStage.process( renderResources, primitive, - scene.frameState + scene.frameState, ); const model = renderResources.model; @@ -123,7 +123,7 @@ describe( // Check that the position attribute's typed array has been unloaded. const positionAttribute = ModelUtility.getAttributeBySemantic( primitive, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); expect(positionAttribute.typedArray).toBeUndefined(); @@ -135,12 +135,12 @@ describe( const translationMatrix = Matrix4.fromTranslation( runtimePrimitive.boundingSphere2D.center, - scratchMatrix + scratchMatrix, ); const expected = Matrix4.multiplyTransformation( scene.frameState.context.uniformState.view, translationMatrix, - translationMatrix + translationMatrix, ); expect(renderResources.uniformMap.u_modelView2D()).toEqual(expected); }); @@ -160,7 +160,7 @@ describe( SceneMode2DPipelineStage.process( renderResources, primitive, - scene.frameState + scene.frameState, ); const model = renderResources.model; @@ -175,7 +175,7 @@ describe( // Check that the position attribute's typed array has been unloaded. const positionAttribute = ModelUtility.getAttributeBySemantic( primitive, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); expect(positionAttribute.typedArray).toBeUndefined(); @@ -186,12 +186,12 @@ describe( const translationMatrix = Matrix4.fromTranslation( runtimePrimitive.boundingSphere2D.center, - scratchMatrix + scratchMatrix, ); const expected = Matrix4.multiplyTransformation( scene.frameState.context.uniformState.view, translationMatrix, - translationMatrix + translationMatrix, ); expect(renderResources.uniformMap.u_modelView2D()).toEqual(expected); }); @@ -211,7 +211,7 @@ describe( SceneMode2DPipelineStage.process( renderResources, primitive, - scene.frameState + scene.frameState, ); // Only the 2D bounding sphere will be computed for the primitive. @@ -222,7 +222,7 @@ describe( // Check that the position attribute's typed array has been unloaded. const positionAttribute = ModelUtility.getAttributeBySemantic( primitive, - VertexAttributeSemantic.POSITION + VertexAttributeSemantic.POSITION, ); expect(positionAttribute.typedArray).toBeUndefined(); @@ -235,5 +235,5 @@ describe( }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/SelectedFeatureIdPipelineStageSpec.js b/packages/engine/Specs/Scene/Model/SelectedFeatureIdPipelineStageSpec.js index afa2f5da13d7..dae4030ca0fc 100644 --- a/packages/engine/Specs/Scene/Model/SelectedFeatureIdPipelineStageSpec.js +++ b/packages/engine/Specs/Scene/Model/SelectedFeatureIdPipelineStageSpec.js @@ -51,7 +51,7 @@ describe( shaderBuilder.addStruct( SelectedFeatureIdPipelineStage.STRUCT_ID_SELECTED_FEATURE, SelectedFeatureIdPipelineStage.STRUCT_NAME_SELECTED_FEATURE, - ShaderDestination.BOTH + ShaderDestination.BOTH, ); return shaderBuilder; } @@ -61,14 +61,14 @@ describe( shaderBuilder, SelectedFeatureIdPipelineStage.STRUCT_ID_SELECTED_FEATURE, SelectedFeatureIdPipelineStage.STRUCT_NAME_SELECTED_FEATURE, - [" int id;", " vec2 st;", " vec4 color;"] + [" int id;", " vec2 st;", " vec4 color;"], ); ShaderBuilderTester.expectHasFragmentStruct( shaderBuilder, SelectedFeatureIdPipelineStage.STRUCT_ID_SELECTED_FEATURE, SelectedFeatureIdPipelineStage.STRUCT_NAME_SELECTED_FEATURE, - [" int id;", " vec2 st;", " vec4 color;"] + [" int id;", " vec2 st;", " vec4 color;"], ); } @@ -118,7 +118,7 @@ describe( SelectedFeatureIdPipelineStage.process( renderResources, primitive, - frameState + frameState, ); expect(renderResources.hasPropertyTable).toBe(true); @@ -156,7 +156,7 @@ describe( SelectedFeatureIdPipelineStage.process( renderResources, primitive, - frameState + frameState, ); expect(renderResources.hasPropertyTable).toBe(true); @@ -187,7 +187,7 @@ describe( SelectedFeatureIdPipelineStage.process( renderResources, primitive, - frameState + frameState, ); expect(renderResources.hasPropertyTable).toBe(true); @@ -224,7 +224,7 @@ describe( SelectedFeatureIdPipelineStage.process( renderResources, primitive, - frameState + frameState, ); expect(renderResources.hasPropertyTable).toBe(true); @@ -247,5 +247,5 @@ describe( }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/SkinningPipelineStageSpec.js b/packages/engine/Specs/Scene/Model/SkinningPipelineStageSpec.js index 4449c281bfdb..f89b84b59e6e 100644 --- a/packages/engine/Specs/Scene/Model/SkinningPipelineStageSpec.js +++ b/packages/engine/Specs/Scene/Model/SkinningPipelineStageSpec.js @@ -103,7 +103,7 @@ describe( " skinnedMatrix += a_weights_0.z * u_jointMatrices[int(a_joints_0.z)];", " skinnedMatrix += a_weights_0.w * u_jointMatrices[int(a_joints_0.w)];", " return skinnedMatrix;", - ] + ], ); ShaderBuilderTester.expectVertexLinesEqual(shaderBuilder, [ _shadersSkinningStageVS, @@ -116,7 +116,7 @@ describe( const runtimeNode = renderResources.runtimeNode; const uniformMap = renderResources.uniformMap; expect(uniformMap.u_jointMatrices()).toBe( - runtimeNode.computedJointMatrices + runtimeNode.computedJointMatrices, ); }); }); @@ -160,7 +160,7 @@ describe( " skinnedMatrix += a_weights_0.z * u_jointMatrices[int(a_joints_0.z)];", " skinnedMatrix += a_weights_0.w * u_jointMatrices[int(a_joints_0.w)];", " return skinnedMatrix;", - ] + ], ); ShaderBuilderTester.expectVertexLinesEqual(shaderBuilder, [ _shadersSkinningStageVS, @@ -173,10 +173,10 @@ describe( const runtimeNode = renderResources.runtimeNode; const uniformMap = renderResources.uniformMap; expect(uniformMap.u_jointMatrices()).toBe( - runtimeNode.computedJointMatrices + runtimeNode.computedJointMatrices, ); }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/TextureManagerSpec.js b/packages/engine/Specs/Scene/Model/TextureManagerSpec.js index 2bd470f93417..e5a12e3cf69e 100644 --- a/packages/engine/Specs/Scene/Model/TextureManagerSpec.js +++ b/packages/engine/Specs/Scene/Model/TextureManagerSpec.js @@ -71,7 +71,7 @@ describe( id, new TextureUniform({ url: blueUrl, - }) + }), ); return waitForTextureLoad(textureManager, id).then(function (texture) { @@ -91,7 +91,7 @@ describe( typedArray: new Uint8Array([255, 0, 0, 255, 0, 255, 0, 255]), width: 1, height: 2, - }) + }), ); return waitForTextureLoad(textureManager, id).then(function (texture) { @@ -110,14 +110,14 @@ describe( new TextureUniform({ url: redUrl, minificationFilter: TextureMinificationFilter.LINEAR_MIPMAP_LINEAR, - }) + }), ); - return waitForTextureLoad(textureManager, id, false).then(function ( - texture - ) { - expect(texture._hasMipmap).toBe(true); - }); + return waitForTextureLoad(textureManager, id, false).then( + function (texture) { + expect(texture._hasMipmap).toBe(true); + }, + ); }); it("resizes image to power-of-two dimensions if needed", function () { @@ -130,16 +130,16 @@ describe( new TextureUniform({ url: blue10x10Url, minificationFilter: TextureMinificationFilter.LINEAR_MIPMAP_NEAREST, - }) + }), ); - return waitForTextureLoad(textureManager, id, false).then(function ( - texture - ) { - expect(texture.width).toBe(16); - expect(texture.height).toBe(16); - expect(texture._hasMipmap).toBe(true); - }); + return waitForTextureLoad(textureManager, id, false).then( + function (texture) { + expect(texture.width).toBe(16); + expect(texture.height).toBe(16); + expect(texture._hasMipmap).toBe(true); + }, + ); }); it("can resize a texture supplied as a Uint8Array", function () { @@ -180,18 +180,18 @@ describe( new TextureUniform({ url: blue10x10Url, minificationFilter: TextureMinificationFilter.NEAREST_MIPMAP_NEAREST, - }) + }), ); const webgl2 = true; - return waitForTextureLoad(textureManager, id, webgl2).then(function ( - texture - ) { - expect(texture.width).toBe(10); - expect(texture.height).toBe(10); - expect(texture._hasMipmap).toBe(true); - }); + return waitForTextureLoad(textureManager, id, webgl2).then( + function (texture) { + expect(texture.width).toBe(10); + expect(texture.height).toBe(10); + expect(texture._hasMipmap).toBe(true); + }, + ); }); it("destroys old texture before adding a new one", function () { @@ -203,31 +203,31 @@ describe( id, new TextureUniform({ url: blueUrl, - }) + }), ); - return waitForTextureLoad(textureManager, id).then(function ( - blueTexture - ) { - expect(blueTexture.width).toBe(2); - expect(blueTexture.height).toBe(2); - expect(blueTexture.isDestroyed()).toBe(false); - - textureManager.loadTexture2D( - id, - new TextureUniform({ - url: greenUrl, - }) - ); - return waitForTextureLoad(textureManager, id).then(function ( - greenTexture - ) { - expect(blueTexture.isDestroyed()).toBe(true); - expect(greenTexture.width).toBe(1); - expect(greenTexture.height).toBe(4); - expect(greenTexture.isDestroyed()).toBe(false); - }); - }); + return waitForTextureLoad(textureManager, id).then( + function (blueTexture) { + expect(blueTexture.width).toBe(2); + expect(blueTexture.height).toBe(2); + expect(blueTexture.isDestroyed()).toBe(false); + + textureManager.loadTexture2D( + id, + new TextureUniform({ + url: greenUrl, + }), + ); + return waitForTextureLoad(textureManager, id).then( + function (greenTexture) { + expect(blueTexture.isDestroyed()).toBe(true); + expect(greenTexture.width).toBe(1); + expect(greenTexture.height).toBe(4); + expect(greenTexture.isDestroyed()).toBe(false); + }, + ); + }, + ); }); it("getTexture returns undefined for unknown texture", function () { @@ -252,7 +252,7 @@ describe( id, new TextureUniform({ url: "https://example.com/not-a-texture.jpg", - }) + }), ); return waitForTextureLoad(textureManager, id) .then(function (texture) { @@ -272,7 +272,7 @@ describe( id, new TextureUniform({ url: blueUrl, - }) + }), ); return waitForTextureLoad(textureManager, id).then(function (texture) { @@ -285,5 +285,5 @@ describe( }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/TextureUniformSpec.js b/packages/engine/Specs/Scene/Model/TextureUniformSpec.js index 1cee84bf1cf0..0d8c853f3370 100644 --- a/packages/engine/Specs/Scene/Model/TextureUniformSpec.js +++ b/packages/engine/Specs/Scene/Model/TextureUniformSpec.js @@ -108,7 +108,7 @@ describe("Scene/Model/TextureUniform", function () { minificationFilter: TextureMinificationFilter.NEAREST, magnificationFilter: TextureMagnificationFilter.NEAREST, maximumAnisotropy: 2, - }) + }), ); }); diff --git a/packages/engine/Specs/Scene/Model/TilesetPipelineStageSpec.js b/packages/engine/Specs/Scene/Model/TilesetPipelineStageSpec.js index da7e17dceec3..aa17f40a821f 100644 --- a/packages/engine/Specs/Scene/Model/TilesetPipelineStageSpec.js +++ b/packages/engine/Specs/Scene/Model/TilesetPipelineStageSpec.js @@ -53,10 +53,10 @@ describe("Scene/Model/TilesetPipelineStage", function () { const renderStateOptions = renderResources.renderStateOptions; expect(renderStateOptions.stencilTest).toEqual( - StencilConstants.setCesium3DTileBit() + StencilConstants.setCesium3DTileBit(), ); expect(renderStateOptions.stencilMask).toEqual( - StencilConstants.CESIUM_3D_TILE_MASK + StencilConstants.CESIUM_3D_TILE_MASK, ); }); }); diff --git a/packages/engine/Specs/Scene/Model/VerticalExaggerationPipelineStageSpec.js b/packages/engine/Specs/Scene/Model/VerticalExaggerationPipelineStageSpec.js index 0eead95983fe..0dc90b162939 100644 --- a/packages/engine/Specs/Scene/Model/VerticalExaggerationPipelineStageSpec.js +++ b/packages/engine/Specs/Scene/Model/VerticalExaggerationPipelineStageSpec.js @@ -31,7 +31,7 @@ describe( VerticalExaggerationPipelineStage.process( renderResources, mockPrimitive, - mockFrameState + mockFrameState, ); const shaderBuilder = renderResources.shaderBuilder; @@ -46,12 +46,12 @@ describe( ]); const expectedUniform = Cartesian2.fromElements( mockFrameState.verticalExaggeration, - mockFrameState.verticalExaggerationRelativeHeight + mockFrameState.verticalExaggerationRelativeHeight, ); expect( - renderResources.uniformMap.u_verticalExaggerationAndRelativeHeight() + renderResources.uniformMap.u_verticalExaggerationAndRelativeHeight(), ).toEqual(expectedUniform); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/WireframePipelineStageSpec.js b/packages/engine/Specs/Scene/Model/WireframePipelineStageSpec.js index 5cf9183b999c..9287f87ec935 100644 --- a/packages/engine/Specs/Scene/Model/WireframePipelineStageSpec.js +++ b/packages/engine/Specs/Scene/Model/WireframePipelineStageSpec.js @@ -87,23 +87,27 @@ describe( } it("adds define to shader", function () { - return loadGltf(boxTexturedBinary, sceneWithWebgl2).then(function ( - gltfLoader - ) { - const components = gltfLoader.components; - const node = components.nodes[1]; - const primitive = node.primitives[0]; - const frameState = sceneWithWebgl2.frameState; - - const renderResources = mockRenderResources(primitive); - const shaderBuilder = renderResources.shaderBuilder; - - WireframePipelineStage.process(renderResources, primitive, frameState); - - ShaderBuilderTester.expectHasFragmentDefines(shaderBuilder, [ - "HAS_WIREFRAME", - ]); - }); + return loadGltf(boxTexturedBinary, sceneWithWebgl2).then( + function (gltfLoader) { + const components = gltfLoader.components; + const node = components.nodes[1]; + const primitive = node.primitives[0]; + const frameState = sceneWithWebgl2.frameState; + + const renderResources = mockRenderResources(primitive); + const shaderBuilder = renderResources.shaderBuilder; + + WireframePipelineStage.process( + renderResources, + primitive, + frameState, + ); + + ShaderBuilderTester.expectHasFragmentDefines(shaderBuilder, [ + "HAS_WIREFRAME", + ]); + }, + ); }); it("Creates wireframe indices from buffer (WebGL 2)", function () { @@ -111,64 +115,72 @@ describe( return; } - return loadGltf(boxTexturedBinary, sceneWithWebgl2).then(function ( - gltfLoader - ) { - const components = gltfLoader.components; - const node = components.nodes[1]; - const primitive = node.primitives[0]; - const frameState = sceneWithWebgl2.frameState; - - const renderResources = mockRenderResources(primitive); - - expect(renderResources.count).toBe(36); - expect(renderResources.primitiveType).toBe(PrimitiveType.TRIANGLES); - - WireframePipelineStage.process(renderResources, primitive, frameState); - - const wireframeIndexBuffer = renderResources.wireframeIndexBuffer; - const model = renderResources.model; - expect(wireframeIndexBuffer).toBeDefined(); - expect(model._pipelineResources).toEqual([wireframeIndexBuffer]); - expect(model.statistics.geometryByteLength).toBe( - wireframeIndexBuffer.sizeInBytes - ); - expect(renderResources.primitiveType).toBe(PrimitiveType.LINES); - expect(renderResources.count).toBe(72); - }); + return loadGltf(boxTexturedBinary, sceneWithWebgl2).then( + function (gltfLoader) { + const components = gltfLoader.components; + const node = components.nodes[1]; + const primitive = node.primitives[0]; + const frameState = sceneWithWebgl2.frameState; + + const renderResources = mockRenderResources(primitive); + + expect(renderResources.count).toBe(36); + expect(renderResources.primitiveType).toBe(PrimitiveType.TRIANGLES); + + WireframePipelineStage.process( + renderResources, + primitive, + frameState, + ); + + const wireframeIndexBuffer = renderResources.wireframeIndexBuffer; + const model = renderResources.model; + expect(wireframeIndexBuffer).toBeDefined(); + expect(model._pipelineResources).toEqual([wireframeIndexBuffer]); + expect(model.statistics.geometryByteLength).toBe( + wireframeIndexBuffer.sizeInBytes, + ); + expect(renderResources.primitiveType).toBe(PrimitiveType.LINES); + expect(renderResources.count).toBe(72); + }, + ); }); it("Creates wireframe indices from typedArray (WebGL 1)", function () { const options = { loadIndicesForWireframe: true, }; - return loadGltf(boxTexturedBinary, scene, options).then(function ( - gltfLoader - ) { - const components = gltfLoader.components; - const node = components.nodes[1]; - const primitive = node.primitives[0]; - const frameState = scene.frameState; - - const renderResources = mockRenderResources(primitive); - - expect(renderResources.count).toBe(36); - expect(renderResources.primitiveType).toBe(PrimitiveType.TRIANGLES); - - WireframePipelineStage.process(renderResources, primitive, frameState); - - const wireframeIndexBuffer = renderResources.wireframeIndexBuffer; - const model = renderResources.model; - expect(wireframeIndexBuffer).toBeDefined(); - expect(model._pipelineResources).toEqual([wireframeIndexBuffer]); - expect(model.statistics.geometryByteLength).toBe( - wireframeIndexBuffer.sizeInBytes - ); - - expect(renderResources.primitiveType).toBe(PrimitiveType.LINES); - expect(renderResources.count).toBe(72); - }); + return loadGltf(boxTexturedBinary, scene, options).then( + function (gltfLoader) { + const components = gltfLoader.components; + const node = components.nodes[1]; + const primitive = node.primitives[0]; + const frameState = scene.frameState; + + const renderResources = mockRenderResources(primitive); + + expect(renderResources.count).toBe(36); + expect(renderResources.primitiveType).toBe(PrimitiveType.TRIANGLES); + + WireframePipelineStage.process( + renderResources, + primitive, + frameState, + ); + + const wireframeIndexBuffer = renderResources.wireframeIndexBuffer; + const model = renderResources.model; + expect(wireframeIndexBuffer).toBeDefined(); + expect(model._pipelineResources).toEqual([wireframeIndexBuffer]); + expect(model.statistics.geometryByteLength).toBe( + wireframeIndexBuffer.sizeInBytes, + ); + + expect(renderResources.primitiveType).toBe(PrimitiveType.LINES); + expect(renderResources.count).toBe(72); + }, + ); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Model/loadAndZoomToModelAsync.js b/packages/engine/Specs/Scene/Model/loadAndZoomToModelAsync.js index 2c80710f8a4c..2a17f97533a4 100644 --- a/packages/engine/Specs/Scene/Model/loadAndZoomToModelAsync.js +++ b/packages/engine/Specs/Scene/Model/loadAndZoomToModelAsync.js @@ -10,7 +10,7 @@ async function loadAndZoomToModelAsync(options, scene) { scene.renderForSpecs(); return model.ready; }, - { timeout: 10000 } + { timeout: 10000 }, ); scene.camera.flyToBoundingSphere(model.boundingSphere, { diff --git a/packages/engine/Specs/Scene/Model/pickModelSpec.js b/packages/engine/Specs/Scene/Model/pickModelSpec.js index 02dc9d73d8f7..9a8ad02fab38 100644 --- a/packages/engine/Specs/Scene/Model/pickModelSpec.js +++ b/packages/engine/Specs/Scene/Model/pickModelSpec.js @@ -82,7 +82,7 @@ describe("Scene/Model/pickModel", function () { url: boxTexturedGltfUrl, enablePick: !scene.frameState.context.webgl2, }, - scene + scene, ); const ray = new Ray(); expect(pickModel(model, ray, scene.frameState)).toBeUndefined(); @@ -94,19 +94,19 @@ describe("Scene/Model/pickModel", function () { url: boxTexturedGltfUrl, enablePick: !scene.frameState.context.webgl2, }, - scene + scene, ); const ray = scene.camera.getPickRay( new Cartesian2( scene.drawingBufferWidth / 2.0, - scene.drawingBufferHeight / 2.0 - ) + scene.drawingBufferHeight / 2.0, + ), ); const expected = new Cartesian3(0.5, 0, 0.5); expect(pickModel(model, ray, scene.frameState)).toEqualEpsilon( expected, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); @@ -122,19 +122,19 @@ describe("Scene/Model/pickModel", function () { url: boxTexturedGltfUrl, enablePick: true, }, - scene + scene, ); const ray = scene.camera.getPickRay( new Cartesian2( scene.drawingBufferWidth / 2.0, - scene.drawingBufferHeight / 2.0 - ) + scene.drawingBufferHeight / 2.0, + ), ); const expected = new Cartesian3(0.5, 0, 0.5); expect(pickModel(model, ray, scene.frameState)).toEqualEpsilon( expected, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); sceneWithWebgl1.destroyForSpecs(); @@ -146,19 +146,19 @@ describe("Scene/Model/pickModel", function () { url: boxWithOffsetUrl, enablePick: !scene.frameState.context.webgl2, }, - scene + scene, ); const ray = scene.camera.getPickRay( new Cartesian2( scene.drawingBufferWidth / 2.0, - scene.drawingBufferHeight / 2.0 - ) + scene.drawingBufferHeight / 2.0, + ), ); const expected = new Cartesian3(0.0, 5.5, -0.5); expect(pickModel(model, ray, scene.frameState)).toEqualEpsilon( expected, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); @@ -168,19 +168,19 @@ describe("Scene/Model/pickModel", function () { url: boxCesiumRtcUrl, enablePick: !scene.frameState.context.webgl2, }, - scene + scene, ); const ray = scene.camera.getPickRay( new Cartesian2( scene.drawingBufferWidth / 2.0, - scene.drawingBufferHeight / 2.0 - ) + scene.drawingBufferHeight / 2.0, + ), ); const expected = new Cartesian3(6378137.5, 0.0, -0.499999996649); expect(pickModel(model, ray, scene.frameState)).toEqualEpsilon( expected, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); }); @@ -190,19 +190,19 @@ describe("Scene/Model/pickModel", function () { url: boxWithQuantizedAttributes, enablePick: !scene.frameState.context.webgl2, }, - scene + scene, ); const ray = scene.camera.getPickRay( new Cartesian2( scene.drawingBufferWidth / 2.0, - scene.drawingBufferHeight / 2.0 - ) + scene.drawingBufferHeight / 2.0, + ), ); const expected = new Cartesian3(0.5, 0, 0.5); expect(pickModel(model, ray, scene.frameState)).toEqualEpsilon( expected, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); @@ -212,19 +212,19 @@ describe("Scene/Model/pickModel", function () { url: boxWithMixedCompression, enablePick: !scene.frameState.context.webgl2, }, - scene + scene, ); const ray = scene.camera.getPickRay( new Cartesian2( scene.drawingBufferWidth / 2.0, - scene.drawingBufferHeight / 2.0 - ) + scene.drawingBufferHeight / 2.0, + ), ); const expected = new Cartesian3(1.0, 0, 1.0); expect(pickModel(model, ray, scene.frameState)).toEqualEpsilon( expected, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); @@ -234,19 +234,19 @@ describe("Scene/Model/pickModel", function () { url: boxInterleaved, enablePick: !scene.frameState.context.webgl2, }, - scene + scene, ); const ray = scene.camera.getPickRay( new Cartesian2( scene.drawingBufferWidth / 2.0, - scene.drawingBufferHeight / 2.0 - ) + scene.drawingBufferHeight / 2.0, + ), ); const expected = new Cartesian3(0.5, 0, 0.5); expect(pickModel(model, ray, scene.frameState)).toEqualEpsilon( expected, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); @@ -256,7 +256,7 @@ describe("Scene/Model/pickModel", function () { const offset = new HeadingPitchRange( CesiumMath.PI_OVER_TWO, -CesiumMath.PI_OVER_FOUR, - 1 + 1, ); const model = await loadAndZoomToModelAsync( @@ -265,19 +265,19 @@ describe("Scene/Model/pickModel", function () { enablePick: !scene.frameState.context.webgl2, offset, }, - scene + scene, ); const ray = scene.camera.getPickRay( new Cartesian2( scene.drawingBufferWidth / 2.0, - scene.drawingBufferHeight / 2.0 - ) + scene.drawingBufferHeight / 2.0, + ), ); const expected = new Cartesian3(0, -0.5, 0.5); expect(pickModel(model, ray, scene.frameState)).toEqualEpsilon( expected, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); @@ -287,13 +287,13 @@ describe("Scene/Model/pickModel", function () { url: pointCloudUrl, enablePick: !scene.frameState.context.webgl2, }, - scene + scene, ); const ray = scene.camera.getPickRay( new Cartesian2( scene.drawingBufferWidth / 2.0, - scene.drawingBufferHeight / 2.0 - ) + scene.drawingBufferHeight / 2.0, + ), ); expect(pickModel(model, ray, scene.frameState)).toBeUndefined(); @@ -305,13 +305,13 @@ describe("Scene/Model/pickModel", function () { url: boxTexturedGltfUrl, enablePick: !scene.frameState.context.webgl2, }, - scene + scene, ); const ray = scene.camera.getPickRay( new Cartesian2( scene.drawingBufferWidth / 2.0, - scene.drawingBufferHeight / 2.0 - ) + scene.drawingBufferHeight / 2.0, + ), ); ray.origin = model.boundingSphere.center; @@ -325,13 +325,13 @@ describe("Scene/Model/pickModel", function () { enablePick: !scene.frameState.context.webgl2, backFaceCulling: false, }, - scene + scene, ); const ray = scene.camera.getPickRay( new Cartesian2( scene.drawingBufferWidth / 2.0, - scene.drawingBufferHeight / 2.0 - ) + scene.drawingBufferHeight / 2.0, + ), ); ray.origin = model.boundingSphere.center; @@ -339,11 +339,11 @@ describe("Scene/Model/pickModel", function () { const expected = new Cartesian3( -0.9999998807907355, 0, - -0.9999998807907104 + -0.9999998807907104, ); expect(pickModel(model, ray, scene.frameState)).toEqualEpsilon( expected, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); @@ -353,13 +353,13 @@ describe("Scene/Model/pickModel", function () { url: boxTexturedGltfUrl, enablePick: !scene.frameState.context.webgl2, }, - scene + scene, ); const ray = scene.camera.getPickRay( new Cartesian2( scene.drawingBufferWidth / 2.0, - scene.drawingBufferHeight / 2.0 - ) + scene.drawingBufferHeight / 2.0, + ), ); const result = new Cartesian3(); @@ -371,7 +371,7 @@ describe("Scene/Model/pickModel", function () { undefined, undefined, undefined, - result + result, ); expect(result).toEqualEpsilon(expected, CesiumMath.EPSILON12); expect(returned).toBe(result); @@ -383,13 +383,13 @@ describe("Scene/Model/pickModel", function () { url: boxTexturedGltfUrl, enablePick: !scene.frameState.context.webgl2, }, - scene + scene, ); const ray = scene.camera.getPickRay( new Cartesian2( scene.drawingBufferWidth / 2.0, - scene.drawingBufferHeight / 2.0 - ) + scene.drawingBufferHeight / 2.0, + ), ); scene.frameState.mode = SceneMode.MORPHING; @@ -402,13 +402,13 @@ describe("Scene/Model/pickModel", function () { url: boxTexturedGltfUrl, enablePick: !scene.frameState.context.webgl2, }, - scene + scene, ); const ray = scene.camera.getPickRay( new Cartesian2( scene.drawingBufferWidth / 2.0, - scene.drawingBufferHeight / 2.0 - ) + scene.drawingBufferHeight / 2.0, + ), ); const expected = new Cartesian3(-65.51341504, 0, -65.51341504); @@ -418,8 +418,8 @@ describe("Scene/Model/pickModel", function () { ray, scene.frameState, 2.0, - -Ellipsoid.WGS84.minimumRadius - ) + -Ellipsoid.WGS84.minimumRadius, + ), ).toEqualEpsilon(expected, CesiumMath.EPSILON8); }); }); diff --git a/packages/engine/Specs/Scene/MoonSpec.js b/packages/engine/Specs/Scene/MoonSpec.js index 6b5f2201bec1..1da140c7caba 100644 --- a/packages/engine/Specs/Scene/MoonSpec.js +++ b/packages/engine/Specs/Scene/MoonSpec.js @@ -32,13 +32,14 @@ describe( Transforms.computeTemeToPseudoFixedMatrix(date, icrfToFixed); } - const moonPosition = Simon1994PlanetaryPositions.computeMoonPositionInEarthInertialFrame( - date - ); + const moonPosition = + Simon1994PlanetaryPositions.computeMoonPositionInEarthInertialFrame( + date, + ); Matrix3.multiplyByVector(icrfToFixed, moonPosition, moonPosition); camera.viewBoundingSphere( - new BoundingSphere(moonPosition, Ellipsoid.MOON.maximumRadius) + new BoundingSphere(moonPosition, Ellipsoid.MOON.maximumRadius), ); } @@ -80,5 +81,5 @@ describe( expect(moon.isDestroyed()).toEqual(true); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/MultifrustumSpec.js b/packages/engine/Specs/Scene/MultifrustumSpec.js index 5c417c6f4a7a..f981a3de2845 100644 --- a/packages/engine/Specs/Scene/MultifrustumSpec.js +++ b/packages/engine/Specs/Scene/MultifrustumSpec.js @@ -216,7 +216,7 @@ describe( this._rs = undefined; this._modelMatrix = Matrix4.fromTranslation( new Cartesian3(0.0, 0.0, -50000.0), - new Matrix4() + new Matrix4(), ); this.color = new Color(1.0, 1.0, 0.0, 1.0); @@ -253,18 +253,17 @@ describe( const maximum = Cartesian3.multiplyByScalar( dimensions, 0.5, - new Cartesian3() + new Cartesian3(), ); const minimum = Cartesian3.negate(maximum, new Cartesian3()); const geometry = BoxGeometry.createGeometry( new BoxGeometry({ minimum: minimum, maximum: maximum, - }) - ); - const attributeLocations = GeometryPipeline.createAttributeLocations( - geometry + }), ); + const attributeLocations = + GeometryPipeline.createAttributeLocations(geometry); this._va = VertexArray.fromGeometry({ context: frameState.context, geometry: geometry, @@ -296,7 +295,7 @@ describe( ? new BoundingSphere(Cartesian3.clone(Cartesian3.ZERO), 500000.0) : undefined, pass: Pass.OPAQUE, - }) + }), ); }; @@ -375,5 +374,5 @@ describe( }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Multiple3DTileContentSpec.js b/packages/engine/Specs/Scene/Multiple3DTileContentSpec.js index 7e84bd96d4e2..5682ba42c43c 100644 --- a/packages/engine/Specs/Scene/Multiple3DTileContentSpec.js +++ b/packages/engine/Specs/Scene/Multiple3DTileContentSpec.js @@ -149,7 +149,7 @@ describe( tileset, tile, tilesetResource, - contentsJson + contentsJson, ); expect(content.innerContentUrls).toEqual([ @@ -166,7 +166,7 @@ describe( tileset, tile, tilesetResource, - contentJson + contentJson, ); expect(content.innerContentUrls).toEqual([ @@ -188,7 +188,7 @@ describe( mockTileset, tile, tilesetResource, - contentsJson + contentsJson, ); spyOn(Resource.prototype, "fetchArrayBuffer").and.callFake(function () { @@ -216,7 +216,7 @@ describe( mockTileset, tile, tilesetResource, - contentsJson + contentsJson, ); RequestScheduler.maximumRequestsPerServer = 2; @@ -238,7 +238,7 @@ describe( mockTileset, tile, tilesetResource, - contentsJson + contentsJson, ); spyOn(Resource.prototype, "fetchArrayBuffer").and.callFake(function () { @@ -258,7 +258,7 @@ describe( expect(failureSpy).toHaveBeenCalledWith( jasmine.objectContaining({ message: "my error", - }) + }), ); }); @@ -274,7 +274,7 @@ describe( mockTileset, tile, tilesetResource, - contentsJson + contentsJson, ); spyOn(Resource.prototype, "fetchArrayBuffer").and.callFake(function () { @@ -295,7 +295,7 @@ describe( it("becomes ready", async function () { const tileset = await Cesium3DTilesTester.loadTileset( scene, - multipleContentsUrl + multipleContentsUrl, ); expect(tileset.root.contentReady).toBeTrue(); expect(tileset.root.content).toBeDefined(); @@ -303,21 +303,21 @@ describe( it("renders multiple contents", function () { return Cesium3DTilesTester.loadTileset(scene, multipleContentsUrl).then( - expectRenderMultipleContents + expectRenderMultipleContents, ); }); it("renders multiple contents (legacy)", function () { return Cesium3DTilesTester.loadTileset( scene, - multipleContentsLegacyUrl + multipleContentsLegacyUrl, ).then(expectRenderMultipleContents); }); it("renders multiple contents (legacy with 'content')", function () { return Cesium3DTilesTester.loadTileset( scene, - multipleContentsLegacyWithContentUrl + multipleContentsLegacyWithContentUrl, ).then(expectRenderMultipleContents); }); @@ -335,7 +335,7 @@ describe( }); }); return Cesium3DTilesTester.loadTileset(scene, multipleContentsUrl).then( - expectRenderMultipleContents + expectRenderMultipleContents, ); }); @@ -355,7 +355,7 @@ describe( }); return Cesium3DTilesTester.loadTileset( scene, - multipleContentsLegacyUrl + multipleContentsLegacyUrl, ).then(expectRenderMultipleContents); }); @@ -373,9 +373,9 @@ describe( function () { // the content should be canceled once in total expect(multipleContents._cancelCount).toBe(1); - } + }, ); - } + }, ); }); @@ -433,7 +433,7 @@ describe( function (tileset) { const content = tileset.root.content; expect(content.group).not.toBeDefined(); - } + }, ); }); @@ -446,14 +446,14 @@ describe( metadata: groupMetadata, }); }).toThrowDeveloperError(); - } + }, ); }); it("initializes group metadata for inner contents", function () { return Cesium3DTilesTester.loadTileset( scene, - withGroupMetadataUrl + withGroupMetadataUrl, ).then(function (tileset) { const multipleContents = tileset.root.content; const innerContents = multipleContents.innerContents; @@ -462,7 +462,7 @@ describe( let groupMetadata = buildingsContent.group.metadata; expect(groupMetadata).toBeDefined(); expect(groupMetadata.getProperty("color")).toEqual( - new Cartesian3(255, 127, 0) + new Cartesian3(255, 127, 0), ); expect(groupMetadata.getProperty("priority")).toBe(10); expect(groupMetadata.getProperty("isInstanced")).toBe(false); @@ -471,7 +471,7 @@ describe( groupMetadata = cubesContent.group.metadata; expect(groupMetadata).toBeDefined(); expect(groupMetadata.getProperty("color")).toEqual( - new Cartesian3(0, 255, 127) + new Cartesian3(0, 255, 127), ); expect(groupMetadata.getProperty("priority")).toBe(5); expect(groupMetadata.getProperty("isInstanced")).toBe(true); @@ -481,7 +481,7 @@ describe( it("initializes group metadata for inner contents (legacy)", function () { return Cesium3DTilesTester.loadTileset( scene, - withGroupMetadataLegacyUrl + withGroupMetadataLegacyUrl, ).then(function (tileset) { const multipleContents = tileset.root.content; const innerContents = multipleContents.innerContents; @@ -490,7 +490,7 @@ describe( let groupMetadata = buildingsContent.group.metadata; expect(groupMetadata).toBeDefined(); expect(groupMetadata.getProperty("color")).toEqual( - new Cartesian3(255, 127, 0) + new Cartesian3(255, 127, 0), ); expect(groupMetadata.getProperty("priority")).toBe(10); expect(groupMetadata.getProperty("isInstanced")).toBe(false); @@ -499,7 +499,7 @@ describe( groupMetadata = cubesContent.group.metadata; expect(groupMetadata).toBeDefined(); expect(groupMetadata.getProperty("color")).toEqual( - new Cartesian3(0, 255, 127) + new Cartesian3(0, 255, 127), ); expect(groupMetadata.getProperty("priority")).toBe(5); expect(groupMetadata.getProperty("isInstanced")).toBe(true); @@ -511,7 +511,7 @@ describe( function (tileset) { const content = tileset.root.content; expect(content.metadata).not.toBeDefined(); - } + }, ); }); @@ -522,14 +522,14 @@ describe( const content = tileset.root.content; content.metadata = {}; }).toThrowDeveloperError(); - } + }, ); }); it("initializes explicit content metadata for inner contents", function () { return Cesium3DTilesTester.loadTileset( scene, - withExplicitContentMetadataUrl + withExplicitContentMetadataUrl, ).then(function (tileset) { const multipleContents = tileset.root.content; const innerContents = multipleContents.innerContents; @@ -538,7 +538,7 @@ describe( const batchedMetadata = batchedContent.metadata; expect(batchedMetadata).toBeDefined(); expect(batchedMetadata.getProperty("highlightColor")).toEqual( - new Cartesian3(0, 0, 255) + new Cartesian3(0, 0, 255), ); expect(batchedMetadata.getProperty("author")).toEqual("Cesium"); @@ -546,10 +546,10 @@ describe( const instancedMetadata = instancedContent.metadata; expect(instancedMetadata).toBeDefined(); expect(instancedMetadata.getProperty("numberOfInstances")).toEqual( - 50 + 50, ); expect(instancedMetadata.getProperty("author")).toEqual( - "Sample Author" + "Sample Author", ); }); }); @@ -557,7 +557,7 @@ describe( it("initializes explicit content metadata for inner contents (legacy)", function () { return Cesium3DTilesTester.loadTileset( scene, - withExplicitContentMetadataLegacyUrl + withExplicitContentMetadataLegacyUrl, ).then(function (tileset) { const multipleContents = tileset.root.content; const innerContents = multipleContents.innerContents; @@ -566,7 +566,7 @@ describe( const batchedMetadata = batchedContent.metadata; expect(batchedMetadata).toBeDefined(); expect(batchedMetadata.getProperty("highlightColor")).toEqual( - new Cartesian3(0, 0, 255) + new Cartesian3(0, 0, 255), ); expect(batchedMetadata.getProperty("author")).toEqual("Cesium"); @@ -574,10 +574,10 @@ describe( const instancedMetadata = instancedContent.metadata; expect(instancedMetadata).toBeDefined(); expect(instancedMetadata.getProperty("numberOfInstances")).toEqual( - 50 + 50, ); expect(instancedMetadata.getProperty("author")).toEqual( - "Sample Author" + "Sample Author", ); }); }); @@ -585,7 +585,7 @@ describe( it("initializes implicit content metadata for inner contents", function () { return Cesium3DTilesTester.loadTileset( scene, - withImplicitContentMetadataUrl + withImplicitContentMetadataUrl, ).then(function (tileset) { const placeholderTile = tileset.root; const subtreeRootTile = placeholderTile.children[0]; @@ -601,7 +601,7 @@ describe( expect(buildingMetadata).toBeDefined(); expect(buildingMetadata.getProperty("height")).toEqual(50); expect(buildingMetadata.getProperty("color")).toEqual( - new Cartesian3(0, 0, 255) + new Cartesian3(0, 0, 255), ); const treeContent = innerContents[1]; @@ -614,7 +614,7 @@ describe( it("initializes implicit content metadata for inner contents (legacy)", function () { return Cesium3DTilesTester.loadTileset( scene, - withImplicitContentMetadataLegacyUrl + withImplicitContentMetadataLegacyUrl, ).then(function (tileset) { const placeholderTile = tileset.root; const subtreeRootTile = placeholderTile.children[0]; @@ -630,7 +630,7 @@ describe( expect(buildingMetadata).toBeDefined(); expect(buildingMetadata.getProperty("height")).toEqual(50); expect(buildingMetadata.getProperty("color")).toEqual( - new Cartesian3(0, 0, 255) + new Cartesian3(0, 0, 255), ); const treeContent = innerContents[1]; @@ -641,5 +641,5 @@ describe( }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/OpenStreetMapImageryProviderSpec.js b/packages/engine/Specs/Scene/OpenStreetMapImageryProviderSpec.js index b097f2c020e1..238e58b117cf 100644 --- a/packages/engine/Specs/Scene/OpenStreetMapImageryProviderSpec.js +++ b/packages/engine/Specs/Scene/OpenStreetMapImageryProviderSpec.js @@ -46,20 +46,18 @@ describe("Scene/OpenStreetMapImageryProvider", function () { url: resource, }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).not.toContain("//"); - - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).not.toContain("//"); + + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -72,20 +70,18 @@ describe("Scene/OpenStreetMapImageryProvider", function () { url: "made/up/osm/server/", }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).not.toContain("//"); - - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).not.toContain("//"); + + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -98,20 +94,18 @@ describe("Scene/OpenStreetMapImageryProvider", function () { url: "made/up/osm/server", }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).toContain("made/up/osm/server/"); - - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).toContain("made/up/osm/server/"); + + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -131,18 +125,16 @@ describe("Scene/OpenStreetMapImageryProvider", function () { expect(provider.tilingScheme).toBeInstanceOf(WebMercatorTilingScheme); expect(provider.rectangle).toEqual(new WebMercatorTilingScheme().rectangle); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -178,36 +170,34 @@ describe("Scene/OpenStreetMapImageryProvider", function () { expect(provider.tilingScheme).toBeInstanceOf(WebMercatorTilingScheme); expect(provider.rectangle.west).toBeCloseTo( rectangle.west, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(provider.rectangle.south).toBeCloseTo( rectangle.south, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(provider.rectangle.east).toBeCloseTo( rectangle.east, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(provider.rectangle.north).toBeCloseTo( rectangle.north, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(provider.tileDiscardPolicy).toBeUndefined(); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).toContain("/0/0/0"); - - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).toContain("/0/0/0"); + + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -237,20 +227,18 @@ describe("Scene/OpenStreetMapImageryProvider", function () { retinaTiles: true, }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).toContain("0/0/0@2x.png"); - - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).toContain("0/0/0@2x.png"); + + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); const image = await provider.requestImage(0, 0, 0); expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -279,14 +267,14 @@ describe("Scene/OpenStreetMapImageryProvider", function () { Resource._Implementations.createImage = function ( request, crossOrigin, - deferred + deferred, ) { if (tries === 2) { // Succeed after 2 tries Resource._DefaultImplementations.createImage( new Request({ url: "Data/Images/Red16x16.png" }), crossOrigin, - deferred + deferred, ); } else { // fail @@ -315,7 +303,7 @@ describe("Scene/OpenStreetMapImageryProvider", function () { 0.0, 0.0, CesiumMath.toRadians(1.0), - CesiumMath.toRadians(1.0) + CesiumMath.toRadians(1.0), ); expect(function () { diff --git a/packages/engine/Specs/Scene/ParticleSpec.js b/packages/engine/Specs/Scene/ParticleSpec.js index 2a7a27462337..5b25e9c092bd 100644 --- a/packages/engine/Specs/Scene/ParticleSpec.js +++ b/packages/engine/Specs/Scene/ParticleSpec.js @@ -45,7 +45,7 @@ describe("Scene/Particle", function () { const position = new Cartesian3(1.0, 2.0, 3.0); const velocity = Cartesian3.normalize( new Cartesian3(-1.0, 1.0, 1.0), - new Cartesian3() + new Cartesian3(), ); const p = new Particle({ life: 15.0, @@ -57,7 +57,7 @@ describe("Scene/Particle", function () { const expectedPosition = Cartesian3.add( p.position, Cartesian3.multiplyByScalar(p.velocity, dt, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ); expect(p.update(dt)).toEqual(true); @@ -77,7 +77,7 @@ describe("Scene/Particle", function () { const position = new Cartesian3(1.0, 2.0, 3.0); const velocity = Cartesian3.normalize( new Cartesian3(-1.0, 1.0, 1.0), - new Cartesian3() + new Cartesian3(), ); const p = new Particle({ life: 15.0, @@ -90,7 +90,7 @@ describe("Scene/Particle", function () { const expectedPosition = Cartesian3.add( p.position, Cartesian3.multiplyByScalar(p.velocity, dt, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ); expect(p.update(dt, forces)).toEqual(true); diff --git a/packages/engine/Specs/Scene/ParticleSystemSpec.js b/packages/engine/Specs/Scene/ParticleSystemSpec.js index 288934593706..fa38951f7125 100644 --- a/packages/engine/Specs/Scene/ParticleSystemSpec.js +++ b/packages/engine/Specs/Scene/ParticleSystemSpec.js @@ -18,11 +18,11 @@ describe("Scene/ParticleSystem", function () { beforeAll(function () { scene = createScene(); - return Resource.fetchImage("./Data/Images/Green2x2.png").then(function ( - result - ) { - greenImage = result; - }); + return Resource.fetchImage("./Data/Images/Green2x2.png").then( + function (result) { + greenImage = result; + }, + ); }); afterAll(function () { @@ -77,7 +77,7 @@ describe("Scene/ParticleSystem", function () { 15.0, 16.0, 17.0, - 18.0 + 18.0, ), startColor: Color.MAGENTA, endColor: Color.LAVENDAR_BLUSH, @@ -143,7 +143,7 @@ describe("Scene/ParticleSystem", function () { 6.0, 7.0, 8.0, - 9.0 + 9.0, ); const emitterModelMatrix = new Matrix4( 10.0, @@ -154,7 +154,7 @@ describe("Scene/ParticleSystem", function () { 15.0, 16.0, 17.0, - 18.0 + 18.0, ); const startColor = Color.MAGENTA; const endColor = Color.LAVENDAR_BLUSH; @@ -372,7 +372,7 @@ describe("Scene/ParticleSystem", function () { emitter: new CircleEmitter(1.0), emissionRate: 10000, imageSize: new Cartesian2(100, 100), - }) + }), ); scene.camera.position = new Cartesian3(0.0, 0.0, 20.0); scene.camera.direction = new Cartesian3(0.0, 0.0, -1.0); diff --git a/packages/engine/Specs/Scene/PerInstanceColorAppearanceSpec.js b/packages/engine/Specs/Scene/PerInstanceColorAppearanceSpec.js index 663913967c99..9f9e8207c87e 100644 --- a/packages/engine/Specs/Scene/PerInstanceColorAppearanceSpec.js +++ b/packages/engine/Specs/Scene/PerInstanceColorAppearanceSpec.js @@ -55,7 +55,7 @@ describe( expect(a.vertexShaderSource).toBeDefined(); expect(a.fragmentShaderSource).toBeDefined(); expect(a.renderState).toEqual( - Appearance.getDefaultRenderState(true, false) + Appearance.getDefaultRenderState(true, false), ); expect(a.vertexFormat).toEqual(PerInstanceColorAppearance.VERTEX_FORMAT); expect(a.flat).toEqual(false); @@ -86,5 +86,5 @@ describe( expect(scene).notToRender([0, 0, 0, 255]); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/PickingSpec.js b/packages/engine/Specs/Scene/PickingSpec.js index 362fb4ca53b6..42b4bdc401c7 100644 --- a/packages/engine/Specs/Scene/PickingSpec.js +++ b/packages/engine/Specs/Scene/PickingSpec.js @@ -44,13 +44,13 @@ describe( -0.0001, -0.0001, 0.0001, - 0.0001 + 0.0001, ); const offscreenRectangle = Rectangle.fromDegrees( -45.0002, -1.0002, -45.0001, - -1.0001 + -1.0001, ); let primitiveRay; let offscreenRay; @@ -145,7 +145,7 @@ describe( const tileset = await Cesium3DTilesTester.loadTileset( scene, url, - options + options, ); // The tilesets used in these tests have transforms that are not // what we want for our camera setup. Re-position the tileset @@ -243,15 +243,14 @@ describe( }); it("picks a voxel coordinate from a VoxelPrimitive", async function () { - const provider = await Cesium3DTilesVoxelProvider.fromUrl( - voxelTilesetUrl - ); + const provider = + await Cesium3DTilesVoxelProvider.fromUrl(voxelTilesetUrl); const primitive = new VoxelPrimitive({ provider }); scene.primitives.add(primitive); scene.renderForSpecs(); const voxelCoordinate = scene._picking.pickVoxelCoordinate( scene, - new Cartesian2(0, 0) + new Cartesian2(0, 0), ); expect(voxelCoordinate).toEqual(new Uint8Array(4)); }); @@ -265,11 +264,10 @@ describe( }); it("picks a voxel cell from a VoxelPrimitive", async function () { - const provider = await Cesium3DTilesVoxelProvider.fromUrl( - voxelTilesetUrl - ); + const provider = + await Cesium3DTilesVoxelProvider.fromUrl(voxelTilesetUrl); const modelMatrix = Matrix4.fromUniformScale( - Ellipsoid.WGS84.maximumRadius + Ellipsoid.WGS84.maximumRadius, ); const primitive = new VoxelPrimitive({ provider, modelMatrix }); scene.primitives.add(primitive); @@ -385,7 +383,7 @@ describe( geometryInstances: [instance1, instance2, instance3], asynchronous: false, appearance: new EllipsoidSurfaceAppearance(), - }) + }), ); expect(scene).toDrillPickAndCall(function (pickedObjects) { @@ -423,7 +421,7 @@ describe( geometryInstances: [instance1, instance2], asynchronous: false, appearance: new EllipsoidSurfaceAppearance(), - }) + }), ); expect(scene).toDrillPickAndCall(function (pickedObjects) { @@ -467,7 +465,7 @@ describe( geometryInstances: [instance1, instance2, instance3], asynchronous: false, appearance: new EllipsoidSurfaceAppearance(), - }) + }), ); expect(scene).toDrillPickAndCall(function (pickedObjects) { @@ -533,7 +531,7 @@ describe( expect(result.object).toBeUndefined(); expect(result.position).toBeDefined(); expect(result.position.x).toBeGreaterThan( - Ellipsoid.WGS84.minimumRadius + Ellipsoid.WGS84.minimumRadius, ); expect(result.position.y).toEqualEpsilon(0.0, CesiumMath.EPSILON5); expect(result.position.z).toEqualEpsilon(0.0, CesiumMath.EPSILON5); @@ -552,7 +550,7 @@ describe( const expectedPosition = Cartesian3.fromRadians(0.0, 0.0); expect(position).toEqualEpsilon( expectedPosition, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); } }, primitiveRay); @@ -601,7 +599,7 @@ describe( expect(result.object.primitive).toBe(rectangle1); }, primitiveRay, - [rectangle2, rectangle3, rectangle4] + [rectangle2, rectangle3, rectangle4], ); // Tests that rectangle4 does not get un-hidden @@ -623,7 +621,7 @@ describe( }, primitiveRay, [], - 0.01 + 0.01, ); }); @@ -635,7 +633,7 @@ describe( }, primitiveRay, [], - 0.1 + 0.1, ); expect(scene).toPickFromRayAndCall( function (result) { @@ -643,7 +641,7 @@ describe( }, primitiveRay, [], - 1.0 + 1.0, ); }); @@ -683,7 +681,7 @@ describe( const expectedPosition = Cartesian3.fromRadians(0.0, 0.0); expect(position).toEqualEpsilon( expectedPosition, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); } else { expect(position).toBeUndefined(); @@ -706,11 +704,11 @@ describe( const rectangleCenter2 = Cartesian3.fromRadians(0.0, 0.0, 1.0); expect(results[0].position).toEqualEpsilon( rectangleCenter2, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); expect(results[1].position).toEqualEpsilon( rectangleCenter1, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); } else { expect(results[0].position).toBeUndefined(); @@ -791,7 +789,7 @@ describe( geometryInstances: [instance1, instance2, instance3], asynchronous: false, appearance: new EllipsoidSurfaceAppearance(), - }) + }), ); expect(scene).toDrillPickFromRayAndCall(function (results) { @@ -829,7 +827,7 @@ describe( geometryInstances: [instance1, instance2], asynchronous: false, appearance: new EllipsoidSurfaceAppearance(), - }) + }), ); expect(scene).toDrillPickFromRayAndCall(function (results) { @@ -873,7 +871,7 @@ describe( geometryInstances: [instance1, instance2, instance3], asynchronous: false, appearance: new EllipsoidSurfaceAppearance(), - }) + }), ); expect(scene).toDrillPickFromRayAndCall(function (results) { @@ -897,7 +895,7 @@ describe( expect(results[2].object.primitive).toEqual(rectangle2); }, primitiveRay, - 3 + 3, ); }); @@ -915,7 +913,7 @@ describe( }, primitiveRay, 2, - [rectangle5, rectangle3] + [rectangle5, rectangle3], ); }); @@ -927,7 +925,7 @@ describe( }, primitiveRay, [], - 0.1 + 0.1, ); expect(scene).toDrillPickFromRayAndCall( function (result) { @@ -936,7 +934,7 @@ describe( primitiveRay, Number.POSITIVE_INFINITY, [], - 1.0 + 1.0, ); }); @@ -1038,7 +1036,7 @@ describe( expect(height).toEqualEpsilon(0.0, CesiumMath.EPSILON3); }, cartographic, - [rectangle2, rectangle3] + [rectangle2, rectangle3], ); }); @@ -1083,7 +1081,7 @@ describe( }, cartographic, [], - 0.1 + 0.1, ); expect(scene).toSampleHeightAndCall( function (height) { @@ -1091,7 +1089,7 @@ describe( }, cartographic, [], - 1.0 + 1.0, ); }); @@ -1188,7 +1186,7 @@ describe( const expectedCartesian = Cartesian3.fromRadians(0.0, 0.0); expect(cartesian).toEqualEpsilon( expectedCartesian, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); }, cartesian); }); @@ -1205,7 +1203,7 @@ describe( const expectedCartesian = Cartesian3.fromRadians(0.0, 0.0, 1.0); expect(cartesian).toEqualEpsilon( expectedCartesian, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); }, cartesian); }); @@ -1236,11 +1234,11 @@ describe( const expectedCartesian = Cartesian3.fromRadians(0.0, 0.0); expect(cartesian).toEqualEpsilon( expectedCartesian, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); }, cartesian, - [rectangle2, rectangle3] + [rectangle2, rectangle3], ); }); @@ -1259,7 +1257,7 @@ describe( expect(scene).toClampToHeightAndCall(function (clampedCartesian) { expect(clampedCartesian).toEqualEpsilon( cartesian, - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); }, cartesian); @@ -1267,7 +1265,7 @@ describe( expect(scene).toClampToHeightAndCall(function (clampedCartesian) { expect(clampedCartesian).toEqualEpsilon( cartesian, - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); }, cartesian); @@ -1290,7 +1288,7 @@ describe( }, cartesian, [], - 0.1 + 0.1, ); expect(scene).toClampToHeightAndCall( function (clampedCartesian) { @@ -1298,7 +1296,7 @@ describe( }, cartesian, [], - 1.0 + 1.0, ); }); @@ -1458,7 +1456,7 @@ describe( const objectsToExclude = [tileset]; const result = await pickFromRayMostDetailed( primitiveRay, - objectsToExclude + objectsToExclude, ); expect(result).toBeUndefined(); }); @@ -1490,7 +1488,7 @@ describe( const expectedPosition = Cartesian3.fromRadians(0.0, 0.0); expect(position).toEqualEpsilon( expectedPosition, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); } }); @@ -1604,7 +1602,7 @@ describe( const expectedPosition = Cartesian3.fromRadians(0.0, 0.0); expect(position).toEqualEpsilon( expectedPosition, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); } else { expect(position).toBeUndefined(); @@ -1630,11 +1628,11 @@ describe( const rectangleCenter2 = Cartesian3.fromRadians(0.0, 0.0, 1.0); expect(results[0].position).toEqualEpsilon( rectangleCenter2, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); expect(results[1].position).toEqualEpsilon( rectangleCenter1, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); } else { expect(results[0].position).toBeUndefined(); @@ -1726,7 +1724,7 @@ describe( geometryInstances: [instance1, instance2, instance3], asynchronous: false, appearance: new EllipsoidSurfaceAppearance(), - }) + }), ); scene.camera.setView({ destination: offscreenRectangle }); @@ -1767,7 +1765,7 @@ describe( geometryInstances: [instance1, instance2], asynchronous: false, appearance: new EllipsoidSurfaceAppearance(), - }) + }), ); scene.camera.setView({ destination: offscreenRectangle }); @@ -1814,7 +1812,7 @@ describe( geometryInstances: [instance1, instance2, instance3], asynchronous: false, appearance: new EllipsoidSurfaceAppearance(), - }) + }), ); scene.camera.setView({ destination: offscreenRectangle }); @@ -1869,14 +1867,14 @@ describe( primitiveRay, 1, [], - 0.1 + 0.1, ); expect(result1.length).toBe(0); const result2 = await drillPickFromRayMostDetailed( primitiveRay, 1, [], - 1.0 + 1.0, ); expect(result2.length).toBe(1); }); @@ -1910,9 +1908,8 @@ describe( const cartographics = [new Cartographic(0.0, 0.0)]; await createTileset(batchedTilesetUrl); - const updatedCartographics = await sampleHeightMostDetailed( - cartographics - ); + const updatedCartographics = + await sampleHeightMostDetailed(cartographics); const height = updatedCartographics[0].height; expect(height).toBeGreaterThan(0.0); expect(height).toBeLessThan(20.0); // Rough height of tile @@ -1934,9 +1931,8 @@ describe( new Cartographic(0.0002, 0.0002), ]; await createGlobe(); - const updatedCartographics = await sampleHeightMostDetailed( - cartographics - ); + const updatedCartographics = + await sampleHeightMostDetailed(cartographics); expect(updatedCartographics).toBe(cartographics); expect(updatedCartographics.length).toBe(3); let previousHeight; @@ -1960,9 +1956,8 @@ describe( const cartographics = [new Cartographic(0.0, 0.0)]; scene.camera.setView({ destination: offscreenRectangle }); await createGlobe(); - const updatedCartographics = await sampleHeightMostDetailed( - cartographics - ); + const updatedCartographics = + await sampleHeightMostDetailed(cartographics); expect(updatedCartographics[0].height).toBeUndefined(); }); @@ -1980,9 +1975,8 @@ describe( new Cartographic(-2.0, -2.0), ]; scene.camera.setView({ destination: offscreenRectangle }); - const updatedCartographics = await sampleHeightMostDetailed( - cartographics - ); + const updatedCartographics = + await sampleHeightMostDetailed(cartographics); expect(updatedCartographics[0].height).toBeDefined(); expect(updatedCartographics[1].height).toBeDefined(); expect(updatedCartographics[2].height).toBeUndefined(); // No primitive occupies this space @@ -2000,9 +1994,8 @@ describe( new Cartographic(0.0000005, 0.0000005), ]; scene.camera.setView({ destination: offscreenRectangle }); - const updatedCartographics = await sampleHeightMostDetailed( - cartographics - ); + const updatedCartographics = + await sampleHeightMostDetailed(cartographics); let previousHeight; for (let i = 0; i < 3; ++i) { const height = updatedCartographics[i].height; @@ -2020,12 +2013,11 @@ describe( createSmallRectangle(1.0); const cartographics = [new Cartographic(0.0, 0.0)]; scene.camera.setView({ destination: offscreenRectangle }); - const updatedCartographics = await sampleHeightMostDetailed( - cartographics - ); + const updatedCartographics = + await sampleHeightMostDetailed(cartographics); expect(updatedCartographics[0].height).toEqualEpsilon( 1.0, - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); }); @@ -2046,12 +2038,12 @@ describe( scene.camera.setView({ destination: offscreenRectangle }); const updatedCartographics = await sampleHeightMostDetailed( cartographics, - [rectangle1, rectangle3] + [rectangle1, rectangle3], ); expect(updatedCartographics[0].height).toBeUndefined(); // This rectangle was excluded expect(updatedCartographics[1].height).toEqualEpsilon( 0.0, - CesiumMath.EPSILON2 + CesiumMath.EPSILON2, ); expect(updatedCartographics[2].height).toBeUndefined(); // No primitive occupies this space }); @@ -2071,18 +2063,17 @@ describe( }); scene.camera.setView({ destination: offscreenRectangle }); - let updatedCartographics = await sampleHeightMostDetailed( - cartographics - ); + let updatedCartographics = + await sampleHeightMostDetailed(cartographics); expect(updatedCartographics[0].height).toEqualEpsilon( height, - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); point.disableDepthTestDistance = Number.POSITIVE_INFINITY; updatedCartographics = await sampleHeightMostDetailed(cartographics); expect(updatedCartographics[0].height).toEqualEpsilon( 0.0, - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); rectangle.show = false; updatedCartographics = await sampleHeightMostDetailed(cartographics); @@ -2100,13 +2091,13 @@ describe( const updatedCartographics1 = await sampleHeightMostDetailed( cartographics1, [], - 0.1 + 0.1, ); expect(updatedCartographics1[0].height).toBeUndefined(); const updatedCartographics2 = await sampleHeightMostDetailed( cartographics2, [], - 1.0 + 1.0, ); expect(updatedCartographics2[0].height).toBeDefined(); }); @@ -2117,9 +2108,8 @@ describe( } const cartographics = []; - const updatedCartographics = await sampleHeightMostDetailed( - cartographics - ); + const updatedCartographics = + await sampleHeightMostDetailed(cartographics); expect(updatedCartographics.length).toBe(0); }); @@ -2274,7 +2264,7 @@ describe( for (let i = 0; i < 3; ++i) { expect(updatedCartesians[i]).toEqualEpsilon( expectedCartesians[i], - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); expect(updatedCartesians[i]).not.toEqual(previousCartesian); previousCartesian = updatedCartesians[i]; @@ -2293,7 +2283,7 @@ describe( const expectedCartesian = Cartesian3.fromRadians(0.0, 0.0, 1.0); expect(updatedCartesians[0]).toEqualEpsilon( expectedCartesian, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); }); @@ -2317,12 +2307,12 @@ describe( rectangle3, ]); const expectedCartesian = Cartographic.toCartesian( - Rectangle.center(offscreenRectangle) + Rectangle.center(offscreenRectangle), ); expect(updatedCartesians[0]).toBeUndefined(); // This rectangle was excluded expect(updatedCartesians[1]).toEqualEpsilon( expectedCartesian, - CesiumMath.EPSILON2 + CesiumMath.EPSILON2, ); expect(updatedCartesians[2]).toBeUndefined(); // No primitive occupies this space }); @@ -2348,13 +2338,13 @@ describe( let updatedCartesians = await clampToHeightMostDetailed(cartesians1); expect(updatedCartesians[0]).toEqualEpsilon( cartesian, - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); point.disableDepthTestDistance = Number.POSITIVE_INFINITY; updatedCartesians = await clampToHeightMostDetailed(cartesians2); expect(updatedCartesians[0]).toEqualEpsilon( cartesian, - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); rectangle.show = false; updatedCartesians = await clampToHeightMostDetailed(cartesians3); @@ -2373,13 +2363,13 @@ describe( const clampedCartesians1 = await clampToHeightMostDetailed( cartesians1, [], - 0.1 + 0.1, ); expect(clampedCartesians1[0]).toBeUndefined(); const clampedCartesians2 = await clampToHeightMostDetailed( cartesians2, [], - 1.0 + 1.0, ); expect(clampedCartesians2[0]).toBeDefined(); }); @@ -2454,14 +2444,10 @@ describe( createSmallRectangle(0.0); const offscreenRectanglePrimitive = createRectangle( 0.0, - offscreenRectangle - ); - offscreenRectanglePrimitive.appearance.material.uniforms.color = new Color( - 1.0, - 0.0, - 0.0, - 1.0 + offscreenRectangle, ); + offscreenRectanglePrimitive.appearance.material.uniforms.color = + new Color(1.0, 0.0, 0.0, 1.0); scene.camera.setView({ destination: offscreenRectangle }); @@ -2478,18 +2464,18 @@ describe( const expectedCartesian = Cartesian3.fromRadians(0.0, 0.0); expect(cartesian).toEqualEpsilon( expectedCartesian, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); }, cartesian); // Call pickPosition expect(scene).toPickPositionAndCall(function (cartesian) { const expectedCartesian = Cartographic.toCartesian( - Rectangle.center(offscreenRectangle) + Rectangle.center(offscreenRectangle), ); expect(cartesian).toEqualEpsilon( expectedCartesian, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); }); @@ -2498,7 +2484,7 @@ describe( const expectedCartesian = Cartesian3.fromRadians(0.0, 0.0); expect(cartesian).toEqualEpsilon( expectedCartesian, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); }, cartesian); @@ -2510,17 +2496,13 @@ describe( const expectedCartesian = Cartesian3.fromRadians(0.0, 0.0); expect(cartesian).toEqualEpsilon( expectedCartesian, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); }, cartesian); // Call pickPosition on translucent primitive and returns undefined - offscreenRectanglePrimitive.appearance.material.uniforms.color = new Color( - 1.0, - 0.0, - 0.0, - 0.5 - ); + offscreenRectanglePrimitive.appearance.material.uniforms.color = + new Color(1.0, 0.0, 0.0, 0.5); scene.renderForSpecs(); expect(scene).toPickPositionAndCall(function (cartesian) { expect(cartesian).toBeUndefined(); @@ -2531,7 +2513,7 @@ describe( const expectedCartesian = Cartesian3.fromRadians(0.0, 0.0); expect(cartesian).toEqualEpsilon( expectedCartesian, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); }, cartesian); @@ -2540,11 +2522,11 @@ describe( scene.renderForSpecs(); expect(scene).toPickPositionAndCall(function (cartesian) { const expectedCartesian = Cartographic.toCartesian( - Rectangle.center(offscreenRectangle) + Rectangle.center(offscreenRectangle), ); expect(cartesian).toEqualEpsilon( expectedCartesian, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); }); @@ -2569,7 +2551,7 @@ describe( const expectedCartesian = Cartesian3.fromRadians(0.0, 0.0); expect(cartesian).toEqualEpsilon( expectedCartesian, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); }, cartesian); @@ -2583,5 +2565,5 @@ describe( }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/PointCloudEyeDomeLightingSpec.js b/packages/engine/Specs/Scene/PointCloudEyeDomeLightingSpec.js index 7668c5c4d02c..ae47e5ee4d37 100644 --- a/packages/engine/Specs/Scene/PointCloudEyeDomeLightingSpec.js +++ b/packages/engine/Specs/Scene/PointCloudEyeDomeLightingSpec.js @@ -64,7 +64,7 @@ describe( scene.renderForSpecs(); const newLength = scene.frameState.commandList.length; expect(newLength).toEqual(originalLength + 2); - } + }, ); }); @@ -84,7 +84,7 @@ describe( scene.pickForSpecs(); const newLength = scene.frameState.commandList.length; expect(newLength).toEqual(originalLength); - } + }, ); }); @@ -118,9 +118,9 @@ describe( scene.renderForSpecs(); expect(scene.frameState.commandList.length).toBe(3); - } + }, ); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/PointCloudShadingSpec.js b/packages/engine/Specs/Scene/PointCloudShadingSpec.js index 134de14ba7a5..32b47597051e 100644 --- a/packages/engine/Specs/Scene/PointCloudShadingSpec.js +++ b/packages/engine/Specs/Scene/PointCloudShadingSpec.js @@ -26,18 +26,18 @@ describe("Scene/PointCloudShading", function () { pointCloudShading = new PointCloudShading(options); expect(pointCloudShading.attenuation).toEqual(false); expect(pointCloudShading.geometricErrorScale).toEqual( - options.geometricErrorScale + options.geometricErrorScale, ); expect(pointCloudShading.maximumAttenuation).toEqual( - options.maximumAttenuation + options.maximumAttenuation, ); expect(pointCloudShading.baseResolution).toEqual(options.baseResolution); expect(pointCloudShading.eyeDomeLighting).toEqual(true); expect(pointCloudShading.eyeDomeLightingStrength).toEqual( - options.eyeDomeLightingStrength + options.eyeDomeLightingStrength, ); expect(pointCloudShading.eyeDomeLightingRadius).toEqual( - options.eyeDomeLightingRadius + options.eyeDomeLightingRadius, ); expect(pointCloudShading.backFaceCulling).toEqual(options.backFaceCulling); expect(pointCloudShading.normalShading).toEqual(options.normalShading); diff --git a/packages/engine/Specs/Scene/PointPrimitiveCollectionSpec.js b/packages/engine/Specs/Scene/PointPrimitiveCollectionSpec.js index def2b35abfa9..036b44416502 100644 --- a/packages/engine/Specs/Scene/PointPrimitiveCollectionSpec.js +++ b/packages/engine/Specs/Scene/PointPrimitiveCollectionSpec.js @@ -113,13 +113,13 @@ describe( expect(p.outlineColor.alpha).toEqual(0.8); expect(p.outlineWidth).toEqual(4.0); expect(p.scaleByDistance).toEqual( - new NearFarScalar(1.0, 3.0, 1.0e6, 0.0) + new NearFarScalar(1.0, 3.0, 1.0e6, 0.0), ); expect(p.translucencyByDistance).toEqual( - new NearFarScalar(1.0, 1.0, 1.0e6, 0.0) + new NearFarScalar(1.0, 1.0, 1.0e6, 0.0), ); expect(p.distanceDisplayCondition).toEqual( - new DistanceDisplayCondition(10.0, 100.0) + new DistanceDisplayCondition(10.0, 100.0), ); expect(p.disableDepthTestDistance).toEqual(10.0); expect(p.id).toEqual("id"); @@ -153,13 +153,13 @@ describe( expect(p.outlineColor.alpha).toEqual(0.8); expect(p.outlineWidth).toEqual(4.0); expect(p.scaleByDistance).toEqual( - new NearFarScalar(1.0e6, 3.0, 1.0e8, 0.0) + new NearFarScalar(1.0e6, 3.0, 1.0e8, 0.0), ); expect(p.translucencyByDistance).toEqual( - new NearFarScalar(1.0e6, 1.0, 1.0e8, 0.0) + new NearFarScalar(1.0e6, 1.0, 1.0e8, 0.0), ); expect(p.distanceDisplayCondition).toEqual( - new DistanceDisplayCondition(10.0, 100.0) + new DistanceDisplayCondition(10.0, 100.0), ); expect(p.disableDepthTestDistance).toEqual(10.0); expect(p.splitDirection).toEqual(SplitDirection.LEFT); @@ -775,7 +775,7 @@ describe( scene.renderForSpecs(); expect(p.computeScreenSpacePosition(scene)).toEqualEpsilon( new Cartesian2(0.5, 0.5), - CesiumMath.EPSILON1 + CesiumMath.EPSILON1, ); }); @@ -789,7 +789,7 @@ describe( expect(actual).toEqual(result); expect(result).toEqualEpsilon( new Cartesian2(0.5, 0.5), - CesiumMath.EPSILON1 + CesiumMath.EPSILON1, ); }); @@ -842,7 +842,7 @@ describe( const bbox = PointPrimitive.getScreenSpaceBoundingBox( p, Cartesian2.ZERO, - result + result, ); expect(bbox.x).toEqual(-halfWidth); expect(bbox.y).toEqual(-halfHeight); @@ -940,11 +940,11 @@ describe( expected.center = new Cartesian3( 0.0, expected.center.x, - expected.center.y + expected.center.y, ); expect(actual.center).toEqualEpsilon( expected.center, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); expect(actual.radius).toBeGreaterThanOrEqual(expected.radius); }); @@ -980,14 +980,14 @@ describe( expected.center = new Cartesian3( 0.0, expected.center.x, - expected.center.y + expected.center.y, ); expect(actual.center).toEqualEpsilon( expected.center, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); expect(actual.radius).toBeGreaterThan(expected.radius); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/PolylineCollectionSpec.js b/packages/engine/Specs/Scene/PolylineCollectionSpec.js index f237f0a4aef3..85c4b026bb8b 100644 --- a/packages/engine/Specs/Scene/PolylineCollectionSpec.js +++ b/packages/engine/Specs/Scene/PolylineCollectionSpec.js @@ -77,10 +77,10 @@ describe( expect(p.width).toEqual(2); expect(p.material.uniforms.color).toEqual(material.uniforms.color); expect(p.material.uniforms.outlineColor).toEqual( - material.uniforms.outlineColor + material.uniforms.outlineColor, ); expect(p.material.uniforms.outlineWidth).toEqual( - material.uniforms.outlineWidth + material.uniforms.outlineWidth, ); expect(p.id).toEqual("id"); }); @@ -107,10 +107,10 @@ describe( expect(p.width).toEqual(2); expect(p.material.uniforms.color).toEqual(material.uniforms.color); expect(p.material.uniforms.outlineColor).toEqual( - material.uniforms.outlineColor + material.uniforms.outlineColor, ); expect(p.material.uniforms.outlineWidth).toEqual( - material.uniforms.outlineWidth + material.uniforms.outlineWidth, ); }); @@ -1276,7 +1276,7 @@ describe( x: -1000000.0, y: -1000000.0, z: 0.0, - } + }, ); } polylines.add({ @@ -1325,7 +1325,7 @@ describe( x: -1000000.0, y: -1000000.0, z: 0.0, - } + }, ); } polylines.add({ @@ -1422,20 +1422,28 @@ describe( new HeadingPitchRange( 0.0, -CesiumMath.PI_OVER_TWO, - radius + near - 10.0 - ) + radius + near - 10.0, + ), ); expect(scene).toRender([0, 0, 0, 255]); scene.camera.lookAt( center, - new HeadingPitchRange(0.0, -CesiumMath.PI_OVER_TWO, radius + near + 1.0) + new HeadingPitchRange( + 0.0, + -CesiumMath.PI_OVER_TWO, + radius + near + 1.0, + ), ); expect(scene).notToRender([0, 0, 0, 255]); scene.camera.lookAt( center, - new HeadingPitchRange(0.0, -CesiumMath.PI_OVER_TWO, radius + far + 10.0) + new HeadingPitchRange( + 0.0, + -CesiumMath.PI_OVER_TWO, + radius + far + 10.0, + ), ); expect(scene).toRender([0, 0, 0, 255]); }); @@ -1474,20 +1482,28 @@ describe( new HeadingPitchRange( 0.0, -CesiumMath.PI_OVER_TWO, - radius + near - 10.0 - ) + radius + near - 10.0, + ), ); expect(scene).toRender([0, 0, 0, 255]); scene.camera.lookAt( center, - new HeadingPitchRange(0.0, -CesiumMath.PI_OVER_TWO, radius + near + 1.0) + new HeadingPitchRange( + 0.0, + -CesiumMath.PI_OVER_TWO, + radius + near + 1.0, + ), ); expect(scene).notToRender([0, 0, 0, 255]); scene.camera.lookAt( center, - new HeadingPitchRange(0.0, -CesiumMath.PI_OVER_TWO, radius + far + 10.0) + new HeadingPitchRange( + 0.0, + -CesiumMath.PI_OVER_TWO, + radius + far + 10.0, + ), ); expect(scene).toRender([0, 0, 0, 255]); }); @@ -1505,7 +1521,7 @@ describe( x: 0.0, y: -1000000.0, z: 0.0, - } + }, ); } const p = polylines.add({ @@ -1595,7 +1611,7 @@ describe( expect(scene).toRender([0, 0, 0, 255]); polylines.modelMatrix = Matrix4.fromUniformScale( 1000000.0, - polylines.modelMatrix + polylines.modelMatrix, ); expect(scene).notToRender([0, 0, 0, 255]); }); @@ -1757,19 +1773,19 @@ describe( const boundingVolume = scene.frameState.commandList[0].boundingVolume; expect(one._boundingVolume).toEqual( - BoundingSphere.fromPoints(one.positions) + BoundingSphere.fromPoints(one.positions), ); expect(two._boundingVolume).toEqual( - BoundingSphere.fromPoints(two.positions) + BoundingSphere.fromPoints(two.positions), ); expect(three._boundingVolume).toEqual( - BoundingSphere.fromPoints(three.positions) + BoundingSphere.fromPoints(three.positions), ); expect(boundingVolume).toEqual( BoundingSphere.union( BoundingSphere.union(one._boundingVolume, two._boundingVolume), - three._boundingVolume - ) + three._boundingVolume, + ), ); }); @@ -1793,49 +1809,49 @@ describe( let i; for (i = 0; i < positions.length; ++i) { projectedPositions.push( - projection.project(ellipsoid.cartesianToCartographic(positions[i])) + projection.project(ellipsoid.cartesianToCartographic(positions[i])), ); } let bs = BoundingSphere.fromPoints(projectedPositions); bs.center = new Cartesian3(bs.center.z, bs.center.x, bs.center.y); expect(one._boundingVolume2D.center).toEqualEpsilon( bs.center, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); expect(one._boundingVolume2D.radius).toEqualEpsilon( bs.radius, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); positions = two.positions; projectedPositions = []; for (i = 0; i < positions.length; ++i) { projectedPositions.push( - projection.project(ellipsoid.cartesianToCartographic(positions[i])) + projection.project(ellipsoid.cartesianToCartographic(positions[i])), ); } bs = BoundingSphere.fromPoints(projectedPositions); bs.center = new Cartesian3(bs.center.z, bs.center.x, bs.center.y); expect(two._boundingVolume2D.center).toEqualEpsilon( bs.center, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); expect(two._boundingVolume2D.radius).toEqualEpsilon( bs.radius, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); const expected = BoundingSphere.union( one._boundingVolume2D, - two._boundingVolume2D + two._boundingVolume2D, ); expect(boundingVolume.center).toEqualEpsilon( expected.center, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); expect(boundingVolume.radius).toEqualEpsilon( expected.radius, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); } @@ -1886,10 +1902,10 @@ describe( scene.render(); expect(scene.frameState.commandList[0].boundingVolume).toEqual( - one._boundingVolume + one._boundingVolume, ); expect(scene.frameState.commandList[1].boundingVolume).toEqual( - two._boundingVolume + two._boundingVolume, ); }); @@ -1899,5 +1915,5 @@ describe( expect(polylines.isDestroyed()).toEqual(true); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/PolylineColorAppearanceSpec.js b/packages/engine/Specs/Scene/PolylineColorAppearanceSpec.js index 97fcc3ddb371..234a14376505 100644 --- a/packages/engine/Specs/Scene/PolylineColorAppearanceSpec.js +++ b/packages/engine/Specs/Scene/PolylineColorAppearanceSpec.js @@ -39,7 +39,7 @@ describe( expect(a.vertexShaderSource).toBeDefined(); expect(a.fragmentShaderSource).toBeDefined(); expect(a.renderState).toEqual( - Appearance.getDefaultRenderState(true, false) + Appearance.getDefaultRenderState(true, false), ); expect(a.vertexFormat).toEqual(PolylineColorAppearance.VERTEX_FORMAT); expect(a.translucent).toEqual(true); @@ -60,7 +60,7 @@ describe( }), attributes: { color: ColorGeometryInstanceAttribute.fromColor( - new Color(1.0, 1.0, 0.0, 1.0) + new Color(1.0, 1.0, 0.0, 1.0), ), }, }), @@ -76,5 +76,5 @@ describe( expect(scene).notToRender([0, 0, 0, 255]); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/PolylineMaterialAppearanceSpec.js b/packages/engine/Specs/Scene/PolylineMaterialAppearanceSpec.js index 7ffae11984dc..93200f8cd4cf 100644 --- a/packages/engine/Specs/Scene/PolylineMaterialAppearanceSpec.js +++ b/packages/engine/Specs/Scene/PolylineMaterialAppearanceSpec.js @@ -39,7 +39,7 @@ describe( expect(a.vertexShaderSource).toBeDefined(); expect(a.fragmentShaderSource).toBeDefined(); expect(a.renderState).toEqual( - Appearance.getDefaultRenderState(true, false) + Appearance.getDefaultRenderState(true, false), ); expect(a.vertexFormat).toEqual(PolylineMaterialAppearance.VERTEX_FORMAT); expect(a.translucent).toEqual(true); @@ -72,5 +72,5 @@ describe( expect(scene).notToRender([0, 0, 0, 255]); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/PostProcessStageCollectionSpec.js b/packages/engine/Specs/Scene/PostProcessStageCollectionSpec.js index 20f2260bb231..501181fccadd 100644 --- a/packages/engine/Specs/Scene/PostProcessStageCollectionSpec.js +++ b/packages/engine/Specs/Scene/PostProcessStageCollectionSpec.js @@ -47,7 +47,7 @@ describe( new PostProcessStage({ fragmentShader: "void main() { out_FragColor = vec4(1.0, 1.0, 0.0, 1.0); }", - }) + }), ); expect(scene.postProcessStages.length).toEqual(1); @@ -63,7 +63,7 @@ describe( " vec4 color = texture(colorTexture, v_textureCoordinates);\n" + " out_FragColor = vec4(color.r, 0.0, 1.0, 1.0);\n" + "}", - }) + }), ); expect(scene.postProcessStages.length).toEqual(2); @@ -87,7 +87,7 @@ describe( new PostProcessStage({ fragmentShader: "void main() { out_FragColor = vec4(1.0, 1.0, 0.0, 1.0); }", - }) + }), ); scene.renderForSpecs(); expect(scene).toRender([255, 255, 0, 255]); @@ -104,7 +104,7 @@ describe( new PostProcessStage({ fragmentShader: "void main() { out_FragColor = vec4(1.0, 1.0, 0.0, 1.0); }", - }) + }), ); const stage2 = scene.postProcessStages.add( new PostProcessStage({ @@ -115,7 +115,7 @@ describe( " vec4 color = texture(colorTexture, v_textureCoordinates);\n" + " out_FragColor = vec4(color.r, 0.0, 1.0, 1.0);\n" + "}", - }) + }), ); expect(scene.postProcessStages.length).toEqual(2); @@ -147,7 +147,7 @@ describe( new PostProcessStage({ fragmentShader: "void main() { out_FragColor = vec4(1.0, 1.0, 0.0, 1.0); }", - }) + }), ); const stage2 = scene.postProcessStages.add( new PostProcessStage({ @@ -158,7 +158,7 @@ describe( " vec4 color = texture(colorTexture, v_textureCoordinates);\n" + " out_FragColor = vec4(color.r, 0.0, 1.0, 1.0);\n" + "}", - }) + }), ); expect(scene.postProcessStages.length).toEqual(2); @@ -177,7 +177,7 @@ describe( new PostProcessStage({ fragmentShader: "void main() { out_FragColor = vec4(1.0, 1.0, 0.0, 1.0); }", - }) + }), ); expect(function () { return scene.postProcessStages.get(-1); @@ -194,7 +194,7 @@ describe( new PostProcessStage({ fragmentShader: "void main() { out_FragColor = vec4(1.0, 1.0, 0.0, 1.0); }", - }) + }), ); const stage2 = scene.postProcessStages.add( new PostProcessStage({ @@ -205,7 +205,7 @@ describe( " vec4 color = texture(colorTexture, v_textureCoordinates);\n" + " out_FragColor = vec4(color.r, 0.0, 1.0, 1.0);\n" + "}", - }) + }), ); expect(scene.postProcessStages.length).toEqual(2); @@ -230,7 +230,7 @@ describe( new PostProcessStage({ fragmentShader: "void main() { out_FragColor = vec4(1.0, 1.0, 0.0, 1.0); }", - }) + }), ); const stage2 = scene.postProcessStages.add( new PostProcessStage({ @@ -241,17 +241,17 @@ describe( " vec4 color = texture(colorTexture, v_textureCoordinates);\n" + " out_FragColor = vec4(color.r, 0.0, 1.0, 1.0);\n" + "}", - }) + }), ); expect(scene.postProcessStages.getStageByName(stage1.name)).toEqual( - stage1 + stage1, ); expect(scene.postProcessStages.getStageByName(stage2.name)).toEqual( - stage2 + stage2, ); expect( - scene.postProcessStages.getStageByName("invalid") + scene.postProcessStages.getStageByName("invalid"), ).not.toBeDefined(); }); @@ -260,7 +260,7 @@ describe( new PostProcessStage({ fragmentShader: "void main() { out_FragColor = vec4(1.0, 1.0, 0.0, 1.0); }", - }) + }), ); const stage2 = scene.postProcessStages.add( new PostProcessStage({ @@ -271,32 +271,32 @@ describe( " vec4 color = texture(colorTexture, v_textureCoordinates);\n" + " out_FragColor = vec4(color.r, 0.0, 1.0, 1.0);\n" + "}", - }) + }), ); scene.postProcessStages.fxaa.enabled = true; scene.renderForSpecs(); expect( - scene.postProcessStages.getOutputTexture(stage1.name) + scene.postProcessStages.getOutputTexture(stage1.name), ).toBeDefined(); expect( - scene.postProcessStages.getOutputTexture(stage2.name) + scene.postProcessStages.getOutputTexture(stage2.name), ).toBeDefined(); expect( scene.postProcessStages.getOutputTexture( - scene.postProcessStages.fxaa.name - ) + scene.postProcessStages.fxaa.name, + ), ).toBeDefined(); expect( scene.postProcessStages.getOutputTexture( - scene.postProcessStages.fxaa.name - ) + scene.postProcessStages.fxaa.name, + ), ).toEqual(scene.postProcessStages.getOutputTexture(stage1.name)); scene.postProcessStages.remove(stage1); expect( - scene.postProcessStages.getOutputTexture(stage1.name) + scene.postProcessStages.getOutputTexture(stage1.name), ).not.toBeDefined(); }); @@ -305,7 +305,7 @@ describe( new PostProcessStage({ fragmentShader: "void main() { out_FragColor = vec4(1.0, 0.0, 1.0, 1.0); }", - }) + }), ); scene.renderForSpecs(); expect(scene).toRender([255, 0, 255, 255]); @@ -321,7 +321,7 @@ describe( new PostProcessStage({ fragmentShader: "void main() { out_FragColor = vec4(1.0, 0.0, 1.0, 1.0); }", - }) + }), ); stage.enabled = false; @@ -339,13 +339,13 @@ describe( new PostProcessStage({ fragmentShader: "void main() { out_FragColor = vec4(1.0, 0.0, 1.0, 1.0); }", - }) + }), ); const stage = scene.postProcessStages.add( new PostProcessStage({ fragmentShader: "void main() { out_FragColor = vec4(0.0, 1.0, 1.0, 1.0); }", - }) + }), ); scene.renderForSpecs(); @@ -362,13 +362,13 @@ describe( new PostProcessStage({ fragmentShader: "void main() { out_FragColor = vec4(1.0, 0.0, 1.0, 1.0); }", - }) + }), ); const stage = scene.postProcessStages.add( new PostProcessStage({ fragmentShader: "void main() { out_FragColor = vec4(0.0, 1.0, 1.0, 1.0); }", - }) + }), ); stage.enabled = false; @@ -402,7 +402,7 @@ describe( scene.postProcessStages.tonemapper = tonemapper; const inputColorRgb = inputFragColor.map((n) => - Math.floor(Math.min(Math.max(n * 255, 0), 255)) + Math.floor(Math.min(Math.max(n * 255, 0), 255)), ); const fs = "void main() { \n" + @@ -488,28 +488,28 @@ describe( validateTonemapper( Tonemapper.MODIFIED_REINHARD, [0.5, 0.5, 0.5, 1.0], - [186, 186, 186, 255] + [186, 186, 186, 255], ); }); it("red", () => { validateTonemapper( Tonemapper.MODIFIED_REINHARD, [0.5, 0.0, 0.0, 1.0], - [186, 0, 0, 255] + [186, 0, 0, 255], ); }); it("green", () => { validateTonemapper( Tonemapper.MODIFIED_REINHARD, [0.0, 0.5, 0.0, 1.0], - [0, 186, 0, 255] + [0, 186, 0, 255], ); }); it("blue", () => { validateTonemapper( Tonemapper.MODIFIED_REINHARD, [0.0, 0.0, 0.5, 1.0], - [0, 0, 186, 255] + [0, 0, 186, 255], ); }); }); @@ -552,39 +552,35 @@ describe( describe("PBR Neutral", () => { it("white", () => { - validateTonemapper(Tonemapper.PBR_NEUTRAL, white, [ - 253, - 253, - 253, - 255, - ]); + validateTonemapper( + Tonemapper.PBR_NEUTRAL, + white, + [253, 253, 253, 255], + ); }); it("grey", () => { - validateTonemapper(Tonemapper.PBR_NEUTRAL, grey, [ - 179, - 179, - 179, - 255, - ]); + validateTonemapper( + Tonemapper.PBR_NEUTRAL, + grey, + [179, 179, 179, 255], + ); }); it("red", () => { validateTonemapper(Tonemapper.PBR_NEUTRAL, red, [253, 149, 149, 255]); }); it("green", () => { - validateTonemapper(Tonemapper.PBR_NEUTRAL, green, [ - 149, - 253, - 149, - 255, - ]); + validateTonemapper( + Tonemapper.PBR_NEUTRAL, + green, + [149, 253, 149, 255], + ); }); it("blue", () => { - validateTonemapper(Tonemapper.PBR_NEUTRAL, blue, [ - 149, - 149, - 253, - 255, - ]); + validateTonemapper( + Tonemapper.PBR_NEUTRAL, + blue, + [149, 149, 253, 255], + ); }); }); }); @@ -594,7 +590,7 @@ describe( const stage = stages.add( new PostProcessStage({ fragmentShader: "void main() { out_FragColor = vec4(1.0); }", - }) + }), ); expect(stages.isDestroyed()).toEqual(false); stages.destroy(); @@ -602,5 +598,5 @@ describe( expect(stage.isDestroyed()).toEqual(true); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/PostProcessStageCompositeSpec.js b/packages/engine/Specs/Scene/PostProcessStageCompositeSpec.js index 442373b1e412..f5df1b810c52 100644 --- a/packages/engine/Specs/Scene/PostProcessStageCompositeSpec.js +++ b/packages/engine/Specs/Scene/PostProcessStageCompositeSpec.js @@ -56,7 +56,7 @@ describe( expect(composite.enabled).toEqual(true); expect(composite.uniforms).toEqual(uniforms); expect(composite.inputPreviousStageTexture).toEqual( - inputPreviousStageTexture + inputPreviousStageTexture, ); expect(composite.length).toEqual(1); }); @@ -195,7 +195,7 @@ describe( fragmentShader: `void main() { out_FragColor = vec4(vec3(${ bgColor / 255 }), 1.0); }`, - }) + }), ); //Stage we expect to not run @@ -207,7 +207,7 @@ describe( "uniform sampler2D depthTexture; void main() { out_FragColor = vec4(1.0); }", }), ], - }) + }), ); return pollToPromise(function () { s.renderForSpecs(); @@ -244,5 +244,5 @@ describe( expect(stage2.isDestroyed()).toEqual(true); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/PostProcessStageLibrarySpec.js b/packages/engine/Specs/Scene/PostProcessStageLibrarySpec.js index 5031f55d01b0..3350a5a2eb54 100644 --- a/packages/engine/Specs/Scene/PostProcessStageLibrarySpec.js +++ b/packages/engine/Specs/Scene/PostProcessStageLibrarySpec.js @@ -40,7 +40,8 @@ describe( scene.postProcessStages.fxaa.enabled = false; scene.postProcessStages.bloom.enabled = false; - scene.postProcessStages.bloom.uniforms.brightness = originalBloomBrightness; + scene.postProcessStages.bloom.uniforms.brightness = + originalBloomBrightness; scene.postProcessStages.ambientOcclusion.enabled = false; scene.renderForSpecs(); }); @@ -65,7 +66,7 @@ describe( }); scene.postProcessStages.add( - PostProcessStageLibrary.createBlackAndWhiteStage() + PostProcessStageLibrary.createBlackAndWhiteStage(), ); scene.renderForSpecs(); expect(scene).toRenderAndCall(function (rgba) { @@ -91,10 +92,10 @@ describe( { url: boxTexturedUrl, }, - scene + scene, ).then(function (model) { const stage = scene.postProcessStages.add( - PostProcessStageLibrary.createBlackAndWhiteStage() + PostProcessStageLibrary.createBlackAndWhiteStage(), ); stage.selected = []; @@ -145,7 +146,7 @@ describe( }); scene.postProcessStages.add( - PostProcessStageLibrary.createBrightnessStage() + PostProcessStageLibrary.createBrightnessStage(), ); scene.renderForSpecs(); expect(scene).toRenderAndCall(function (rgba) { @@ -186,7 +187,7 @@ describe( }); scene.postProcessStages.add( - PostProcessStageLibrary.createNightVisionStage() + PostProcessStageLibrary.createNightVisionStage(), ); scene.renderForSpecs(); expect(scene).toRenderAndCall(function (rgba) { @@ -226,7 +227,7 @@ describe( }); scene.postProcessStages.add( - PostProcessStageLibrary.createDepthViewStage() + PostProcessStageLibrary.createDepthViewStage(), ); scene.renderForSpecs(); expect(scene).toRenderAndCall(function (rgba) { @@ -302,7 +303,7 @@ describe( const origin = Cartesian3.fromDegrees(-123.0744619, 44.0503706, 100.0); const modelMatrix = Transforms.headingPitchRollToFixedFrame( origin, - new HeadingPitchRoll() + new HeadingPitchRoll(), ); return loadAndZoomToModelAsync( @@ -312,7 +313,7 @@ describe( incrementallyLoadTextures: false, modelMatrix: modelMatrix, }, - scene + scene, ).then(function () { // The range is chosen carefully here. If it's too small and log depth // is off, the model may clip out of view. If it is too large, the @@ -334,7 +335,7 @@ describe( // Render with depth of field and compare scene.postProcessStages.add( - PostProcessStageLibrary.createDepthOfFieldStage() + PostProcessStageLibrary.createDepthOfFieldStage(), ); scene.renderForSpecs(); expect(scene).toRenderAndCall(function (rgba) { @@ -423,7 +424,7 @@ describe( const origin = Cartesian3.fromDegrees(-123.0744619, 44.0503706, 100.0); const modelMatrix = Transforms.headingPitchRollToFixedFrame( origin, - new HeadingPitchRoll() + new HeadingPitchRoll(), ); return loadAndZoomToModelAsync( @@ -433,7 +434,7 @@ describe( incrementallyLoadTextures: false, modelMatrix: modelMatrix, }, - scene + scene, ).then(function () { // The range is chosen carefully here. If it's too small and log depth // is off, the model may clip out of view. If it is too large, the @@ -495,5 +496,5 @@ describe( expect(bloom.uniforms.stepSize).toEqual(2.0); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/PostProcessStageSpec.js b/packages/engine/Specs/Scene/PostProcessStageSpec.js index f88864496f65..9d18a7d0e214 100644 --- a/packages/engine/Specs/Scene/PostProcessStageSpec.js +++ b/packages/engine/Specs/Scene/PostProcessStageSpec.js @@ -127,7 +127,7 @@ describe( new PostProcessStage({ fragmentShader: "void main() { out_FragColor = vec4(1.0, 1.0, 0.0, 1.0); }", - }) + }), ); scene.renderForSpecs(); // render one frame so the stage is ready expect(scene).toRender([255, 255, 0, 255]); @@ -142,7 +142,7 @@ describe( uniforms: { inputTexture: "./Data/Images/Green2x2.png", }, - }) + }), ); return pollToPromise(function () { scene.renderForSpecs(); @@ -178,7 +178,7 @@ describe( uniforms: { inputTexture: image, }, - }) + }), ); return pollToPromise(function () { scene.renderForSpecs(); @@ -214,14 +214,14 @@ describe( fragmentShader: `void main() { out_FragColor = vec4(vec3(${ bgColor / 255 }), 1.0); }`, - }) + }), ); const stage = s.postProcessStages.add( new PostProcessStage({ fragmentShader: "uniform sampler2D depthTexture; void main() { out_FragColor = vec4(1.0); }", - }) + }), ); return pollToPromise(function () { s.renderForSpecs(); @@ -249,7 +249,7 @@ describe( offset: offset, incrementallyLoadTextures: false, }, - scene + scene, ).then(function (model) { const fs = "uniform sampler2D colorTexture; \n" + @@ -264,7 +264,7 @@ describe( const stage = scene.postProcessStages.add( new PostProcessStage({ fragmentShader: fs, - }) + }), ); stage.selected = []; return pollToPromise(function () { @@ -289,5 +289,5 @@ describe( expect(stage.isDestroyed()).toEqual(true); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/PrimitiveCollectionSpec.js b/packages/engine/Specs/Scene/PrimitiveCollectionSpec.js index 014af1452113..2a3394e1274f 100644 --- a/packages/engine/Specs/Scene/PrimitiveCollectionSpec.js +++ b/packages/engine/Specs/Scene/PrimitiveCollectionSpec.js @@ -49,9 +49,8 @@ describe( // render until all labels have been updated return pollToPromise(function () { scene.renderForSpecs(); - const backgroundBillboard = labels._backgroundBillboardCollection.get( - 0 - ); + const backgroundBillboard = + labels._backgroundBillboardCollection.get(0); return ( (!defined(backgroundBillboard) || backgroundBillboard.ready) && labels._labelsToUpdate.length === 0 @@ -695,5 +694,5 @@ describe( p2.destroy(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/PrimitiveCullingSpec.js b/packages/engine/Specs/Scene/PrimitiveCullingSpec.js index e9f311e4b55e..de04c8934e0c 100644 --- a/packages/engine/Specs/Scene/PrimitiveCullingSpec.js +++ b/packages/engine/Specs/Scene/PrimitiveCullingSpec.js @@ -38,11 +38,11 @@ describe( scene = createScene(); scene.primitives.destroyPrimitives = false; - return Resource.fetchImage("./Data/Images/Green.png").then(function ( - image - ) { - greenImage = image; - }); + return Resource.fetchImage("./Data/Images/Green.png").then( + function (image) { + greenImage = image; + }, + ); }); afterAll(function () { @@ -158,9 +158,8 @@ describe( // render until all labels have been updated return pollToPromise(function () { scene.renderForSpecs(); - const backgroundBillboard = labels._backgroundBillboardCollection.get( - 0 - ); + const backgroundBillboard = + labels._backgroundBillboardCollection.get(0); return ( (!defined(backgroundBillboard) || backgroundBillboard.ready) && labels._labelsToUpdate.length === 0 @@ -337,5 +336,5 @@ describe( testOcclusionCull(primitive); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/PrimitivePipelineSpec.js b/packages/engine/Specs/Scene/PrimitivePipelineSpec.js index 63465acd4b42..16b4423b747c 100644 --- a/packages/engine/Specs/Scene/PrimitivePipelineSpec.js +++ b/packages/engine/Specs/Scene/PrimitivePipelineSpec.js @@ -17,24 +17,23 @@ describe( const boxGeometry = BoxGeometry.createGeometry( BoxGeometry.fromDimensions({ dimensions: new Cartesian3(1, 2, 3), - }) + }), ); const boxGeometry2 = BoxGeometry.createGeometry( BoxGeometry.fromDimensions({ dimensions: new Cartesian3(3, 4, 7), - }) + }), ); const geometryToPack = [boxGeometry, boxGeometry2]; const transferableObjects = []; const results = PrimitivePipeline.packCreateGeometryResults( geometryToPack, - transferableObjects - ); - const unpackedGeometry = PrimitivePipeline.unpackCreateGeometryResults( - results + transferableObjects, ); + const unpackedGeometry = + PrimitivePipeline.unpackCreateGeometryResults(results); expect(transferableObjects.length).toBe(1); expect(geometryToPack).toEqual(unpackedGeometry); @@ -59,15 +58,14 @@ describe( const transferableObjects = []; const results = PrimitivePipeline.packCreateGeometryResults( geometryToPack, - transferableObjects - ); - const unpackedGeometry = PrimitivePipeline.unpackCreateGeometryResults( - results + transferableObjects, ); + const unpackedGeometry = + PrimitivePipeline.unpackCreateGeometryResults(results); expect(transferableObjects.length).toBe(1); expect(geometryToPack).toEqual(unpackedGeometry); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/PrimitiveSpec.js b/packages/engine/Specs/Scene/PrimitiveSpec.js index c218d4443153..010108e6905d 100644 --- a/packages/engine/Specs/Scene/PrimitiveSpec.js +++ b/packages/engine/Specs/Scene/PrimitiveSpec.js @@ -89,10 +89,10 @@ describe( let translation = Cartesian3.multiplyByScalar( Cartesian3.normalize( ellipsoid.cartographicToCartesian(Rectangle.center(rectangle1)), - new Cartesian3() + new Cartesian3(), ), 2.0, - new Cartesian3() + new Cartesian3(), ); rectangleInstance1 = new GeometryInstance({ geometry: new RectangleGeometry({ @@ -111,10 +111,10 @@ describe( translation = Cartesian3.multiplyByScalar( Cartesian3.normalize( ellipsoid.cartographicToCartesian(Rectangle.center(rectangle2)), - new Cartesian3() + new Cartesian3(), ), 3.0, - new Cartesian3() + new Cartesian3(), ); rectangleInstance2 = new GeometryInstance({ geometry: new RectangleGeometry({ @@ -360,14 +360,14 @@ describe( const boxModelMatrix = Matrix4.multiplyByTranslation( Transforms.eastNorthUpToFixedFrame(positionOnEllipsoid), new Cartesian3(0.0, 0.0, dimensions.z * 0.5), - new Matrix4() + new Matrix4(), ); const boxGeometry = BoxGeometry.createGeometry( BoxGeometry.fromDimensions({ vertexFormat: PerInstanceColorAppearance.VERTEX_FORMAT, dimensions: dimensions, - }) + }), ); const positions = boxGeometry.attributes.position.values; @@ -382,7 +382,7 @@ describe( BoundingSphere.transform( boxGeometry.boundingSphere, boxModelMatrix, - boxGeometry.boundingSphere + boxGeometry.boundingSphere, ); const boxGeometryInstance = new GeometryInstance({ @@ -419,10 +419,10 @@ describe( const translation = Cartesian3.multiplyByScalar( Cartesian3.normalize( ellipsoid.cartographicToCartesian(Rectangle.center(rect)), - new Cartesian3() + new Cartesian3(), ), 100.0, - new Cartesian3() + new Cartesian3(), ); const rectInstance = new GeometryInstance({ geometry: new RectangleGeometry({ @@ -457,7 +457,7 @@ describe( 1.0, 0.0, 1.0, - 1.0 + 1.0, ), }, }); @@ -485,10 +485,10 @@ describe( const translation = Cartesian3.multiplyByScalar( Cartesian3.normalize( ellipsoid.cartographicToCartesian(Rectangle.center(rect)), - new Cartesian3() + new Cartesian3(), ), 100.0, - new Cartesian3() + new Cartesian3(), ); const rectInstance = new GeometryInstance({ geometry: new RectangleGeometry({ @@ -523,7 +523,7 @@ describe( 1.0, 0.0, 1.0, - 1.0 + 1.0, ), }, }); @@ -637,7 +637,7 @@ describe( const primitiveModelMatrix = Matrix4.multiplyByTranslation( Transforms.eastNorthUpToFixedFrame(positionOnEllipsoid), new Cartesian3(0.0, 0.0, dimensions.z * 0.5), - new Matrix4() + new Matrix4(), ); const boxGeometry = BoxGeometry.fromDimensions({ @@ -664,7 +664,7 @@ describe( const expectedModelMatrix = Matrix4.multiplyTransformation( primitiveModelMatrix, instanceModelMatrix, - new Matrix4() + new Matrix4(), ); frameState.scene3DOnly = true; @@ -757,7 +757,7 @@ describe( it("does not transform to world coordinates", function () { rectangleInstance2.modelMatrix = Matrix4.clone( - rectangleInstance1.modelMatrix + rectangleInstance1.modelMatrix, ); primitive = new Primitive({ geometryInstances: [rectangleInstance1, rectangleInstance2], @@ -865,10 +865,10 @@ describe( const translation = Cartesian3.multiplyByScalar( Cartesian3.normalize( ellipsoid.cartographicToCartesian(Rectangle.center(rect)), - new Cartesian3() + new Cartesian3(), ), 2.0, - new Cartesian3() + new Cartesian3(), ); const rectInstance = new GeometryInstance({ geometry: new RectangleGeometry({ @@ -880,10 +880,8 @@ describe( id: "rect", attributes: { color: new ColorGeometryInstanceAttribute(1.0, 1.0, 0.0, 1.0), - distanceDisplayCondition: new DistanceDisplayConditionGeometryInstanceAttribute( - near, - far - ), + distanceDisplayCondition: + new DistanceDisplayConditionGeometryInstanceAttribute(near, far), }, }); @@ -897,26 +895,30 @@ describe( scene.camera.setView({ destination: rect }); scene.renderForSpecs(); - const boundingSphere = primitive.getGeometryInstanceAttributes("rect") - .boundingSphere; + const boundingSphere = + primitive.getGeometryInstanceAttributes("rect").boundingSphere; const center = boundingSphere.center; const radius = boundingSphere.radius; scene.camera.lookAt( center, - new HeadingPitchRange(0.0, -CesiumMath.PI_OVER_TWO, radius) + new HeadingPitchRange(0.0, -CesiumMath.PI_OVER_TWO, radius), ); expect(scene).toRender([0, 0, 0, 255]); scene.camera.lookAt( center, - new HeadingPitchRange(0.0, -CesiumMath.PI_OVER_TWO, radius + near + 1.0) + new HeadingPitchRange( + 0.0, + -CesiumMath.PI_OVER_TWO, + radius + near + 1.0, + ), ); expect(scene).notToRender([0, 0, 0, 255]); scene.camera.lookAt( center, - new HeadingPitchRange(0.0, -CesiumMath.PI_OVER_TWO, radius + far + 1.0) + new HeadingPitchRange(0.0, -CesiumMath.PI_OVER_TWO, radius + far + 1.0), ); expect(scene).toRender([0, 0, 0, 255]); }); @@ -937,10 +939,8 @@ describe( attributes: { color: new ColorGeometryInstanceAttribute(1.0, 1.0, 0.0, 1.0), show: new ShowGeometryInstanceAttribute(true), - distanceDisplayCondition: new DistanceDisplayConditionGeometryInstanceAttribute( - near, - far - ), + distanceDisplayCondition: + new DistanceDisplayConditionGeometryInstanceAttribute(near, far), }, }); @@ -955,8 +955,8 @@ describe( scene.frameState.scene3DOnly = true; scene.renderForSpecs(); - const boundingSphere = primitive.getGeometryInstanceAttributes("cylinder") - .boundingSphere; + const boundingSphere = + primitive.getGeometryInstanceAttributes("cylinder").boundingSphere; const center = boundingSphere.center; expect(center).toEqual(translation); }); @@ -989,8 +989,8 @@ describe( scene.frameState.scene3DOnly = true; scene.renderForSpecs(); - const boundingSphere = primitive.getGeometryInstanceAttributes("cylinder") - .boundingSphere; + const boundingSphere = + primitive.getGeometryInstanceAttributes("cylinder").boundingSphere; const center = boundingSphere.center; expect(center).toEqual(translation); }); @@ -1197,7 +1197,7 @@ describe( color: new ColorGeometryInstanceAttribute(1.0, 0.0, 1.0, 1.0), }, id: "invalid", - }) + }), ); instances.push(rectangleInstance2); @@ -1216,13 +1216,13 @@ describe( return primitive.ready; }).then(function () { expect( - primitive.getGeometryInstanceAttributes("rectangle1").boundingSphere + primitive.getGeometryInstanceAttributes("rectangle1").boundingSphere, ).toBeDefined(); expect( - primitive.getGeometryInstanceAttributes("rectangle2").boundingSphere + primitive.getGeometryInstanceAttributes("rectangle2").boundingSphere, ).toBeDefined(); expect( - primitive.getGeometryInstanceAttributes("invalid").boundingSphere + primitive.getGeometryInstanceAttributes("invalid").boundingSphere, ).not.toBeDefined(); }); }); @@ -1279,9 +1279,8 @@ describe( scene.render(); return primitive.ready; }).then(function () { - const attributes = primitive.getGeometryInstanceAttributes( - "rectangle1" - ); + const attributes = + primitive.getGeometryInstanceAttributes("rectangle1"); expect(function () { attributes.color = undefined; }).toThrowDeveloperError(); @@ -1326,7 +1325,7 @@ describe( scene.renderForSpecs(); expect( - primitive.getGeometryInstanceAttributes("unknown") + primitive.getGeometryInstanceAttributes("unknown"), ).not.toBeDefined(); }); @@ -1369,5 +1368,5 @@ describe( expect(primitive.isDestroyed()).toEqual(true); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/PropertyAttributeSpec.js b/packages/engine/Specs/Scene/PropertyAttributeSpec.js index 997316c6d720..d397f452d9b6 100644 --- a/packages/engine/Specs/Scene/PropertyAttributeSpec.js +++ b/packages/engine/Specs/Scene/PropertyAttributeSpec.js @@ -87,10 +87,10 @@ describe("Scene/PropertyAttribute", function () { it("getProperty returns property attribute property", function () { expect(propertyAttribute.getProperty("color").attribute).toBe("_COLOR"); expect(propertyAttribute.getProperty("intensity").attribute).toBe( - "_INTENSITY" + "_INTENSITY", ); expect(propertyAttribute.getProperty("pointSize").attribute).toBe( - "_POINT_SIZE" + "_POINT_SIZE", ); }); diff --git a/packages/engine/Specs/Scene/PropertyTableSpec.js b/packages/engine/Specs/Scene/PropertyTableSpec.js index eeeb6d360612..dbad6bc989a9 100644 --- a/packages/engine/Specs/Scene/PropertyTableSpec.js +++ b/packages/engine/Specs/Scene/PropertyTableSpec.js @@ -202,7 +202,7 @@ describe("Scene/PropertyTable", function () { it("getPropertyBySemantic returns the property value", function () { const propertyTable = createPropertyTable(); expect(propertyTable.getPropertyBySemantic(0, "NAME")).toEqual( - "Building A" + "Building A", ); }); @@ -216,10 +216,10 @@ describe("Scene/PropertyTable", function () { it("setPropertyBySemantic sets property value", function () { const propertyTable = createPropertyTable(); expect(propertyTable.getPropertyBySemantic(0, "NAME")).toEqual( - "Building A" + "Building A", ); expect(propertyTable.setPropertyBySemantic(0, "NAME", "Building New")).toBe( - true + true, ); }); @@ -240,7 +240,7 @@ describe("Scene/PropertyTable", function () { const expectedTypedArray = new Float32Array([10.0, 20.0, 30.0]); expect(propertyTable.getPropertyTypedArray("height")).toEqual( - expectedTypedArray + expectedTypedArray, ); }); @@ -263,7 +263,7 @@ describe("Scene/PropertyTable", function () { const expectedTypedArray = new Float32Array([10.0, 20.0, 30.0]); expect(propertyTable.getPropertyTypedArrayBySemantic("HEIGHT")).toEqual( - expectedTypedArray + expectedTypedArray, ); }); @@ -545,19 +545,19 @@ describe("Scene/PropertyTable", function () { expect(batchTable.getPropertyTypedArray("itemId")).toBeDefined(); expect(batchTable.getPropertyTypedArray("priority")).not.toBeDefined(); expect( - batchTable.getPropertyTypedArray("tireLocation") + batchTable.getPropertyTypedArray("tireLocation"), ).not.toBeDefined(); }); it("getPropertyTypedArray returns undefined when there is no metadata table", function () { expect( - batchTableJsonOnly.getPropertyTypedArray("priority") + batchTableJsonOnly.getPropertyTypedArray("priority"), ).not.toBeDefined(); }); it("getPropertyTypedArrayBySemantic returns undefined when there is no metadata table", function () { expect( - batchTableJsonOnly.getPropertyTypedArrayBySemantic("PRIORITY") + batchTableJsonOnly.getPropertyTypedArrayBySemantic("PRIORITY"), ).not.toBeDefined(); }); diff --git a/packages/engine/Specs/Scene/PropertyTexturePropertySpec.js b/packages/engine/Specs/Scene/PropertyTexturePropertySpec.js index 3fd3c2aec10c..0bdb4bc5bea5 100644 --- a/packages/engine/Specs/Scene/PropertyTexturePropertySpec.js +++ b/packages/engine/Specs/Scene/PropertyTexturePropertySpec.js @@ -373,5 +373,5 @@ describe( } }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/PropertyTextureSpec.js b/packages/engine/Specs/Scene/PropertyTextureSpec.js index ded2465c4efe..bbcbeca060da 100644 --- a/packages/engine/Specs/Scene/PropertyTextureSpec.js +++ b/packages/engine/Specs/Scene/PropertyTextureSpec.js @@ -160,5 +160,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/QuadtreePrimitiveSpec.js b/packages/engine/Specs/Scene/QuadtreePrimitiveSpec.js index 1a2e3fc2d3b3..0e282f7be60c 100644 --- a/packages/engine/Specs/Scene/QuadtreePrimitiveSpec.js +++ b/packages/engine/Specs/Scene/QuadtreePrimitiveSpec.js @@ -73,7 +73,7 @@ describe("Scene/QuadtreePrimitive", function () { }; frameState.cullingVolume.computeVisibility.and.returnValue( - Intersect.INTERSECTING + Intersect.INTERSECTING, ); imageryLayerCollection = new ImageryLayerCollection(); @@ -93,7 +93,7 @@ describe("Scene/QuadtreePrimitive", function () { processor = new TerrainTileProcessor( frameState, mockTerrain, - imageryLayerCollection + imageryLayerCollection, ); quadtree.render(frameState); @@ -152,7 +152,7 @@ describe("Scene/QuadtreePrimitive", function () { expect( quadtree._tilesToRender.filter(function (tile) { return tile.level === 0; - }).length + }).length, ).toBe(quadtree._tilesToRender.length); }); }); @@ -181,7 +181,7 @@ describe("Scene/QuadtreePrimitive", function () { expect( quadtree._tilesToRender.filter(function (tile) { return tile.level === 0; - }).length + }).length, ).toBe(quadtree._tilesToRender.length); // Allow the child tiles to load. @@ -192,16 +192,16 @@ describe("Scene/QuadtreePrimitive", function () { // Now child tiles should be rendered too. expect(quadtree._tilesToRender).toContain( - rootTiles[0].southwestChild + rootTiles[0].southwestChild, ); expect(quadtree._tilesToRender).toContain( - rootTiles[0].southeastChild + rootTiles[0].southeastChild, ); expect(quadtree._tilesToRender).toContain( - rootTiles[0].northwestChild + rootTiles[0].northwestChild, ); expect(quadtree._tilesToRender).toContain( - rootTiles[0].northeastChild + rootTiles[0].northeastChild, ); }); }); @@ -240,7 +240,7 @@ describe("Scene/QuadtreePrimitive", function () { quadtree, frameState, Rectangle.center(lookAtTile.rectangle), - lookAtTile.level + lookAtTile.level, ); spyOn(mockTerrain, "requestTileGeometry").and.callThrough(); @@ -306,7 +306,7 @@ describe("Scene/QuadtreePrimitive", function () { quadtree, frameState, Rectangle.center(lookAtTile.rectangle), - lookAtTile.level + lookAtTile.level, ); spyOn(mockTerrain, "requestTileGeometry").and.callThrough(); @@ -335,7 +335,7 @@ describe("Scene/QuadtreePrimitive", function () { call[1] === parent.y && call[2] === parent.level ); - })[0] + })[0], ); const lookAtArgsIndex = allArgs.indexOf( allArgs.filter(function (call) { @@ -344,7 +344,7 @@ describe("Scene/QuadtreePrimitive", function () { call[1] === lookAtTile.y && call[2] === lookAtTile.level ); - })[0] + })[0], ); expect(parentArgsIndex).toBeLessThan(lookAtArgsIndex); }); @@ -385,7 +385,7 @@ describe("Scene/QuadtreePrimitive", function () { quadtree, frameState, Rectangle.center(lookAtTile.rectangle), - lookAtTile.level + lookAtTile.level, ); spyOn(mockTerrain, "requestTileGeometry").and.callThrough(); @@ -453,7 +453,7 @@ describe("Scene/QuadtreePrimitive", function () { quadtree, frameState, Rectangle.center(lookAtTile.rectangle), - lookAtTile.level + lookAtTile.level, ); spyOn(mockTerrain, "requestTileGeometry").and.callThrough(); @@ -473,7 +473,7 @@ describe("Scene/QuadtreePrimitive", function () { quadtree, frameState, Rectangle.center(lookAtTile.rectangle), - lookAtTile.parent.level + lookAtTile.parent.level, ); // Select new tiles @@ -530,32 +530,33 @@ describe("Scene/QuadtreePrimitive", function () { const visibleTile = rootTiles[0].southwestChild.northeastChild; const notVisibleTile = rootTiles[0].southwestChild.northwestChild; - frameState.cullingVolume.computeVisibility.and.callFake(function ( - boundingVolume - ) { - if (!defined(visibleTile.data)) { - return Intersect.INTERSECTING; - } + frameState.cullingVolume.computeVisibility.and.callFake( + function (boundingVolume) { + if (!defined(visibleTile.data)) { + return Intersect.INTERSECTING; + } - if ( - boundingVolume === visibleTile.data.tileBoundingRegion.boundingVolume - ) { + if ( + boundingVolume === + visibleTile.data.tileBoundingRegion.boundingVolume + ) { + return Intersect.INTERSECTING; + } else if ( + boundingVolume === + notVisibleTile.data.tileBoundingRegion.boundingVolume + ) { + return Intersect.OUTSIDE; + } return Intersect.INTERSECTING; - } else if ( - boundingVolume === - notVisibleTile.data.tileBoundingRegion.boundingVolume - ) { - return Intersect.OUTSIDE; - } - return Intersect.INTERSECTING; - }); + }, + ); // Look down at the center of the visible tile. setCameraPosition( quadtree, frameState, Rectangle.center(visibleTile.rectangle), - visibleTile.level + visibleTile.level, ); spyOn(mockTerrain, "requestTileGeometry").and.callThrough(); @@ -571,7 +572,7 @@ describe("Scene/QuadtreePrimitive", function () { // Now treat the not-visible-tile as visible. frameState.cullingVolume.computeVisibility.and.returnValue( - Intersect.INTERSECTING + Intersect.INTERSECTING, ); // Select new tiles @@ -866,7 +867,7 @@ describe("Scene/QuadtreePrimitive", function () { const removeFunc = quadtree.updateHeight( Cartographic.fromDegrees(-72.0, 40.0), - function (position) {} + function (position) {}, ); // determine what tiles to load @@ -939,11 +940,12 @@ describe("Scene/QuadtreePrimitive", function () { tileProvider: tileProvider, }); - quadtree.updateHeight(Cartographic.fromDegrees(-72.0, 40.0), function ( - p - ) { - Cartesian3.clone(p, position); - }); + quadtree.updateHeight( + Cartographic.fromDegrees(-72.0, 40.0), + function (p) { + Cartesian3.clone(p, position); + }, + ); // determine what tiles to load quadtree.update(scene.frameState); @@ -986,10 +988,10 @@ describe("Scene/QuadtreePrimitive", function () { // The root tiles should be in the high priority load queue expect(quadtree._tileLoadQueueHigh.length).toBe(2); expect(quadtree._tileLoadQueueHigh).toContain( - quadtree._levelZeroTiles[0] + quadtree._levelZeroTiles[0], ); expect(quadtree._tileLoadQueueHigh).toContain( - quadtree._levelZeroTiles[1] + quadtree._levelZeroTiles[1], ); expect(quadtree._tileLoadQueueMedium.length).toBe(0); expect(quadtree._tileLoadQueueLow.length).toBe(0); @@ -1005,24 +1007,24 @@ describe("Scene/QuadtreePrimitive", function () { // That root tile should now load with low priority while its children should load with high. expect(quadtree._tileLoadQueueHigh.length).toBe(5); expect(quadtree._tileLoadQueueHigh).toContain( - quadtree._levelZeroTiles[1] + quadtree._levelZeroTiles[1], ); expect(quadtree._tileLoadQueueHigh).toContain( - quadtree._levelZeroTiles[0].children[0] + quadtree._levelZeroTiles[0].children[0], ); expect(quadtree._tileLoadQueueHigh).toContain( - quadtree._levelZeroTiles[0].children[1] + quadtree._levelZeroTiles[0].children[1], ); expect(quadtree._tileLoadQueueHigh).toContain( - quadtree._levelZeroTiles[0].children[2] + quadtree._levelZeroTiles[0].children[2], ); expect(quadtree._tileLoadQueueHigh).toContain( - quadtree._levelZeroTiles[0].children[3] + quadtree._levelZeroTiles[0].children[3], ); expect(quadtree._tileLoadQueueMedium.length).toBe(0); expect(quadtree._tileLoadQueueLow.length).toBe(1); expect(quadtree._tileLoadQueueLow).toContain( - quadtree._levelZeroTiles[0] + quadtree._levelZeroTiles[0], ); // Mark the children of that root tile renderable too, so we can refine it @@ -1038,36 +1040,36 @@ describe("Scene/QuadtreePrimitive", function () { expect(quadtree._tileLoadQueueHigh.length).toBe(17); // levelZeroTiles[1] plus levelZeroTiles[0]'s 16 grandchildren expect(quadtree._tileLoadQueueHigh).toContain( - quadtree._levelZeroTiles[1] + quadtree._levelZeroTiles[1], ); expect(quadtree._tileLoadQueueHigh).toContain( - quadtree._levelZeroTiles[0].children[0].children[0] + quadtree._levelZeroTiles[0].children[0].children[0], ); expect(quadtree._tileLoadQueueHigh).toContain( - quadtree._levelZeroTiles[0].children[0].children[1] + quadtree._levelZeroTiles[0].children[0].children[1], ); expect(quadtree._tileLoadQueueHigh).toContain( - quadtree._levelZeroTiles[0].children[0].children[2] + quadtree._levelZeroTiles[0].children[0].children[2], ); expect(quadtree._tileLoadQueueHigh).toContain( - quadtree._levelZeroTiles[0].children[0].children[3] + quadtree._levelZeroTiles[0].children[0].children[3], ); expect(quadtree._tileLoadQueueMedium.length).toBe(0); expect(quadtree._tileLoadQueueLow.length).toBe(5); expect(quadtree._tileLoadQueueLow).toContain( - quadtree._levelZeroTiles[0] + quadtree._levelZeroTiles[0], ); expect(quadtree._tileLoadQueueLow).toContain( - quadtree._levelZeroTiles[0].children[0] + quadtree._levelZeroTiles[0].children[0], ); expect(quadtree._tileLoadQueueLow).toContain( - quadtree._levelZeroTiles[0].children[1] + quadtree._levelZeroTiles[0].children[1], ); expect(quadtree._tileLoadQueueLow).toContain( - quadtree._levelZeroTiles[0].children[2] + quadtree._levelZeroTiles[0].children[2], ); expect(quadtree._tileLoadQueueLow).toContain( - quadtree._levelZeroTiles[0].children[3] + quadtree._levelZeroTiles[0].children[3], ); // Mark the children of levelZeroTiles[0] upsampled @@ -1084,11 +1086,11 @@ describe("Scene/QuadtreePrimitive", function () { // levelZeroTiles[0] should move to medium priority. expect(quadtree._tileLoadQueueHigh.length).toBe(1); expect(quadtree._tileLoadQueueHigh).toContain( - quadtree._levelZeroTiles[1] + quadtree._levelZeroTiles[1], ); expect(quadtree._tileLoadQueueMedium.length).toBe(1); expect(quadtree._tileLoadQueueMedium).toContain( - quadtree._levelZeroTiles[0] + quadtree._levelZeroTiles[0], ); expect(quadtree._tileLoadQueueLow.length).toBe(0); }); @@ -1148,27 +1150,27 @@ describe("Scene/QuadtreePrimitive", function () { expect(quadtree._tilesToRender[0]).toBe(east.northwestChild); expect( quadtree._tilesToRender[1] === east.southwestChild || - quadtree._tilesToRender[1] === east.northeastChild + quadtree._tilesToRender[1] === east.northeastChild, ).toBe(true); expect( quadtree._tilesToRender[2] === east.southwestChild || - quadtree._tilesToRender[2] === east.northeastChild + quadtree._tilesToRender[2] === east.northeastChild, ).toBe(true); expect(quadtree._tilesToRender[3]).toBe(east.southeastChild); expect(quadtree._tilesToRender[4]).toBe(west.northeastChild); expect( quadtree._tilesToRender[5] === west.northwestChild || - quadtree._tilesToRender[5] === west.southeastChild + quadtree._tilesToRender[5] === west.southeastChild, ).toBe(true); expect( quadtree._tilesToRender[6] === west.northwestChild || - quadtree._tilesToRender[6] === west.southeastChild + quadtree._tilesToRender[6] === west.southeastChild, ).toBe(true); expect(quadtree._tilesToRender[7]).toBe(west.southwestChild); }); }); }, - "WebGL" + "WebGL", ); // Sets the camera to look at a given cartographic position from a distance @@ -1176,9 +1178,8 @@ describe("Scene/QuadtreePrimitive", function () { // a given tile level and no further. function setCameraPosition(quadtree, frameState, position, level) { const camera = frameState.camera; - const geometricError = quadtree.tileProvider.getLevelMaximumGeometricError( - level - ); + const geometricError = + quadtree.tileProvider.getLevelMaximumGeometricError(level); const sse = quadtree.maximumScreenSpaceError * 0.8; const sseDenominator = camera.frustum.sseDenominator; const height = frameState.context.drawingBufferHeight; diff --git a/packages/engine/Specs/Scene/QuadtreeTileSpec.js b/packages/engine/Specs/Scene/QuadtreeTileSpec.js index 2e6d6c7edcb9..5a315ef2dc30 100644 --- a/packages/engine/Specs/Scene/QuadtreeTileSpec.js +++ b/packages/engine/Specs/Scene/QuadtreeTileSpec.js @@ -29,7 +29,7 @@ describe("Scene/QuadtreeTile", function () { -CesiumMath.PI_OVER_FOUR, 0.0, CesiumMath.PI_OVER_FOUR, - CesiumMath.PI_OVER_FOUR + CesiumMath.PI_OVER_FOUR, ), x: 0, y: 0, @@ -58,7 +58,7 @@ describe("Scene/QuadtreeTile", function () { const rectangle = desc.tilingScheme.tileXYToRectangle( desc.x, desc.y, - desc.level + desc.level, ); expect(tile.rectangle).toEqual(rectangle); }); @@ -365,16 +365,16 @@ describe("Scene/QuadtreeTile", function () { const southeast = tiles[3]; expect(northeast.rectangle.west).toBeGreaterThan( - northwest.rectangle.west + northwest.rectangle.west, ); expect(southeast.rectangle.west).toBeGreaterThan( - southwest.rectangle.west + southwest.rectangle.west, ); expect(northeast.rectangle.south).toBeGreaterThan( - southeast.rectangle.south + southeast.rectangle.south, ); expect(northwest.rectangle.south).toBeGreaterThan( - southwest.rectangle.south + southwest.rectangle.south, ); }); }); diff --git a/packages/engine/Specs/Scene/ResourceCacheKeySpec.js b/packages/engine/Specs/Scene/ResourceCacheKeySpec.js index 3195ee6c71e3..ef57c948ffa3 100644 --- a/packages/engine/Specs/Scene/ResourceCacheKeySpec.js +++ b/packages/engine/Specs/Scene/ResourceCacheKeySpec.js @@ -369,7 +369,7 @@ describe("ResourceCacheKey", function () { }); expect(cacheKey).toBe( - "buffer-view:https://example.com/resources/external.bin-range-0-100" + "buffer-view:https://example.com/resources/external.bin-range-0-100", ); }); @@ -440,7 +440,7 @@ describe("ResourceCacheKey", function () { }); expect(cacheKey).toBe( - "draco:https://example.com/resources/external.bin-range-0-100" + "draco:https://example.com/resources/external.bin-range-0-100", ); }); @@ -508,7 +508,7 @@ describe("ResourceCacheKey", function () { }); expect(cacheKey).toBe( - "vertex-buffer:https://example.com/resources/external.bin-range-0-40-buffer-context-01234" + "vertex-buffer:https://example.com/resources/external.bin-range-0-40-buffer-context-01234", ); }); @@ -527,7 +527,7 @@ describe("ResourceCacheKey", function () { }); expect(cacheKey).toBe( - "vertex-buffer:https://example.com/resources/external.bin-range-0-100-draco-POSITION-buffer-context-01234" + "vertex-buffer:https://example.com/resources/external.bin-range-0-100-draco-POSITION-buffer-context-01234", ); }); @@ -543,7 +543,7 @@ describe("ResourceCacheKey", function () { }); expect(cacheKey).toBe( - "vertex-buffer:https://example.com/resources/external.bin-range-0-40-dequantize-buffer-context-01234" + "vertex-buffer:https://example.com/resources/external.bin-range-0-40-dequantize-buffer-context-01234", ); }); @@ -558,7 +558,7 @@ describe("ResourceCacheKey", function () { }); expect(cacheKey).toBe( - "vertex-buffer:https://example.com/resources/external.bin-range-0-40-typed-array" + "vertex-buffer:https://example.com/resources/external.bin-range-0-40-typed-array", ); }); @@ -574,7 +574,7 @@ describe("ResourceCacheKey", function () { }); expect(cacheKey).toBe( - "vertex-buffer:https://example.com/resources/external.bin-range-0-40-buffer-context-01234-typed-array" + "vertex-buffer:https://example.com/resources/external.bin-range-0-40-buffer-context-01234-typed-array", ); }); @@ -695,7 +695,7 @@ describe("ResourceCacheKey", function () { }); expect(cacheKey).toBe( - "index-buffer:https://example.com/resources/external.bin-accessor-80-5123-SCALAR-36-buffer-context-01234" + "index-buffer:https://example.com/resources/external.bin-accessor-80-5123-SCALAR-36-buffer-context-01234", ); }); @@ -714,7 +714,7 @@ describe("ResourceCacheKey", function () { }); expect(cacheKey).toBe( - "index-buffer:https://example.com/resources/external.bin-range-0-100-draco-buffer-context-01234" + "index-buffer:https://example.com/resources/external.bin-range-0-100-draco-buffer-context-01234", ); }); @@ -729,7 +729,7 @@ describe("ResourceCacheKey", function () { }); expect(cacheKey).toBe( - "index-buffer:https://example.com/resources/external.bin-accessor-80-5123-SCALAR-36-typed-array" + "index-buffer:https://example.com/resources/external.bin-accessor-80-5123-SCALAR-36-typed-array", ); }); @@ -745,7 +745,7 @@ describe("ResourceCacheKey", function () { }); expect(cacheKey).toBe( - "index-buffer:https://example.com/resources/external.bin-accessor-80-5123-SCALAR-36-buffer-context-01234-typed-array" + "index-buffer:https://example.com/resources/external.bin-accessor-80-5123-SCALAR-36-buffer-context-01234-typed-array", ); }); @@ -835,7 +835,7 @@ describe("ResourceCacheKey", function () { }); expect(cacheKey).toBe( - "image:https://example.com/resources/external.bin-range-0-100" + "image:https://example.com/resources/external.bin-range-0-100", ); }); @@ -897,7 +897,7 @@ describe("ResourceCacheKey", function () { }); expect(cacheKey).toBe( - "texture:https://example.com/resources/image.png-sampler-10497-10497-9729-9729-context-01234" + "texture:https://example.com/resources/image.png-sampler-10497-10497-9729-9729-context-01234", ); }); @@ -915,7 +915,7 @@ describe("ResourceCacheKey", function () { }); expect(cacheKey).toBe( - "texture:https://example.com/resources/external.bin-range-0-100-sampler-33071-33648-9984-9728-context-01234" + "texture:https://example.com/resources/external.bin-range-0-100-sampler-33071-33648-9984-9728-context-01234", ); }); @@ -935,7 +935,7 @@ describe("ResourceCacheKey", function () { }); expect(cacheKey).toBe( - "texture:https://example.com/resources/image.webp-sampler-10497-10497-9729-9729-context-01234" + "texture:https://example.com/resources/image.webp-sampler-10497-10497-9729-9729-context-01234", ); }); @@ -953,7 +953,7 @@ describe("ResourceCacheKey", function () { }); expect(cacheKey).toBe( - "texture:https://example.com/resources/image.png-sampler-10497-10497-9729-9729-context-01234" + "texture:https://example.com/resources/image.png-sampler-10497-10497-9729-9729-context-01234", ); }); @@ -973,7 +973,7 @@ describe("ResourceCacheKey", function () { }); expect(cacheKey).toBe( - "texture:https://example.com/resources/image.ktx2-sampler-10497-10497-9729-9729-context-01234" + "texture:https://example.com/resources/image.ktx2-sampler-10497-10497-9729-9729-context-01234", ); }); @@ -991,7 +991,7 @@ describe("ResourceCacheKey", function () { }); expect(cacheKey).toBe( - "texture:https://example.com/resources/image.png-sampler-10497-10497-9729-9729-context-01234" + "texture:https://example.com/resources/image.png-sampler-10497-10497-9729-9729-context-01234", ); }); diff --git a/packages/engine/Specs/Scene/ResourceCacheSpec.js b/packages/engine/Specs/Scene/ResourceCacheSpec.js index aaded214a56a..bf1540d4e976 100644 --- a/packages/engine/Specs/Scene/ResourceCacheSpec.js +++ b/packages/engine/Specs/Scene/ResourceCacheSpec.js @@ -263,7 +263,7 @@ describe("ResourceCache", function () { it("destroys resource when reference count reaches 0", function () { const destroy = spyOn( MetadataSchemaLoader.prototype, - "destroy" + "destroy", ).and.callThrough(); const cacheKey = ResourceCacheKey.getSchemaCacheKey({ @@ -373,7 +373,7 @@ describe("ResourceCache", function () { expect( ResourceCache.getSchemaLoader({ schema: schemaJson, - }) + }), ).toBe(schemaLoader); expect(cacheEntry.referenceCount).toBe(2); @@ -400,7 +400,7 @@ describe("ResourceCache", function () { parentResource: bufferParentResource, bufferId: 0, typedArray: bufferTypedArray, - }) + }), ).toBe(bufferLoader); expect(cacheEntry.referenceCount).toBe(2); @@ -411,7 +411,7 @@ describe("ResourceCache", function () { ResourceCache.getEmbeddedBufferLoader({ bufferId: 0, typedArray: bufferTypedArray, - }) + }), ).toThrowDeveloperError(); }); @@ -420,7 +420,7 @@ describe("ResourceCache", function () { ResourceCache.getEmbeddedBufferLoader({ parentResource: bufferParentResource, typedArray: bufferTypedArray, - }) + }), ).toThrowDeveloperError(); }); @@ -429,7 +429,7 @@ describe("ResourceCache", function () { ResourceCache.getEmbeddedBufferLoader({ parentResource: bufferParentResource, bufferId: 0, - }) + }), ).toThrowDeveloperError(); }); @@ -449,7 +449,7 @@ describe("ResourceCache", function () { expect( ResourceCache.getExternalBufferLoader({ resource: bufferResource, - }) + }), ).toBe(bufferLoader); expect(cacheEntry.referenceCount).toBe(2); @@ -459,7 +459,7 @@ describe("ResourceCache", function () { expect(() => ResourceCache.getExternalBufferLoader({ resource: undefined, - }) + }), ).toThrowDeveloperError(); }); @@ -481,7 +481,7 @@ describe("ResourceCache", function () { ResourceCache.getGltfJsonLoader({ gltfResource: gltfResource, baseResource: gltfResource, - }) + }), ).toBe(gltfJsonLoader); expect(cacheEntry.referenceCount).toBe(2); @@ -492,7 +492,7 @@ describe("ResourceCache", function () { ResourceCache.getGltfJsonLoader({ gltfResource: undefined, baseResource: gltfResource, - }) + }), ).toThrowDeveloperError(); }); @@ -501,7 +501,7 @@ describe("ResourceCache", function () { ResourceCache.getGltfJsonLoader({ gltfResource: gltfResource, baseResource: undefined, - }) + }), ).toThrowDeveloperError(); }); @@ -530,7 +530,7 @@ describe("ResourceCache", function () { bufferViewId: 0, gltfResource: gltfResource, baseResource: gltfResource, - }) + }), ).toBe(bufferViewLoader); expect(cacheEntry.referenceCount).toBe(2); @@ -543,7 +543,7 @@ describe("ResourceCache", function () { bufferViewId: 0, gltfResource: gltfResource, baseResource: gltfResource, - }) + }), ).toThrowDeveloperError(); }); @@ -554,7 +554,7 @@ describe("ResourceCache", function () { bufferViewId: undefined, gltfResource: gltfResource, baseResource: gltfResource, - }) + }), ).toThrowDeveloperError(); }); @@ -565,7 +565,7 @@ describe("ResourceCache", function () { bufferViewId: 0, gltfResource: undefined, baseResource: gltfResource, - }) + }), ).toThrowDeveloperError(); }); @@ -576,7 +576,7 @@ describe("ResourceCache", function () { bufferViewId: 0, gltfResource: gltfResource, baseResource: undefined, - }) + }), ).toThrowDeveloperError(); }); @@ -606,7 +606,7 @@ describe("ResourceCache", function () { draco: dracoExtension, gltfResource: gltfResource, baseResource: gltfResource, - }) + }), ).toBe(dracoLoader); expect(cacheEntry.referenceCount).toBe(2); @@ -619,7 +619,7 @@ describe("ResourceCache", function () { draco: dracoExtension, gltfResource: gltfResource, baseResource: gltfResource, - }) + }), ).toThrowDeveloperError(); }); @@ -630,7 +630,7 @@ describe("ResourceCache", function () { draco: undefined, gltfResource: gltfResource, baseResource: gltfResource, - }) + }), ).toThrowDeveloperError(); }); @@ -641,7 +641,7 @@ describe("ResourceCache", function () { draco: dracoExtension, gltfResource: undefined, baseResource: gltfResource, - }) + }), ).toThrowDeveloperError(); }); @@ -652,7 +652,7 @@ describe("ResourceCache", function () { draco: dracoExtension, gltfResource: gltfResource, baseResource: undefined, - }) + }), ).toThrowDeveloperError(); }); @@ -689,7 +689,7 @@ describe("ResourceCache", function () { frameState: mockFrameState, bufferViewId: 0, loadBuffer: true, - }) + }), ).toBe(vertexBufferLoader); expect(cacheEntry.referenceCount).toBe(2); @@ -732,7 +732,7 @@ describe("ResourceCache", function () { attributeSemantic: "POSITION", accessorId: 0, loadBuffer: true, - }) + }), ).toBe(vertexBufferLoader); expect(cacheEntry.referenceCount).toBe(2); @@ -774,7 +774,7 @@ describe("ResourceCache", function () { frameState: mockFrameState, bufferViewId: 0, loadBuffer: true, - }) + }), ).toThrowDeveloperError(); }); @@ -787,7 +787,7 @@ describe("ResourceCache", function () { frameState: mockFrameState, bufferViewId: 0, loadBuffer: true, - }) + }), ).toThrowDeveloperError(); }); @@ -800,7 +800,7 @@ describe("ResourceCache", function () { frameState: mockFrameState, bufferViewId: 0, loadBuffer: true, - }) + }), ).toThrowDeveloperError(); }); @@ -813,7 +813,7 @@ describe("ResourceCache", function () { frameState: undefined, bufferViewId: 0, loadBuffer: true, - }) + }), ).toThrowDeveloperError(); }); @@ -829,7 +829,7 @@ describe("ResourceCache", function () { attributeSemantic: "POSITION", accessorId: 0, loadBuffer: true, - }) + }), ).toThrowDeveloperError(); }); @@ -841,7 +841,7 @@ describe("ResourceCache", function () { baseResource: gltfResource, frameState: mockFrameState, loadBuffer: true, - }) + }), ).toThrowDeveloperError(); }); @@ -856,7 +856,7 @@ describe("ResourceCache", function () { attributeSemantic: undefined, accessorId: 0, loadBuffer: true, - }) + }), ).toThrowDeveloperError(); }); @@ -871,7 +871,7 @@ describe("ResourceCache", function () { attributeSemantic: "POSITION", accessorId: undefined, loadBuffer: true, - }) + }), ).toThrowDeveloperError(); }); @@ -885,7 +885,7 @@ describe("ResourceCache", function () { bufferViewId: 0, loadBuffer: false, loadTypedArray: false, - }) + }), ).toThrowDeveloperError(); }); @@ -921,7 +921,7 @@ describe("ResourceCache", function () { baseResource: gltfResource, frameState: mockFrameState, loadBuffer: true, - }) + }), ).toBe(indexBufferLoader); expect(cacheEntry.referenceCount).toBe(2); @@ -962,7 +962,7 @@ describe("ResourceCache", function () { frameState: mockFrameState, draco: dracoExtension, loadBuffer: true, - }) + }), ).toBe(indexBufferLoader); expect(cacheEntry.referenceCount).toBe(2); @@ -1002,7 +1002,7 @@ describe("ResourceCache", function () { baseResource: gltfResource, frameState: mockFrameState, loadBuffer: true, - }) + }), ).toThrowDeveloperError(); }); @@ -1015,7 +1015,7 @@ describe("ResourceCache", function () { baseResource: gltfResource, frameState: mockFrameState, loadBuffer: true, - }) + }), ).toThrowDeveloperError(); }); @@ -1028,7 +1028,7 @@ describe("ResourceCache", function () { baseResource: gltfResource, frameState: mockFrameState, loadBuffer: true, - }) + }), ).toThrowDeveloperError(); }); @@ -1041,7 +1041,7 @@ describe("ResourceCache", function () { baseResource: undefined, frameState: mockFrameState, loadBuffer: true, - }) + }), ).toThrowDeveloperError(); }); @@ -1054,7 +1054,7 @@ describe("ResourceCache", function () { baseResource: gltfResource, frameState: undefined, loadBuffer: true, - }) + }), ).toThrowDeveloperError(); }); @@ -1068,7 +1068,7 @@ describe("ResourceCache", function () { frameState: mockFrameState, loadBuffer: false, loadTypedArray: false, - }) + }), ).toThrowDeveloperError(); }); @@ -1097,7 +1097,7 @@ describe("ResourceCache", function () { imageId: 0, gltfResource: gltfResource, baseResource: gltfResource, - }) + }), ).toBe(imageLoader); expect(cacheEntry.referenceCount).toBe(2); @@ -1110,7 +1110,7 @@ describe("ResourceCache", function () { imageId: 0, gltfResource: gltfResource, baseResource: gltfResource, - }) + }), ).toThrowDeveloperError(); }); @@ -1121,7 +1121,7 @@ describe("ResourceCache", function () { imageId: undefined, gltfResource: gltfResource, baseResource: gltfResource, - }) + }), ).toThrowDeveloperError(); }); @@ -1132,7 +1132,7 @@ describe("ResourceCache", function () { imageId: 0, gltfResource: undefined, baseResource: gltfResource, - }) + }), ).toThrowDeveloperError(); }); @@ -1143,7 +1143,7 @@ describe("ResourceCache", function () { imageId: 0, gltfResource: gltfResource, baseResource: undefined, - }) + }), ).toThrowDeveloperError(); }); @@ -1178,7 +1178,7 @@ describe("ResourceCache", function () { baseResource: gltfResource, frameState: mockFrameState, supportedImageFormats: new SupportedImageFormats(), - }) + }), ).toBe(textureLoader); expect(cacheEntry.referenceCount).toBe(2); @@ -1218,7 +1218,7 @@ describe("ResourceCache", function () { baseResource: gltfResource, supportedImageFormats: new SupportedImageFormats(), frameState: mockFrameState, - }) + }), ).toThrowDeveloperError(); }); @@ -1231,7 +1231,7 @@ describe("ResourceCache", function () { baseResource: gltfResource, supportedImageFormats: new SupportedImageFormats(), frameState: mockFrameState, - }) + }), ).toThrowDeveloperError(); }); @@ -1244,7 +1244,7 @@ describe("ResourceCache", function () { baseResource: gltfResource, supportedImageFormats: new SupportedImageFormats(), frameState: mockFrameState, - }) + }), ).toThrowDeveloperError(); }); @@ -1257,7 +1257,7 @@ describe("ResourceCache", function () { baseResource: undefined, supportedImageFormats: new SupportedImageFormats(), frameState: mockFrameState, - }) + }), ).toThrowDeveloperError(); }); @@ -1270,7 +1270,7 @@ describe("ResourceCache", function () { baseResource: gltfResource, supportedImageFormats: undefined, frameState: mockFrameState, - }) + }), ).toThrowDeveloperError(); }); @@ -1283,7 +1283,7 @@ describe("ResourceCache", function () { baseResource: gltfResource, supportedImageFormats: new SupportedImageFormats(), frameState: undefined, - }) + }), ).toThrowDeveloperError(); }); }); diff --git a/packages/engine/Specs/Scene/SceneSpec.js b/packages/engine/Specs/Scene/SceneSpec.js index 5a6a69a34928..a2f21c789697 100644 --- a/packages/engine/Specs/Scene/SceneSpec.js +++ b/packages/engine/Specs/Scene/SceneSpec.js @@ -85,7 +85,7 @@ const propertyValueEpsilon = 0.01; */ function createEmbeddedGltfWithPropertyTexture( schema, - propertyTextureProperties + propertyTextureProperties, ) { const result = { extensions: { @@ -144,8 +144,7 @@ function createEmbeddedGltfWithPropertyTexture( }, buffers: [ { - uri: - "data:application/gltf-buffer;base64,AAABAAIAAQADAAIAAAAAAAAAAAAAAAAAAACAPwAAAAAAAAAAAAAAAAAAgD8AAAAAAACAPwAAgD8AAAAAAAAAAAAAAAAAAIA/AAAAAAAAAAAAAIA/AAAAAAAAAAAAAIA/AAAAAAAAAAAAAIA/AAAAAAAAgD8AAAAAAACAPwAAgD8AAAAAAAAAAAAAAAAAAAAAAACAPwAAAAAAAAAA", + uri: "data:application/gltf-buffer;base64,AAABAAIAAQADAAIAAAAAAAAAAAAAAAAAAACAPwAAAAAAAAAAAAAAAAAAgD8AAAAAAACAPwAAgD8AAAAAAAAAAAAAAAAAAIA/AAAAAAAAAAAAAIA/AAAAAAAAAAAAAIA/AAAAAAAAAAAAAIA/AAAAAAAAgD8AAAAAAACAPwAAgD8AAAAAAAAAAAAAAAAAAAAAAACAPwAAAAAAAAAA", byteLength: 156, }, ], @@ -168,8 +167,7 @@ function createEmbeddedGltfWithPropertyTexture( { // A 16x16 pixels image that contains all combinations of // (0, 127, 255) in its upper-left 9x9 pixels - uri: - "data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAABAAAAAQCAYAAAAf8/9hAAAAi0lEQVR42u2RUQ6AMAhDd3OO/qQt8VP8NRHjNpf0leI5ruqXbNVL4c9Dn+E8ljV+iLaXaoAY1YDaADaynBg2gFZLR1+wAdJEWZpW1AIVqmjCruqybw4qnEJbbQBHdWoS2XIUXdp+F8DNUOpM0tIZCusQJrzHNTnsOy2pFTZ7xpKhYFUu4M1v+OvrdQGABqEpS2kSLgAAAABJRU5ErkJggg==", + uri: "data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAABAAAAAQCAYAAAAf8/9hAAAAi0lEQVR42u2RUQ6AMAhDd3OO/qQt8VP8NRHjNpf0leI5ruqXbNVL4c9Dn+E8ljV+iLaXaoAY1YDaADaynBg2gFZLR1+wAdJEWZpW1AIVqmjCruqybw4qnEJbbQBHdWoS2XIUXdp+F8DNUOpM0tIZCusQJrzHNTnsOy2pFTZ7xpKhYFUu4M1v+OvrdQGABqEpS2kSLgAAAABJRU5ErkJggg==", mimeType: "image/png", }, ], @@ -311,8 +309,7 @@ function createPropertyTextureGltfScalarArray() { name: "Example class", properties: { example_fixed_length_UINT8_SCALAR_array: { - name: - "Example fixed-length SCALAR array property with UINT8 components", + name: "Example fixed-length SCALAR array property with UINT8 components", type: "SCALAR", componentType: "UINT8", array: true, @@ -480,7 +477,7 @@ async function loadAsModel(scene, gltf) { scene.renderForSpecs(); return model.ready; }, - { timeout: 10000 } + { timeout: 10000 }, ); } @@ -524,7 +521,7 @@ function pickMetadataAt(scene, schemaId, className, propertyName, x, y) { screenPosition, schemaId, className, - propertyName + propertyName, ); return metadataValue; } @@ -566,7 +563,7 @@ describe( data, headers, deferred, - overrideMimeType + overrideMimeType, ) { Resource._DefaultImplementations.loadWithXhr( path, @@ -574,14 +571,14 @@ describe( method, data, headers, - deferred + deferred, ); }; } function returnQuantizedMeshTileJson() { return returnTileJson( - "Data/CesiumTerrainTileJson/QuantizedMesh.tile.json" + "Data/CesiumTerrainTileJson/QuantizedMesh.tile.json", ); } @@ -611,7 +608,7 @@ describe( expect(scene.primitives).toBeInstanceOf(PrimitiveCollection); expect(scene.camera).toBeInstanceOf(Camera); expect(scene.screenSpaceCameraController).toBeInstanceOf( - ScreenSpaceCameraController + ScreenSpaceCameraController, ); expect(scene.mapProjection).toBeInstanceOf(GeographicProjection); expect(scene.frameState).toBeInstanceOf(FrameState); @@ -663,14 +660,14 @@ describe( expect(contextAttributes.stencil).toEqual(webglOptions.stencil); expect(contextAttributes.antialias).toEqual(webglOptions.antialias); expect(contextAttributes.premultipliedAlpha).toEqual( - webglOptions.premultipliedAlpha + webglOptions.premultipliedAlpha, ); expect(contextAttributes.preserveDrawingBuffer).toEqual( - webglOptions.preserveDrawingBuffer + webglOptions.preserveDrawingBuffer, ); expect(s.mapProjection).toEqual(mapProjection); expect(s._depthPlane._ellipsoidOffset).toEqual( - Number.POSITIVE_INFINITY + Number.POSITIVE_INFINITY, ); s.destroyForSpecs(); @@ -770,7 +767,7 @@ describe( const center = Cartesian3.add( scene.camera.position, scene.camera.direction, - new Cartesian3() + new Cartesian3(), ); const c = new DrawCommand({ @@ -804,16 +801,15 @@ describe( c.execute = function () {}; const originalShallowClone = DrawCommand.shallowClone; - spyOn(DrawCommand, "shallowClone").and.callFake(function ( - command, - result - ) { - result = originalShallowClone(command, result); - result.execute = function () { - result.uniformMap.debugShowCommandsColor(); - }; - return result; - }); + spyOn(DrawCommand, "shallowClone").and.callFake( + function (command, result) { + result = originalShallowClone(command, result); + result.execute = function () { + result.uniformMap.debugShowCommandsColor(); + }; + return result; + }, + ); scene.primitives.add(new CommandMockPrimitive(c)); @@ -840,7 +836,7 @@ describe( 1.0, 0.0, 0.0, - 1.0 + 1.0, ); const rectanglePrimitive2 = createRectangle(rectangle, 1000.0); @@ -848,7 +844,7 @@ describe( 0.0, 1.0, 0.0, - 0.5 + 0.5, ); const primitives = scene.primitives; @@ -878,7 +874,7 @@ describe( 1.0, 0.0, 0.0, - 1.0 + 1.0, ); const rectanglePrimitive2 = createRectangle(rectangle); @@ -886,7 +882,7 @@ describe( 0.0, 1.0, 0.0, - 0.5 + 0.5, ); const primitives = scene.primitives; @@ -916,7 +912,7 @@ describe( 1.0, 0.0, 0.0, - 0.5 + 0.5, ); const primitives = scene.primitives; @@ -979,7 +975,7 @@ describe( 1.0, 0.0, 0.0, - 1.0 + 1.0, ); const primitives = scene.primitives; @@ -1040,7 +1036,7 @@ describe( s.camera.up = Cartesian3.clone(Cartesian3.UNIT_Z); s.camera.direction = Cartesian3.negate( Cartesian3.normalize(s.camera.position, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ); return expect(s).toRenderAndCall(function () { @@ -1058,7 +1054,7 @@ describe( s.camera.up = Cartesian3.clone(Cartesian3.UNIT_Z); s.camera.direction = Cartesian3.negate( Cartesian3.normalize(s.camera.position, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ); return expect(s).toRenderAndCall(function () { @@ -1076,7 +1072,7 @@ describe( s.camera.up = Cartesian3.clone(Cartesian3.UNIT_Z); s.camera.direction = Cartesian3.negate( Cartesian3.normalize(s.camera.position, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ); return expect(s).toRenderAndCall(function () { @@ -1094,7 +1090,7 @@ describe( s.camera.up = Cartesian3.clone(Cartesian3.UNIT_Z); s.camera.direction = Cartesian3.negate( Cartesian3.normalize(s.camera.position, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ); return expect(s).toRenderAndCall(function () { @@ -1112,7 +1108,7 @@ describe( s.camera.up = Cartesian3.clone(Cartesian3.UNIT_Z); s.camera.direction = Cartesian3.negate( Cartesian3.normalize(s.camera.position, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ); return expect(s).toRenderAndCall(function () { render(s.frameState, s.globe); @@ -1129,7 +1125,7 @@ describe( s.camera.up = Cartesian3.clone(Cartesian3.UNIT_Z); s.camera.direction = Cartesian3.negate( Cartesian3.normalize(s.camera.position, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ); return expect(s).toRenderAndCall(function () { @@ -1147,7 +1143,7 @@ describe( s.camera.up = Cartesian3.clone(Cartesian3.UNIT_Z); s.camera.direction = Cartesian3.negate( Cartesian3.normalize(s.camera.position, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ); return expect(s).toRenderAndCall(function () { @@ -1173,7 +1169,7 @@ describe( 1.0, 0.0, 0.0, - 0.5 + 0.5, ); const primitives = scene.primitives; @@ -1202,7 +1198,7 @@ describe( 1.0, 0.0, 0.0, - 0.5 + 0.5, ); const primitives = scene.primitives; @@ -1227,7 +1223,7 @@ describe( 1.0, 0.0, 0.0, - 1.0 + 1.0, ); const primitives = scene.primitives; @@ -1237,7 +1233,7 @@ describe( destination: new Cartesian3( Ellipsoid.WGS84.maximumRadius * Math.PI + 10000.0, 0.0, - 10.0 + 10.0, ), convert: false, }); @@ -1262,7 +1258,7 @@ describe( 1.0, 0.0, 0.0, - 1.0 + 1.0, ); const primitives = s.primitives; @@ -1272,7 +1268,7 @@ describe( destination: new Cartesian3( Ellipsoid.WGS84.maximumRadius * Math.PI, 0.0, - 10.0 + 10.0, ), convert: false, }); @@ -1300,7 +1296,7 @@ describe( 4.0, 0.0, 0.0, - 1.0 + 1.0, ); const primitives = scene.primitives; @@ -1327,7 +1323,7 @@ describe( 1.0, 0.0, 0.0, - 0.5 + 0.5, ); const primitives = scene.primitives; @@ -1353,7 +1349,7 @@ describe( const canvas = scene.canvas; const windowPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); expect(scene).toRenderAndCall(function () { @@ -1365,7 +1361,7 @@ describe( 1.0, 0.0, 0.0, - 1.0 + 1.0, ); const primitives = scene.primitives; @@ -1393,7 +1389,7 @@ describe( const canvas = scene.canvas; const windowPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); expect(scene).toRenderAndCall(function () { @@ -1405,7 +1401,7 @@ describe( 1.0, 0.0, 0.0, - 1.0 + 1.0, ); const primitives = scene.primitives; @@ -1433,7 +1429,7 @@ describe( const canvas = scene.canvas; const windowPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); expect(scene).toRenderAndCall(function () { @@ -1445,7 +1441,7 @@ describe( 1.0, 0.0, 0.0, - 1.0 + 1.0, ); const primitives = scene.primitives; @@ -1473,7 +1469,7 @@ describe( const canvas = scene.canvas; const windowPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); const rectanglePrimitive = createRectangle(rectangle); @@ -1481,7 +1477,7 @@ describe( 1.0, 0.0, 0.0, - 1.0 + 1.0, ); const primitives = scene.primitives; @@ -1513,17 +1509,17 @@ describe( const canvas = scene.canvas; const windowPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); const rectanglePrimitive = scene.primitives.add( - createRectangle(rectangle) + createRectangle(rectangle), ); rectanglePrimitive.appearance.material.uniforms.color = new Color( 1.0, 0.0, 0.0, - 0.5 + 0.5, ); scene.useDepthPicking = true; @@ -1551,22 +1547,22 @@ describe( const canvas = scene.canvas; const windowPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); spyOn( SceneTransforms, - "transformWindowToDrawingBuffer" + "transformWindowToDrawingBuffer", ).and.callThrough(); expect(scene).toRenderAndCall(function () { scene.pickPosition(windowPosition); expect( - SceneTransforms.transformWindowToDrawingBuffer + SceneTransforms.transformWindowToDrawingBuffer, ).toHaveBeenCalled(); scene.pickPosition(windowPosition); expect( - SceneTransforms.transformWindowToDrawingBuffer.calls.count() + SceneTransforms.transformWindowToDrawingBuffer.calls.count(), ).toEqual(1); const rectanglePrimitive = createRectangle(rectangle); @@ -1574,7 +1570,7 @@ describe( 1.0, 0.0, 0.0, - 1.0 + 1.0, ); const primitives = scene.primitives; @@ -1584,12 +1580,12 @@ describe( expect(scene).toRenderAndCall(function () { scene.pickPosition(windowPosition); expect( - SceneTransforms.transformWindowToDrawingBuffer.calls.count() + SceneTransforms.transformWindowToDrawingBuffer.calls.count(), ).toEqual(2); scene.pickPosition(windowPosition); expect( - SceneTransforms.transformWindowToDrawingBuffer.calls.count() + SceneTransforms.transformWindowToDrawingBuffer.calls.count(), ).toEqual(2); }); }); @@ -1747,7 +1743,7 @@ describe( scene.render(); scene.camera.lookLeft( - scene.camera.frustum.fov * (scene.camera.percentageChanged + 0.1) + scene.camera.frustum.fov * (scene.camera.percentageChanged + 0.1), ); scene.initializeFrame(); @@ -1771,7 +1767,7 @@ describe( scene.render(); scene.camera.twistLeft( - CesiumMath.PI * (scene.camera.percentageChanged + 0.1) + CesiumMath.PI * (scene.camera.percentageChanged + 0.1), ); scene.initializeFrame(); @@ -1792,7 +1788,7 @@ describe( scene.render(); scene.camera.twistLeft( - CesiumMath.PI * (scene.camera.percentageChanged + 0.1) + CesiumMath.PI * (scene.camera.percentageChanged + 0.1), ); scene.initializeFrame(); @@ -1814,7 +1810,7 @@ describe( scene.camera.moveUp( scene.camera.positionCartographic.height * - (scene.camera.percentageChanged + 0.1) + (scene.camera.percentageChanged + 0.1), ); scene.initializeFrame(); @@ -1839,7 +1835,7 @@ describe( scene.camera.moveLeft( scene.camera.positionCartographic.height * - (scene.camera.percentageChanged + 0.1) + (scene.camera.percentageChanged + 0.1), ); scene.initializeFrame(); @@ -1874,7 +1870,7 @@ describe( 1.0, 0.0, 0.0, - 0.5 + 0.5, ); const primitives = scene.primitives; @@ -1900,7 +1896,7 @@ describe( 1.0, 0.0, 0.0, - 0.5 + 0.5, ); const primitives = scene.primitives; @@ -1927,7 +1923,7 @@ describe( expect(SceneTransforms.worldToWindowCoordinates).toHaveBeenCalledWith( scene, mockPosition, - undefined + undefined, ); }); @@ -1940,7 +1936,7 @@ describe( expect(SceneTransforms.worldToWindowCoordinates).toHaveBeenCalledWith( scene, mockPosition, - result + result, ); }); @@ -1964,15 +1960,13 @@ describe( returnQuantizedMeshTileJson(); const globe = (scene.globe = new Globe(Ellipsoid.UNIT_SPHERE)); - scene.terrainProvider = await CesiumTerrainProvider.fromUrl( - "//terrain/tiles" - ); + scene.terrainProvider = + await CesiumTerrainProvider.fromUrl("//terrain/tiles"); expect(scene.terrainProvider).toBe(globe.terrainProvider); scene.globe = undefined; - const newProvider = await CesiumTerrainProvider.fromUrl( - "//newTerrain/tiles" - ); + const newProvider = + await CesiumTerrainProvider.fromUrl("//newTerrain/tiles"); expect(function () { scene.terrainProvider = newProvider; }).not.toThrow(); @@ -2247,7 +2241,7 @@ describe( scene.morphTo2D(1.0); scene.renderForSpecs( - JulianDate.addSeconds(lastRenderTime, 0.5, new JulianDate()) + JulianDate.addSeconds(lastRenderTime, 0.5, new JulianDate()), ); expect(scene.frameState.frameNumber).not.toEqual(lastFrameNumber); @@ -2262,7 +2256,7 @@ describe( scene.morphToColumbusView(1.0); scene.renderForSpecs( - JulianDate.addSeconds(lastRenderTime, 0.5, new JulianDate()) + JulianDate.addSeconds(lastRenderTime, 0.5, new JulianDate()), ); expect(scene.frameState.frameNumber).not.toEqual(lastFrameNumber); @@ -2277,7 +2271,7 @@ describe( scene.morphTo3D(1.0); scene.renderForSpecs( - JulianDate.addSeconds(lastRenderTime, 0.5, new JulianDate()) + JulianDate.addSeconds(lastRenderTime, 0.5, new JulianDate()), ); expect(scene.frameState.frameNumber).not.toEqual(lastFrameNumber); @@ -2295,7 +2289,7 @@ describe( const lastFrameNumber = scene.frameState.frameNumber; const lastRenderTime = JulianDate.clone( scene.lastRenderTime, - scratchTime + scratchTime, ); expect(lastRenderTime).toBeDefined(); expect(scene._renderRequested).toBe(false); @@ -2308,12 +2302,12 @@ describe( scene.maximumRenderTimeChange = 100.0; scene.renderForSpecs( - JulianDate.addSeconds(lastRenderTime, 50.0, new JulianDate()) + JulianDate.addSeconds(lastRenderTime, 50.0, new JulianDate()), ); expect(scene.frameState.frameNumber).toEqual(lastFrameNumber); scene.renderForSpecs( - JulianDate.addSeconds(lastRenderTime, 150.0, new JulianDate()) + JulianDate.addSeconds(lastRenderTime, 150.0, new JulianDate()), ); expect(scene.frameState.frameNumber).not.toEqual(lastFrameNumber); }); @@ -2324,7 +2318,7 @@ describe( const lastFrameNumber = scene.frameState.frameNumber; const lastRenderTime = JulianDate.clone( scene.lastRenderTime, - scratchTime + scratchTime, ); expect(lastRenderTime).toBeDefined(); expect(scene._renderRequested).toBe(false); @@ -2335,7 +2329,7 @@ describe( const farFuture = JulianDate.addDays( lastRenderTime, 10000, - new JulianDate() + new JulianDate(), ); scene.renderForSpecs(); @@ -2383,12 +2377,12 @@ describe( destination: new Cartesian3( -588536.1057451078, -10512475.371849751, - 6737159.100747835 + 6737159.100747835, ), orientation: new HeadingPitchRoll( 6.283185307179586, -1.5688261558859757, - 0.0 + 0.0, ), }); scene.renderForSpecs(); @@ -2398,12 +2392,12 @@ describe( destination: new Cartesian3( -5754647.167415793, 14907694.100240812, - -483807.2406259497 + -483807.2406259497, ), orientation: new HeadingPitchRoll( 6.283185307179586, -1.5698869547885104, - 0.0 + 0.0, ), }); scene.renderForSpecs(); @@ -2429,12 +2423,12 @@ describe( destination: new Cartesian3( -5754647.167415793, 14907694.100240812, - -483807.2406259497 + -483807.2406259497, ), orientation: new HeadingPitchRoll( 6.283185307179586, -1.5698869547885104, - 0.0 + 0.0, ), }); scene.renderForSpecs(); @@ -2505,12 +2499,12 @@ describe( destination: new Cartesian3( -746658.0557573901, -5644191.0002196245, - 2863585.099969967 + 2863585.099969967, ), orientation: new HeadingPitchRoll( 0.3019699121236403, 0.07316306869231592, - 0.0007089903642230055 + 0.0007089903642230055, ), }); await updateGlobeUntilDone(scene); @@ -2545,12 +2539,12 @@ describe( destination: new Cartesian3( -4643042.379120885, 4314056.579506199, - -451828.8968118975 + -451828.8968118975, ), orientation: new HeadingPitchRoll( 6.283185307179586, -0.7855491933100796, - 6.283185307179586 + 6.283185307179586, ), }); scene.morphToColumbusView(0.0); @@ -2569,7 +2563,7 @@ describe( 2.3929070618374535, -0.07149851443375346, -25000.0, - globe.ellipsoid + globe.ellipsoid, ); const radius = 10.0; @@ -2592,12 +2586,12 @@ describe( destination: new Cartesian3( -4643042.379120885, 4314056.579506199, - -451828.8968118975 + -451828.8968118975, ), orientation: new HeadingPitchRoll( 6.283185307179586, -0.7855491933100796, - 6.283185307179586 + 6.283185307179586, ), }); await updateGlobeUntilDone(scene); @@ -2614,7 +2608,7 @@ describe( 2.3929070618374535, -0.07149851443375346, -25000.0, - globe.ellipsoid + globe.ellipsoid, ); const radius = 10.0; @@ -2649,18 +2643,18 @@ describe( destination: new Cartesian3( 2838477.9315700866, -4939120.816857662, - 1978094.4576285738 + 1978094.4576285738, ), orientation: new HeadingPitchRoll( 5.955798516387474, -1.0556025616093283, - 0.39098563693868016 + 0.39098563693868016, ), }); await updateGlobeUntilDone(scene); const time = JulianDate.fromIso8601( - "2020-04-25T03:07:26.04924034334544558Z" + "2020-04-25T03:07:26.04924034334544558Z", ); globe.translucency.enabled = true; globe.translucency.frontFaceAlpha = 0.5; @@ -2680,12 +2674,12 @@ describe( destination: new Cartesian3( 2764681.3022502237, -20999839.371941473, - 14894754.464869803 + 14894754.464869803, ), orientation: new HeadingPitchRoll( 6.283185307179586, -1.5687983447998315, - 0 + 0, ), }); @@ -2714,12 +2708,12 @@ describe( destination: new Cartesian3( -557278.4840232887, -6744284.200717078, - 2794079.461722868 + 2794079.461722868, ), orientation: new HeadingPitchRoll( 6.283185307179586, -1.5687983448015541, - 0 + 0, ), }); @@ -2730,7 +2724,7 @@ describe( }), attributes: { color: ColorGeometryInstanceAttribute.fromColor( - new Color(1.0, 0.0, 0.0, 0.5) + new Color(1.0, 0.0, 0.0, 0.5), ), }, }); @@ -2742,7 +2736,7 @@ describe( closed: true, }), asynchronous: false, - }) + }), ); await updateGlobeUntilDone(scene); @@ -2762,12 +2756,12 @@ describe( destination: new Cartesian3( -557278.4840232887, -6744284.200717078, - 2794079.461722868 + 2794079.461722868, ), orientation: new HeadingPitchRoll( 6.283185307179586, -1.5687983448015541, - 0 + 0, ), }); @@ -2778,7 +2772,7 @@ describe( }), attributes: { color: ColorGeometryInstanceAttribute.fromColor( - new Color(1.0, 0.0, 0.0, 0.5) + new Color(1.0, 0.0, 0.0, 0.5), ), }, }); @@ -2790,7 +2784,7 @@ describe( closed: true, }), asynchronous: false, - }) + }), ); await updateGlobeUntilDone(scene); @@ -2852,7 +2846,7 @@ describe( const webglStub = !!window.webglStub; const defaultDate = JulianDate.fromDate( - new Date("January 1, 2014 12:00:00 UTC") + new Date("January 1, 2014 12:00:00 UTC"), ); it("throws without windowPosition", async function () { @@ -2944,13 +2938,13 @@ describe( const windowPosition = new Cartesian2( Math.floor(canvasSizeX / 2), - Math.floor(canvasSizeY / 2) + Math.floor(canvasSizeY / 2), ); const actualMetadataValue = scene.pickMetadata( windowPosition, schemaId, className, - propertyName + propertyName, ); expect(actualMetadataValue).toBeUndefined(); scene.destroyForSpecs(); @@ -2974,13 +2968,13 @@ describe( const windowPosition = new Cartesian2( Math.floor(canvasSizeX / 2), - Math.floor(canvasSizeY / 2) + Math.floor(canvasSizeY / 2), ); const actualMetadataValue = scene.pickMetadata( windowPosition, schemaId, className, - propertyName + propertyName, ); expect(actualMetadataValue).toBeUndefined(); scene.destroyForSpecs(); @@ -3000,7 +2994,7 @@ describe( const windowPosition = new Cartesian2( Math.floor(canvasSizeX / 2), - Math.floor(canvasSizeY / 2) + Math.floor(canvasSizeY / 2), ); const metadataSchema = scene.pickMetadataSchema(windowPosition); @@ -3032,7 +3026,7 @@ describe( const windowPosition = new Cartesian2( Math.floor(canvasSizeX / 2), - Math.floor(canvasSizeY / 2) + Math.floor(canvasSizeY / 2), ); // The pickMetadataSchema call should return the schema that @@ -3076,7 +3070,7 @@ describe( className, propertyName, 0, - 0 + 0, ); const actualMetadataValue1 = pickMetadataAt( scene, @@ -3084,7 +3078,7 @@ describe( className, propertyName, 0, - 1 + 1, ); const actualMetadataValue2 = pickMetadataAt( scene, @@ -3092,7 +3086,7 @@ describe( className, propertyName, 0, - 2 + 2, ); const expectedMetadataValue0 = 0; const expectedMetadataValue1 = 127; @@ -3100,15 +3094,15 @@ describe( expect(actualMetadataValue0).toEqualEpsilon( expectedMetadataValue0, - propertyValueEpsilon + propertyValueEpsilon, ); expect(actualMetadataValue1).toEqualEpsilon( expectedMetadataValue1, - propertyValueEpsilon + propertyValueEpsilon, ); expect(actualMetadataValue2).toEqualEpsilon( expectedMetadataValue2, - propertyValueEpsilon + propertyValueEpsilon, ); scene.destroyForSpecs(); }); @@ -3143,7 +3137,7 @@ describe( className, propertyName, 0, - 0 + 0, ); const actualMetadataValue1 = pickMetadataAt( scene, @@ -3151,7 +3145,7 @@ describe( className, propertyName, 3, - 0 + 0, ); const actualMetadataValue2 = pickMetadataAt( scene, @@ -3159,7 +3153,7 @@ describe( className, propertyName, 6, - 0 + 0, ); const expectedMetadataValue0 = 0.0; const expectedMetadataValue1 = 0.5; @@ -3167,15 +3161,15 @@ describe( expect(actualMetadataValue0).toEqualEpsilon( expectedMetadataValue0, - propertyValueEpsilon + propertyValueEpsilon, ); expect(actualMetadataValue1).toEqualEpsilon( expectedMetadataValue1, - propertyValueEpsilon + propertyValueEpsilon, ); expect(actualMetadataValue2).toEqualEpsilon( expectedMetadataValue2, - propertyValueEpsilon + propertyValueEpsilon, ); scene.destroyForSpecs(); }); @@ -3210,7 +3204,7 @@ describe( className, propertyName, 0, - 0 + 0, ); const actualMetadataValue1 = pickMetadataAt( scene, @@ -3218,7 +3212,7 @@ describe( className, propertyName, 1, - 1 + 1, ); const actualMetadataValue2 = pickMetadataAt( scene, @@ -3226,7 +3220,7 @@ describe( className, propertyName, 2, - 2 + 2, ); const expectedMetadataValue0 = [0, 0, 0]; const expectedMetadataValue1 = [127, 0, 127]; @@ -3234,15 +3228,15 @@ describe( expect(actualMetadataValue0).toEqualEpsilon( expectedMetadataValue0, - propertyValueEpsilon + propertyValueEpsilon, ); expect(actualMetadataValue1).toEqualEpsilon( expectedMetadataValue1, - propertyValueEpsilon + propertyValueEpsilon, ); expect(actualMetadataValue2).toEqualEpsilon( expectedMetadataValue2, - propertyValueEpsilon + propertyValueEpsilon, ); scene.destroyForSpecs(); }); @@ -3278,7 +3272,7 @@ describe( className, propertyName, 0, - 0 + 0, ); const actualMetadataValue1 = pickMetadataAt( scene, @@ -3286,7 +3280,7 @@ describe( className, propertyName, 1, - 1 + 1, ); const actualMetadataValue2 = pickMetadataAt( scene, @@ -3294,7 +3288,7 @@ describe( className, propertyName, 2, - 2 + 2, ); const expectedMetadataValue0 = new Cartesian2(0, 0); const expectedMetadataValue1 = new Cartesian2(127, 0); @@ -3302,15 +3296,15 @@ describe( expect(actualMetadataValue0).toEqualEpsilon( expectedMetadataValue0, - propertyValueEpsilon + propertyValueEpsilon, ); expect(actualMetadataValue1).toEqualEpsilon( expectedMetadataValue1, - propertyValueEpsilon + propertyValueEpsilon, ); expect(actualMetadataValue2).toEqualEpsilon( expectedMetadataValue2, - propertyValueEpsilon + propertyValueEpsilon, ); scene.destroyForSpecs(); }); @@ -3346,7 +3340,7 @@ describe( className, propertyName, 0, - 0 + 0, ); const actualMetadataValue1 = pickMetadataAt( scene, @@ -3354,7 +3348,7 @@ describe( className, propertyName, 1, - 1 + 1, ); const actualMetadataValue2 = pickMetadataAt( scene, @@ -3362,7 +3356,7 @@ describe( className, propertyName, 2, - 2 + 2, ); const expectedMetadataValue0 = new Cartesian2(0.0, 0.0); @@ -3371,15 +3365,15 @@ describe( expect(actualMetadataValue0).toEqualEpsilon( expectedMetadataValue0, - propertyValueEpsilon + propertyValueEpsilon, ); expect(actualMetadataValue1).toEqualEpsilon( expectedMetadataValue1, - propertyValueEpsilon + propertyValueEpsilon, ); expect(actualMetadataValue2).toEqualEpsilon( expectedMetadataValue2, - propertyValueEpsilon + propertyValueEpsilon, ); scene.destroyForSpecs(); }); @@ -3415,7 +3409,7 @@ describe( className, propertyName, 0, - 0 + 0, ); const actualMetadataValue1 = pickMetadataAt( scene, @@ -3423,7 +3417,7 @@ describe( className, propertyName, 1, - 1 + 1, ); const actualMetadataValue2 = pickMetadataAt( scene, @@ -3431,7 +3425,7 @@ describe( className, propertyName, 2, - 2 + 2, ); const expectedMetadataValue0 = new Cartesian3(0, 0, 0); const expectedMetadataValue1 = new Cartesian3(127, 0, 127); @@ -3439,15 +3433,15 @@ describe( expect(actualMetadataValue0).toEqualEpsilon( expectedMetadataValue0, - propertyValueEpsilon + propertyValueEpsilon, ); expect(actualMetadataValue1).toEqualEpsilon( expectedMetadataValue1, - propertyValueEpsilon + propertyValueEpsilon, ); expect(actualMetadataValue2).toEqualEpsilon( expectedMetadataValue2, - propertyValueEpsilon + propertyValueEpsilon, ); scene.destroyForSpecs(); }); @@ -3483,7 +3477,7 @@ describe( className, propertyName, 0, - 0 + 0, ); const actualMetadataValue1 = pickMetadataAt( scene, @@ -3491,7 +3485,7 @@ describe( className, propertyName, 1, - 1 + 1, ); const actualMetadataValue2 = pickMetadataAt( scene, @@ -3499,7 +3493,7 @@ describe( className, propertyName, 2, - 2 + 2, ); const expectedMetadataValue0 = new Cartesian4(0, 0, 0, 0); @@ -3508,18 +3502,18 @@ describe( expect(actualMetadataValue0).toEqualEpsilon( expectedMetadataValue0, - propertyValueEpsilon + propertyValueEpsilon, ); expect(actualMetadataValue1).toEqualEpsilon( expectedMetadataValue1, - propertyValueEpsilon + propertyValueEpsilon, ); expect(actualMetadataValue2).toEqualEpsilon( expectedMetadataValue2, - propertyValueEpsilon + propertyValueEpsilon, ); scene.destroyForSpecs(); }); }), - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/SceneTransformsSpec.js b/packages/engine/Specs/Scene/SceneTransformsSpec.js index 180a57ed4451..a9a09c190ec5 100644 --- a/packages/engine/Specs/Scene/SceneTransformsSpec.js +++ b/packages/engine/Specs/Scene/SceneTransformsSpec.js @@ -53,7 +53,7 @@ describe( it("returns correct window position in 3D", function () { const ellipsoid = Ellipsoid.WGS84; const positionCartographic = ellipsoid.cartesianToCartographic( - scene.camera.position + scene.camera.position, ); positionCartographic.height = 0.0; const position = ellipsoid.cartographicToCartesian(positionCartographic); @@ -63,7 +63,7 @@ describe( const windowCoordinates = SceneTransforms.worldToWindowCoordinates( scene, - position + position, ); expect(windowCoordinates.x).toEqualEpsilon(0.5, CesiumMath.EPSILON2); expect(windowCoordinates.y).toEqualEpsilon(0.5, CesiumMath.EPSILON2); @@ -72,7 +72,7 @@ describe( it("returns correct drawing buffer position in 3D", function () { const ellipsoid = Ellipsoid.WGS84; const positionCartographic = ellipsoid.cartesianToCartographic( - scene.camera.position + scene.camera.position, ); positionCartographic.height = 0.0; const position = ellipsoid.cartographicToCartesian(positionCartographic); @@ -80,24 +80,22 @@ describe( // Update scene state scene.renderForSpecs(); - const drawingBufferCoordinates = SceneTransforms.worldToDrawingBufferCoordinates( - scene, - position - ); + const drawingBufferCoordinates = + SceneTransforms.worldToDrawingBufferCoordinates(scene, position); expect(drawingBufferCoordinates.x).toEqualEpsilon( 0.5, - CesiumMath.EPSILON2 + CesiumMath.EPSILON2, ); expect(drawingBufferCoordinates.y).toEqualEpsilon( 0.5, - CesiumMath.EPSILON2 + CesiumMath.EPSILON2, ); }); it("returns undefined for window position behind camera in 3D", function () { const ellipsoid = Ellipsoid.WGS84; const positionCartographic = ellipsoid.cartesianToCartographic( - scene.camera.position + scene.camera.position, ); positionCartographic.height *= 1.1; const position = ellipsoid.cartographicToCartesian(positionCartographic); @@ -107,7 +105,7 @@ describe( const windowCoordinates = SceneTransforms.worldToWindowCoordinates( scene, - position + position, ); expect(windowCoordinates).not.toBeDefined(); }); @@ -115,7 +113,7 @@ describe( it("returns undefined for drawing buffer position behind camera in 3D", function () { const ellipsoid = Ellipsoid.WGS84; const positionCartographic = ellipsoid.cartesianToCartographic( - scene.camera.position + scene.camera.position, ); positionCartographic.height *= 1.1; const position = ellipsoid.cartographicToCartesian(positionCartographic); @@ -123,10 +121,8 @@ describe( // Update scene state scene.renderForSpecs(); - const drawingBufferCoordinates = SceneTransforms.worldToDrawingBufferCoordinates( - scene, - position - ); + const drawingBufferCoordinates = + SceneTransforms.worldToDrawingBufferCoordinates(scene, position); expect(drawingBufferCoordinates).not.toBeDefined(); }); @@ -140,11 +136,11 @@ describe( const windowCoordinates = SceneTransforms.worldToWindowCoordinates( scene, - position + position, ); expect(windowCoordinates).toEqualEpsilon( actualWindowCoordinates, - CesiumMath.EPSILON2 + CesiumMath.EPSILON2, ); }); @@ -155,16 +151,14 @@ describe( const actualDrawingBufferCoordinates = new Cartesian2(0.5, 0.5); const position = scene.camera.pickEllipsoid( - actualDrawingBufferCoordinates + actualDrawingBufferCoordinates, ); - const drawingBufferCoordinates = SceneTransforms.worldToDrawingBufferCoordinates( - scene, - position - ); + const drawingBufferCoordinates = + SceneTransforms.worldToDrawingBufferCoordinates(scene, position); expect(drawingBufferCoordinates).toEqualEpsilon( actualDrawingBufferCoordinates, - CesiumMath.EPSILON2 + CesiumMath.EPSILON2, ); }); @@ -179,12 +173,12 @@ describe( Cartesian3.multiplyByScalar( scene.camera.direction, -1, - scene.camera.direction + scene.camera.direction, ); const windowCoordinates = SceneTransforms.worldToWindowCoordinates( scene, - position + position, ); expect(windowCoordinates).not.toBeDefined(); }); @@ -200,13 +194,11 @@ describe( Cartesian3.multiplyByScalar( scene.camera.direction, -1, - scene.camera.direction + scene.camera.direction, ); - const drawingBufferCoordinates = SceneTransforms.worldToDrawingBufferCoordinates( - scene, - position - ); + const drawingBufferCoordinates = + SceneTransforms.worldToDrawingBufferCoordinates(scene, position); expect(drawingBufferCoordinates).not.toBeDefined(); }); @@ -216,7 +208,7 @@ describe( -0.000001, -0.000001, 0.000001, - 0.000001 + 0.000001, ), }); @@ -227,7 +219,7 @@ describe( const position = Cartesian3.fromDegrees(0, 0); const windowCoordinates = SceneTransforms.worldToWindowCoordinates( scene, - position + position, ); expect(windowCoordinates.x).toBeGreaterThan(0.0); @@ -251,14 +243,14 @@ describe( -0.000001, -0.000001, 0.000001, - 0.000001 + 0.000001, ), }); const position = Cartesian3.fromDegrees(0, 0); const windowCoordinates = SceneTransforms.worldToWindowCoordinates( scene, - position + position, ); expect(windowCoordinates.x).toBeGreaterThan(0.0); @@ -274,7 +266,7 @@ describe( -0.000001, -0.000001, 0.000001, - 0.000001 + 0.000001, ), }); @@ -283,10 +275,8 @@ describe( scene.renderForSpecs(); const position = Cartesian3.fromDegrees(0, 0); - const drawingBufferCoordinates = SceneTransforms.worldToDrawingBufferCoordinates( - scene, - position - ); + const drawingBufferCoordinates = + SceneTransforms.worldToDrawingBufferCoordinates(scene, position); expect(drawingBufferCoordinates.x).toBeGreaterThan(0.0); expect(drawingBufferCoordinates.y).toBeGreaterThan(0.0); @@ -308,11 +298,11 @@ describe( const position = Cartesian3.fromDegrees(-80, 25); const windowCoordinates = SceneTransforms.worldToWindowCoordinates( scene, - position + position, ); expect(windowCoordinates).toBeDefined(); scene.destroyForSpecs(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/ScreenSpaceCameraControllerSpec.js b/packages/engine/Specs/Scene/ScreenSpaceCameraControllerSpec.js index d5367349c33a..593ca54b10f9 100644 --- a/packages/engine/Specs/Scene/ScreenSpaceCameraControllerSpec.js +++ b/packages/engine/Specs/Scene/ScreenSpaceCameraControllerSpec.js @@ -77,7 +77,7 @@ describe("Scene/ScreenSpaceCameraController", function () { const offset = Cartesian3.multiplyByScalar( Cartesian3.normalize(new Cartesian3(0.0, -2.0, 1.0), new Cartesian3()), 2.5 * maxRadii, - new Cartesian3() + new Cartesian3(), ); camera = createCamera({ @@ -112,7 +112,7 @@ describe("Scene/ScreenSpaceCameraController", function () { canvas, combine(options, { pointerType: "mouse", - }) + }), ); } else { DomEventSimulator.fireMouseDown(canvas, options); @@ -125,7 +125,7 @@ describe("Scene/ScreenSpaceCameraController", function () { canvas, combine(options, { pointerType: "mouse", - }) + }), ); } else { DomEventSimulator.fireMouseUp(document, options); @@ -138,7 +138,7 @@ describe("Scene/ScreenSpaceCameraController", function () { canvas, combine(options, { pointerType: "mouse", - }) + }), ); } else { DomEventSimulator.fireMouseMove(document, options); @@ -151,14 +151,14 @@ describe("Scene/ScreenSpaceCameraController", function () { canvas, combine({ deltaY: -wheelDelta, - }) + }), ); } else if (document.onmousewheel !== undefined) { DomEventSimulator.fireMouseWheel( canvas, combine({ wheelDelta: wheelDelta, - }) + }), ); } } @@ -250,7 +250,7 @@ describe("Scene/ScreenSpaceCameraController", function () { camera.right = Cartesian3.cross( camera.direction, camera.up, - new Cartesian3() + new Cartesian3(), ); } @@ -277,7 +277,7 @@ describe("Scene/ScreenSpaceCameraController", function () { camera.setView({ destination: Camera.DEFAULT_VIEW_RECTANGLE }); const positionCart = Ellipsoid.WGS84.cartesianToCartographic( - camera.position + camera.position, ); positionCart.height = -100.0; camera.position = Ellipsoid.WGS84.cartographicToCartesian(positionCart); @@ -299,11 +299,11 @@ describe("Scene/ScreenSpaceCameraController", function () { const position = Cartesian3.clone(camera.position); const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); const endPosition = new Cartesian2( canvas.clientWidth / 4, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); moveMouse(MouseButtons.LEFT, startPosition, endPosition); @@ -318,11 +318,11 @@ describe("Scene/ScreenSpaceCameraController", function () { const position = Cartesian3.clone(camera.position); const startPosition = new Cartesian2( canvas.clientWidth / 4, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); moveMouse(MouseButtons.LEFT, startPosition, endPosition); @@ -337,11 +337,11 @@ describe("Scene/ScreenSpaceCameraController", function () { const position = Cartesian3.clone(camera.position); const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); moveMouse(MouseButtons.LEFT, startPosition, endPosition); @@ -356,11 +356,11 @@ describe("Scene/ScreenSpaceCameraController", function () { const position = Cartesian3.clone(camera.position); const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); moveMouse(MouseButtons.LEFT, startPosition, endPosition); @@ -375,11 +375,11 @@ describe("Scene/ScreenSpaceCameraController", function () { const position = Cartesian3.clone(camera.position); const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); camera.up = Cartesian3.negate(Cartesian3.UNIT_X, new Cartesian3()); @@ -398,11 +398,11 @@ describe("Scene/ScreenSpaceCameraController", function () { const frustumDiff = camera.frustum.right - camera.frustum.left; const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); moveMouse(MouseButtons.RIGHT, startPosition, endPosition); @@ -411,7 +411,7 @@ describe("Scene/ScreenSpaceCameraController", function () { expect(position.y).toEqual(camera.position.y); expect(position.z).toEqual(camera.position.z); expect(frustumDiff).toBeGreaterThan( - camera.frustum.right - camera.frustum.left + camera.frustum.right - camera.frustum.left, ); }); @@ -428,11 +428,11 @@ describe("Scene/ScreenSpaceCameraController", function () { const frustumDiff = frustum.right - frustum.left; const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); moveMouse(MouseButtons.RIGHT, startPosition, endPosition); @@ -441,7 +441,7 @@ describe("Scene/ScreenSpaceCameraController", function () { expect(position.y).toEqual(camera.position.y); expect(position.z).toEqual(camera.position.z); expect(frustumDiff).toBeLessThan( - camera.frustum.right - camera.frustum.left + camera.frustum.right - camera.frustum.left, ); }); @@ -456,7 +456,7 @@ describe("Scene/ScreenSpaceCameraController", function () { expect(position.y).toEqual(camera.position.y); expect(position.z).toEqual(camera.position.z); expect(frustumDiff).toBeGreaterThan( - camera.frustum.right - camera.frustum.left + camera.frustum.right - camera.frustum.left, ); }); @@ -478,7 +478,7 @@ describe("Scene/ScreenSpaceCameraController", function () { expect(position.y).toEqual(camera.position.y); expect(position.z).toEqual(camera.position.z); expect(frustumDiff).toBeLessThan( - camera.frustum.right - camera.frustum.left + camera.frustum.right - camera.frustum.left, ); }); @@ -495,11 +495,11 @@ describe("Scene/ScreenSpaceCameraController", function () { const frustumDiff = camera.frustum.right - camera.frustum.left; const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); moveMouse(MouseButtons.RIGHT, startPosition, endPosition); @@ -508,7 +508,7 @@ describe("Scene/ScreenSpaceCameraController", function () { expect(position.y).toEqual(camera.position.y); expect(position.z).toEqual(camera.position.z); expect(frustumDiff).toBeGreaterThan( - camera.frustum.right - camera.frustum.left + camera.frustum.right - camera.frustum.left, ); }); @@ -518,11 +518,11 @@ describe("Scene/ScreenSpaceCameraController", function () { const frustumDiff = camera.frustum.right - camera.frustum.left; const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); moveMouse(MouseButtons.RIGHT, startPosition, endPosition); @@ -547,11 +547,11 @@ describe("Scene/ScreenSpaceCameraController", function () { const frustumDiff = camera.frustum.right - camera.frustum.left; const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); moveMouse(MouseButtons.RIGHT, startPosition, endPosition); @@ -579,7 +579,7 @@ describe("Scene/ScreenSpaceCameraController", function () { const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight + canvas.clientHeight, ); const endPosition = new Cartesian2(canvas.clientWidth / 2, 0); @@ -587,12 +587,12 @@ describe("Scene/ScreenSpaceCameraController", function () { updateController(); expect(camera.frustum.right).toEqualEpsilon( maxZoom * 0.5, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(camera.frustum.left).toEqual(-camera.frustum.right); expect(camera.frustum.top).toEqualEpsilon( maxZoom * 0.25, - CesiumMath.EPSILON10 + CesiumMath.EPSILON10, ); expect(camera.frustum.bottom).toEqual(-camera.frustum.top); }); @@ -604,11 +604,11 @@ describe("Scene/ScreenSpaceCameraController", function () { const position = Cartesian3.clone(camera.position); const startPosition = new Cartesian2( canvas.clientWidth / 4, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); moveMouse(MouseButtons.MIDDLE, startPosition, endPosition); @@ -619,15 +619,15 @@ describe("Scene/ScreenSpaceCameraController", function () { expect(camera.direction).toEqualEpsilon( Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(camera.up).toEqualEpsilon( Cartesian3.negate(Cartesian3.UNIT_X, new Cartesian3()), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(camera.right).toEqualEpsilon( Cartesian3.UNIT_Y, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -638,11 +638,11 @@ describe("Scene/ScreenSpaceCameraController", function () { const position = Cartesian3.clone(camera.position); const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); const endPosition = new Cartesian2( canvas.clientWidth / 4, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); moveMouse(MouseButtons.MIDDLE, startPosition, endPosition); @@ -653,12 +653,12 @@ describe("Scene/ScreenSpaceCameraController", function () { expect(camera.direction).toEqualEpsilon( Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(camera.up).toEqualEpsilon(Cartesian3.UNIT_X, CesiumMath.EPSILON15); expect(camera.right).toEqualEpsilon( Cartesian3.negate(Cartesian3.UNIT_Y, new Cartesian3()), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -669,11 +669,11 @@ describe("Scene/ScreenSpaceCameraController", function () { const position = Cartesian3.clone(camera.position); const startPosition = new Cartesian2( (3 * canvas.clientWidth) / 4, - (3 * canvas.clientHeight) / 4 + (3 * canvas.clientHeight) / 4, ); const endPosition = new Cartesian2( canvas.clientWidth / 4, - (3 * canvas.clientHeight) / 4 + (3 * canvas.clientHeight) / 4, ); moveMouse(MouseButtons.MIDDLE, startPosition, endPosition); @@ -684,15 +684,15 @@ describe("Scene/ScreenSpaceCameraController", function () { expect(camera.direction).toEqualEpsilon( Cartesian3.negate(Cartesian3.UNIT_Z, new Cartesian3()), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(camera.up).toEqualEpsilon( Cartesian3.negate(Cartesian3.UNIT_X, new Cartesian3()), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect(camera.right).toEqualEpsilon( Cartesian3.UNIT_Y, - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); }); @@ -701,11 +701,11 @@ describe("Scene/ScreenSpaceCameraController", function () { const position = Cartesian3.clone(camera.position); const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); const endPosition = new Cartesian2( canvas.clientWidth / 4, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); moveMouse(MouseButtons.LEFT, startPosition, endPosition); @@ -720,11 +720,11 @@ describe("Scene/ScreenSpaceCameraController", function () { const position = Cartesian3.clone(camera.position); const startPosition = new Cartesian2( canvas.clientWidth / 4, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); moveMouse(MouseButtons.LEFT, startPosition, endPosition); @@ -739,11 +739,11 @@ describe("Scene/ScreenSpaceCameraController", function () { const position = Cartesian3.clone(camera.position); const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); moveMouse(MouseButtons.LEFT, startPosition, endPosition); @@ -758,11 +758,11 @@ describe("Scene/ScreenSpaceCameraController", function () { const position = Cartesian3.clone(camera.position); const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); moveMouse(MouseButtons.LEFT, startPosition, endPosition); @@ -778,11 +778,11 @@ describe("Scene/ScreenSpaceCameraController", function () { const position = Cartesian3.clone(camera.position); const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); moveMouse(MouseButtons.LEFT, startPosition, endPosition); @@ -797,24 +797,24 @@ describe("Scene/ScreenSpaceCameraController", function () { const position = Cartesian3.clone(camera.position); const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); moveMouse(MouseButtons.LEFT, startPosition, endPosition, true); updateController(); expect(camera.position).toEqual(position); expect( - Cartesian3.cross(camera.direction, camera.up, new Cartesian3()) + Cartesian3.cross(camera.direction, camera.up, new Cartesian3()), ).toEqualEpsilon(camera.right, CesiumMath.EPSILON12); expect( - Cartesian3.cross(camera.up, camera.right, new Cartesian3()) + Cartesian3.cross(camera.up, camera.right, new Cartesian3()), ).toEqualEpsilon(camera.direction, CesiumMath.EPSILON12); expect( - Cartesian3.cross(camera.right, camera.direction, new Cartesian3()) + Cartesian3.cross(camera.right, camera.direction, new Cartesian3()), ).toEqualEpsilon(camera.up, CesiumMath.EPSILON12); }); @@ -823,11 +823,11 @@ describe("Scene/ScreenSpaceCameraController", function () { const position = Cartesian3.clone(camera.position); const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); moveMouse(MouseButtons.RIGHT, startPosition, endPosition); @@ -842,11 +842,11 @@ describe("Scene/ScreenSpaceCameraController", function () { const position = Cartesian3.clone(camera.position); const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); moveMouse(MouseButtons.RIGHT, startPosition, endPosition); @@ -884,11 +884,11 @@ describe("Scene/ScreenSpaceCameraController", function () { const position = Cartesian3.clone(camera.position); const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); moveMouse(MouseButtons.RIGHT, startPosition, endPosition); @@ -900,11 +900,11 @@ describe("Scene/ScreenSpaceCameraController", function () { setUpCV(); const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); const endPosition = new Cartesian2( (3 * canvas.clientWidth) / 8, - (3 * canvas.clientHeight) / 8 + (3 * canvas.clientHeight) / 8, ); camera.position.y = -100.0; @@ -914,15 +914,15 @@ describe("Scene/ScreenSpaceCameraController", function () { expect( Cartesian3.dot( Cartesian3.normalize(camera.position, new Cartesian3()), - Cartesian3.UNIT_Z - ) + Cartesian3.UNIT_Z, + ), ).toBeGreaterThan(0.0); expect(Cartesian3.dot(camera.direction, Cartesian3.UNIT_Z)).toBeLessThan( - 0.0 + 0.0, ); expect(Cartesian3.dot(camera.up, Cartesian3.UNIT_Z)).toBeGreaterThan(0.0); expect(Cartesian3.dot(camera.right, Cartesian3.UNIT_Z)).toBeLessThan( - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); }); @@ -932,14 +932,14 @@ describe("Scene/ScreenSpaceCameraController", function () { const origin = Cartesian3.fromDegrees(-72.0, 40.0); camera.lookAtTransform( Transforms.eastNorthUpToFixedFrame(origin), - new Cartesian3(1.0, 0.0, 0.0) + new Cartesian3(1.0, 0.0, 0.0), ); const position = Cartesian3.clone(camera.position); const startPosition = new Cartesian2(0, 0); const endPosition = new Cartesian2( canvas.clientWidth / 4, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); moveMouse(MouseButtons.LEFT, startPosition, endPosition); @@ -949,15 +949,15 @@ describe("Scene/ScreenSpaceCameraController", function () { expect(camera.direction).toEqualEpsilon( Cartesian3.normalize( Cartesian3.negate(camera.position, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ), - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect( - Cartesian3.cross(camera.direction, camera.up, new Cartesian3()) + Cartesian3.cross(camera.direction, camera.up, new Cartesian3()), ).toEqualEpsilon(camera.right, CesiumMath.EPSILON14); expect( - Cartesian3.cross(camera.right, camera.direction, new Cartesian3()) + Cartesian3.cross(camera.right, camera.direction, new Cartesian3()), ).toEqualEpsilon(camera.up, CesiumMath.EPSILON14); }); @@ -968,11 +968,11 @@ describe("Scene/ScreenSpaceCameraController", function () { const position = Cartesian3.clone(camera.position); const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); const endPosition = new Cartesian2( (3 * canvas.clientWidth) / 8, - (3 * canvas.clientHeight) / 8 + (3 * canvas.clientHeight) / 8, ); moveMouse(MouseButtons.MIDDLE, startPosition, endPosition); @@ -989,11 +989,11 @@ describe("Scene/ScreenSpaceCameraController", function () { const position = Cartesian3.clone(camera.position); const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); moveMouse(MouseButtons.RIGHT, startPosition, endPosition); @@ -1024,7 +1024,7 @@ describe("Scene/ScreenSpaceCameraController", function () { const startPosition = new Cartesian2(0, canvas.clientHeight / 2); const endPosition = new Cartesian2( 4.0 * canvas.clientWidth, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); moveMouse(MouseButtons.LEFT, startPosition, endPosition); @@ -1041,11 +1041,11 @@ describe("Scene/ScreenSpaceCameraController", function () { const position = Cartesian3.clone(camera.position); const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); const endPosition = new Cartesian2( (3 * canvas.clientWidth) / 8, - (3 * canvas.clientHeight) / 8 + (3 * canvas.clientHeight) / 8, ); moveMouse(MouseButtons.LEFT, startPosition, endPosition); @@ -1055,15 +1055,15 @@ describe("Scene/ScreenSpaceCameraController", function () { expect(camera.direction).toEqualEpsilon( Cartesian3.normalize( Cartesian3.negate(camera.position, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ), - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect( - Cartesian3.cross(camera.direction, camera.up, new Cartesian3()) + Cartesian3.cross(camera.direction, camera.up, new Cartesian3()), ).toEqualEpsilon(camera.right, CesiumMath.EPSILON12); expect( - Cartesian3.cross(camera.right, camera.direction, new Cartesian3()) + Cartesian3.cross(camera.right, camera.direction, new Cartesian3()), ).toEqualEpsilon(camera.up, CesiumMath.EPSILON12); }); @@ -1072,11 +1072,11 @@ describe("Scene/ScreenSpaceCameraController", function () { const position = Cartesian3.clone(camera.position); const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); const endPosition = new Cartesian2( (3 * canvas.clientWidth) / 8, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); camera.constrainedAxis = Cartesian3.clone(Cartesian3.UNIT_Z); @@ -1087,15 +1087,15 @@ describe("Scene/ScreenSpaceCameraController", function () { expect(camera.direction).toEqualEpsilon( Cartesian3.normalize( Cartesian3.negate(camera.position, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ), - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect( - Cartesian3.cross(camera.direction, camera.up, new Cartesian3()) + Cartesian3.cross(camera.direction, camera.up, new Cartesian3()), ).toEqualEpsilon(camera.right, CesiumMath.EPSILON14); expect( - Cartesian3.cross(camera.right, camera.direction, new Cartesian3()) + Cartesian3.cross(camera.right, camera.direction, new Cartesian3()), ).toEqualEpsilon(camera.up, CesiumMath.EPSILON14); }); @@ -1107,11 +1107,11 @@ describe("Scene/ScreenSpaceCameraController", function () { const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); const endPosition = new Cartesian2( (3 * canvas.clientWidth) / 8, - (3 * canvas.clientHeight) / 8 + (3 * canvas.clientHeight) / 8, ); moveMouse(MouseButtons.LEFT, startPosition, endPosition); @@ -1127,7 +1127,7 @@ describe("Scene/ScreenSpaceCameraController", function () { const startPosition = new Cartesian2(0, 0); const endPosition = new Cartesian2( canvas.clientWidth / 4, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); moveMouse(MouseButtons.LEFT, startPosition, endPosition); @@ -1137,15 +1137,15 @@ describe("Scene/ScreenSpaceCameraController", function () { expect(camera.direction).toEqualEpsilon( Cartesian3.normalize( Cartesian3.negate(camera.position, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect( - Cartesian3.cross(camera.direction, camera.up, new Cartesian3()) + Cartesian3.cross(camera.direction, camera.up, new Cartesian3()), ).toEqualEpsilon(camera.right, CesiumMath.EPSILON15); expect( - Cartesian3.cross(camera.right, camera.direction, new Cartesian3()) + Cartesian3.cross(camera.right, camera.direction, new Cartesian3()), ).toEqualEpsilon(camera.up, CesiumMath.EPSILON15); }); @@ -1163,16 +1163,16 @@ describe("Scene/ScreenSpaceCameraController", function () { expect(camera.position.z).toEqualEpsilon( Cartesian3.magnitude(camera.position), - CesiumMath.EPSILON1 + CesiumMath.EPSILON1, ); expect(camera.direction).toEqualEpsilon( Cartesian3.negate(axis, new Cartesian3()), - CesiumMath.EPSILON4 + CesiumMath.EPSILON4, ); expect(Cartesian3.dot(camera.up, axis)).toBeLessThan(CesiumMath.EPSILON2); expect(camera.right).toEqualEpsilon( Cartesian3.cross(camera.direction, camera.up, new Cartesian3()), - CesiumMath.EPSILON4 + CesiumMath.EPSILON4, ); }); @@ -1181,17 +1181,17 @@ describe("Scene/ScreenSpaceCameraController", function () { const position = Cartesian3.clone(camera.position); const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); moveMouse(MouseButtons.RIGHT, startPosition, endPosition); updateController(); expect(Cartesian3.magnitude(position)).toBeGreaterThan( - Cartesian3.magnitude(camera.position) + Cartesian3.magnitude(camera.position), ); }); @@ -1222,7 +1222,7 @@ describe("Scene/ScreenSpaceCameraController", function () { updateController(); expect(Cartesian3.magnitude(position)).toBeGreaterThan( - Cartesian3.magnitude(camera.position) + Cartesian3.magnitude(camera.position), ); }); @@ -1255,7 +1255,7 @@ describe("Scene/ScreenSpaceCameraController", function () { updateController(); expect(Cartesian3.magnitude(position)).toBeGreaterThan( - Cartesian3.magnitude(camera.position) + Cartesian3.magnitude(camera.position), ); }); @@ -1278,7 +1278,7 @@ describe("Scene/ScreenSpaceCameraController", function () { moveMouse(MouseButtons.RIGHT, startPosition, endPosition); updateController(); expect(Cartesian3.magnitude(position)).toBeGreaterThan( - Cartesian3.magnitude(camera.position) + Cartesian3.magnitude(camera.position), ); }); @@ -1287,17 +1287,17 @@ describe("Scene/ScreenSpaceCameraController", function () { const position = Cartesian3.clone(camera.position); const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); moveMouse(MouseButtons.RIGHT, startPosition, endPosition); updateController(); expect(Cartesian3.magnitude(position)).toBeLessThan( - Cartesian3.magnitude(camera.position) + Cartesian3.magnitude(camera.position), ); }); @@ -1305,7 +1305,7 @@ describe("Scene/ScreenSpaceCameraController", function () { setUp3D(); const positionCart = Ellipsoid.WGS84.cartesianToCartographic( - camera.position + camera.position, ); positionCart.height = 0.0; camera.position = Ellipsoid.WGS84.cartographicToCartesian(positionCart); @@ -1316,15 +1316,16 @@ describe("Scene/ScreenSpaceCameraController", function () { const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight * 50 + canvas.clientHeight * 50, ); const endPosition = new Cartesian2(canvas.clientWidth / 2, 0); moveMouse(MouseButtons.RIGHT, startPosition, endPosition); updateController(); - const height = Ellipsoid.WGS84.cartesianToCartographic(camera.position) - .height; + const height = Ellipsoid.WGS84.cartesianToCartographic( + camera.position, + ).height; expect(height).toEqualEpsilon(maxDist, CesiumMath.EPSILON2); }); @@ -1338,7 +1339,7 @@ describe("Scene/ScreenSpaceCameraController", function () { simulateMouseWheel(120); updateController(); expect(Cartesian3.magnitude(position)).toBeGreaterThan( - Cartesian3.magnitude(camera.position) + Cartesian3.magnitude(camera.position), ); expect(camera.heading).toBeCloseTo(heading, 10); expect(camera.pitch).toBeCloseTo(pitch, 10); @@ -1355,7 +1356,7 @@ describe("Scene/ScreenSpaceCameraController", function () { simulateMouseWheel(-120); updateController(); expect(Cartesian3.magnitude(position)).toBeLessThan( - Cartesian3.magnitude(camera.position) + Cartesian3.magnitude(camera.position), ); expect(camera.heading).toBeCloseTo(heading, 10); expect(camera.pitch).toBeCloseTo(pitch, 10); @@ -1378,17 +1379,17 @@ describe("Scene/ScreenSpaceCameraController", function () { const frustumWidth = camera.frustum.width; const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); moveMouse(MouseButtons.RIGHT, startPosition, endPosition); updateController(); expect(Cartesian3.magnitude(position)).toBeGreaterThan( - Cartesian3.magnitude(camera.position) + Cartesian3.magnitude(camera.position), ); expect(frustumWidth).toBeGreaterThan(camera.frustum.width); }); @@ -1409,17 +1410,17 @@ describe("Scene/ScreenSpaceCameraController", function () { const frustumWidth = camera.frustum.width; const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); moveMouse(MouseButtons.RIGHT, startPosition, endPosition); updateController(); expect(Cartesian3.magnitude(position)).toBeLessThan( - Cartesian3.magnitude(camera.position) + Cartesian3.magnitude(camera.position), ); expect(frustumWidth).toBeLessThan(camera.frustum.width); }); @@ -1432,11 +1433,11 @@ describe("Scene/ScreenSpaceCameraController", function () { const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); moveMouse(MouseButtons.RIGHT, startPosition, endPosition); @@ -1444,7 +1445,7 @@ describe("Scene/ScreenSpaceCameraController", function () { const vector = Cartesian3.subtract( camera.position, position, - new Cartesian3() + new Cartesian3(), ); const normalizedVector = Cartesian3.normalize(vector, vector); @@ -1457,11 +1458,11 @@ describe("Scene/ScreenSpaceCameraController", function () { const position = Cartesian3.clone(camera.position); const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); moveMouse(MouseButtons.MIDDLE, startPosition, endPosition); @@ -1470,15 +1471,15 @@ describe("Scene/ScreenSpaceCameraController", function () { expect(camera.direction).not.toEqualEpsilon( Cartesian3.normalize( Cartesian3.negate(camera.position, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ), - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect( - Cartesian3.cross(camera.direction, camera.up, new Cartesian3()) + Cartesian3.cross(camera.direction, camera.up, new Cartesian3()), ).toEqualEpsilon(camera.right, CesiumMath.EPSILON14); expect( - Cartesian3.cross(camera.right, camera.direction, new Cartesian3()) + Cartesian3.cross(camera.right, camera.direction, new Cartesian3()), ).toEqualEpsilon(camera.up, CesiumMath.EPSILON14); const ray = new Ray(camera.positionWC, camera.directionWC); @@ -1491,11 +1492,11 @@ describe("Scene/ScreenSpaceCameraController", function () { const position = Cartesian3.clone(camera.position); const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - (3 * canvas.clientHeight) / 4 + (3 * canvas.clientHeight) / 4, ); moveMouse(MouseButtons.MIDDLE, startPosition, endPosition); @@ -1504,15 +1505,15 @@ describe("Scene/ScreenSpaceCameraController", function () { expect(camera.direction).toEqualEpsilon( Cartesian3.normalize( Cartesian3.negate(camera.position, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ), - CesiumMath.EPSILON15 + CesiumMath.EPSILON15, ); expect( - Cartesian3.cross(camera.direction, camera.up, new Cartesian3()) + Cartesian3.cross(camera.direction, camera.up, new Cartesian3()), ).toEqualEpsilon(camera.right, CesiumMath.EPSILON14); expect( - Cartesian3.cross(camera.right, camera.direction, new Cartesian3()) + Cartesian3.cross(camera.right, camera.direction, new Cartesian3()), ).toEqualEpsilon(camera.up, CesiumMath.EPSILON15); }); @@ -1520,28 +1521,29 @@ describe("Scene/ScreenSpaceCameraController", function () { setUp3D(); const positionCart = Ellipsoid.WGS84.cartesianToCartographic( - camera.position + camera.position, ); positionCart.height = controller.minimumZoomDistance; camera.position = Ellipsoid.WGS84.cartographicToCartesian(positionCart); const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight + canvas.clientHeight, ); const endPosition = new Cartesian2(canvas.clientWidth / 2, 0); moveMouse(MouseButtons.MIDDLE, startPosition, endPosition); updateController(); - const height = Ellipsoid.WGS84.cartesianToCartographic(camera.position) - .height; + const height = Ellipsoid.WGS84.cartesianToCartographic( + camera.position, + ).height; expect(height).toBeLessThan(controller.minimumZoomDistance + 10.0); expect( - Cartesian3.cross(camera.direction, camera.up, new Cartesian3()) + Cartesian3.cross(camera.direction, camera.up, new Cartesian3()), ).toEqualEpsilon(camera.right, CesiumMath.EPSILON14); expect( - Cartesian3.cross(camera.right, camera.direction, new Cartesian3()) + Cartesian3.cross(camera.right, camera.direction, new Cartesian3()), ).toEqualEpsilon(camera.up, CesiumMath.EPSILON14); }); @@ -1553,11 +1555,11 @@ describe("Scene/ScreenSpaceCameraController", function () { const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); moveMouse(MouseButtons.MIDDLE, startPosition, endPosition); @@ -1571,11 +1573,11 @@ describe("Scene/ScreenSpaceCameraController", function () { const position = Cartesian3.clone(camera.position); const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); moveMouse(MouseButtons.LEFT, startPosition, endPosition, true); @@ -1584,17 +1586,17 @@ describe("Scene/ScreenSpaceCameraController", function () { expect(camera.direction).not.toEqual( Cartesian3.normalize( Cartesian3.negate(camera.position, new Cartesian3()), - new Cartesian3() - ) + new Cartesian3(), + ), ); expect( - Cartesian3.cross(camera.direction, camera.up, new Cartesian3()) + Cartesian3.cross(camera.direction, camera.up, new Cartesian3()), ).toEqualEpsilon(camera.right, CesiumMath.EPSILON12); expect( - Cartesian3.cross(camera.up, camera.right, new Cartesian3()) + Cartesian3.cross(camera.up, camera.right, new Cartesian3()), ).toEqualEpsilon(camera.direction, CesiumMath.EPSILON12); expect( - Cartesian3.cross(camera.right, camera.direction, new Cartesian3()) + Cartesian3.cross(camera.right, camera.direction, new Cartesian3()), ).toEqualEpsilon(camera.up, CesiumMath.EPSILON12); }); @@ -1603,17 +1605,17 @@ describe("Scene/ScreenSpaceCameraController", function () { camera.position = new Cartesian3( 0.0, 2.0 * Ellipsoid.WGS84.maximumRadius, - 0.0 + 0.0, ); camera.direction = Cartesian3.normalize( Cartesian3.negate(camera.position, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ); camera.up = Cartesian3.clone(Cartesian3.UNIT_X); camera.right = Cartesian3.cross( camera.direction, camera.up, - new Cartesian3() + new Cartesian3(), ); const axis = Cartesian3.clone(Cartesian3.UNIT_X); @@ -1621,11 +1623,11 @@ describe("Scene/ScreenSpaceCameraController", function () { const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); moveMouse(MouseButtons.LEFT, startPosition, endPosition); @@ -1634,20 +1636,20 @@ describe("Scene/ScreenSpaceCameraController", function () { expect(camera.direction).toEqualEpsilon( Cartesian3.normalize( Cartesian3.negate(camera.position, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ), - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(camera.right).toEqualEpsilon( Cartesian3.normalize( Cartesian3.cross(axis, camera.position, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ), - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(camera.up).toEqualEpsilon( Cartesian3.cross(camera.right, camera.direction, new Cartesian3()), - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); }); @@ -1656,17 +1658,17 @@ describe("Scene/ScreenSpaceCameraController", function () { camera.position = new Cartesian3( 0.0, 2.0 * Ellipsoid.WGS84.maximumRadius, - 0.0 + 0.0, ); camera.direction = Cartesian3.negate( Cartesian3.normalize(camera.position, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ); camera.up = Cartesian3.clone(Cartesian3.UNIT_X); camera.right = Cartesian3.cross( camera.direction, camera.up, - new Cartesian3() + new Cartesian3(), ); const axis = Cartesian3.clone(Cartesian3.UNIT_Z); @@ -1674,30 +1676,30 @@ describe("Scene/ScreenSpaceCameraController", function () { const startPosition = new Cartesian2( canvas.clientWidth * 0.5, - canvas.clientHeight * 0.25 + canvas.clientHeight * 0.25, ); const endPosition = new Cartesian2( canvas.clientWidth * 0.5, - canvas.clientHeight * 0.75 + canvas.clientHeight * 0.75, ); moveMouse(MouseButtons.LEFT, startPosition, endPosition); updateController(); expect(Cartesian3.dot(camera.position, axis)).toBeLessThan( - CesiumMath.EPSILON2 + CesiumMath.EPSILON2, ); expect(camera.direction).toEqualEpsilon( Cartesian3.negate( Cartesian3.normalize(camera.position, new Cartesian3()), - new Cartesian3() + new Cartesian3(), ), - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(camera.right).toEqualEpsilon(axis, CesiumMath.EPSILON12); expect(camera.up).toEqualEpsilon( Cartesian3.cross(camera.right, camera.direction, new Cartesian3()), - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); @@ -1736,11 +1738,11 @@ describe("Scene/ScreenSpaceCameraController", function () { const position = Cartesian3.clone(camera.position); const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); moveMouse(MouseButtons.RIGHT, startPosition, endPosition); @@ -1758,18 +1760,18 @@ describe("Scene/ScreenSpaceCameraController", function () { let position = Cartesian3.clone(camera.position); const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); controller.zoomEventTypes = CameraEventType.LEFT_DRAG; moveMouse(MouseButtons.LEFT, startPosition, endPosition); updateController(); expect(Cartesian3.magnitude(camera.position)).toBeLessThan( - Cartesian3.magnitude(position) + Cartesian3.magnitude(position), ); position = Cartesian3.clone(camera.position); @@ -1780,7 +1782,7 @@ describe("Scene/ScreenSpaceCameraController", function () { moveMouse(MouseButtons.LEFT, endPosition, startPosition, true); updateController(); expect(Cartesian3.magnitude(camera.position)).toBeGreaterThan( - Cartesian3.magnitude(position) + Cartesian3.magnitude(position), ); position = Cartesian3.clone(camera.position); @@ -1794,14 +1796,14 @@ describe("Scene/ScreenSpaceCameraController", function () { moveMouse(MouseButtons.MIDDLE, startPosition, endPosition); updateController(); expect(Cartesian3.magnitude(camera.position)).toBeLessThan( - Cartesian3.magnitude(position) + Cartesian3.magnitude(position), ); position = Cartesian3.clone(camera.position); moveMouse(MouseButtons.LEFT, endPosition, startPosition, true); updateController(); expect(Cartesian3.magnitude(camera.position)).toBeGreaterThan( - Cartesian3.magnitude(position) + Cartesian3.magnitude(position), ); }); @@ -1818,11 +1820,11 @@ describe("Scene/ScreenSpaceCameraController", function () { // Trigger terrain adjustment with a small mouse movement const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); moveMouse(MouseButtons.RIGHT, startPosition, endPosition); @@ -1830,7 +1832,7 @@ describe("Scene/ScreenSpaceCameraController", function () { expect(camera.positionCartographic.height).toEqualEpsilon( controller.minimumZoomDistance, - CesiumMath.EPSILON5 + CesiumMath.EPSILON5, ); }); @@ -1847,11 +1849,11 @@ describe("Scene/ScreenSpaceCameraController", function () { // Trigger terrain adjustment with a small mouse movement const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); moveMouse(MouseButtons.RIGHT, startPosition, endPosition); @@ -1859,7 +1861,7 @@ describe("Scene/ScreenSpaceCameraController", function () { expect(camera.position.z).toEqualEpsilon( controller.minimumZoomDistance, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); @@ -1877,18 +1879,18 @@ describe("Scene/ScreenSpaceCameraController", function () { // Trigger terrain adjustment with a small mouse movement const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); moveMouse(MouseButtons.RIGHT, startPosition, endPosition); updateController(); expect(camera.positionCartographic.height).toBeLessThan( - controller.minimumZoomDistance + controller.minimumZoomDistance, ); }); @@ -1906,11 +1908,11 @@ describe("Scene/ScreenSpaceCameraController", function () { // Trigger terrain adjustment with a small mouse movement const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); moveMouse(MouseButtons.RIGHT, startPosition, endPosition); @@ -1927,24 +1929,24 @@ describe("Scene/ScreenSpaceCameraController", function () { camera.lookAt( Cartesian3.fromDegrees(-72.0, 40.0, 1.0), - new Cartesian3(1.0, 1.0, -10.0) + new Cartesian3(1.0, 1.0, -10.0), ); // Trigger terrain adjustment with a small mouse movement const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); moveMouse(MouseButtons.RIGHT, startPosition, endPosition); updateController(); expect(camera.positionCartographic.height).toBeGreaterThanOrEqual( - controller.minimumZoomDistance + controller.minimumZoomDistance, ); }); @@ -1956,17 +1958,17 @@ describe("Scene/ScreenSpaceCameraController", function () { camera.lookAt( Cartesian3.fromDegrees(-72.0, 40.0, 1.0), - new Cartesian3(1.0, 1.0, -10.0) + new Cartesian3(1.0, 1.0, -10.0), ); // Trigger terrain adjustment with a small mouse movement const startPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 4 + canvas.clientHeight / 4, ); const endPosition = new Cartesian2( canvas.clientWidth / 2, - canvas.clientHeight / 2 + canvas.clientHeight / 2, ); moveMouse(MouseButtons.RIGHT, startPosition, endPosition); @@ -1974,7 +1976,7 @@ describe("Scene/ScreenSpaceCameraController", function () { expect(camera.positionWC.x).toEqualEpsilon( controller.minimumZoomDistance, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); }); diff --git a/packages/engine/Specs/Scene/ShadowMapSpec.js b/packages/engine/Specs/Scene/ShadowMapSpec.js index 762791743a0d..00d862e26af7 100644 --- a/packages/engine/Specs/Scene/ShadowMapSpec.js +++ b/packages/engine/Specs/Scene/ShadowMapSpec.js @@ -84,11 +84,11 @@ describe( const boxOrigin = new Cartesian3.fromRadians( longitude, latitude, - boxHeight + boxHeight, ); const boxTransform = Transforms.headingPitchRollToFixedFrame( boxOrigin, - new HeadingPitchRoll() + new HeadingPitchRoll(), ); const boxScale = 0.5; @@ -99,21 +99,21 @@ describe( const floorOrigin = new Cartesian3.fromRadians( longitude, latitude, - floorHeight + floorHeight, ); const floorTransform = Transforms.headingPitchRollToFixedFrame( floorOrigin, - new HeadingPitchRoll() + new HeadingPitchRoll(), ); const roomOrigin = new Cartesian3.fromRadians( longitude, latitude, - height + height, ); const roomTransform = Transforms.headingPitchRollToFixedFrame( roomOrigin, - new HeadingPitchRoll() + new HeadingPitchRoll(), ); const modelPromises = []; @@ -125,7 +125,7 @@ describe( show: false, }).then(function (model) { box = model; - }) + }), ); modelPromises.push( loadModel({ @@ -135,7 +135,7 @@ describe( show: false, }).then(function (model) { boxTranslucent = model; - }) + }), ); modelPromises.push( loadModel({ @@ -145,7 +145,7 @@ describe( show: false, }).then(function (model) { boxPointLights = model; - }) + }), ); modelPromises.push( loadModel({ @@ -156,7 +156,7 @@ describe( show: false, }).then(function (model) { boxCutout = model; - }) + }), ); modelPromises.push( loadModel({ @@ -165,7 +165,7 @@ describe( show: false, }).then(function (model) { boxNoNormals = model; - }) + }), ); modelPromises.push( loadModel({ @@ -175,7 +175,7 @@ describe( show: false, }).then(function (model) { floor = model; - }) + }), ); modelPromises.push( loadModel({ @@ -185,7 +185,7 @@ describe( show: false, }).then(function (model) { floorTranslucent = model; - }) + }), ); modelPromises.push( loadModel({ @@ -195,7 +195,7 @@ describe( show: false, }).then(function (model) { room = model; - }) + }), ); primitiveBox = createPrimitive(boxTransform, 0.5, Color.RED); @@ -203,7 +203,7 @@ describe( primitiveBoxTranslucent = createPrimitive( boxTransform, 0.5, - Color.RED.withAlpha(0.5) + Color.RED.withAlpha(0.5), ); primitiveFloor = createPrimitive(floorTransform, 2.0, Color.RED); primitiveFloorRTC = createPrimitiveRTC(floorTransform, 2.0, Color.RED); @@ -231,7 +231,7 @@ describe( asynchronous: false, show: false, shadows: ShadowMode.ENABLED, - }) + }), ); } @@ -240,7 +240,7 @@ describe( BoxGeometry.fromDimensions({ vertexFormat: PerInstanceColorAppearance.VERTEX_FORMAT, dimensions: new Cartesian3(size, size, size), - }) + }), ); const positions = boxGeometry.attributes.position.values; @@ -255,7 +255,7 @@ describe( BoundingSphere.transform( boxGeometry.boundingSphere, transform, - boxGeometry.boundingSphere + boxGeometry.boundingSphere, ); const boxGeometryInstance = new GeometryInstance({ @@ -276,7 +276,7 @@ describe( rtcCenter: boxGeometry.boundingSphere.center, show: false, shadows: ShadowMode.ENABLED, - }) + }), ); } @@ -288,7 +288,7 @@ describe( scene.render(); return model.ready; }, - { timeout: 10000 } + { timeout: 10000 }, ); return model; } @@ -328,7 +328,7 @@ describe( const center = new Cartesian3.fromRadians(longitude, latitude, height); scene.camera.lookAt( center, - new HeadingPitchRange(0.0, CesiumMath.toRadians(-70.0), 5.0) + new HeadingPitchRange(0.0, CesiumMath.toRadians(-70.0), 5.0), ); // Create light camera pointing straight down @@ -345,7 +345,7 @@ describe( const center = new Cartesian3.fromRadians(longitude, latitude, height); scene.camera.lookAt( center, - new HeadingPitchRange(0.0, CesiumMath.toRadians(-70.0), 5.0) + new HeadingPitchRange(0.0, CesiumMath.toRadians(-70.0), 5.0), ); // Create light camera pointing straight down @@ -363,7 +363,7 @@ describe( const center = new Cartesian3.fromRadians(longitude, latitude, height); scene.camera.lookAt( center, - new HeadingPitchRange(0.0, CesiumMath.toRadians(-70.0), 5.0) + new HeadingPitchRange(0.0, CesiumMath.toRadians(-70.0), 5.0), ); const frustum = new OrthographicOffCenterFrustum(); @@ -390,7 +390,7 @@ describe( const center = new Cartesian3.fromRadians(longitude, latitude, height); scene.camera.lookAt( center, - new HeadingPitchRange(0.0, CesiumMath.toRadians(-70.0), 5.0) + new HeadingPitchRange(0.0, CesiumMath.toRadians(-70.0), 5.0), ); const lightCamera = new Camera(scene); @@ -411,7 +411,7 @@ describe( const center = new Cartesian3.fromRadians(longitude, latitude, height); scene.camera.lookAt( center, - new HeadingPitchRange(0.0, CesiumMath.toRadians(-70.0), 5.0) + new HeadingPitchRange(0.0, CesiumMath.toRadians(-70.0), 5.0), ); const lightCamera = new Camera(scene); @@ -625,7 +625,7 @@ describe( const center = new Cartesian3.fromRadians(longitude, latitude, height); scene.camera.lookAt( center, - new HeadingPitchRange(0.0, CesiumMath.toRadians(-70.0), 5.0) + new HeadingPitchRange(0.0, CesiumMath.toRadians(-70.0), 5.0), ); // Create light camera that is angled horizontally @@ -640,7 +640,7 @@ describe( // Instead of the default flat tile, add a ridge that will cast shadows spyOn( EllipsoidTerrainProvider.prototype, - "requestTileGeometry" + "requestTileGeometry", ).and.callFake(function () { const width = 16; const height = 16; @@ -689,7 +689,7 @@ describe( const center = new Cartesian3.fromRadians(longitude, latitude, height); scene.camera.lookAt( center, - new HeadingPitchRange(0.0, CesiumMath.toRadians(-70.0), 5.0) + new HeadingPitchRange(0.0, CesiumMath.toRadians(-70.0), 5.0), ); // Create light camera pointing straight down @@ -726,7 +726,7 @@ describe( const center = new Cartesian3.fromRadians(longitude, latitude, height); scene.camera.lookAt( center, - new HeadingPitchRange(0.0, CesiumMath.toRadians(-90.0), 2.0) + new HeadingPitchRange(0.0, CesiumMath.toRadians(-90.0), 2.0), ); // Use the default shadow map which uses the sun as a light source @@ -783,18 +783,18 @@ describe( const lightDirectionAbove = new Cartesian3( -0.22562675028973597, 0.8893549458095356, - -0.3976686433675793 + -0.3976686433675793, ); // Light pointing straight above const lightDirectionAngle = new Cartesian3( 0.14370705890272903, 0.9062077731227641, - -0.3976628636840613 + -0.3976628636840613, ); // Light at an angle const center = new Cartesian3.fromRadians(longitude, latitude, height); scene.camera.lookAt( center, - new HeadingPitchRange(0.0, CesiumMath.toRadians(-90.0), 2.0) + new HeadingPitchRange(0.0, CesiumMath.toRadians(-90.0), 2.0), ); // Use the default shadow map which uses the scene's light source @@ -903,7 +903,7 @@ describe( for (let i = 0; i < 6; ++i) { boxPointLights.modelMatrix = Transforms.headingPitchRollToFixedFrame( origins[i], - new HeadingPitchRoll() + new HeadingPitchRoll(), ); scene.render(); // Model is pre-loaded, render one frame to update the model matrix @@ -1028,7 +1028,7 @@ describe( const center = new Cartesian3.fromRadians(longitude, latitude, height); scene.camera.lookAt( center, - new HeadingPitchRange(0.0, CesiumMath.toRadians(-70.0), 5.0) + new HeadingPitchRange(0.0, CesiumMath.toRadians(-70.0), 5.0), ); // Create light camera pointing straight down @@ -1094,19 +1094,19 @@ describe( if (depthFramebufferSupported(sceneWithWebgl1)) { expect(sceneWithWebgl1.shadowMap._usesDepthTexture).toBe(true); expect( - sceneWithWebgl1.shadowMap._shadowMapTexture.pixelFormat + sceneWithWebgl1.shadowMap._shadowMapTexture.pixelFormat, ).toEqual(PixelFormat.DEPTH_STENCIL); } else { // Depth texture extension is supported, but it fails to create create a depth-only FBO expect(sceneWithWebgl1.shadowMap._usesDepthTexture).toBe(false); expect( - sceneWithWebgl1.shadowMap._shadowMapTexture.pixelFormat + sceneWithWebgl1.shadowMap._shadowMapTexture.pixelFormat, ).toEqual(PixelFormat.RGBA); } } }, undefined, - sceneWithWebgl1 + sceneWithWebgl1, ); sceneWithWebgl1.shadowMap = @@ -1124,11 +1124,11 @@ describe( function (rgba) { expect(sceneWithWebgl1.shadowMap._usesDepthTexture).toBe(false); expect( - sceneWithWebgl1.shadowMap._shadowMapTexture.pixelFormat + sceneWithWebgl1.shadowMap._shadowMapTexture.pixelFormat, ).toEqual(PixelFormat.RGBA); }, undefined, - sceneWithWebgl1 + sceneWithWebgl1, ); sceneWithWebgl1.destroyForSpecs(); @@ -1146,7 +1146,7 @@ describe( const center = new Cartesian3.fromRadians(longitude, latitude, 200000); scene.camera.lookAt( center, - new HeadingPitchRange(0.0, CesiumMath.toRadians(-70.0), 5.0) + new HeadingPitchRange(0.0, CesiumMath.toRadians(-70.0), 5.0), ); renderAndCall(function (rgba) { @@ -1161,7 +1161,7 @@ describe( const center = new Cartesian3.fromRadians(longitude, latitude, height); scene.camera.lookAt( center, - new HeadingPitchRange(0.0, CesiumMath.toRadians(-70.0), 5.0) + new HeadingPitchRange(0.0, CesiumMath.toRadians(-70.0), 5.0), ); // Create light camera pointing straight down @@ -1316,8 +1316,8 @@ describe( if (defined(owner) && owner instanceof Model) { expect( drawCommand.shaderProgram._fragmentShaderText.indexOf( - "czm_shadow" - ) !== -1 + "czm_shadow", + ) !== -1, ).toBe(true); } } @@ -1334,8 +1334,8 @@ describe( if (defined(owner) && owner instanceof Model) { expect( drawCommand.shaderProgram._fragmentShaderText.indexOf( - "czm_shadow" - ) !== -1 + "czm_shadow", + ) !== -1, ).toBe(false); } } @@ -1345,11 +1345,11 @@ describe( it("Model updates derived commands when the shadow map is dirty", function () { const spy1 = spyOn( ShadowMap, - "createReceiveDerivedCommand" + "createReceiveDerivedCommand", ).and.callThrough(); const spy2 = spyOn( ShadowMap, - "createCastDerivedCommand" + "createCastDerivedCommand", ).and.callThrough(); box.show = true; @@ -1477,5 +1477,5 @@ describe( scene.shadowMap = undefined; }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/ShadowVolumeAppearanceSpec.js b/packages/engine/Specs/Scene/ShadowVolumeAppearanceSpec.js index 8eba7af6b61f..060940e59475 100644 --- a/packages/engine/Specs/Scene/ShadowVolumeAppearanceSpec.js +++ b/packages/engine/Specs/Scene/ShadowVolumeAppearanceSpec.js @@ -31,24 +31,26 @@ describe("Scene/ShadowVolumeAppearance", function () { const largeTestRectangle = Rectangle.fromDegrees(-45.0, -45.0, 45.0, 45.0); const smallTestRectangle = Rectangle.fromDegrees(-0.1, -0.1, 0.1, 0.1); - const largeRectangleAttributes = ShadowVolumeAppearance.getSphericalExtentGeometryInstanceAttributes( - largeTestRectangle, - [0, 0, 0, 1, 1, 0], - unitSphereEllipsoid, - projection - ); - const smallRectangleAttributes = ShadowVolumeAppearance.getPlanarTextureCoordinateAttributes( - smallTestRectangle, - [0, 0, 0, 1, 1, 0], - unitSphereEllipsoid, - projection - ); + const largeRectangleAttributes = + ShadowVolumeAppearance.getSphericalExtentGeometryInstanceAttributes( + largeTestRectangle, + [0, 0, 0, 1, 1, 0], + unitSphereEllipsoid, + projection, + ); + const smallRectangleAttributes = + ShadowVolumeAppearance.getPlanarTextureCoordinateAttributes( + smallTestRectangle, + [0, 0, 0, 1, 1, 0], + unitSphereEllipsoid, + projection, + ); const perInstanceColorMaterialAppearance = new PerInstanceColorAppearance(); const flatPerInstanceColorMaterialAppearance = new PerInstanceColorAppearance( { flat: true, - } + }, ); const textureMaterialAppearance = new MaterialAppearance({ @@ -81,13 +83,13 @@ describe("Scene/ShadowVolumeAppearance", function () { const eastMostCartesian = projection.project(eastMostCartographic); let encoded = EncodedCartesian3.encode( eastMostCartesian.x, - longitudeExtentsEncodeScratch + longitudeExtentsEncodeScratch, ); const eastMostYhighDefine = `EAST_MOST_X_HIGH ${encoded.high.toFixed( - `${encoded.high}`.length + 1 + `${encoded.high}`.length + 1, )}`; const eastMostYlowDefine = `EAST_MOST_X_LOW ${encoded.low.toFixed( - `${encoded.low}`.length + 1 + `${encoded.low}`.length + 1, )}`; const westMostCartographic = new Cartographic(); @@ -97,13 +99,13 @@ describe("Scene/ShadowVolumeAppearance", function () { const westMostCartesian = projection.project(westMostCartographic); encoded = EncodedCartesian3.encode( westMostCartesian.x, - longitudeExtentsEncodeScratch + longitudeExtentsEncodeScratch, ); const westMostYhighDefine = `WEST_MOST_X_HIGH ${encoded.high.toFixed( - `${encoded.high}`.length + 1 + `${encoded.high}`.length + 1, )}`; const westMostYlowDefine = `WEST_MOST_X_LOW ${encoded.low.toFixed( - `${encoded.low}`.length + 1 + `${encoded.low}`.length + 1, )}`; it("provides attributes for computing texture coordinates from Spherical extents", function () { @@ -116,24 +118,24 @@ describe("Scene/ShadowVolumeAppearance", function () { let value = sphericalExtents.value; expect(value[0]).toEqualEpsilon( -CesiumMath.PI_OVER_FOUR, - CesiumMath.EPSILON4 + CesiumMath.EPSILON4, ); expect(value[1]).toEqualEpsilon( -CesiumMath.PI_OVER_FOUR, - CesiumMath.EPSILON4 + CesiumMath.EPSILON4, ); expect(value[2]).toEqualEpsilon( 1.0 / CesiumMath.PI_OVER_TWO, - CesiumMath.EPSILON4 + CesiumMath.EPSILON4, ); expect(value[3]).toEqualEpsilon( 1.0 / CesiumMath.PI_OVER_TWO, - CesiumMath.EPSILON4 + CesiumMath.EPSILON4, ); const longitudeRotation = attributes.longitudeRotation; expect(longitudeRotation.componentDatatype).toEqual( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(longitudeRotation.componentsPerAttribute).toEqual(1); expect(longitudeRotation.normalize).toEqual(false); @@ -167,46 +169,46 @@ describe("Scene/ShadowVolumeAppearance", function () { expect(southWest_HIGH.value[2]).toEqualEpsilon(0.0, CesiumMath.EPSILON7); expect(southWest_LOW.value[0]).toBeGreaterThan( - Math.cos(CesiumMath.toRadians(0.2)) + Math.cos(CesiumMath.toRadians(0.2)), ); // Expect eastward and northward to be unit-direction vectors in the ENU coordinate system at the rectangle center const smallRectangleCenter = Cartographic.toCartesian( Rectangle.center(smallTestRectangle), - unitSphereEllipsoid + unitSphereEllipsoid, ); const enuMatrix = Transforms.eastNorthUpToFixedFrame( smallRectangleCenter, - unitSphereEllipsoid + unitSphereEllipsoid, ); const inverseEnu = Matrix4.inverse(enuMatrix, new Matrix4()); let eastwardENU = Matrix4.multiplyByPointAsVector( inverseEnu, Cartesian3.fromArray(eastward.value), - new Cartesian3() + new Cartesian3(), ); eastwardENU = Cartesian3.normalize(eastwardENU, eastwardENU); expect( Cartesian3.equalsEpsilon( eastwardENU, Cartesian3.UNIT_X, - CesiumMath.EPSILON7 - ) + CesiumMath.EPSILON7, + ), ).toBe(true); let northwardENU = Matrix4.multiplyByPointAsVector( inverseEnu, Cartesian3.fromArray(northward.value), - new Cartesian3() + new Cartesian3(), ); northwardENU = Cartesian3.normalize(northwardENU, northwardENU); expect( Cartesian3.equalsEpsilon( northwardENU, Cartesian3.UNIT_Y, - CesiumMath.EPSILON7 - ) + CesiumMath.EPSILON7, + ), ).toBe(true); }); @@ -234,19 +236,19 @@ describe("Scene/ShadowVolumeAppearance", function () { let lowValue = planes2D_LOW.value; expect(lowValue[0]).toEqualEpsilon( southwestCartesian.x, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(lowValue[1]).toEqualEpsilon( southwestCartesian.y, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(lowValue[2]).toEqualEpsilon( -southwestCartesian.y, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(lowValue[3]).toEqualEpsilon( -southwestCartesian.x, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); // Small case @@ -262,31 +264,32 @@ describe("Scene/ShadowVolumeAppearance", function () { lowValue = smallRectangleAttributes.planes2D_LOW.value; expect(lowValue[0]).toEqualEpsilon( southwestCartesian.x, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(lowValue[1]).toEqualEpsilon( southwestCartesian.y, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(lowValue[2]).toEqualEpsilon( -southwestCartesian.y, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(lowValue[3]).toEqualEpsilon( -southwestCartesian.x, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); it("provides attributes for rotating texture coordinates", function () { // 90 degree rotation of a square, so "max" in Y direction is (0,0), "max" in X direction is (1,1) - const attributes = ShadowVolumeAppearance.getPlanarTextureCoordinateAttributes( - smallTestRectangle, - [1, 0, 0, 0, 1, 1], - unitSphereEllipsoid, - projection, - 0.0 - ); + const attributes = + ShadowVolumeAppearance.getPlanarTextureCoordinateAttributes( + smallTestRectangle, + [1, 0, 0, 0, 1, 1], + unitSphereEllipsoid, + projection, + 0.0, + ); const uMaxVmax = attributes.uMaxVmax; const uvMinAndExtents = attributes.uvMinAndExtents; @@ -315,35 +318,35 @@ describe("Scene/ShadowVolumeAppearance", function () { it("checks for spherical extent attributes", function () { expect( ShadowVolumeAppearance.hasAttributesForSphericalExtents( - smallRectangleAttributes - ) + smallRectangleAttributes, + ), ).toBe(false); expect( ShadowVolumeAppearance.hasAttributesForSphericalExtents( - largeRectangleAttributes - ) + largeRectangleAttributes, + ), ).toBe(true); }); it("checks for planar texture coordinate attributes", function () { expect( ShadowVolumeAppearance.hasAttributesForTextureCoordinatePlanes( - smallRectangleAttributes - ) + smallRectangleAttributes, + ), ).toBe(true); expect( ShadowVolumeAppearance.hasAttributesForTextureCoordinatePlanes( - largeRectangleAttributes - ) + largeRectangleAttributes, + ), ).toBe(false); }); it("checks if a rectangle should use spherical texture coordinates", function () { expect( - ShadowVolumeAppearance.shouldUseSphericalCoordinates(smallTestRectangle) + ShadowVolumeAppearance.shouldUseSphericalCoordinates(smallTestRectangle), ).toBe(false); expect( - ShadowVolumeAppearance.shouldUseSphericalCoordinates(largeTestRectangle) + ShadowVolumeAppearance.shouldUseSphericalCoordinates(largeTestRectangle), ).toBe(true); }); @@ -352,13 +355,13 @@ describe("Scene/ShadowVolumeAppearance", function () { const sphericalTexturedAppearance = new ShadowVolumeAppearance( true, false, - textureMaterialAppearance + textureMaterialAppearance, ); let shaderSource = sphericalTexturedAppearance.createVertexShader( [], testVs, false, - projection + projection, ); let defines = shaderSource.defines; expect(defines.length).toEqual(2); @@ -370,7 +373,7 @@ describe("Scene/ShadowVolumeAppearance", function () { [], testVs, true, - projection + projection, ); defines = shaderSource.defines; expect(defines.length).toEqual(6); @@ -386,13 +389,13 @@ describe("Scene/ShadowVolumeAppearance", function () { const sphericalUnculledColorAppearance = new ShadowVolumeAppearance( false, false, - perInstanceColorMaterialAppearance + perInstanceColorMaterialAppearance, ); shaderSource = sphericalUnculledColorAppearance.createVertexShader( [], testVs, false, - projection + projection, ); defines = shaderSource.defines; expect(defines.length).toEqual(1); @@ -403,7 +406,7 @@ describe("Scene/ShadowVolumeAppearance", function () { [], testVs, true, - projection + projection, ); defines = shaderSource.defines; expect(defines.length).toEqual(5); @@ -419,13 +422,13 @@ describe("Scene/ShadowVolumeAppearance", function () { const planarTexturedAppearance = new ShadowVolumeAppearance( false, true, - textureMaterialAppearance + textureMaterialAppearance, ); shaderSource = planarTexturedAppearance.createVertexShader( [], testVs, false, - projection + projection, ); defines = shaderSource.defines; expect(defines.indexOf("TEXTURE_COORDINATES")).not.toEqual(-1); @@ -435,7 +438,7 @@ describe("Scene/ShadowVolumeAppearance", function () { [], testVs, true, - projection + projection, ); defines = shaderSource.defines; expect(defines.indexOf("TEXTURE_COORDINATES")).not.toEqual(-1); @@ -454,13 +457,13 @@ describe("Scene/ShadowVolumeAppearance", function () { const sphericalTexturedAppearance = new ShadowVolumeAppearance( true, false, - textureMaterialAppearance + textureMaterialAppearance, ); let shaderSource = sphericalTexturedAppearance.createPickVertexShader( [], testVs, false, - projection + projection, ); let defines = shaderSource.defines; expect(defines.length).toEqual(2); @@ -472,7 +475,7 @@ describe("Scene/ShadowVolumeAppearance", function () { [], testVs, true, - projection + projection, ); defines = shaderSource.defines; expect(defines.length).toEqual(6); @@ -488,13 +491,13 @@ describe("Scene/ShadowVolumeAppearance", function () { const sphericalUnculledColorAppearance = new ShadowVolumeAppearance( false, false, - perInstanceColorMaterialAppearance + perInstanceColorMaterialAppearance, ); shaderSource = sphericalUnculledColorAppearance.createPickVertexShader( [], testVs, false, - projection + projection, ); defines = shaderSource.defines; expect(defines.length).toEqual(0); @@ -504,7 +507,7 @@ describe("Scene/ShadowVolumeAppearance", function () { [], testVs, true, - projection + projection, ); defines = shaderSource.defines; @@ -519,13 +522,13 @@ describe("Scene/ShadowVolumeAppearance", function () { const planarTexturedAppearance = new ShadowVolumeAppearance( false, true, - textureMaterialAppearance + textureMaterialAppearance, ); shaderSource = planarTexturedAppearance.createPickVertexShader( [], testVs, false, - projection + projection, ); defines = shaderSource.defines; expect(defines.length).toEqual(0); @@ -534,7 +537,7 @@ describe("Scene/ShadowVolumeAppearance", function () { [], testVs, true, - projection + projection, ); defines = shaderSource.defines; @@ -551,7 +554,7 @@ describe("Scene/ShadowVolumeAppearance", function () { const sphericalTexturedAppearance = new ShadowVolumeAppearance( true, false, - textureMaterialAppearance + textureMaterialAppearance, ); let shaderSource = sphericalTexturedAppearance.createFragmentShader(false); let defines = shaderSource.defines; @@ -588,7 +591,7 @@ describe("Scene/ShadowVolumeAppearance", function () { const planarColorAppearance = new ShadowVolumeAppearance( true, true, - perInstanceColorMaterialAppearance + perInstanceColorMaterialAppearance, ); shaderSource = planarColorAppearance.createFragmentShader(false); defines = shaderSource.defines; @@ -616,7 +619,7 @@ describe("Scene/ShadowVolumeAppearance", function () { const flatSphericalTexturedAppearance = new ShadowVolumeAppearance( true, false, - flatTextureMaterialAppearance + flatTextureMaterialAppearance, ); shaderSource = flatSphericalTexturedAppearance.createFragmentShader(false); defines = shaderSource.defines; @@ -635,7 +638,7 @@ describe("Scene/ShadowVolumeAppearance", function () { const flatSphericalColorAppearance = new ShadowVolumeAppearance( false, false, - flatPerInstanceColorMaterialAppearance + flatPerInstanceColorMaterialAppearance, ); shaderSource = flatSphericalColorAppearance.createFragmentShader(false); defines = shaderSource.defines; diff --git a/packages/engine/Specs/Scene/SingleTileImageryProviderSpec.js b/packages/engine/Specs/Scene/SingleTileImageryProviderSpec.js index 41205f38c341..f1cc95c6848f 100644 --- a/packages/engine/Specs/Scene/SingleTileImageryProviderSpec.js +++ b/packages/engine/Specs/Scene/SingleTileImageryProviderSpec.js @@ -34,7 +34,7 @@ describe("Scene/SingleTileImageryProvider", function () { new SingleTileImageryProvider({ url: "Data/Images/Red16x16.png", tileWidth: 16, - }) + }), ).toThrowDeveloperError(); expect( @@ -42,19 +42,19 @@ describe("Scene/SingleTileImageryProvider", function () { new SingleTileImageryProvider({ url: "Data/Images/Red16x16.png", tileHeight: 16, - }) + }), ).toThrowDeveloperError(); }); it("fromUrl throws without url", async function () { await expectAsync( - SingleTileImageryProvider.fromUrl() + SingleTileImageryProvider.fromUrl(), ).toBeRejectedWithDeveloperError(); }); it("fromUrl resolves to created provider", async function () { const provider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); expect(provider).toBeInstanceOf(SingleTileImageryProvider); }); @@ -70,16 +70,16 @@ describe("Scene/SingleTileImageryProvider", function () { it("fromUrl throws on failed request", async function () { await expectAsync( - SingleTileImageryProvider.fromUrl("invalid.image.url") + SingleTileImageryProvider.fromUrl("invalid.image.url"), ).toBeRejectedWithError( RuntimeError, - "Failed to load image invalid.image.url" + "Failed to load image invalid.image.url", ); }); it("returns valid value for hasAlphaChannel", async function () { const provider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); expect(typeof provider.hasAlphaChannel).toBe("boolean"); @@ -112,7 +112,7 @@ describe("Scene/SingleTileImageryProvider", function () { "Data/Images/Red16x16.png", { ellipsoid: ellipsoid, - } + }, ); expect(provider.tilingScheme.ellipsoid).toEqual(ellipsoid); @@ -121,19 +121,17 @@ describe("Scene/SingleTileImageryProvider", function () { it("requests the single image immediately upon construction", async function () { const imageUrl = "Data/Images/Red16x16.png"; - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - const url = request.url; - expect(url).toEqual(imageUrl); - Resource._DefaultImplementations.createImage( - request, - crossOrigin, - deferred - ); - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + const url = request.url; + expect(url).toEqual(imageUrl); + Resource._DefaultImplementations.createImage( + request, + crossOrigin, + deferred, + ); + }, + ); const provider = await SingleTileImageryProvider.fromUrl(imageUrl); @@ -146,19 +144,17 @@ describe("Scene/SingleTileImageryProvider", function () { it("lazy loads image when constructed with tile height and tile width", async function () { const imageUrl = "Data/Images/Red16x16.png"; - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - const url = request.url; - expect(url).toEqual(imageUrl); - Resource._DefaultImplementations.createImage( - request, - crossOrigin, - deferred - ); - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + const url = request.url; + expect(url).toEqual(imageUrl); + Resource._DefaultImplementations.createImage( + request, + crossOrigin, + deferred, + ); + }, + ); const provider = new SingleTileImageryProvider({ url: imageUrl, @@ -175,7 +171,7 @@ describe("Scene/SingleTileImageryProvider", function () { it("turns the supplied credit into a logo", async function () { const provider = await SingleTileImageryProvider.fromUrl( - "Data/Images/Red16x16.png" + "Data/Images/Red16x16.png", ); expect(provider.credit).toBeUndefined(); @@ -184,7 +180,7 @@ describe("Scene/SingleTileImageryProvider", function () { "Data/Images/Red16x16.png", { credit: "Thanks to our awesome made up source of this imagery!", - } + }, ); expect(providerWithCredit.credit).toBeDefined(); @@ -211,14 +207,14 @@ describe("Scene/SingleTileImageryProvider", function () { Resource._Implementations.createImage = function ( request, crossOrigin, - deferred + deferred, ) { if (tries === 2) { // Succeed after 2 tries Resource._DefaultImplementations.createImage( new Request({ url: "Data/Images/Red16x16.png" }), crossOrigin, - deferred + deferred, ); } else { // fail diff --git a/packages/engine/Specs/Scene/SkyAtmosphereSpec.js b/packages/engine/Specs/Scene/SkyAtmosphereSpec.js index 71a215cff6cb..6f0622a80d15 100644 --- a/packages/engine/Specs/Scene/SkyAtmosphereSpec.js +++ b/packages/engine/Specs/Scene/SkyAtmosphereSpec.js @@ -172,5 +172,5 @@ describe( expect(s.isDestroyed()).toEqual(true); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/SkyBoxSpec.js b/packages/engine/Specs/Scene/SkyBoxSpec.js index 435fff1d6b17..4db7c8702d18 100644 --- a/packages/engine/Specs/Scene/SkyBoxSpec.js +++ b/packages/engine/Specs/Scene/SkyBoxSpec.js @@ -12,11 +12,11 @@ describe( beforeAll(function () { scene = createScene(); - return Resource.fetchImage("./Data/Images/Blue.png").then(function ( - image - ) { - loadedImage = image; - }); + return Resource.fetchImage("./Data/Images/Blue.png").then( + function (image) { + loadedImage = image; + }, + ); }); afterAll(function () { @@ -356,5 +356,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/SpecularEnvironmentCubeMapSpec.js b/packages/engine/Specs/Scene/SpecularEnvironmentCubeMapSpec.js index 500386e89389..e264444a9fd0 100644 --- a/packages/engine/Specs/Scene/SpecularEnvironmentCubeMapSpec.js +++ b/packages/engine/Specs/Scene/SpecularEnvironmentCubeMapSpec.js @@ -178,11 +178,14 @@ describe( const direction = directions[key]; const expectedColor = directionalColors[mipLevel][key]; - sampleCubeMap(cubeMap, direction, mipLevel, function ( - cubeMapColor - ) { - expect(cubeMapColor).toEqualEpsilon(expectedColor, 1); - }); + sampleCubeMap( + cubeMap, + direction, + mipLevel, + function (cubeMapColor) { + expect(cubeMapColor).toEqualEpsilon(expectedColor, 1); + }, + ); } } } @@ -232,9 +235,9 @@ describe( cubeMap.update(frameState); return defined(error); }, - { timeout: 10000 } + { timeout: 10000 }, ); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/SphereEmitterSpec.js b/packages/engine/Specs/Scene/SphereEmitterSpec.js index 7b050fecc814..122605274517 100644 --- a/packages/engine/Specs/Scene/SphereEmitterSpec.js +++ b/packages/engine/Specs/Scene/SphereEmitterSpec.js @@ -48,10 +48,10 @@ describe("Scene/SphereEmitter", function () { for (let i = 0; i < 1000; ++i) { emitter.emit(particle); expect(Cartesian3.magnitude(particle.position)).toBeLessThanOrEqual( - emitter.radius + emitter.radius, ); expect(particle.velocity).toEqual( - Cartesian3.normalize(particle.position, new Cartesian3()) + Cartesian3.normalize(particle.position, new Cartesian3()), ); } }); diff --git a/packages/engine/Specs/Scene/StructuralMetadataSpec.js b/packages/engine/Specs/Scene/StructuralMetadataSpec.js index 1b396273f39b..d1853327fa84 100644 --- a/packages/engine/Specs/Scene/StructuralMetadataSpec.js +++ b/packages/engine/Specs/Scene/StructuralMetadataSpec.js @@ -195,10 +195,10 @@ describe("Scene/StructuralMetadata", function () { expect(propertyAttribute.class).toBe(pointsClass); expect(propertyAttribute.getProperty("color").attribute).toBe("_COLOR"); expect(propertyAttribute.getProperty("intensity").attribute).toBe( - "_INTENSITY" + "_INTENSITY", ); expect(propertyAttribute.getProperty("pointSize").attribute).toBe( - "_POINT_SIZE" + "_POINT_SIZE", ); expect(metadata.propertyTablesByteLength).toBe(0); diff --git a/packages/engine/Specs/Scene/SunSpec.js b/packages/engine/Specs/Scene/SunSpec.js index caa9fd397934..9637568d61e4 100644 --- a/packages/engine/Specs/Scene/SunSpec.js +++ b/packages/engine/Specs/Scene/SunSpec.js @@ -112,5 +112,5 @@ describe( expect(sun.isDestroyed()).toEqual(true); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/TerrainFillMeshSpec.js b/packages/engine/Specs/Scene/TerrainFillMeshSpec.js index cf3589dd19af..9726006d3c12 100644 --- a/packages/engine/Specs/Scene/TerrainFillMeshSpec.js +++ b/packages/engine/Specs/Scene/TerrainFillMeshSpec.js @@ -70,7 +70,7 @@ describe("Scene/TerrainFillMesh", function () { }; frameState.cullingVolume.computeVisibility.and.returnValue( - Intersect.INTERSECTING + Intersect.INTERSECTING, ); imageryLayerCollection = new ImageryLayerCollection(); @@ -90,7 +90,7 @@ describe("Scene/TerrainFillMesh", function () { processor = new TerrainTileProcessor( frameState, mockTerrain, - imageryLayerCollection + imageryLayerCollection, ); processor.mockWebGL(); @@ -115,18 +115,10 @@ describe("Scene/TerrainFillMesh", function () { height: 3, createdByUpsampling: false, buffer: new Float32Array([ - 15.0, - 16.0, - 17.0, - 22.0, - 23.0, - 24.0, - 29.0, - 30.0, - 31.0, + 15.0, 16.0, 17.0, 22.0, 23.0, 24.0, 29.0, 30.0, 31.0, ]), }), - west + west, ) .createMeshWillSucceed(west); @@ -137,18 +129,10 @@ describe("Scene/TerrainFillMesh", function () { height: 3, createdByUpsampling: false, buffer: new Float32Array([ - 31.0, - 32.0, - 33.0, - 38.0, - 39.0, - 40.0, - 45.0, - 46.0, - 47.0, + 31.0, 32.0, 33.0, 38.0, 39.0, 40.0, 45.0, 46.0, 47.0, ]), }), - south + south, ) .createMeshWillSucceed(south); @@ -159,18 +143,10 @@ describe("Scene/TerrainFillMesh", function () { height: 3, createdByUpsampling: false, buffer: new Float32Array([ - 19.0, - 20.0, - 21.0, - 26.0, - 27.0, - 28.0, - 33.0, - 34.0, - 35.0, + 19.0, 20.0, 21.0, 26.0, 27.0, 28.0, 33.0, 34.0, 35.0, ]), }), - east + east, ) .createMeshWillSucceed(east); @@ -181,18 +157,10 @@ describe("Scene/TerrainFillMesh", function () { height: 3, createdByUpsampling: false, buffer: new Float32Array([ - 3.0, - 4.0, - 5.0, - 10.0, - 11.0, - 12.0, - 17.0, - 18.0, - 19.0, + 3.0, 4.0, 5.0, 10.0, 11.0, 12.0, 17.0, 18.0, 19.0, ]), }), - north + north, ) .createMeshWillSucceed(north); @@ -203,18 +171,10 @@ describe("Scene/TerrainFillMesh", function () { height: 3, createdByUpsampling: false, buffer: new Float32Array([ - 29.0, - 30.0, - 31.0, - 36.0, - 37.0, - 38.0, - 43.0, - 44.0, - 45.0, + 29.0, 30.0, 31.0, 36.0, 37.0, 38.0, 43.0, 44.0, 45.0, ]), }), - southwest + southwest, ) .createMeshWillSucceed(southwest); @@ -225,18 +185,10 @@ describe("Scene/TerrainFillMesh", function () { height: 3, createdByUpsampling: false, buffer: new Float32Array([ - 33.0, - 34.0, - 35.0, - 40.0, - 41.0, - 42.0, - 47.0, - 48.0, - 49.0, + 33.0, 34.0, 35.0, 40.0, 41.0, 42.0, 47.0, 48.0, 49.0, ]), }), - southeast + southeast, ) .createMeshWillSucceed(southeast); @@ -247,18 +199,10 @@ describe("Scene/TerrainFillMesh", function () { height: 3, createdByUpsampling: false, buffer: new Float32Array([ - 1.0, - 2.0, - 3.0, - 8.0, - 9.0, - 10.0, - 15.0, - 16.0, - 17.0, + 1.0, 2.0, 3.0, 8.0, 9.0, 10.0, 15.0, 16.0, 17.0, ]), }), - northwest + northwest, ) .createMeshWillSucceed(northwest); @@ -269,18 +213,10 @@ describe("Scene/TerrainFillMesh", function () { height: 3, createdByUpsampling: false, buffer: new Float32Array([ - 5.0, - 6.0, - 7.0, - 12.0, - 13.0, - 14.0, - 19.0, - 20.0, - 21.0, + 5.0, 6.0, 7.0, 12.0, 13.0, 14.0, 19.0, 20.0, 21.0, ]), }), - northeast + northeast, ) .createMeshWillSucceed(northeast); }); @@ -415,24 +351,24 @@ describe("Scene/TerrainFillMesh", function () { expect(ne.northwestMesh).toBeUndefined(); expect( - sw.eastTiles[0] === centerSE || se.westTiles[0] === centerSW + sw.eastTiles[0] === centerSE || se.westTiles[0] === centerSW, ).toBe(true); expect( - nw.eastTiles[0] === centerNE || ne.westTiles[0] === centerNW + nw.eastTiles[0] === centerNE || ne.westTiles[0] === centerNW, ).toBe(true); expect( - sw.northTiles[0] === centerNW || nw.southTiles[0] === centerSW + sw.northTiles[0] === centerNW || nw.southTiles[0] === centerSW, ).toBe(true); expect( - se.northTiles[0] === centerNE || ne.southTiles[0] === centerSE + se.northTiles[0] === centerNE || ne.southTiles[0] === centerSE, ).toBe(true); expect( - sw.northeastTile === centerNE || ne.southwestTile === centerSW + sw.northeastTile === centerNE || ne.southwestTile === centerSW, ).toBe(true); expect( - nw.southeastTile === centerSE || se.northwestTile === centerNW + nw.southeastTile === centerSE || se.northwestTile === centerNW, ).toBe(true); }); }); @@ -556,7 +492,7 @@ describe("Scene/TerrainFillMesh", function () { it("adjusts existing fill tiles when an adjacent fill tile changes", function () { const dontLoad = [east, south, southeast]; dontLoad.forEach( - mockTerrain.requestTileGeometryWillDefer.bind(mockTerrain) + mockTerrain.requestTileGeometryWillDefer.bind(mockTerrain), ); const tiles = [ @@ -602,22 +538,22 @@ describe("Scene/TerrainFillMesh", function () { expectVertexCount(southeast.data.fill, 5); expect(getHeight(center.data.fill, 1.0, 0.0)).toBe( - getHeight(southeast.data.fill, 0.0, 1.0) + getHeight(southeast.data.fill, 0.0, 1.0), ); expect(getHeight(center.data.fill, 1.0, 0.0)).toBe( - getHeight(south.data.fill, 1.0, 1.0) + getHeight(south.data.fill, 1.0, 1.0), ); expect(getHeight(center.data.fill, 1.0, 0.0)).toBe( - getHeight(east.data.fill, 0.0, 0.0) + getHeight(east.data.fill, 0.0, 0.0), ); expect(getHeight(center.data.fill, 1.0, 1.0)).toBe( - getHeight(east.data.fill, 0.0, 1.0) + getHeight(east.data.fill, 0.0, 1.0), ); expect(getHeight(east.data.fill, 1.0, 0.0)).toBe( - getHeight(southeast.data.fill, 1.0, 1.0) + getHeight(southeast.data.fill, 1.0, 1.0), ); expect(getHeight(south.data.fill, 1.0, 0.0)).toBe( - getHeight(southeast.data.fill, 0.0, 0.0) + getHeight(southeast.data.fill, 0.0, 0.0), ); // Now load the south tile. @@ -628,18 +564,10 @@ describe("Scene/TerrainFillMesh", function () { height: 3, createdByUpsampling: false, buffer: new Float32Array([ - 31.0, - 32.0, - 33.0, - 38.0, - 39.0, - 40.0, - 45.0, - 46.0, - 47.0, + 31.0, 32.0, 33.0, 38.0, 39.0, 40.0, 45.0, 46.0, 47.0, ]), }), - south + south, ) .createMeshWillSucceed(south); @@ -675,7 +603,7 @@ describe("Scene/TerrainFillMesh", function () { expectVertex(southeast.data.fill, 0.0, 1.0, 33.0); expect(getHeight(east.data.fill, 1.0, 0.0)).toBe( - getHeight(southeast.data.fill, 1.0, 1.0) + getHeight(southeast.data.fill, 1.0, 1.0), ); }); }); @@ -905,13 +833,13 @@ describe("Scene/TerrainFillMesh", function () { fillSW, 1.0, 1.0, - ((31.0 + 32.0) / 2 + (31.0 + 24.0) / 2) / 2 + ((31.0 + 32.0) / 2 + (31.0 + 24.0) / 2) / 2, ); expectVertex( fillSW, 0.5, 0.5, - ((31.0 + 32.0) / 2 + (31.0 + 24.0) / 2) / 2 + ((31.0 + 32.0) / 2 + (31.0 + 24.0) / 2) / 2, ); expectVertexCount(fillSE, 5); @@ -921,7 +849,7 @@ describe("Scene/TerrainFillMesh", function () { fillSE, 0.0, 1.0, - ((32.0 + 33.0) / 2 + (33.0 + 26.0) / 2) / 2 + ((32.0 + 33.0) / 2 + (33.0 + 26.0) / 2) / 2, ); expectVertex(fillSE, 1.0, 1.0, (33.0 + 26.0) / 2); expectVertex(fillSE, 0.5, 0.5, (33.0 + (33.0 + 26.0) / 2) / 2); @@ -932,7 +860,7 @@ describe("Scene/TerrainFillMesh", function () { fillNW, 1.0, 0.0, - ((17.0 + 18.0) / 2 + (17.0 + 24.0) / 2) / 2 + ((17.0 + 18.0) / 2 + (17.0 + 24.0) / 2) / 2, ); expectVertex(fillNW, 0.0, 1.0, 17.0); expectVertex(fillNW, 1.0, 1.0, (17.0 + 18.0) / 2); @@ -943,7 +871,7 @@ describe("Scene/TerrainFillMesh", function () { fillNE, 0.0, 0.0, - ((19.0 + 26.0) / 2 + (18.0 + 19.0) / 2) / 2 + ((19.0 + 26.0) / 2 + (18.0 + 19.0) / 2) / 2, ); expectVertex(fillNE, 1.0, 0.0, (19.0 + 26.0) / 2); expectVertex(fillNE, 0.0, 1.0, (18.0 + 19.0) / 2); @@ -952,7 +880,7 @@ describe("Scene/TerrainFillMesh", function () { fillNE, 0.5, 0.5, - ((18.0 + 19.0) / 2 + (19.0 + 26.0) / 2) / 2 + ((18.0 + 19.0) / 2 + (19.0 + 26.0) / 2) / 2, ); }); }); @@ -975,7 +903,7 @@ describe("Scene/TerrainFillMesh", function () { createdByUpsampling: false, buffer: new Float32Array([1.0, 1.0, 1.5, 1.5]), }), - westN + westN, ) .createMeshWillSucceed(westN); @@ -987,7 +915,7 @@ describe("Scene/TerrainFillMesh", function () { createdByUpsampling: false, buffer: new Float32Array([1.5, 1.5, 2.0, 2.0]), }), - westS + westS, ) .createMeshWillSucceed(westS); @@ -999,7 +927,7 @@ describe("Scene/TerrainFillMesh", function () { createdByUpsampling: false, buffer: new Float32Array([3.0, 3.0, 3.5, 3.5]), }), - eastN + eastN, ) .createMeshWillSucceed(eastN); @@ -1011,7 +939,7 @@ describe("Scene/TerrainFillMesh", function () { createdByUpsampling: false, buffer: new Float32Array([3.5, 3.5, 4.0, 4.0]), }), - eastS + eastS, ) .createMeshWillSucceed(eastS); @@ -1023,7 +951,7 @@ describe("Scene/TerrainFillMesh", function () { createdByUpsampling: false, buffer: new Float32Array([5.0, 5.5, 5.0, 5.5]), }), - northW + northW, ) .createMeshWillSucceed(northW); @@ -1035,7 +963,7 @@ describe("Scene/TerrainFillMesh", function () { createdByUpsampling: false, buffer: new Float32Array([5.5, 6.0, 6.5, 6.0]), }), - northE + northE, ) .createMeshWillSucceed(northE); @@ -1047,7 +975,7 @@ describe("Scene/TerrainFillMesh", function () { createdByUpsampling: false, buffer: new Float32Array([7.0, 7.5, 7.0, 7.5]), }), - southW + southW, ) .createMeshWillSucceed(southW); @@ -1059,7 +987,7 @@ describe("Scene/TerrainFillMesh", function () { createdByUpsampling: false, buffer: new Float32Array([7.5, 8.0, 7.5, 8.0]), }), - southE + southE, ) .createMeshWillSucceed(southE); @@ -1131,18 +1059,10 @@ describe("Scene/TerrainFillMesh", function () { height: 3, createdByUpsampling: false, buffer: new Float32Array([ - 1.0, - 2.0, - 3.0, - 4.0, - 5.0, - 6.0, - 7.0, - 8.0, - 9.0, + 1.0, 2.0, 3.0, 4.0, 5.0, 6.0, 7.0, 8.0, 9.0, ]), }), - westernHemisphere + westernHemisphere, ) .createMeshWillSucceed(westernHemisphere); @@ -1150,7 +1070,7 @@ describe("Scene/TerrainFillMesh", function () { .process([westernHemisphere, easternHemisphere]) .then(function () { const fill = (easternHemisphere.data.fill = new TerrainFillMesh( - easternHemisphere + easternHemisphere, )); fill.eastTiles.push(westernHemisphere); @@ -1173,18 +1093,10 @@ describe("Scene/TerrainFillMesh", function () { height: 3, createdByUpsampling: false, buffer: new Float32Array([ - 10.0, - 11.0, - 12.0, - 13.0, - 14.0, - 15.0, - 16.0, - 17.0, - 18.0, + 10.0, 11.0, 12.0, 13.0, 14.0, 15.0, 16.0, 17.0, 18.0, ]), }), - easternHemisphere + easternHemisphere, ) .createMeshWillSucceed(easternHemisphere); mockTerrain.requestTileGeometryWillDefer(westernHemisphere); @@ -1193,7 +1105,7 @@ describe("Scene/TerrainFillMesh", function () { .process([westernHemisphere, easternHemisphere]) .then(function () { const fill = (westernHemisphere.data.fill = new TerrainFillMesh( - westernHemisphere + westernHemisphere, )); fill.westTiles.push(easternHemisphere); @@ -1226,13 +1138,13 @@ describe("Scene/TerrainFillMesh", function () { const tc = encoding.decodeTextureCoordinates( vertices, i, - textureCoordinateScratch + textureCoordinateScratch, ); const vertexHeight = encoding.decodeHeight(vertices, i); const vertexPosition = encoding.decodePosition( vertices, i, - positionScratch + positionScratch, ); if ( Math.abs(u - tc.x) < 1e-5 && @@ -1245,7 +1157,7 @@ describe("Scene/TerrainFillMesh", function () { latitude, vertexHeight, undefined, - expectedPositionScratch + expectedPositionScratch, ); expect(vertexPosition).toEqualEpsilon(expectedPosition, 1); return vertexHeight; diff --git a/packages/engine/Specs/Scene/TextureAtlasSpec.js b/packages/engine/Specs/Scene/TextureAtlasSpec.js index 5b93cc1eca42..4d67dc7f3fd0 100644 --- a/packages/engine/Specs/Scene/TextureAtlasSpec.js +++ b/packages/engine/Specs/Scene/TextureAtlasSpec.js @@ -37,34 +37,34 @@ describe( greenImage = image; greenGuid = createGuid(); }), - Resource.fetchImage("./Data/Images/Green1x4.png").then(function ( - image - ) { - tallGreenImage = image; - tallGreenGuid = createGuid(); - }), + Resource.fetchImage("./Data/Images/Green1x4.png").then( + function (image) { + tallGreenImage = image; + tallGreenGuid = createGuid(); + }, + ), Resource.fetchImage("./Data/Images/Blue.png").then(function (image) { blueImage = image; blueGuid = createGuid(); }), - Resource.fetchImage("./Data/Images/Red16x16.png").then(function ( - image - ) { - bigRedImage = image; - bigRedGuid = createGuid(); - }), - Resource.fetchImage("./Data/Images/Blue10x10.png").then(function ( - image - ) { - bigBlueImage = image; - bigBlueGuid = createGuid(); - }), - Resource.fetchImage("./Data/Images/Green4x4.png").then(function ( - image - ) { - bigGreenImage = image; - bigGreenGuid = createGuid(); - }), + Resource.fetchImage("./Data/Images/Red16x16.png").then( + function (image) { + bigRedImage = image; + bigRedGuid = createGuid(); + }, + ), + Resource.fetchImage("./Data/Images/Blue10x10.png").then( + function (image) { + bigBlueImage = image; + bigBlueGuid = createGuid(); + }, + ), + Resource.fetchImage("./Data/Images/Green4x4.png").then( + function (image) { + bigGreenImage = image; + bigGreenGuid = createGuid(); + }, + ), ]); }); @@ -331,53 +331,53 @@ describe( expect(c0.y).toEqualEpsilon(2.0 / atlasHeight, CesiumMath.EPSILON16); expect(c0.width).toEqualEpsilon( greenImage.width / atlasWidth, - CesiumMath.EPSILON16 + CesiumMath.EPSILON16, ); expect(c0.height).toEqualEpsilon( greenImage.height / atlasHeight, - CesiumMath.EPSILON16 + CesiumMath.EPSILON16, ); expect(c1.x).toEqualEpsilon( (greenImage.width + 2 * atlas.borderWidthInPixels) / atlasWidth, - CesiumMath.EPSILON16 + CesiumMath.EPSILON16, ); expect(c1.y).toEqualEpsilon(2.0 / atlasHeight, CesiumMath.EPSILON16); expect(c1.width).toEqualEpsilon( blueImage.width / atlasWidth, - CesiumMath.EPSILON16 + CesiumMath.EPSILON16, ); expect(c1.height).toEqualEpsilon( blueImage.width / atlasHeight, - CesiumMath.EPSILON16 + CesiumMath.EPSILON16, ); expect(c2.x).toEqualEpsilon(2.0 / atlasWidth, CesiumMath.EPSILON16); expect(c2.y).toEqualEpsilon( (bigRedImage.height + atlas.borderWidthInPixels) / atlasHeight, - CesiumMath.EPSILON16 + CesiumMath.EPSILON16, ); expect(c2.width).toEqualEpsilon( bigRedImage.width / atlasWidth, - CesiumMath.EPSILON16 + CesiumMath.EPSILON16, ); expect(c2.height).toEqualEpsilon( bigRedImage.height / atlasHeight, - CesiumMath.EPSILON16 + CesiumMath.EPSILON16, ); expect(c3.x).toEqualEpsilon(2.0 / atlasWidth, CesiumMath.EPSILON16); expect(c3.y).toEqualEpsilon( (greenImage.height + 2 * atlas.borderWidthInPixels) / atlasHeight, - CesiumMath.EPSILON16 + CesiumMath.EPSILON16, ); expect(c3.width).toEqualEpsilon( bigBlueImage.width / atlasWidth, - CesiumMath.EPSILON16 + CesiumMath.EPSILON16, ); expect(c3.height).toEqualEpsilon( bigBlueImage.height / atlasHeight, - CesiumMath.EPSILON16 + CesiumMath.EPSILON16, ); }); @@ -553,10 +553,10 @@ describe( expect(texture.height).toEqual(atlasHeight); expect(coordinates[greenIndex].x).toEqual( - atlas.borderWidthInPixels / atlasWidth + atlas.borderWidthInPixels / atlasWidth, ); expect(coordinates[greenIndex].y).toEqual( - atlas.borderWidthInPixels / atlasHeight + atlas.borderWidthInPixels / atlasHeight, ); expect(coordinates[greenIndex].width).toEqual(1.0 / atlasWidth); expect(coordinates[greenIndex].height).toEqual(1.0 / atlasHeight); @@ -610,10 +610,10 @@ describe( expect(coordinates[index].x).toEqual(0.0 / atlasWidth); expect(coordinates[index].y).toEqual(0.0 / atlasHeight); expect(coordinates[index].width).toEqual( - tallGreenImage.width / atlasWidth + tallGreenImage.width / atlasWidth, ); expect(coordinates[index].height).toEqual( - tallGreenImage.height / atlasHeight + tallGreenImage.height / atlasHeight, ); }); }); @@ -700,19 +700,19 @@ describe( const index1 = await atlas.addSubRegion( greenGuid, - new BoundingRectangle(0.0, 0.0, 0.5, 0.5) + new BoundingRectangle(0.0, 0.0, 0.5, 0.5), ); const index2 = await atlas.addSubRegion( greenGuid, - new BoundingRectangle(0.0, 0.5, 0.5, 0.5) + new BoundingRectangle(0.0, 0.5, 0.5, 0.5), ); const index3 = await atlas.addSubRegion( greenGuid, - new BoundingRectangle(0.5, 0.0, 0.5, 0.5) + new BoundingRectangle(0.5, 0.0, 0.5, 0.5), ); const index4 = await atlas.addSubRegion( greenGuid, - new BoundingRectangle(0.5, 0.5, 0.5, 0.5) + new BoundingRectangle(0.5, 0.5, 0.5, 0.5), ); expect(atlas.numberOfImages).toEqual(5); @@ -755,19 +755,19 @@ describe( const index1 = await atlas.addSubRegion( greenGuid, - new BoundingRectangle(0.0, 0.0, 0.5, 0.5) + new BoundingRectangle(0.0, 0.0, 0.5, 0.5), ); const index2 = await atlas.addSubRegion( greenGuid, - new BoundingRectangle(0.0, 0.5, 0.5, 0.5) + new BoundingRectangle(0.0, 0.5, 0.5, 0.5), ); const index3 = await atlas.addSubRegion( greenGuid, - new BoundingRectangle(0.5, 0.0, 0.5, 0.5) + new BoundingRectangle(0.5, 0.0, 0.5, 0.5), ); const index4 = await atlas.addSubRegion( greenGuid, - new BoundingRectangle(0.5, 0.5, 0.5, 0.5) + new BoundingRectangle(0.5, 0.5, 0.5, 0.5), ); expect(atlas.numberOfImages).toEqual(5); @@ -914,5 +914,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/TileBoundingRegionSpec.js b/packages/engine/Specs/Scene/TileBoundingRegionSpec.js index 92beba6e486f..99f0a8e6c101 100644 --- a/packages/engine/Specs/Scene/TileBoundingRegionSpec.js +++ b/packages/engine/Specs/Scene/TileBoundingRegionSpec.js @@ -22,7 +22,7 @@ describe("Scene/TileBoundingRegion", function () { regionBox[0], regionBox[1], regionBox[2], - regionBox[3] + regionBox[3], ); const tileBoundingRegion = new TileBoundingRegion({ maximumHeight: boundingVolumeRegion[5], @@ -92,7 +92,7 @@ describe("Scene/TileBoundingRegion", function () { camera.position = Cartesian3.fromRadians( regionBox[0] + CesiumMath.EPSILON6, regionBox[1], - 0 + 0, ); expect(tileBoundingRegion.distanceToCamera(frameState)).toEqual(0.0); }); @@ -101,7 +101,7 @@ describe("Scene/TileBoundingRegion", function () { camera.position = Cartesian3.fromRadians(regionBox[0], regionBox[1], 2.0); expect(tileBoundingRegion.distanceToCamera(frameState)).toEqualEpsilon( 1.0, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); }); @@ -122,7 +122,7 @@ describe("Scene/TileBoundingRegion", function () { camera.position = Cartesian3.fromRadians(0.0, 0.0, 20.0); expect(tile.distanceToCamera(frameState)).toEqualEpsilon( 10.0, - CesiumMath.EPSILON3 + CesiumMath.EPSILON3, ); // Inside rectangle, below height @@ -133,29 +133,29 @@ describe("Scene/TileBoundingRegion", function () { camera.position = Cartesian3.fromRadians( west - offset, south - offset, - 0.0 + 0.0, ); const southwestPosition = Cartesian3.fromRadians(west, south); let expectedDistance = Cartesian3.distance( camera.position, - southwestPosition + southwestPosition, ); expect(tile.distanceToCamera(frameState)).toEqualEpsilon( expectedDistance, - CesiumMath.EPSILON1 + CesiumMath.EPSILON1, ); // From northeast camera.position = Cartesian3.fromRadians( east + offset, north + offset, - 0.0 + 0.0, ); const northeastPosition = Cartesian3.fromRadians(east, north); expectedDistance = Cartesian3.distance(camera.position, northeastPosition); expect(tile.distanceToCamera(frameState)).toEqualEpsilon( expectedDistance, - CesiumMath.EPSILON1 + CesiumMath.EPSILON1, ); }); @@ -168,7 +168,7 @@ describe("Scene/TileBoundingRegion", function () { const cameraPositionCartographic = new Cartographic( (rectangle.west + rectangle.east) * 0.5, rectangle.south, - 0.0 + 0.0, ); cameraPositionCartographic.south -= CesiumMath.EPSILON8; @@ -181,10 +181,10 @@ describe("Scene/TileBoundingRegion", function () { camera.position = ellipsoid.cartographicToCartesian( cameraPositionCartographic, - new Cartesian3() + new Cartesian3(), ); expect(tile.distanceToCamera(frameState)).toBeLessThan( - CesiumMath.EPSILON8 * ellipsoid.maximumRadius + CesiumMath.EPSILON8 * ellipsoid.maximumRadius, ); }); @@ -197,7 +197,7 @@ describe("Scene/TileBoundingRegion", function () { const cameraPositionCartographic = new Cartographic( (rectangle.west + rectangle.east) * 0.5, rectangle.north, - 0.0 + 0.0, ); cameraPositionCartographic.north += CesiumMath.EPSILON8; @@ -210,10 +210,10 @@ describe("Scene/TileBoundingRegion", function () { camera.position = ellipsoid.cartographicToCartesian( cameraPositionCartographic, - new Cartesian3() + new Cartesian3(), ); expect(tile.distanceToCamera(frameState)).toBeLessThan( - CesiumMath.EPSILON8 * ellipsoid.maximumRadius + CesiumMath.EPSILON8 * ellipsoid.maximumRadius, ); }); @@ -244,16 +244,16 @@ describe("Scene/TileBoundingRegion", function () { const distance2D = Cartesian2.distance(southwest2D, position2D); const height = Ellipsoid.WGS84.radii.x; const expectedDistance = Math.sqrt( - distance2D * distance2D + height * height + distance2D * distance2D + height * height, ); camera.position = Cartesian3.fromRadians( position3D.longitude, - position3D.latitude + position3D.latitude, ); expect(tile.distanceToCamera(frameState)).toEqualEpsilon( expectedDistance, - 10.0 + 10.0, ); }); @@ -279,11 +279,11 @@ describe("Scene/TileBoundingRegion", function () { Cartesian3.normalize(Cartesian3.fromRadians(0.0, 0.0, 1.0), normal); const distanceFromCenter = Cartesian3.distance( new Cartesian3(0.0, 0.0, 0.0), - Cartesian3.fromRadians(0.0, 0.0, 0.0) + Cartesian3.fromRadians(0.0, 0.0, 0.0), ); const plane = new Plane(normal, -distanceFromCenter); expect(tileBoundingRegion.intersectPlane(plane)).toEqual( - Intersect.INTERSECTING + Intersect.INTERSECTING, ); }); }); diff --git a/packages/engine/Specs/Scene/TileBoundingS2CellSpec.js b/packages/engine/Specs/Scene/TileBoundingS2CellSpec.js index 61f0d8f20f1b..54f955f3fef8 100644 --- a/packages/engine/Specs/Scene/TileBoundingS2CellSpec.js +++ b/packages/engine/Specs/Scene/TileBoundingS2CellSpec.js @@ -82,30 +82,30 @@ describe("Scene/TileBoundingS2Cell", function () { // Test against the top plane. const topPlane = Plane.clone( tileS2Cell._boundingPlanes[0], - topPlaneScratch + topPlaneScratch, ); topPlane.distance -= testDistance; camera.position = Plane.projectPointOntoPlane(topPlane, tileS2Cell.center); expect(tileS2Cell.distanceToCamera(frameState)).toEqualEpsilon( testDistance, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); // Test against the first side plane. const sidePlane0 = Plane.clone( tileS2Cell._boundingPlanes[2], - sidePlane0Scratch + sidePlane0Scratch, ); const edgeOne = Cartesian3.midpoint( tileS2Cell._vertices[0], tileS2Cell._vertices[1], - edgeOneScratch + edgeOneScratch, ); const edgeTwo = Cartesian3.midpoint( tileS2Cell._vertices[4], tileS2Cell._vertices[5], - edgeTwoScratch + edgeTwoScratch, ); const faceCenter = Cartesian3.midpoint(edgeOne, edgeTwo, faceCenterScratch); @@ -114,7 +114,7 @@ describe("Scene/TileBoundingS2Cell", function () { camera.position = Plane.projectPointOntoPlane(sidePlane0, faceCenter); expect(tileS2Cell.distanceToCamera(frameState)).toEqualEpsilon( testDistance, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); @@ -127,38 +127,38 @@ describe("Scene/TileBoundingS2Cell", function () { camera.position = Cartesian3.midpoint( tileS2Cell._vertices[0], tileS2Cell._vertices[1], - edgeMidpointScratch + edgeMidpointScratch, ); camera.position.z -= testDistance; expect(tileS2Cell.distanceToCamera(frameState)).toEqualEpsilon( testDistance, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); // Test with first and second side planes. camera.position = Cartesian3.midpoint( tileS2Cell._vertices[0], tileS2Cell._vertices[4], - edgeMidpointScratch + edgeMidpointScratch, ); camera.position.x -= 1; camera.position.z -= 1; expect(tileS2Cell.distanceToCamera(frameState)).toEqualEpsilon( Math.SQRT2, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); // Test with bottom plane and second side plane. Handles the obtuse dihedral angle case. camera.position = Cartesian3.midpoint( tileS2Cell._vertices[5], tileS2Cell._vertices[6], - edgeMidpointScratch + edgeMidpointScratch, ); camera.position.x -= 10000; camera.position.y -= 1; expect(tileS2Cell.distanceToCamera(frameState)).toEqualEpsilon( 10000, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); @@ -171,7 +171,7 @@ describe("Scene/TileBoundingS2Cell", function () { camera.position.z += 1; expect(tileS2Cell.distanceToCamera(frameState)).toEqualEpsilon( Math.sqrt(3), - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); @@ -180,7 +180,7 @@ describe("Scene/TileBoundingS2Cell", function () { camera.position = new Cartesian3(-Ellipsoid.WGS84.maximumRadius, 0, 0); expect(tileS2Cell.distanceToCamera(frameState)).toEqualEpsilon( Ellipsoid.WGS84.maximumRadius + tileS2Cell._boundingPlanes[1].distance, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); @@ -203,7 +203,7 @@ describe("Scene/TileBoundingS2Cell", function () { it("intersects plane", function () { expect(tileS2Cell.intersectPlane(Plane.ORIGIN_ZX_PLANE)).toEqual( - Intersect.INTERSECTING + Intersect.INTERSECTING, ); const outsidePlane = Plane.clone(Plane.ORIGIN_YZ_PLANE); @@ -211,7 +211,7 @@ describe("Scene/TileBoundingS2Cell", function () { expect(tileS2Cell.intersectPlane(outsidePlane)).toEqual(Intersect.OUTSIDE); expect(tileS2Cell.intersectPlane(Plane.ORIGIN_YZ_PLANE)).toEqual( - Intersect.INSIDE + Intersect.INSIDE, ); }); }); diff --git a/packages/engine/Specs/Scene/TileBoundingSphereSpec.js b/packages/engine/Specs/Scene/TileBoundingSphereSpec.js index 32c87cb0078f..02cf2668b452 100644 --- a/packages/engine/Specs/Scene/TileBoundingSphereSpec.js +++ b/packages/engine/Specs/Scene/TileBoundingSphereSpec.js @@ -12,7 +12,7 @@ import createFrameState from "../../../../Specs/createFrameState.js"; describe("Scene/TileBoundingSphere", function () { const tileBoundingSphere = new TileBoundingSphere( new Cartesian3(0.0, 0.0, 0.0), - 1.0 + 1.0, ); const frameState = createFrameState(); @@ -64,7 +64,7 @@ describe("Scene/TileBoundingSphere", function () { const normal = new Cartesian3(0.0, 0.0, 1.0); const plane = new Plane(normal, CesiumMath.EPSILON6); expect(tileBoundingSphere.intersectPlane(plane)).toEqual( - Intersect.INTERSECTING + Intersect.INTERSECTING, ); }); }); diff --git a/packages/engine/Specs/Scene/TileCoordinatesImageryProviderSpec.js b/packages/engine/Specs/Scene/TileCoordinatesImageryProviderSpec.js index b4af4378078b..60e793aa9990 100644 --- a/packages/engine/Specs/Scene/TileCoordinatesImageryProviderSpec.js +++ b/packages/engine/Specs/Scene/TileCoordinatesImageryProviderSpec.js @@ -9,7 +9,7 @@ import { describe("Scene/TileCoordinatesImageryProvider", function () { it("conforms to ImageryProvider interface", function () { expect(TileCoordinatesImageryProvider).toConformToInterface( - ImageryProvider + ImageryProvider, ); }); @@ -37,11 +37,11 @@ describe("Scene/TileCoordinatesImageryProvider", function () { expect(provider.tileDiscardPolicy).toBeUndefined(); expect(provider.rectangle).toEqual(new GeographicTilingScheme().rectangle); - return Promise.resolve(provider.requestImage(0, 0, 0)).then(function ( - image - ) { - expect(image).toBeDefined(); - }); + return Promise.resolve(provider.requestImage(0, 0, 0)).then( + function (image) { + expect(image).toBeDefined(); + }, + ); }); it("uses alternate tiling scheme if provided", function () { diff --git a/packages/engine/Specs/Scene/TileMapServiceImageryProviderSpec.js b/packages/engine/Specs/Scene/TileMapServiceImageryProviderSpec.js index 72494dceb68c..0e766f18b399 100644 --- a/packages/engine/Specs/Scene/TileMapServiceImageryProviderSpec.js +++ b/packages/engine/Specs/Scene/TileMapServiceImageryProviderSpec.js @@ -47,7 +47,7 @@ describe("Scene/TileMapServiceImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { // We can't resolve the promise immediately, because then the error would be raised // before we could subscribe to it. This a problem particular to tests. @@ -67,7 +67,7 @@ describe("Scene/TileMapServiceImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { // We can't resolve the promise immediately, because then the error would be raised // before we could subscribe to it. This a problem particular to tests. @@ -97,14 +97,14 @@ describe("Scene/TileMapServiceImageryProvider", function () { it("fromUrl throws without url", async function () { await expectAsync( - TileMapServiceImageryProvider.fromUrl() + TileMapServiceImageryProvider.fromUrl(), ).toBeRejectedWithDeveloperError(); }); it("fromUrl resolves to created provider", async function () { patchRequestScheduler(validSampleXmlString); const provider = await TileMapServiceImageryProvider.fromUrl( - "made/up/tms/server/" + "made/up/tms/server/", ); expect(provider).toBeInstanceOf(TileMapServiceImageryProvider); }); @@ -135,12 +135,12 @@ describe("Scene/TileMapServiceImageryProvider", function () { "</TileMap>"; patchRequestScheduler(xmlString); await expectAsync( - TileMapServiceImageryProvider.fromUrl("made/up/tms/server") + TileMapServiceImageryProvider.fromUrl("made/up/tms/server"), ).toBeRejectedWithError( RuntimeError, new RegExp( - "made/up/tms/server/tilemapresource.xml specifies an unsupported profile attribute, foobar." - ) + "made/up/tms/server/tilemapresource.xml specifies an unsupported profile attribute, foobar.", + ), ); }); @@ -159,17 +159,17 @@ describe("Scene/TileMapServiceImageryProvider", function () { "</TileMap>"; patchRequestScheduler(xmlString); await expectAsync( - TileMapServiceImageryProvider.fromUrl("made/up/tms/server") + TileMapServiceImageryProvider.fromUrl("made/up/tms/server"), ).toBeRejectedWithError( RuntimeError, - new RegExp("Unable to find expected tilesets or bbox attributes") + new RegExp("Unable to find expected tilesets or bbox attributes"), ); }); it("returns valid value for hasAlphaChannel", async function () { patchRequestScheduler(validSampleXmlString); const provider = await TileMapServiceImageryProvider.fromUrl( - "made/up/tms/server/" + "made/up/tms/server/", ); expect(typeof provider.hasAlphaChannel).toBe("boolean"); @@ -180,20 +180,18 @@ describe("Scene/TileMapServiceImageryProvider", function () { const baseUrl = "made/up/tms/server/"; const provider = await TileMapServiceImageryProvider.fromUrl(baseUrl); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).toStartWith(getAbsoluteUri(baseUrl)); - - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).toStartWith(getAbsoluteUri(baseUrl)); + + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); const image = await provider.requestImage(0, 0, 0); expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -203,23 +201,21 @@ describe("Scene/TileMapServiceImageryProvider", function () { it("supports no slash at the end of the URL", async function () { patchRequestScheduler(validSampleXmlString); const provider = await TileMapServiceImageryProvider.fromUrl( - "http://made/up/tms/server" + "http://made/up/tms/server", ); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).toContain("made/up/tms/server/"); - - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).toContain("made/up/tms/server/"); + + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); const image = await provider.requestImage(0, 0, 0); expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -230,23 +226,21 @@ describe("Scene/TileMapServiceImageryProvider", function () { patchRequestScheduler(validSampleXmlString); const baseUrl = "made/up/tms/server/"; const provider = await TileMapServiceImageryProvider.fromUrl( - `${baseUrl}?a=some&b=query` + `${baseUrl}?a=some&b=query`, ); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).toStartWith(getAbsoluteUri(baseUrl)); - expect(request.url).toContain("?a=some&b=query"); - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).toStartWith(getAbsoluteUri(baseUrl)); + expect(request.url).toContain("?a=some&b=query"); + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); const image = await provider.requestImage(0, 0, 0); expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -256,7 +250,7 @@ describe("Scene/TileMapServiceImageryProvider", function () { it("requestImage returns a promise for an image and loads it for cross-origin use", async function () { patchRequestScheduler(validSampleXmlString); const provider = await TileMapServiceImageryProvider.fromUrl( - "made/up/tms/server/" + "made/up/tms/server/", ); // check some details about the tilemapresource.xml so we know we got parsed/configured properly @@ -267,18 +261,16 @@ describe("Scene/TileMapServiceImageryProvider", function () { expect(provider.tileWidth).toEqual(256); expect(provider.tileHeight).toEqual(256); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); const image = await provider.requestImage(0, 0, 0); expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -288,7 +280,7 @@ describe("Scene/TileMapServiceImageryProvider", function () { it("when no credit is supplied, the provider has no logo", async function () { patchRequestScheduler(validSampleXmlString); const provider = await TileMapServiceImageryProvider.fromUrl( - "made/up/tms/server/" + "made/up/tms/server/", ); expect(provider.credit).toBeUndefined(); }); @@ -299,28 +291,30 @@ describe("Scene/TileMapServiceImageryProvider", function () { "made/up/gms/server", { credit: "Thanks to our awesome made up source of this imagery!", - } + }, ); expect(provider.credit).toBeDefined(); }); it("resource request takes a query string", async function () { /*eslint-disable no-unused-vars*/ - spyOn(Resource._Implementations, "loadWithXhr").and.callFake(function ( - url, - responseType, - method, - data, - headers, - deferred, - overrideMimeType - ) { - expect(/\?query=1$/.test(url)).toEqual(true); - deferred.reject(new RequestErrorEvent(404)); //since the TMS server doesn't exist (and doesn't need too) we can just reject here. - }); + spyOn(Resource._Implementations, "loadWithXhr").and.callFake( + function ( + url, + responseType, + method, + data, + headers, + deferred, + overrideMimeType, + ) { + expect(/\?query=1$/.test(url)).toEqual(true); + deferred.reject(new RequestErrorEvent(404)); //since the TMS server doesn't exist (and doesn't need too) we can just reject here. + }, + ); const provider = await TileMapServiceImageryProvider.fromUrl( - "http://server.invalid?query=1" + "http://server.invalid?query=1", ); }); @@ -332,7 +326,7 @@ describe("Scene/TileMapServiceImageryProvider", function () { "made/up/tms/server", { rectangle: rectangle, - } + }, ); // check some values coming from tilemapresource.xml @@ -343,36 +337,34 @@ describe("Scene/TileMapServiceImageryProvider", function () { // check our rectangle from the constructor is correctly used expect(provider.rectangle.west).toEqualEpsilon( rectangle.west, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(provider.rectangle.east).toEqualEpsilon( rectangle.east, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(provider.rectangle.north).toEqualEpsilon( rectangle.north, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(provider.rectangle.south).toEqualEpsilon( rectangle.south, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(provider.tileDiscardPolicy).toBeUndefined(); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).toContain("/0/0/0"); - - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).toContain("/0/0/0"); + + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); const image = await provider.requestImage(0, 0, 0); expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -385,7 +377,7 @@ describe("Scene/TileMapServiceImageryProvider", function () { "made/up/tms/server", { maximumLevel: 5, - } + }, ); expect(provider.maximumLevel).toEqual(5); @@ -393,9 +385,8 @@ describe("Scene/TileMapServiceImageryProvider", function () { it("raises error event when image cannot be loaded", async function () { patchRequestScheduler(validSampleXmlString); - const provider = await TileMapServiceImageryProvider.fromUrl( - "made/up/tms/server" - ); + const provider = + await TileMapServiceImageryProvider.fromUrl("made/up/tms/server"); const layer = new ImageryLayer(provider); @@ -414,14 +405,14 @@ describe("Scene/TileMapServiceImageryProvider", function () { Resource._Implementations.createImage = function ( request, crossOrigin, - deferred + deferred, ) { if (tries === 2) { // Succeed after 2 tries Resource._DefaultImplementations.createImage( new Request({ url: "Data/Images/Red16x16.png" }), crossOrigin, - deferred + deferred, ); } else { // fail @@ -459,37 +450,36 @@ describe("Scene/TileMapServiceImageryProvider", function () { " </TileSets>" + "</TileMap>"; patchRequestScheduler(xmlString); - const provider = await TileMapServiceImageryProvider.fromUrl( - "made/up/tms/server" - ); + const provider = + await TileMapServiceImageryProvider.fromUrl("made/up/tms/server"); expect(provider.rectangle.west).toEqualEpsilon( CesiumMath.toRadians(-180.0), - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(provider.rectangle.west).toBeGreaterThanOrEqual( - provider.tilingScheme.rectangle.west + provider.tilingScheme.rectangle.west, ); expect(provider.rectangle.east).toEqualEpsilon( CesiumMath.toRadians(180.0), - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(provider.rectangle.east).toBeLessThanOrEqual( - provider.tilingScheme.rectangle.east + provider.tilingScheme.rectangle.east, ); expect(provider.rectangle.south).toEqualEpsilon( -WebMercatorProjection.MaximumLatitude, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(provider.rectangle.south).toBeGreaterThanOrEqual( - provider.tilingScheme.rectangle.south + provider.tilingScheme.rectangle.south, ); expect(provider.rectangle.north).toEqualEpsilon( WebMercatorProjection.MaximumLatitude, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(provider.rectangle.north).toBeLessThanOrEqual( - provider.tilingScheme.rectangle.north + provider.tilingScheme.rectangle.north, ); }); @@ -509,9 +499,8 @@ describe("Scene/TileMapServiceImageryProvider", function () { "</TileMap>"; patchRequestScheduler(xmlString); - const provider = await TileMapServiceImageryProvider.fromUrl( - "made/up/tms/server" - ); + const provider = + await TileMapServiceImageryProvider.fromUrl("made/up/tms/server"); expect(provider.maximumLevel).toBe(8); expect(provider.minimumLevel).toBe(7); @@ -533,9 +522,8 @@ describe("Scene/TileMapServiceImageryProvider", function () { "</TileMap>"; patchRequestScheduler(xmlString); - const provider = await TileMapServiceImageryProvider.fromUrl( - "made/up/tms/server" - ); + const provider = + await TileMapServiceImageryProvider.fromUrl("made/up/tms/server"); expect(provider.maximumLevel).toBe(8); expect(provider.minimumLevel).toBe(0); @@ -557,9 +545,8 @@ describe("Scene/TileMapServiceImageryProvider", function () { "</Tilemap>"; patchRequestScheduler(xmlString); - const provider = await TileMapServiceImageryProvider.fromUrl( - "made/up/tms/server" - ); + const provider = + await TileMapServiceImageryProvider.fromUrl("made/up/tms/server"); expect(provider.maximumLevel).toBe(8); expect(provider.minimumLevel).toBe(7); @@ -581,28 +568,27 @@ describe("Scene/TileMapServiceImageryProvider", function () { "</TileMap>"; patchRequestScheduler(xmlString); - const provider = await TileMapServiceImageryProvider.fromUrl( - "made/up/tms/server" - ); + const provider = + await TileMapServiceImageryProvider.fromUrl("made/up/tms/server"); expect(provider.tilingScheme).toBeInstanceOf(WebMercatorTilingScheme); expect(provider.tilingScheme.projection).toBeInstanceOf( - WebMercatorProjection + WebMercatorProjection, ); const projection = provider.tilingScheme.projection; const expectedSW = projection.unproject( - new Cartesian2(-11877789.667642293, 1707163.7595205167) + new Cartesian2(-11877789.667642293, 1707163.7595205167), ); const expectedNE = projection.unproject( - new Cartesian2(-4696205.4540757351, 7952627.0736533012) + new Cartesian2(-4696205.4540757351, 7952627.0736533012), ); expect(provider.rectangle.west).toEqual(expectedSW.longitude); expect(provider.rectangle.south).toEqual(expectedSW.latitude); expect(provider.rectangle.east).toBeCloseTo( expectedNE.longitude, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(provider.rectangle.north).toEqual(expectedNE.latitude); }); @@ -623,13 +609,12 @@ describe("Scene/TileMapServiceImageryProvider", function () { "</TileMap>"; patchRequestScheduler(xmlString); - const provider = await TileMapServiceImageryProvider.fromUrl( - "made/up/tms/server" - ); + const provider = + await TileMapServiceImageryProvider.fromUrl("made/up/tms/server"); expect(provider.tilingScheme).toBeInstanceOf(GeographicTilingScheme); expect(provider.tilingScheme.projection).toBeInstanceOf( - GeographicProjection + GeographicProjection, ); const expectedSW = Cartographic.fromDegrees(-123.0, -10.0); @@ -637,12 +622,12 @@ describe("Scene/TileMapServiceImageryProvider", function () { expect(provider.rectangle.west).toBeCloseTo( expectedSW.longitude, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(provider.rectangle.south).toEqual(expectedSW.latitude); expect(provider.rectangle.east).toBeCloseTo( expectedNE.longitude, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(provider.rectangle.north).toEqual(expectedNE.latitude); }); @@ -667,12 +652,12 @@ describe("Scene/TileMapServiceImageryProvider", function () { "made/up/tms/server", { flipXY: true, - } + }, ); expect(provider.tilingScheme).toBeInstanceOf(WebMercatorTilingScheme); expect(provider.tilingScheme.projection).toBeInstanceOf( - WebMercatorProjection + WebMercatorProjection, ); const expectedSW = Cartographic.fromDegrees(-123.0, -10.0); @@ -680,12 +665,12 @@ describe("Scene/TileMapServiceImageryProvider", function () { expect(provider.rectangle.west).toBeCloseTo( expectedSW.longitude, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(provider.rectangle.south).toEqual(expectedSW.latitude); expect(provider.rectangle.east).toBeCloseTo( expectedNE.longitude, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(provider.rectangle.north).toEqual(expectedNE.latitude); }); @@ -710,12 +695,12 @@ describe("Scene/TileMapServiceImageryProvider", function () { "made/up/tms/server", { flipXY: true, - } + }, ); expect(provider.tilingScheme).toBeInstanceOf(GeographicTilingScheme); expect(provider.tilingScheme.projection).toBeInstanceOf( - GeographicProjection + GeographicProjection, ); const expectedSW = Cartographic.fromDegrees(-123.0, -10.0); @@ -723,12 +708,12 @@ describe("Scene/TileMapServiceImageryProvider", function () { expect(provider.rectangle.west).toBeCloseTo( expectedSW.longitude, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(provider.rectangle.south).toEqual(expectedSW.latitude); expect(provider.rectangle.east).toBeCloseTo( expectedNE.longitude, - CesiumMath.EPSILON14 + CesiumMath.EPSILON14, ); expect(provider.rectangle.north).toEqual(expectedNE.latitude); }); @@ -739,7 +724,7 @@ describe("Scene/TileMapServiceImageryProvider", function () { "made/up/tms/server", { minimumLevel: 10, - } + }, ); // we expect that our minimum detail level was forced to 0, even though we requested 10. @@ -761,9 +746,9 @@ describe("Scene/TileMapServiceImageryProvider", function () { CesiumMath.toRadians(131.020889), CesiumMath.toRadians(-25.35473), CesiumMath.toRadians(131.054363), - CesiumMath.toRadians(-25.335803) + CesiumMath.toRadians(-25.335803), ), - } + }, ); // we expect that our minimum detail level remains at 12, which is quite high, but that's okay diff --git a/packages/engine/Specs/Scene/TileMetadataSpec.js b/packages/engine/Specs/Scene/TileMetadataSpec.js index ccfd5cfae0cb..249e7de0419c 100644 --- a/packages/engine/Specs/Scene/TileMetadataSpec.js +++ b/packages/engine/Specs/Scene/TileMetadataSpec.js @@ -144,31 +144,25 @@ describe("Scene/TileMetadata", function () { it("getPropertyBySemantic returns undefined when there's no property with the given semantic", function () { expect( - tileMetadata.getPropertyBySemantic("HORIZON_OCCLUSION_POINT") + tileMetadata.getPropertyBySemantic("HORIZON_OCCLUSION_POINT"), ).not.toBeDefined(); }); it("getPropertyBySemantic returns the property value", function () { expect(tileMetadata.getPropertyBySemantic("COLOR")).toEqual([ - 1.0, - 0.5, - 0.0, + 1.0, 0.5, 0.0, ]); }); it("setPropertyBySemantic sets property value", function () { expect(tileMetadata.getPropertyBySemantic("COLOR")).toEqual([ - 1.0, - 0.5, - 0.0, + 1.0, 0.5, 0.0, ]); expect(tileMetadata.setPropertyBySemantic("COLOR", [0.0, 0.0, 0.0])).toBe( - true + true, ); expect(tileMetadata.getPropertyBySemantic("COLOR")).toEqual([ - 0.0, - 0.0, - 0.0, + 0.0, 0.0, 0.0, ]); }); diff --git a/packages/engine/Specs/Scene/TileOrientedBoundingBoxSpec.js b/packages/engine/Specs/Scene/TileOrientedBoundingBoxSpec.js index 91ad1dbfc720..463cb2548489 100644 --- a/packages/engine/Specs/Scene/TileOrientedBoundingBoxSpec.js +++ b/packages/engine/Specs/Scene/TileOrientedBoundingBoxSpec.js @@ -14,7 +14,7 @@ describe("Scene/TileOrientedBoundingBox", function () { const center = new Cartesian3(0.0, 0.0, 0.0); const halfAxes = Matrix3.fromScale( new Cartesian3(0.5, 0.5, 0.5), - new Matrix3() + new Matrix3(), ); const tileBoundingVolume = new TileOrientedBoundingBox(center, halfAxes); @@ -62,7 +62,7 @@ describe("Scene/TileOrientedBoundingBox", function () { expect(tileBoundingVolume.distanceToCamera(frameState)).not.toEqual(0.0); frameState.camera.position = new Cartesian3(100.5, 100.5, 100.5); expect(tileBoundingVolume.distanceToCamera(frameState)).toEqual( - Math.sqrt(30000.0) + Math.sqrt(30000.0), ); }); @@ -70,11 +70,11 @@ describe("Scene/TileOrientedBoundingBox", function () { frameState.camera.position = new Cartesian3( 2170456.713380141, -36351235.19646463, - 28403328.27058654 + 28403328.27058654, ); expect(tileBoundingVolume.distanceToCamera(frameState)).toEqualEpsilon( 46183029.05370139, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); }); @@ -87,15 +87,15 @@ describe("Scene/TileOrientedBoundingBox", function () { it("intersects plane", function () { let plane = new Plane(Cartesian3.UNIT_X, 0.0); expect(tileBoundingVolume.intersectPlane(plane)).toEqual( - Intersect.INTERSECTING + Intersect.INTERSECTING, ); plane = new Plane(Cartesian3.UNIT_X, 0.5 - CesiumMath.EPSILON6); expect(tileBoundingVolume.intersectPlane(plane)).toEqual( - Intersect.INTERSECTING + Intersect.INTERSECTING, ); plane = new Plane(Cartesian3.UNIT_X, -0.5 + CesiumMath.EPSILON6); expect(tileBoundingVolume.intersectPlane(plane)).toEqual( - Intersect.INTERSECTING + Intersect.INTERSECTING, ); }); diff --git a/packages/engine/Specs/Scene/Tileset3DTileContentSpec.js b/packages/engine/Specs/Scene/Tileset3DTileContentSpec.js index f161f7dbe494..4f3ac5e47abe 100644 --- a/packages/engine/Specs/Scene/Tileset3DTileContentSpec.js +++ b/packages/engine/Specs/Scene/Tileset3DTileContentSpec.js @@ -38,7 +38,7 @@ describe( it("becomes ready", async function () { const tileset = await Cesium3DTilesTester.loadTileset( scene, - tilesetOfTilesetsUrl + tilesetOfTilesetsUrl, ); expect(tileset.root.contentReady).toBeTrue(); expect(tileset.root.content).toBeDefined(); @@ -66,7 +66,7 @@ describe( expect(content.batchTable).toBeUndefined(); expect(content.hasProperty(0, "name")).toBe(false); expect(content.getFeature(0)).toBeUndefined(); - } + }, ); }); @@ -132,7 +132,7 @@ describe( it("assigns group metadata", function () { return Cesium3DTilesTester.loadTileset( scene, - tilesetOfTilesetsUrl + tilesetOfTilesetsUrl, ).then(function (tileset) { const content = tileset.root.content; content.group = new Cesium3DContentGroup({ metadata: groupMetadata }); @@ -143,7 +143,7 @@ describe( it("assigns metadata", function () { return Cesium3DTilesTester.loadTileset( scene, - tilesetOfTilesetsUrl + tilesetOfTilesetsUrl, ).then(function (tileset) { const content = tileset.root.content; content.metadata = contentMetadata; @@ -152,5 +152,5 @@ describe( }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/TilesetMetadataSpec.js b/packages/engine/Specs/Scene/TilesetMetadataSpec.js index 6fdfdd92cb55..ad164a4c3937 100644 --- a/packages/engine/Specs/Scene/TilesetMetadataSpec.js +++ b/packages/engine/Specs/Scene/TilesetMetadataSpec.js @@ -48,7 +48,7 @@ describe("Scene/TilesetMetadata", function () { expect(tilesetMetadata.extras).toBe(extras); expect(tilesetMetadata.extensions).toBe(extensions); expect(tilesetMetadata.getProperty("neighborhoods")).toEqual( - properties.neighborhoods + properties.neighborhoods, ); }); diff --git a/packages/engine/Specs/Scene/TimeDynamicImagerySpec.js b/packages/engine/Specs/Scene/TimeDynamicImagerySpec.js index 08e08d9a34ef..96697a41448e 100644 --- a/packages/engine/Specs/Scene/TimeDynamicImagerySpec.js +++ b/packages/engine/Specs/Scene/TimeDynamicImagerySpec.js @@ -84,7 +84,7 @@ describe("Scene/TimeDynamicImagery", function () { expect(timeDynamicImagery._clock).toBe(options.clock); expect(timeDynamicImagery._times).toBe(options.times); expect(timeDynamicImagery._requestImageFunction).toBe( - options.requestImageFunction + options.requestImageFunction, ); expect(timeDynamicImagery._reloadFunction).toBe(options.reloadFunction); expect(timeDynamicImagery._currentIntervalIndex).toEqual(0); @@ -159,7 +159,7 @@ describe("Scene/TimeDynamicImagery", function () { 1, 2, request, - times.get(1) + times.get(1), ); expect(timeDynamicImagery._tileCache[1]["0-1-2"]).toBeDefined(); expect(options.reloadFunction).not.toHaveBeenCalled(); @@ -191,7 +191,7 @@ describe("Scene/TimeDynamicImagery", function () { 1, 2, request, - times.get(1) + times.get(1), ); expect(timeDynamicImagery._tileCache[1]["0-1-2"]).toBeDefined(); expect(options.reloadFunction).not.toHaveBeenCalled(); @@ -226,10 +226,10 @@ describe("Scene/TimeDynamicImagery", function () { } expect(timeDynamicImagery._tilesRequestedForInterval.length).toEqual( - count + count, ); expect( - timeDynamicImagery._tilesRequestedForInterval[count - 1].key + timeDynamicImagery._tilesRequestedForInterval[count - 1].key, ).toEqual(`${x}-${y}-${level}`); } } diff --git a/packages/engine/Specs/Scene/TimeDynamicPointCloudSpec.js b/packages/engine/Specs/Scene/TimeDynamicPointCloudSpec.js index e7861ac54faa..d43be3ed576e 100644 --- a/packages/engine/Specs/Scene/TimeDynamicPointCloudSpec.js +++ b/packages/engine/Specs/Scene/TimeDynamicPointCloudSpec.js @@ -33,7 +33,7 @@ describe( const center = new Cartesian3( 1215012.8828876738, -4736313.051199594, - 4081605.22126042 + 4081605.22126042, ); const clock = new Clock({ @@ -52,94 +52,34 @@ describe( const transforms = [ Matrix4.fromColumnMajorArray([ - 0.968635634376879, - 0.24848542777253735, - 0, - 0, - -0.15986460794399626, - 0.6231776137472074, - 0.7655670897127491, - 0, - 0.190232265775849, - -0.7415555636019701, - 0.6433560687121489, - 0, - 1215012.8828876738, - -4736313.051199594, - 4081605.22126042, - 1, + 0.968635634376879, 0.24848542777253735, 0, 0, -0.15986460794399626, + 0.6231776137472074, 0.7655670897127491, 0, 0.190232265775849, + -0.7415555636019701, 0.6433560687121489, 0, 1215012.8828876738, + -4736313.051199594, 4081605.22126042, 1, ]), Matrix4.fromColumnMajorArray([ - 0.968634888916237, - 0.24848833367832227, - 0, - 0, - -0.1598664774761181, - 0.6231771341505793, - 0.7655670897127493, - 0, - 0.19023449044168372, - -0.7415549929018358, - 0.6433560687121489, - 0, - 1215027.0918213597, - -4736309.406139632, - 4081605.22126042, - 1, + 0.968634888916237, 0.24848833367832227, 0, 0, -0.1598664774761181, + 0.6231771341505793, 0.7655670897127493, 0, 0.19023449044168372, + -0.7415549929018358, 0.6433560687121489, 0, 1215027.0918213597, + -4736309.406139632, 4081605.22126042, 1, ]), Matrix4.fromColumnMajorArray([ - 0.9686341434468771, - 0.24849123958187078, - 0, - 0, - -0.1598683470068011, - 0.6231766545483426, - 0.7655670897127493, - 0, - 0.19023671510580634, - -0.7415544221950274, - 0.6433560687121489, - 0, - 1215041.3007441103, - -4736305.761037043, - 4081605.22126042, - 1, + 0.9686341434468771, 0.24849123958187078, 0, 0, -0.1598683470068011, + 0.6231766545483426, 0.7655670897127493, 0, 0.19023671510580634, + -0.7415544221950274, 0.6433560687121489, 0, 1215041.3007441103, + -4736305.761037043, 4081605.22126042, 1, ]), Matrix4.fromColumnMajorArray([ - 0.9686333979687994, - 0.24849414548318288, - 0, - 0, - -0.15987021653604533, - 0.6231761749404972, - 0.7655670897127491, - 0, - 0.19023893976821685, - -0.7415538514815451, - 0.6433560687121489, - 0, - 1215055.5096559257, - -4736302.115891827, - 4081605.22126042, - 1, + 0.9686333979687994, 0.24849414548318288, 0, 0, -0.15987021653604533, + 0.6231761749404972, 0.7655670897127491, 0, 0.19023893976821685, + -0.7415538514815451, 0.6433560687121489, 0, 1215055.5096559257, + -4736302.115891827, 4081605.22126042, 1, ]), Matrix4.fromColumnMajorArray([ - 0.9686326524820043, - 0.2484970513822586, - 0, - 0, - -0.15987208606385075, - 0.6231756953270434, - 0.7655670897127492, - 0, - 0.19024116442891523, - -0.7415532807613887, - 0.6433560687121489, - 0, - 1215069.7185568055, - -4736298.470703985, - 4081605.22126042, - 1, + 0.9686326524820043, 0.2484970513822586, 0, 0, -0.15987208606385075, + 0.6231756953270434, 0.7655670897127492, 0, 0.19024116442891523, + -0.7415532807613887, 0.6433560687121489, 0, 1215069.7185568055, + -4736298.470703985, 4081605.22126042, 1, ]), ]; @@ -321,7 +261,7 @@ describe( const boundingSphereFrame1 = pointCloud.boundingSphere; expect(boundingSphereFrame1).toBeDefined(); expect( - BoundingSphere.equals(boundingSphereFrame0, boundingSphereFrame1) + BoundingSphere.equals(boundingSphereFrame0, boundingSphereFrame1), ).toBe(false); }); }); @@ -376,7 +316,7 @@ describe( const frames = pointCloud._frames; const framesLength = frames.length; expect(pointCloud.totalMemoryUsageInBytes).toBe( - singleFrameMemoryUsage * framesLength + singleFrameMemoryUsage * framesLength, ); pointCloud.maximumMemoryUsage = 0; @@ -398,7 +338,7 @@ describe( // The loaded frame is the only one loaded return loadFrame(pointCloud, 1).then(function () { expect(pointCloud.totalMemoryUsageInBytes).toBe( - singleFrameMemoryUsage + singleFrameMemoryUsage, ); expect(frames[0]).toBeUndefined(); expect(frames[1].ready).toBe(true); @@ -493,7 +433,7 @@ describe( it("sets clipping planes", function () { const modelMatrix = new Transforms.headingPitchRollToFixedFrame( center, - new HeadingPitchRoll(0, 0, 0) + new HeadingPitchRoll(0, 0, 0), ); const clippingPlanesX = new ClippingPlaneCollection({ modelMatrix: modelMatrix, @@ -600,7 +540,7 @@ describe( clock.currentTime = JulianDate.addSeconds( dates[0], -10.0, - new JulianDate() + new JulianDate(), ); scene.renderForSpecs(); expect(scene.frameState.commandList.length).toBe(0); @@ -612,7 +552,7 @@ describe( clock.currentTime = JulianDate.addSeconds( dates[5], 10.0, - new JulianDate() + new JulianDate(), ); scene.renderForSpecs(); expect(scene.frameState.commandList.length).toBe(0); @@ -773,23 +713,25 @@ describe( it("frame failed event is raised from request failure", function () { const pointCloud = createTimeDynamicPointCloud(); let frameRejectedCount = 0; - spyOn(Resource._Implementations, "loadWithXhr").and.callFake(function ( - request, - responseType, - method, - data, - headers, - deferred, - overrideMimeType - ) { - if (request.toString().includes("PointCloudTimeDynamic")) { - deferred.reject("404"); - // Allow the promise a frame to resolve - deferred.promise.catch(function () { - frameRejectedCount++; - }); - } - }); + spyOn(Resource._Implementations, "loadWithXhr").and.callFake( + function ( + request, + responseType, + method, + data, + headers, + deferred, + overrideMimeType, + ) { + if (request.toString().includes("PointCloudTimeDynamic")) { + deferred.reject("404"); + // Allow the promise a frame to resolve + deferred.promise.catch(function () { + frameRejectedCount++; + }); + } + }, + ); const spyUpdate = jasmine.createSpy("listener"); pointCloud.frameFailed.addEventListener(spyUpdate); @@ -855,7 +797,7 @@ describe( clock.currentTime = JulianDate.addSeconds( dates[0], -10.0, - new JulianDate() + new JulianDate(), ); scene.renderForSpecs(); expect(spyFrameChanged.calls.count()).toBe(6); @@ -878,5 +820,5 @@ describe( }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/TranslucentTileClassificationSpec.js b/packages/engine/Specs/Scene/TranslucentTileClassificationSpec.js index 36ea7dcf2459..410234e577c4 100644 --- a/packages/engine/Specs/Scene/TranslucentTileClassificationSpec.js +++ b/packages/engine/Specs/Scene/TranslucentTileClassificationSpec.js @@ -82,7 +82,8 @@ describe( for (let i = startLength; i < commandList.length; ++i) { const command = commandList[i]; command.pass = this._pass; - command.depthForTranslucentClassification = this._depthForTranslucentClassification; + command.depthForTranslucentClassification = + this._depthForTranslucentClassification; this.commands.push(command); } }; @@ -118,7 +119,7 @@ describe( }), attributes: { color: ColorGeometryInstanceAttribute.fromColor( - new Color(0.0, 0.0, 1.0, 0.5) + new Color(0.0, 0.0, 1.0, 0.5), ), }, }), @@ -149,7 +150,7 @@ describe( groundPolylinePrimitive = new SpecPrimitive( primitive, - Pass.CESIUM_3D_TILE_CLASSIFICATION + Pass.CESIUM_3D_TILE_CLASSIFICATION, ); scene.groundPrimitives.add(groundPolylinePrimitive); }); @@ -187,37 +188,37 @@ describe( function expectResources(translucentTileClassification, toBeDefined) { expect( defined( - translucentTileClassification._drawClassificationFBO.framebuffer - ) + translucentTileClassification._drawClassificationFBO.framebuffer, + ), ).toBe(toBeDefined); expect(defined(translucentTileClassification._packFBO.framebuffer)).toBe( - toBeDefined + toBeDefined, ); expect( - defined(translucentTileClassification._opaqueDepthStencilTexture) + defined(translucentTileClassification._opaqueDepthStencilTexture), ).toBe(toBeDefined); expect( defined( - translucentTileClassification._drawClassificationFBO.getColorTexture() - ) + translucentTileClassification._drawClassificationFBO.getColorTexture(), + ), ).toBe(toBeDefined); expect( - defined(translucentTileClassification._translucentDepthStencilTexture) + defined(translucentTileClassification._translucentDepthStencilTexture), ).toBe(toBeDefined); expect( - defined(translucentTileClassification._packFBO.getColorTexture()) + defined(translucentTileClassification._packFBO.getColorTexture()), ).toBe(toBeDefined); expect(defined(translucentTileClassification._packDepthCommand)).toBe( - toBeDefined + toBeDefined, ); expect(defined(translucentTileClassification._accumulateCommand)).toBe( - toBeDefined + toBeDefined, ); expect(defined(translucentTileClassification._compositeCommand)).toBe( - toBeDefined + toBeDefined, ); expect(defined(translucentTileClassification._copyCommand)).toBe( - toBeDefined + toBeDefined, ); } @@ -233,7 +234,7 @@ describe( executeCommand, passState, translucentPrimitive.commands, - undefined + undefined, ); expectResources(translucentTileClassification, false); @@ -243,7 +244,7 @@ describe( it("creates resources on demand", function () { const translucentTileClassification = new TranslucentTileClassification( - context + context, ); if (!translucentTileClassification.isSupported()) { return; // don't fail because of lack of support @@ -258,7 +259,7 @@ describe( executeCommand, passState, [], - globeDepthFramebuffer.depthStencilTexture + globeDepthFramebuffer.depthStencilTexture, ); expectResources(translucentTileClassification, false); @@ -268,7 +269,7 @@ describe( executeCommand, passState, translucentPrimitive.commands, - globeDepthFramebuffer.depthStencilTexture + globeDepthFramebuffer.depthStencilTexture, ); expectResources(translucentTileClassification, true); @@ -288,7 +289,7 @@ describe( it("draws translucent commands into a buffer for depth", function () { const translucentTileClassification = new TranslucentTileClassification( - context + context, ); if (!translucentTileClassification.isSupported()) { return; // don't fail because of lack of support @@ -302,7 +303,7 @@ describe( executeCommand, passState, translucentPrimitive.commands, - globeDepthFramebuffer.depthStencilTexture + globeDepthFramebuffer.depthStencilTexture, ); expect(translucentTileClassification.hasTranslucentDepth).toBe(true); @@ -315,7 +316,7 @@ describe( it("draws classification commands into a buffer", function () { const translucentTileClassification = new TranslucentTileClassification( - context + context, ); if (!translucentTileClassification.isSupported()) { return; // don't fail because of lack of support @@ -327,7 +328,7 @@ describe( executeCommand, passState, translucentPrimitive.commands, - globeDepthFramebuffer.depthStencilTexture + globeDepthFramebuffer.depthStencilTexture, ); const drawClassificationFBO = @@ -346,7 +347,7 @@ describe( scene, executeCommand, passState, - frustumCommands + frustumCommands, ); const postClassifyPixels = readPixels(drawClassificationFBO); @@ -357,7 +358,7 @@ describe( it("draws classification commands into a separate accumulation buffer for multifrustum", function () { const translucentTileClassification = new TranslucentTileClassification( - context + context, ); if (!translucentTileClassification.isSupported()) { return; // don't fail because of lack of support @@ -369,7 +370,7 @@ describe( executeCommand, passState, translucentPrimitive.commands, - globeDepthFramebuffer.depthStencilTexture + globeDepthFramebuffer.depthStencilTexture, ); const accumulationFBO = @@ -389,7 +390,7 @@ describe( scene, executeCommand, passState, - frustumCommands + frustumCommands, ); expect(readPixels(accumulationFBO)).toEqual([0, 0, 0, 0]); @@ -399,13 +400,13 @@ describe( executeCommand, passState, translucentPrimitive.commands, - globeDepthFramebuffer.depthStencilTexture + globeDepthFramebuffer.depthStencilTexture, ); translucentTileClassification.executeClassificationCommands( scene, executeCommand, passState, - frustumCommands + frustumCommands, ); const secondFrustumAccumulation = accumulationFBO; @@ -427,7 +428,7 @@ describe( it("does not draw classification commands if there is no translucent depth", function () { const translucentTileClassification = new TranslucentTileClassification( - context + context, ); if (!translucentTileClassification.isSupported()) { return; // don't fail because of lack of support @@ -442,7 +443,7 @@ describe( executeCommand, passState, [], - globeDepthFramebuffer.depthStencilTexture + globeDepthFramebuffer.depthStencilTexture, ); const preClassifyPixels = readPixels(drawClassificationFBO); @@ -459,7 +460,7 @@ describe( scene, executeCommand, passState, - frustumCommands + frustumCommands, ); const postClassifyPixels = readPixels(drawClassificationFBO); @@ -470,7 +471,7 @@ describe( it("composites classification into a buffer", function () { const translucentTileClassification = new TranslucentTileClassification( - context + context, ); if (!translucentTileClassification.isSupported()) { return; // don't fail because of lack of support @@ -496,7 +497,7 @@ describe( executeCommand, passState, translucentPrimitive.commands, - globeDepthFramebuffer.depthStencilTexture + globeDepthFramebuffer.depthStencilTexture, ); const frustumCommands = { @@ -511,12 +512,12 @@ describe( scene, executeCommand, passState, - frustumCommands + frustumCommands, ); const preCompositePixels = readPixels(targetColorFBO); const pixelsToComposite = readPixels( - translucentTileClassification._drawClassificationFBO.framebuffer + translucentTileClassification._drawClassificationFBO.framebuffer, ); const framebuffer = passState.framebuffer; @@ -543,7 +544,7 @@ describe( it("composites from an accumulation texture when there are multiple frustums", function () { const translucentTileClassification = new TranslucentTileClassification( - context + context, ); if (!translucentTileClassification.isSupported()) { return; // don't fail because of lack of support @@ -577,7 +578,7 @@ describe( executeCommand, passState, translucentPrimitive.commands, - globeDepthFramebuffer.depthStencilTexture + globeDepthFramebuffer.depthStencilTexture, ); const frustumCommands = { @@ -594,7 +595,7 @@ describe( scene, executeCommand, passState, - frustumCommands + frustumCommands, ); // Second Frustum @@ -603,14 +604,14 @@ describe( executeCommand, passState, translucentPrimitive.commands, - globeDepthFramebuffer.depthStencilTexture + globeDepthFramebuffer.depthStencilTexture, ); translucentTileClassification.executeClassificationCommands( scene, executeCommand, passState, - frustumCommands + frustumCommands, ); const framebuffer = passState.framebuffer; @@ -636,7 +637,7 @@ describe( it("does not composite classification if there is no translucent depth", function () { const translucentTileClassification = new TranslucentTileClassification( - context + context, ); if (!translucentTileClassification.isSupported()) { return; // don't fail because of lack of support @@ -662,7 +663,7 @@ describe( executeCommand, passState, [], - globeDepthFramebuffer.depthStencilTexture + globeDepthFramebuffer.depthStencilTexture, ); const frustumCommands = { @@ -677,7 +678,7 @@ describe( scene, executeCommand, passState, - frustumCommands + frustumCommands, ); const preCompositePixels = readPixels(targetColorFBO); @@ -696,7 +697,7 @@ describe( it("clears the classification buffer", function () { const translucentTileClassification = new TranslucentTileClassification( - context + context, ); if (!translucentTileClassification.isSupported()) { return; // don't fail because of lack of support @@ -708,7 +709,7 @@ describe( executeCommand, passState, translucentPrimitive.commands, - globeDepthFramebuffer.depthStencilTexture + globeDepthFramebuffer.depthStencilTexture, ); const drawClassificationFBO = @@ -727,7 +728,7 @@ describe( scene, executeCommand, passState, - frustumCommands + frustumCommands, ); const postClassifyPixels = readPixels(drawClassificationFBO); @@ -744,7 +745,7 @@ describe( it("does not clear the classification buffer if there is no translucent depth", function () { const translucentTileClassification = new TranslucentTileClassification( - context + context, ); if (!translucentTileClassification.isSupported()) { return; // don't fail because of lack of support @@ -755,10 +756,10 @@ describe( translucentTileClassification.execute(scene, passState); expect( - translucentTileClassification._clearColorCommand.execute + translucentTileClassification._clearColorCommand.execute, ).not.toHaveBeenCalled(); translucentTileClassification.destroy(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/TweenCollectionSpec.js b/packages/engine/Specs/Scene/TweenCollectionSpec.js index db639dbcec62..57850a44648d 100644 --- a/packages/engine/Specs/Scene/TweenCollectionSpec.js +++ b/packages/engine/Specs/Scene/TweenCollectionSpec.js @@ -401,5 +401,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/UrlTemplateImageryProviderSpec.js b/packages/engine/Specs/Scene/UrlTemplateImageryProviderSpec.js index a7e6e2a3761b..1e52aa3b70ef 100644 --- a/packages/engine/Specs/Scene/UrlTemplateImageryProviderSpec.js +++ b/packages/engine/Specs/Scene/UrlTemplateImageryProviderSpec.js @@ -60,18 +60,16 @@ describe("Scene/UrlTemplateImageryProvider", function () { expect(provider.tilingScheme).toBeInstanceOf(WebMercatorTilingScheme); expect(provider.rectangle).toEqual(new WebMercatorTilingScheme().rectangle); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -109,20 +107,18 @@ describe("Scene/UrlTemplateImageryProvider", function () { expect(provider.rectangle).toEqualEpsilon(rectangle, CesiumMath.EPSILON14); expect(provider.tileDiscardPolicy).toBeUndefined(); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).toContain("/0/0/0"); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).toContain("/0/0/0"); - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -163,14 +159,14 @@ describe("Scene/UrlTemplateImageryProvider", function () { Resource._Implementations.createImage = function ( request, crossOrigin, - deferred + deferred, ) { if (tries === 2) { // Succeed after 2 tries Resource._DefaultImplementations.createImage( new Request({ url: "Data/Images/Red16x16.png" }), crossOrigin, - deferred + deferred, ); } else { // fail @@ -196,26 +192,23 @@ describe("Scene/UrlTemplateImageryProvider", function () { it("evaluation of pattern X Y reverseX reverseY Z reverseZ", function () { const provider = new UrlTemplateImageryProvider({ - url: - "made/up/tms/server/{z}/{reverseZ}/{reverseY}/{y}/{reverseX}/{x}.PNG", + url: "made/up/tms/server/{z}/{reverseZ}/{reverseY}/{y}/{reverseX}/{x}.PNG", tilingScheme: new GeographicTilingScheme(), maximumLevel: 6, }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).toEqual("made/up/tms/server/2/3/2/1/4/3.PNG"); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).toEqual("made/up/tms/server/2/3/2/1/4/3.PNG"); - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(3, 1, 2).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -225,8 +218,7 @@ describe("Scene/UrlTemplateImageryProvider", function () { it("evaluation of schema zero padding for X Y Z as 0000", function () { const provider = new UrlTemplateImageryProvider({ - url: - "made/up/tms/server/{z}/{reverseZ}/{reverseY}/{y}/{reverseX}/{x}.PNG", + url: "made/up/tms/server/{z}/{reverseZ}/{reverseY}/{y}/{reverseX}/{x}.PNG", urlSchemeZeroPadding: { "{x}": "0000", "{y}": "0000", @@ -236,22 +228,20 @@ describe("Scene/UrlTemplateImageryProvider", function () { maximumLevel: 6, }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).toEqual( - "made/up/tms/server/0002/3/2/0001/4/0003.PNG" - ); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).toEqual( + "made/up/tms/server/0002/3/2/0001/4/0003.PNG", + ); - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(3, 1, 2).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -261,8 +251,7 @@ describe("Scene/UrlTemplateImageryProvider", function () { it("evaluation of schema zero padding for reverseX reverseY reverseZ as 0000", function () { const provider = new UrlTemplateImageryProvider({ - url: - "made/up/tms/server/{z}/{reverseZ}/{reverseY}/{y}/{reverseX}/{x}.PNG", + url: "made/up/tms/server/{z}/{reverseZ}/{reverseY}/{y}/{reverseX}/{x}.PNG", urlSchemeZeroPadding: { "{reverseX}": "0000", "{reverseY}": "0000", @@ -272,22 +261,20 @@ describe("Scene/UrlTemplateImageryProvider", function () { maximumLevel: 6, }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).toEqual( - "made/up/tms/server/2/0003/0002/1/0004/3.PNG" - ); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).toEqual( + "made/up/tms/server/2/0003/0002/1/0004/3.PNG", + ); - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(3, 1, 2).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -297,8 +284,7 @@ describe("Scene/UrlTemplateImageryProvider", function () { it("evaluation of schema zero padding for x y z as 0000 and large x and y", function () { const provider = new UrlTemplateImageryProvider({ - url: - "made/up/tms/server/{z}/{reverseZ}/{reverseY}/{y}/{reverseX}/{x}.PNG", + url: "made/up/tms/server/{z}/{reverseZ}/{reverseY}/{y}/{reverseX}/{x}.PNG", urlSchemeZeroPadding: { "{x}": "0000", "{y}": "0000", @@ -308,22 +294,20 @@ describe("Scene/UrlTemplateImageryProvider", function () { maximumLevel: 6, }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).toEqual( - "made/up/tms/server/0005/0/21/0010/51/0012.PNG" - ); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).toEqual( + "made/up/tms/server/0005/0/21/0010/51/0012.PNG", + ); - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(12, 10, 5).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -337,20 +321,18 @@ describe("Scene/UrlTemplateImageryProvider", function () { tilingScheme: new GeographicTilingScheme(), }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).toEqualEpsilon(45.0, CesiumMath.EPSILON11); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).toEqualEpsilon(45.0, CesiumMath.EPSILON11); - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(3, 1, 2).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -364,20 +346,18 @@ describe("Scene/UrlTemplateImageryProvider", function () { tilingScheme: new GeographicTilingScheme(), }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).toEqualEpsilon(0.0, CesiumMath.EPSILON11); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).toEqualEpsilon(0.0, CesiumMath.EPSILON11); - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(3, 1, 2).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -391,20 +371,18 @@ describe("Scene/UrlTemplateImageryProvider", function () { tilingScheme: new GeographicTilingScheme(), }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).toEqualEpsilon(0.0, CesiumMath.EPSILON11); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).toEqualEpsilon(0.0, CesiumMath.EPSILON11); - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(3, 1, 2).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -418,20 +396,18 @@ describe("Scene/UrlTemplateImageryProvider", function () { tilingScheme: new GeographicTilingScheme(), }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).toEqualEpsilon(-45.0, CesiumMath.EPSILON11); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).toEqualEpsilon(-45.0, CesiumMath.EPSILON11); - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(3, 1, 2).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -445,23 +421,21 @@ describe("Scene/UrlTemplateImageryProvider", function () { tilingScheme: new WebMercatorTilingScheme(), }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).toEqualEpsilon( - (Math.PI * Ellipsoid.WGS84.maximumRadius) / 2.0, - CesiumMath.EPSILON11 - ); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).toEqualEpsilon( + (Math.PI * Ellipsoid.WGS84.maximumRadius) / 2.0, + CesiumMath.EPSILON11, + ); - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(3, 1, 2).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -474,23 +448,21 @@ describe("Scene/UrlTemplateImageryProvider", function () { url: "{southProjected}", }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).toEqualEpsilon( - (Math.PI * Ellipsoid.WGS84.maximumRadius) / 2.0, - CesiumMath.EPSILON11 - ); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).toEqualEpsilon( + (Math.PI * Ellipsoid.WGS84.maximumRadius) / 2.0, + CesiumMath.EPSILON11, + ); - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(3, 0, 2).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -503,23 +475,21 @@ describe("Scene/UrlTemplateImageryProvider", function () { url: "{eastProjected}", }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).toEqualEpsilon( - (-Math.PI * Ellipsoid.WGS84.maximumRadius) / 2.0, - CesiumMath.EPSILON11 - ); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).toEqualEpsilon( + (-Math.PI * Ellipsoid.WGS84.maximumRadius) / 2.0, + CesiumMath.EPSILON11, + ); - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(0, 1, 2).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -532,23 +502,21 @@ describe("Scene/UrlTemplateImageryProvider", function () { url: "{westProjected}", }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).toEqualEpsilon( - (-Math.PI * Ellipsoid.WGS84.maximumRadius) / 2.0, - CesiumMath.EPSILON11 - ); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).toEqualEpsilon( + (-Math.PI * Ellipsoid.WGS84.maximumRadius) / 2.0, + CesiumMath.EPSILON11, + ); - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(1, 1, 2).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -558,32 +526,31 @@ describe("Scene/UrlTemplateImageryProvider", function () { it("evaluates multiple coordinate patterns", function () { const provider = new UrlTemplateImageryProvider({ - url: - "{westDegrees} {westProjected} {southProjected} {southDegrees} {eastProjected} {eastDegrees} {northDegrees} {northProjected}", - }); + url: "{westDegrees} {westProjected} {southProjected} {southDegrees} {eastProjected} {eastDegrees} {northDegrees} {northProjected}", + }); + + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).toEqual( + `-90 ${(-Math.PI * Ellipsoid.WGS84.maximumRadius) / 2.0} ` + + `0 ` + + `0 ` + + `0 ` + + `0 ${CesiumMath.toDegrees( + WebMercatorProjection.mercatorAngleToGeodeticLatitude( + Math.PI / 2, + ), + )} ${(Math.PI * Ellipsoid.WGS84.maximumRadius) / 2.0}`, + ); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).toEqual( - `-90 ${(-Math.PI * Ellipsoid.WGS84.maximumRadius) / 2.0} ` + - `0 ` + - `0 ` + - `0 ` + - `0 ${CesiumMath.toDegrees( - WebMercatorProjection.mercatorAngleToGeodeticLatitude(Math.PI / 2) - )} ${(Math.PI * Ellipsoid.WGS84.maximumRadius) / 2.0}` - ); - - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(1, 1, 2).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -596,20 +563,18 @@ describe("Scene/UrlTemplateImageryProvider", function () { url: "{s}", }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(["a", "b", "c"].indexOf(request.url)).toBeGreaterThanOrEqual(0); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(["a", "b", "c"].indexOf(request.url)).toBeGreaterThanOrEqual(0); - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(3, 1, 2).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -623,20 +588,18 @@ describe("Scene/UrlTemplateImageryProvider", function () { subdomains: "123", }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(["1", "2", "3"].indexOf(request.url)).toBeGreaterThanOrEqual(0); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(["1", "2", "3"].indexOf(request.url)).toBeGreaterThanOrEqual(0); - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(3, 1, 2).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -650,20 +613,18 @@ describe("Scene/UrlTemplateImageryProvider", function () { subdomains: ["foo", "bar"], }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(["foo", "bar"].indexOf(request.url)).toBeGreaterThanOrEqual(0); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(["foo", "bar"].indexOf(request.url)).toBeGreaterThanOrEqual(0); - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(3, 1, 2).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -686,20 +647,18 @@ describe("Scene/UrlTemplateImageryProvider", function () { }, }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - expect(request.url).toEqual("made/up/tms/server/foo/bar/2/1/3.PNG"); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + expect(request.url).toEqual("made/up/tms/server/foo/bar/2/1/3.PNG"); - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(3, 1, 2).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); diff --git a/packages/engine/Specs/Scene/Vector3DTileClampedPolylinesSpec.js b/packages/engine/Specs/Scene/Vector3DTileClampedPolylinesSpec.js index 0e6ca02f10d1..c5e071e372d2 100644 --- a/packages/engine/Specs/Scene/Vector3DTileClampedPolylinesSpec.js +++ b/packages/engine/Specs/Scene/Vector3DTileClampedPolylinesSpec.js @@ -65,9 +65,8 @@ describe( beforeEach(function () { rectangle = Rectangle.fromDegrees(-40.0, -40.0, 40.0, 40.0); - const depthpolylineColorAttribute = ColorGeometryInstanceAttribute.fromColor( - new Color(0.0, 0.0, 1.0, 1.0) - ); + const depthpolylineColorAttribute = + ColorGeometryInstanceAttribute.fromColor(new Color(0.0, 0.0, 1.0, 1.0)); const primitive = new Primitive({ geometryInstances: new GeometryInstance({ geometry: new RectangleGeometry({ @@ -98,7 +97,7 @@ describe( xit("renders clamped polylines", function () { scene.camera.lookAt( Cartesian3.fromDegrees(0.0, 0.0, 1.5), - new Cartesian3(0.0, 0.0, 1.0) + new Cartesian3(0.0, 0.0, 1.0), ); return Cesium3DTilesTester.loadTileset(scene, vectorPolylines, { classificationType: ClassificationType.TERRAIN, @@ -115,7 +114,7 @@ describe( xit("picks a clamped polyline", function () { scene.camera.lookAt( Cartesian3.fromDegrees(0.0, 0.0, 1.5), - new Cartesian3(0.0, 0.0, 1.0) + new Cartesian3(0.0, 0.0, 1.0), ); return Cesium3DTilesTester.loadTileset(scene, vectorPolylines, { classificationType: ClassificationType.TERRAIN, @@ -138,5 +137,5 @@ describe( expect(polylines.isDestroyed()).toEqual(true); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Vector3DTileContentSpec.js b/packages/engine/Specs/Scene/Vector3DTileContentSpec.js index 4106b41aa0ed..22581023dd11 100644 --- a/packages/engine/Specs/Scene/Vector3DTileContentSpec.js +++ b/packages/engine/Specs/Scene/Vector3DTileContentSpec.js @@ -83,7 +83,7 @@ describe( } const depthColorAttribute = ColorGeometryInstanceAttribute.fromColor( - new Color(1.0, 0.0, 0.0, 1.0) + new Color(1.0, 0.0, 0.0, 1.0), ); depthColor = depthColorAttribute.value; return new Primitive({ @@ -117,25 +117,25 @@ describe( tilesetRectangle.west, center.latitude, center.longitude, - tilesetRectangle.north + tilesetRectangle.north, ); const urRect = new Rectangle( center.longitude, center.longitude, tilesetRectangle.east, - tilesetRectangle.north + tilesetRectangle.north, ); const lrRect = new Rectangle( center.longitude, tilesetRectangle.south, tilesetRectangle.east, - center.latitude + center.latitude, ); const llRect = new Rectangle( tilesetRectangle.west, tilesetRectangle.south, center.longitude, - center.latitude + center.latitude, ); return [ulRect, urRect, lrRect, llRect]; } @@ -160,14 +160,14 @@ describe( globeMockPrimitive = new MockPrimitive(globePrimitive, Pass.GLOBE); tilesetMockPrimitive = new MockPrimitive( tilesetPrimitive, - Pass.CESIUM_3D_TILE + Pass.CESIUM_3D_TILE, ); // Add the globe mock primitive to the scene. scene.primitives.add(globeMockPrimitive); scene.camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(tilesetRectangle)), - new Cartesian3(0.0, 0.0, 0.01) + new Cartesian3(0.0, 0.0, 0.01), ); }); @@ -198,30 +198,29 @@ describe( it("renders points", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTilePointsTileset + vectorTilePointsTileset, ).then((tileset) => { // Subdivide the rectangle into 4, and look at the center of each sub-rectangle. - const [ulRect, urRect, lrRect, llRect] = subdivideRectangle( - tilesetRectangle - ); + const [ulRect, urRect, lrRect, llRect] = + subdivideRectangle(tilesetRectangle); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(ulRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(urRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(lrRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(llRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); }); @@ -230,14 +229,13 @@ describe( it("picks points", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTilePointsTileset + vectorTilePointsTileset, ).then((tileset) => { - const [ulRect, urRect, lrRect, llRect] = subdivideRectangle( - tilesetRectangle - ); + const [ulRect, urRect, lrRect, llRect] = + subdivideRectangle(tilesetRectangle); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(ulRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -245,7 +243,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(urRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -253,7 +251,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(lrRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -261,7 +259,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(llRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -273,12 +271,11 @@ describe( it("styles points for show", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTilePointsTileset + vectorTilePointsTileset, ).then((tileset) => { // Subdivide the rectangle into 4, and look at the center of each sub-rectangle. - const [ulRect, urRect, lrRect, llRect] = subdivideRectangle( - tilesetRectangle - ); + const [ulRect, urRect, lrRect, llRect] = + subdivideRectangle(tilesetRectangle); // Set show to true. @@ -287,22 +284,22 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(ulRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(urRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(lrRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(llRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); @@ -311,22 +308,22 @@ describe( tileset.style = undefined; camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(ulRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(urRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(lrRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(llRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); }); @@ -335,12 +332,11 @@ describe( it("styles points for color", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTilePointsTileset + vectorTilePointsTileset, ).then((tileset) => { // Subdivide the rectangle into 4, and look at the center of each sub-rectangle. - const [ulRect, urRect, lrRect, llRect] = subdivideRectangle( - tilesetRectangle - ); + const [ulRect, urRect, lrRect, llRect] = + subdivideRectangle(tilesetRectangle); return new Promise((resolve) => { tileset.style = new Cesium3DTileStyle({ @@ -353,22 +349,22 @@ describe( }).then(() => { camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(ulRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(blackPixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(urRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(blackPixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(lrRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(blackPixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(llRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(blackPixel); @@ -377,22 +373,22 @@ describe( tileset.style = undefined; camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(ulRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(urRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(lrRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(llRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); }); @@ -402,30 +398,29 @@ describe( it("renders batched points with batch ids", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTilePointsWithBatchIdsTileset + vectorTilePointsWithBatchIdsTileset, ).then((tileset) => { // Subdivide the rectangle into 4, and look at the center of each sub-rectangle. - const [ulRect, urRect, lrRect, llRect] = subdivideRectangle( - tilesetRectangle - ); + const [ulRect, urRect, lrRect, llRect] = + subdivideRectangle(tilesetRectangle); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(ulRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(urRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(lrRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(llRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); }); @@ -434,15 +429,14 @@ describe( it("picks batched points with batch ids", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTilePointsWithBatchIdsTileset + vectorTilePointsWithBatchIdsTileset, ).then((tileset) => { // Subdivide the rectangle into 4, and look at the center of each sub-rectangle. - const [ulRect, urRect, lrRect, llRect] = subdivideRectangle( - tilesetRectangle - ); + const [ulRect, urRect, lrRect, llRect] = + subdivideRectangle(tilesetRectangle); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(ulRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -451,7 +445,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(urRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -460,7 +454,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(lrRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -469,7 +463,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(llRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -482,30 +476,29 @@ describe( it("renders batched points with batch table", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTilePointsWithBatchTableTileset + vectorTilePointsWithBatchTableTileset, ).then((tileset) => { // Subdivide the rectangle into 4, and look at the center of each sub-rectangle. - const [ulRect, urRect, lrRect, llRect] = subdivideRectangle( - tilesetRectangle - ); + const [ulRect, urRect, lrRect, llRect] = + subdivideRectangle(tilesetRectangle); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(ulRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(urRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(lrRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(llRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); }); @@ -514,15 +507,14 @@ describe( it("picks batched points with batch table", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTilePointsWithBatchTableTileset + vectorTilePointsWithBatchTableTileset, ).then((tileset) => { // Subdivide the rectangle into 4, and look at the center of each sub-rectangle. - const [ulRect, urRect, lrRect, llRect] = subdivideRectangle( - tilesetRectangle - ); + const [ulRect, urRect, lrRect, llRect] = + subdivideRectangle(tilesetRectangle); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(ulRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -531,7 +523,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(urRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -540,7 +532,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(lrRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -549,7 +541,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(llRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -562,30 +554,29 @@ describe( it("renders batched points with children", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTilePointsBatchedChildrenTileset + vectorTilePointsBatchedChildrenTileset, ).then((tileset) => { // Subdivide the rectangle into 4, and look at the center of each sub-rectangle. - const [ulRect, urRect, lrRect, llRect] = subdivideRectangle( - tilesetRectangle - ); + const [ulRect, urRect, lrRect, llRect] = + subdivideRectangle(tilesetRectangle); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(ulRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(urRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(lrRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(llRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); }); @@ -594,30 +585,29 @@ describe( it("renders batched polygons with children with batch table", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTilePointsBatchedChildrenWithBatchTableTileset + vectorTilePointsBatchedChildrenWithBatchTableTileset, ).then((tileset) => { // Subdivide the rectangle into 4, and look at the center of each sub-rectangle. - const [ulRect, urRect, lrRect, llRect] = subdivideRectangle( - tilesetRectangle - ); + const [ulRect, urRect, lrRect, llRect] = + subdivideRectangle(tilesetRectangle); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(ulRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(urRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(lrRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(llRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); }); @@ -637,30 +627,29 @@ describe( it("renders polygons", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTilePolygonsTileset + vectorTilePolygonsTileset, ).then((tileset) => { // Subdivide the rectangle into 4, and look at the center of each sub-rectangle. - const [ulRect, urRect, lrRect, llRect] = subdivideRectangle( - tilesetRectangle - ); + const [ulRect, urRect, lrRect, llRect] = + subdivideRectangle(tilesetRectangle); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(ulRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(urRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(lrRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(llRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); }); @@ -669,13 +658,13 @@ describe( it("picks polygons", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTilePolygonsTileset + vectorTilePolygonsTileset, ).then((tileset) => { camera.lookAt( ellipsoid.cartographicToCartesian( - Rectangle.center(tilesetRectangle) + Rectangle.center(tilesetRectangle), ), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -687,12 +676,11 @@ describe( it("styles polygons for show", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTilePolygonsTileset + vectorTilePolygonsTileset, ).then((tileset) => { // Subdivide the rectangle into 4, and look at the center of each sub-rectangle. - const [ulRect, urRect, lrRect, llRect] = subdivideRectangle( - tilesetRectangle - ); + const [ulRect, urRect, lrRect, llRect] = + subdivideRectangle(tilesetRectangle); // Set show to false. @@ -701,22 +689,22 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(ulRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender([255.0, 0.0, 0.0, 255.0]); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(urRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender([255.0, 0.0, 0.0, 255.0]); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(lrRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender([255.0, 0.0, 0.0, 255.0]); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(llRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender([255.0, 0.0, 0.0, 255.0]); @@ -727,22 +715,22 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(ulRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(urRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(lrRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(llRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); @@ -751,22 +739,22 @@ describe( tileset.style = undefined; camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(ulRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(urRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(lrRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(llRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); }); @@ -775,12 +763,11 @@ describe( it("styles polygons for color", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTilePolygonsTileset + vectorTilePolygonsTileset, ).then((tileset) => { // Subdivide the rectangle into 4, and look at the center of each sub-rectangle. - const [ulRect, urRect, lrRect, llRect] = subdivideRectangle( - tilesetRectangle - ); + const [ulRect, urRect, lrRect, llRect] = + subdivideRectangle(tilesetRectangle); // Set color to black. @@ -789,22 +776,22 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(ulRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(blackPixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(urRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(blackPixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(lrRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(blackPixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(llRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(blackPixel); @@ -813,22 +800,22 @@ describe( tileset.style = undefined; camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(ulRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(urRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(lrRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(llRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); }); @@ -841,13 +828,13 @@ describe( vectorTilePolygonsTileset, { classificationType: ClassificationType.CESIUM_3D_TILE, - } + }, ).then((tileset) => { camera.lookAt( ellipsoid.cartographicToCartesian( - Rectangle.center(tilesetRectangle) + Rectangle.center(tilesetRectangle), ), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); globeMockPrimitive.show = false; @@ -867,13 +854,13 @@ describe( vectorTilePolygonsTileset, { classificationType: ClassificationType.TERRAIN, - } + }, ).then((tileset) => { camera.lookAt( ellipsoid.cartographicToCartesian( - Rectangle.center(tilesetRectangle) + Rectangle.center(tilesetRectangle), ), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); globeMockPrimitive.show = false; @@ -893,13 +880,13 @@ describe( vectorTilePolygonsTileset, { classificationType: ClassificationType.BOTH, - } + }, ).then((tileset) => { camera.lookAt( ellipsoid.cartographicToCartesian( - Rectangle.center(tilesetRectangle) + Rectangle.center(tilesetRectangle), ), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); globeMockPrimitive.show = false; @@ -915,30 +902,29 @@ describe( it("renders batched polygons with batch ids", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTilePolygonsWithBatchIdsTileset + vectorTilePolygonsWithBatchIdsTileset, ).then((tileset) => { // Subdivide the rectangle into 4, and look at the center of each sub-rectangle. - const [ulRect, urRect, lrRect, llRect] = subdivideRectangle( - tilesetRectangle - ); + const [ulRect, urRect, lrRect, llRect] = + subdivideRectangle(tilesetRectangle); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(ulRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(urRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(lrRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(llRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); }); @@ -947,15 +933,14 @@ describe( it("picks batched polygons with batch ids", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTilePolygonsWithBatchIdsTileset + vectorTilePolygonsWithBatchIdsTileset, ).then((tileset) => { // Subdivide the rectangle into 4, and look at the center of each sub-rectangle. - const [ulRect, urRect, lrRect, llRect] = subdivideRectangle( - tilesetRectangle - ); + const [ulRect, urRect, lrRect, llRect] = + subdivideRectangle(tilesetRectangle); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(ulRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -964,7 +949,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(urRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -973,7 +958,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(lrRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -982,7 +967,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(llRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -995,30 +980,29 @@ describe( it("renders batched polygons with batch table", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTilePolygonsWithBatchTableTileset + vectorTilePolygonsWithBatchTableTileset, ).then((tileset) => { // Subdivide the rectangle into 4, and look at the center of each sub-rectangle. - const [ulRect, urRect, lrRect, llRect] = subdivideRectangle( - tilesetRectangle - ); + const [ulRect, urRect, lrRect, llRect] = + subdivideRectangle(tilesetRectangle); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(ulRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(urRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(lrRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(llRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); }); @@ -1027,15 +1011,14 @@ describe( it("picks batched polygons with batch table", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTilePolygonsWithBatchTableTileset + vectorTilePolygonsWithBatchTableTileset, ).then((tileset) => { // Subdivide the rectangle into 4, and look at the center of each sub-rectangle. - const [ulRect, urRect, lrRect, llRect] = subdivideRectangle( - tilesetRectangle - ); + const [ulRect, urRect, lrRect, llRect] = + subdivideRectangle(tilesetRectangle); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(ulRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -1044,7 +1027,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(urRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -1053,7 +1036,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(lrRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -1062,7 +1045,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(llRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -1075,30 +1058,29 @@ describe( it("renders batched polygons with children", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTilePolygonsBatchedChildrenTileset + vectorTilePolygonsBatchedChildrenTileset, ).then((tileset) => { // Subdivide the rectangle into 4, and look at the center of each sub-rectangle. - const [ulRect, urRect, lrRect, llRect] = subdivideRectangle( - tilesetRectangle - ); + const [ulRect, urRect, lrRect, llRect] = + subdivideRectangle(tilesetRectangle); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(ulRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(urRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(lrRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(llRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); }); @@ -1107,30 +1089,29 @@ describe( it("renders batched polygons with children with batch table", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTilePolygonsBatchedChildrenWithBatchTable + vectorTilePolygonsBatchedChildrenWithBatchTable, ).then((tileset) => { // Subdivide the rectangle into 4, and look at the center of each sub-rectangle. - const [ulRect, urRect, lrRect, llRect] = subdivideRectangle( - tilesetRectangle - ); + const [ulRect, urRect, lrRect, llRect] = + subdivideRectangle(tilesetRectangle); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(ulRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(urRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(lrRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(llRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); }); @@ -1152,23 +1133,22 @@ describe( it("renders polylines", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTilePolylinesTileset + vectorTilePolylinesTileset, ).then((tileset) => { - const [ulRect, urRect, lrRect, llRect] = subdivideRectangle( - tilesetRectangle - ); + const [ulRect, urRect, lrRect, llRect] = + subdivideRectangle(tilesetRectangle); const nwCorner = ellipsoid.cartographicToCartesian( - Rectangle.northwest(ulRect) + Rectangle.northwest(ulRect), ); const neCorner = ellipsoid.cartographicToCartesian( - Rectangle.northeast(urRect) + Rectangle.northeast(urRect), ); const seCorner = ellipsoid.cartographicToCartesian( - Rectangle.southeast(lrRect) + Rectangle.southeast(lrRect), ); const swCorner = ellipsoid.cartographicToCartesian( - Rectangle.southwest(llRect) + Rectangle.southwest(llRect), ); camera.lookAt(nwCorner, new Cartesian3(0.0, 0.0, 5.0)); @@ -1212,15 +1192,14 @@ describe( it("picks polylines", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTilePolylinesTileset + vectorTilePolylinesTileset, ).then((tileset) => { // Subdivide the rectangle into 4, and look at the center of each sub-rectangle. - const [ulRect, urRect, lrRect, llRect] = subdivideRectangle( - tilesetRectangle - ); + const [ulRect, urRect, lrRect, llRect] = + subdivideRectangle(tilesetRectangle); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.northwest(ulRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -1228,7 +1207,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.northeast(urRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -1236,7 +1215,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.southeast(lrRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -1244,7 +1223,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.southwest(llRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -1256,23 +1235,22 @@ describe( it("styles polylines for show", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTilePolylinesTileset + vectorTilePolylinesTileset, ).then((tileset) => { - const [ulRect, urRect, lrRect, llRect] = subdivideRectangle( - tilesetRectangle - ); + const [ulRect, urRect, lrRect, llRect] = + subdivideRectangle(tilesetRectangle); const nwCorner = ellipsoid.cartographicToCartesian( - Rectangle.northwest(ulRect) + Rectangle.northwest(ulRect), ); const neCorner = ellipsoid.cartographicToCartesian( - Rectangle.northeast(urRect) + Rectangle.northeast(urRect), ); const seCorner = ellipsoid.cartographicToCartesian( - Rectangle.southeast(lrRect) + Rectangle.southeast(lrRect), ); const swCorner = ellipsoid.cartographicToCartesian( - Rectangle.southwest(llRect) + Rectangle.southwest(llRect), ); // Set show to false. @@ -1380,23 +1358,22 @@ describe( it("styles polylines for color", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTilePolylinesTileset + vectorTilePolylinesTileset, ).then((tileset) => { - const [ulRect, urRect, lrRect, llRect] = subdivideRectangle( - tilesetRectangle - ); + const [ulRect, urRect, lrRect, llRect] = + subdivideRectangle(tilesetRectangle); const nwCorner = ellipsoid.cartographicToCartesian( - Rectangle.northwest(ulRect) + Rectangle.northwest(ulRect), ); const neCorner = ellipsoid.cartographicToCartesian( - Rectangle.northeast(urRect) + Rectangle.northeast(urRect), ); const seCorner = ellipsoid.cartographicToCartesian( - Rectangle.southeast(lrRect) + Rectangle.southeast(lrRect), ); const swCorner = ellipsoid.cartographicToCartesian( - Rectangle.southwest(llRect) + Rectangle.southwest(llRect), ); // Set color to black. @@ -1466,13 +1443,13 @@ describe( vectorTilePolylinesTileset, { classificationType: ClassificationType.CESIUM_3D_TILE, - } + }, ).then((tileset) => { camera.lookAt( ellipsoid.cartographicToCartesian( - Rectangle.northeast(tilesetRectangle) + Rectangle.northeast(tilesetRectangle), ), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); globeMockPrimitive.show = false; @@ -1492,13 +1469,13 @@ describe( vectorTilePolylinesTileset, { classificationType: ClassificationType.TERRAIN, - } + }, ).then((tileset) => { camera.lookAt( ellipsoid.cartographicToCartesian( - Rectangle.northeast(tilesetRectangle) + Rectangle.northeast(tilesetRectangle), ), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); globeMockPrimitive.show = false; @@ -1518,13 +1495,13 @@ describe( vectorTilePolylinesTileset, { classificationType: ClassificationType.BOTH, - } + }, ).then((tileset) => { camera.lookAt( ellipsoid.cartographicToCartesian( - Rectangle.northeast(tilesetRectangle) + Rectangle.northeast(tilesetRectangle), ), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); globeMockPrimitive.show = false; @@ -1540,24 +1517,23 @@ describe( it("renders polylines with batch ids", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTilePolylinesWithBatchIdsTileset + vectorTilePolylinesWithBatchIdsTileset, ).then((tileset) => { // Subdivide the rectangle into 4, and look at the center of each sub-rectangle. - const [ulRect, urRect, lrRect, llRect] = subdivideRectangle( - tilesetRectangle - ); + const [ulRect, urRect, lrRect, llRect] = + subdivideRectangle(tilesetRectangle); const nwCorner = ellipsoid.cartographicToCartesian( - Rectangle.northwest(ulRect) + Rectangle.northwest(ulRect), ); const neCorner = ellipsoid.cartographicToCartesian( - Rectangle.northeast(urRect) + Rectangle.northeast(urRect), ); const seCorner = ellipsoid.cartographicToCartesian( - Rectangle.southeast(lrRect) + Rectangle.southeast(lrRect), ); const swCorner = ellipsoid.cartographicToCartesian( - Rectangle.southwest(llRect) + Rectangle.southwest(llRect), ); camera.lookAt(nwCorner, new Cartesian3(0.0, 0.0, 5.0)); @@ -1601,15 +1577,14 @@ describe( it("picks polylines with batch ids", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTilePolylinesWithBatchIdsTileset + vectorTilePolylinesWithBatchIdsTileset, ).then((tileset) => { // Subdivide the rectangle into 4, and look at the center of each sub-rectangle. - const [ulRect, urRect, lrRect, llRect] = subdivideRectangle( - tilesetRectangle - ); + const [ulRect, urRect, lrRect, llRect] = + subdivideRectangle(tilesetRectangle); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.northwest(ulRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -1618,7 +1593,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.northeast(urRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -1627,7 +1602,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.southeast(lrRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -1636,7 +1611,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.southwest(llRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -1649,24 +1624,23 @@ describe( it("renders polylines with batch table", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTilePolylinesWithBatchTableTileset + vectorTilePolylinesWithBatchTableTileset, ).then((tileset) => { // Subdivide the rectangle into 4, and look at the center of each sub-rectangle. - const [ulRect, urRect, lrRect, llRect] = subdivideRectangle( - tilesetRectangle - ); + const [ulRect, urRect, lrRect, llRect] = + subdivideRectangle(tilesetRectangle); const nwCorner = ellipsoid.cartographicToCartesian( - Rectangle.northwest(ulRect) + Rectangle.northwest(ulRect), ); const neCorner = ellipsoid.cartographicToCartesian( - Rectangle.northeast(urRect) + Rectangle.northeast(urRect), ); const seCorner = ellipsoid.cartographicToCartesian( - Rectangle.southeast(lrRect) + Rectangle.southeast(lrRect), ); const swCorner = ellipsoid.cartographicToCartesian( - Rectangle.southwest(llRect) + Rectangle.southwest(llRect), ); camera.lookAt(nwCorner, new Cartesian3(0.0, 0.0, 5.0)); @@ -1710,15 +1684,14 @@ describe( it("picks polylines with batch table", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTilePolylinesWithBatchTableTileset + vectorTilePolylinesWithBatchTableTileset, ).then((tileset) => { // Subdivide the rectangle into 4, and look at the center of each sub-rectangle. - const [ulRect, urRect, lrRect, llRect] = subdivideRectangle( - tilesetRectangle - ); + const [ulRect, urRect, lrRect, llRect] = + subdivideRectangle(tilesetRectangle); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.northwest(ulRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -1727,7 +1700,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.northeast(urRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -1736,7 +1709,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.southeast(lrRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -1745,7 +1718,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.southwest(llRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -1758,15 +1731,14 @@ describe( it("renders batched polylines with children", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTilePolylinesBatchedChildrenTileset + vectorTilePolylinesBatchedChildrenTileset, ).then((tileset) => { // Subdivide the rectangle into 4, and look at the center of each sub-rectangle. - const [ulRect, urRect, lrRect, llRect] = subdivideRectangle( - tilesetRectangle - ); + const [ulRect, urRect, lrRect, llRect] = + subdivideRectangle(tilesetRectangle); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.northwest(ulRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRenderAndCall((rgba) => { // Account for mitering at the corners. @@ -1777,7 +1749,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.northeast(urRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRenderAndCall((rgba) => { // Account for mitering at the corners. @@ -1788,7 +1760,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.southeast(lrRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRenderAndCall((rgba) => { // Account for mitering at the corners. @@ -1799,7 +1771,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.southwest(llRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRenderAndCall((rgba) => { // Account for mitering at the corners. @@ -1814,15 +1786,14 @@ describe( it("renders batched polylines with children with batch table", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTilePolylinesBatchedChildrenWithBatchTableTileset + vectorTilePolylinesBatchedChildrenWithBatchTableTileset, ).then((tileset) => { // Subdivide the rectangle into 4, and look at the center of each sub-rectangle. - const [ulRect, urRect, lrRect, llRect] = subdivideRectangle( - tilesetRectangle - ); + const [ulRect, urRect, lrRect, llRect] = + subdivideRectangle(tilesetRectangle); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.northwest(ulRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRenderAndCall((rgba) => { // Account for mitering at the corners. @@ -1833,7 +1804,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.northeast(urRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRenderAndCall((rgba) => { // Account for mitering at the corners. @@ -1844,7 +1815,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.southeast(lrRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRenderAndCall((rgba) => { // Account for mitering at the corners. @@ -1855,7 +1826,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.southwest(llRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRenderAndCall((rgba) => { // Account for mitering at the corners. @@ -1874,22 +1845,22 @@ describe( vectorTilePolylinesWithBatchIdsTileset, { vectorKeepDecodedPositions: true, - } + }, ).then(function (tileset) { const content = tileset.root.content; const polylinePositions = content.getPolylinePositions(0); expect(polylinePositions.length).toBe(60); expect(polylinePositions[0]).toEqualEpsilon( 6378136.806372941, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polylinePositions[1]).toEqualEpsilon( -1113.194885441724, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polylinePositions[2]).toEqualEpsilon( 1105.675261474196, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); }); @@ -1900,7 +1871,7 @@ describe( vectorTilePolylinesBatchedChildrenTileset, { vectorKeepDecodedPositions: true, - } + }, ).then(function (tileset) { const content = tileset.root.children[0].content; expect(content.getPolylinePositions(0).length).toBe(60); @@ -1917,22 +1888,22 @@ describe( { vectorKeepDecodedPositions: true, classificationType: ClassificationType.TERRAIN, - } + }, ).then(function (tileset) { const content = tileset.root.content; const polylinePositions = content.getPolylinePositions(0); expect(polylinePositions.length).toBe(54); // duplicate positions are removed expect(polylinePositions[0]).toEqualEpsilon( 6378136.806372941, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polylinePositions[1]).toEqualEpsilon( -1113.194885441724, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); expect(polylinePositions[2]).toEqualEpsilon( 1105.675261474196, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ); }); }); @@ -1943,7 +1914,7 @@ describe( vectorTilePolylinesWithBatchIdsTileset, { vectorKeepDecodedPositions: true, - } + }, ).then(function (tileset) { const content = tileset.root.content; const polylinePositions = content.getPolylinePositions(1); @@ -1957,7 +1928,7 @@ describe( vectorTilePolygonsWithBatchTableTileset, { vectorKeepDecodedPositions: true, - } + }, ).then(function (tileset) { const content = tileset.root.content; const polylinePositions = content.getPolylinePositions(0); @@ -1971,7 +1942,7 @@ describe( vectorTilePolylinesWithBatchIdsTileset, { vectorKeepDecodedPositions: false, - } + }, ).then(function (tileset) { const content = tileset.root.content; const polylinePositions = content.getPolylinePositions(0); @@ -1991,7 +1962,7 @@ describe( -0.02, -0.01, 0.02, - 0.01 + 0.01, ); const width = combinedTilesetRectangle.width; const step = width / 3; @@ -2004,30 +1975,30 @@ describe( west + step, south, west + step * 2, - north + north, ); const pointRect = new Rectangle( west + step * 2, south, west + step * 3, - north + north, ); it("renders", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTileCombinedTileset + vectorTileCombinedTileset, ).then((tileset) => { camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(polygonRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian( - Rectangle.southeast(polylineRect) + Rectangle.southeast(polylineRect), ), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRenderAndCall((rgba) => { // Account for mitering at the corners. @@ -2038,7 +2009,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(pointRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); }); @@ -2047,11 +2018,11 @@ describe( it("picks", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTileCombinedTileset + vectorTileCombinedTileset, ).then((tileset) => { camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(polygonRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -2059,9 +2030,9 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian( - Rectangle.southeast(polylineRect) + Rectangle.southeast(polylineRect), ), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -2069,7 +2040,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(pointRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -2081,19 +2052,19 @@ describe( it("renders with batch ids", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTileCombinedWithBatchIdsTileset + vectorTileCombinedWithBatchIdsTileset, ).then((tileset) => { camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(polygonRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); camera.lookAt( ellipsoid.cartographicToCartesian( - Rectangle.southeast(polylineRect) + Rectangle.southeast(polylineRect), ), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRenderAndCall((rgba) => { // Account for mitering at the corners. @@ -2104,7 +2075,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(pointRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toRender(whitePixel); }); @@ -2113,11 +2084,11 @@ describe( it("picks with batch ids", () => { return Cesium3DTilesTester.loadTileset( scene, - vectorTileCombinedWithBatchIdsTileset + vectorTileCombinedWithBatchIdsTileset, ).then((tileset) => { camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(polygonRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -2126,9 +2097,9 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian( - Rectangle.southeast(polylineRect) + Rectangle.southeast(polylineRect), ), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -2137,7 +2108,7 @@ describe( }); camera.lookAt( ellipsoid.cartographicToCartesian(Rectangle.center(pointRect)), - new Cartesian3(0.0, 0.0, 5.0) + new Cartesian3(0.0, 0.0, 5.0), ); expect(scene).toPickAndCall((result) => { expect(result).toBeDefined(); @@ -2151,7 +2122,7 @@ describe( it("throws when calling getFeature with invalid index", function () { return Cesium3DTilesTester.loadTileset( scene, - vectorTilePolygonsWithBatchTableTileset + vectorTilePolygonsWithBatchTableTileset, ).then(function (tileset) { const content = tileset.root.content; expect(function () { @@ -2171,10 +2142,10 @@ describe( version: 2, }); await expectAsync( - Cesium3DTilesTester.createContentForMockTile(arrayBuffer, "vctr") + Cesium3DTilesTester.createContentForMockTile(arrayBuffer, "vctr"), ).toBeRejectedWithError( RuntimeError, - "Only Vector tile version 1 is supported. Version 2 is not." + "Only Vector tile version 1 is supported. Version 2 is not.", ); }); @@ -2183,10 +2154,10 @@ describe( defineFeatureTable: false, }); await expectAsync( - Cesium3DTilesTester.createContentForMockTile(arrayBuffer, "vctr") + Cesium3DTilesTester.createContentForMockTile(arrayBuffer, "vctr"), ).toBeRejectedWithError( RuntimeError, - "Feature table must have a byte length greater than zero" + "Feature table must have a byte length greater than zero", ); }); @@ -2196,10 +2167,10 @@ describe( polygonsLength: 1, }); await expectAsync( - Cesium3DTilesTester.createContentForMockTile(arrayBuffer, "vctr") + Cesium3DTilesTester.createContentForMockTile(arrayBuffer, "vctr"), ).toBeRejectedWithError( RuntimeError, - "Feature table global property: REGION must be defined" + "Feature table global property: REGION must be defined", ); }); @@ -2212,21 +2183,21 @@ describe( pointBatchIds: [0], }); await expectAsync( - Cesium3DTilesTester.createContentForMockTile(arrayBuffer, "vctr") + Cesium3DTilesTester.createContentForMockTile(arrayBuffer, "vctr"), ).toBeRejectedWithError( RuntimeError, - "If one group of batch ids is defined, then all batch ids must be defined" + "If one group of batch ids is defined, then all batch ids must be defined", ); }); it("destroys", async function () { const tileset = await Cesium3DTileset.fromUrl( - vectorTilePolygonsWithBatchTableTileset + vectorTilePolygonsWithBatchTableTileset, ); expect(tileset.isDestroyed()).toEqual(false); tileset.destroy(); expect(tileset.isDestroyed()).toEqual(true); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Vector3DTileGeometrySpec.js b/packages/engine/Specs/Scene/Vector3DTileGeometrySpec.js index 32d9365f8e18..bce78da0c63f 100644 --- a/packages/engine/Specs/Scene/Vector3DTileGeometrySpec.js +++ b/packages/engine/Specs/Scene/Vector3DTileGeometrySpec.js @@ -69,7 +69,7 @@ describe( }); } const depthColorAttribute = ColorGeometryInstanceAttribute.fromColor( - new Color(1.0, 0.0, 0.0, 1.0) + new Color(1.0, 0.0, 0.0, 1.0), ); return new Primitive({ geometryInstances: new GeometryInstance({ @@ -127,7 +127,7 @@ describe( reusableGlobePrimitive = createPrimitive(rectangle, Pass.GLOBE); reusableTilesetPrimitive = createPrimitive( rectangle, - Pass.CESIUM_3D_TILE + Pass.CESIUM_3D_TILE, ); }); @@ -142,7 +142,7 @@ describe( globePrimitive = new MockPrimitive(reusableGlobePrimitive, Pass.GLOBE); tilesetPrimitive = new MockPrimitive( reusableTilesetPrimitive, - Pass.CESIUM_3D_TILE + Pass.CESIUM_3D_TILE, ); }); @@ -170,7 +170,7 @@ describe( function packBoxes(boxes) { const length = boxes.length; const packedBoxes = new Float32Array( - length * Vector3DTileGeometry.packedBoxLength + length * Vector3DTileGeometry.packedBoxLength, ); let offset = 0; for (let i = 0; i < length; ++i) { @@ -186,7 +186,7 @@ describe( function packCylinders(cylinders) { const length = cylinders.length; const packedCylinders = new Float32Array( - length * Vector3DTileGeometry.packedCylinderLength + length * Vector3DTileGeometry.packedCylinderLength, ); let offset = 0; for (let i = 0; i < length; ++i) { @@ -202,7 +202,7 @@ describe( function packEllipsoids(ellipsoids) { const length = ellipsoids.length; const packedEllipsoids = new Float32Array( - length * Vector3DTileGeometry.packedEllipsoidLength + length * Vector3DTileGeometry.packedEllipsoidLength, ); let offset = 0; for (let i = 0; i < length; ++i) { @@ -218,7 +218,7 @@ describe( function packSpheres(spheres) { const length = spheres.length; const packedSpheres = new Float32Array( - length * Vector3DTileGeometry.packedSphereLength + length * Vector3DTileGeometry.packedSphereLength, ); let offset = 0; for (let i = 0; i < length; ++i) { @@ -227,7 +227,7 @@ describe( Cartesian3.pack( Matrix4.getTranslation(sphere.modelMatrix, new Cartesian3()), packedSpheres, - offset + offset, ); offset += Cartesian3.packedLength; } @@ -252,8 +252,8 @@ describe( center: center, modelMatrix: modelMatrix, batchTable: batchTable, - }) - ) + }), + ), ); return loadGeometries(geometry).then(function () { scene.camera.setView({ @@ -288,8 +288,8 @@ describe( center: center, modelMatrix: modelMatrix, batchTable: batchTable, - }) - ) + }), + ), ); return loadGeometries(geometry).then(function () { let i; @@ -301,11 +301,11 @@ describe( const transform = Matrix4.multiply( modelMatrix, modelMatrices[i], - new Matrix4() + new Matrix4(), ); scene.camera.lookAtTransform( transform, - new Cartesian3(0.0, 0.0, 10.0) + new Cartesian3(0.0, 0.0, 10.0), ); batchTable.setShow(i, true); @@ -333,7 +333,7 @@ describe( const boxBatchIds = new Uint16Array([0]); const bv = new BoundingSphere( undefined, - Math.sqrt(3.0 * dimensions.x * dimensions.x) + Math.sqrt(3.0 * dimensions.x * dimensions.x), ); return verifySingleRender({ boxes: boxes, @@ -361,7 +361,7 @@ describe( const boxBatchIds = new Uint16Array([0, 1]); const bv = new BoundingSphere( undefined, - Math.sqrt(3.0 * 2.0 * dimensions.x * dimensions.x) + Math.sqrt(3.0 * 2.0 * dimensions.x * dimensions.x), ); return verifyMultipleRender(modelMatrices, { boxes: boxes, @@ -383,7 +383,7 @@ describe( const cylinderBatchIds = new Uint16Array([0]); const bv = new BoundingSphere( undefined, - Math.sqrt(radius * radius + length * length) + Math.sqrt(radius * radius + length * length), ); return verifySingleRender({ cylinders: cylinders, @@ -414,7 +414,7 @@ describe( const cylinderBatchIds = new Uint16Array([0, 1]); const bv = new BoundingSphere( undefined, - Math.sqrt(2.0 * (radius * radius + length * length)) + Math.sqrt(2.0 * (radius * radius + length * length)), ); return verifyMultipleRender(modelMatrices, { cylinders: cylinders, @@ -434,7 +434,7 @@ describe( const ellipsoidBatchIds = new Uint16Array([0]); const bv = new BoundingSphere( undefined, - Cartesian3.maximumComponent(radii) + Cartesian3.maximumComponent(radii), ); return verifySingleRender({ ellipsoids: ellipsoid, @@ -462,7 +462,7 @@ describe( const ellipsoidBatchIds = new Uint16Array([0, 1]); const bv = new BoundingSphere( undefined, - 2.0 * Cartesian3.maximumComponent(radii) + 2.0 * Cartesian3.maximumComponent(radii), ); return verifyMultipleRender(modelMatrices, { ellipsoids: ellipsoids, @@ -535,7 +535,7 @@ describe( const length = 125000.0; modelMatrices.push( Matrix4.fromTranslation(new Cartesian3(radius, 0.0, 0.0)), - Matrix4.fromTranslation(new Cartesian3(-radius, 0.0, 0.0)) + Matrix4.fromTranslation(new Cartesian3(-radius, 0.0, 0.0)), ); const cylinders = packCylinders([ { @@ -554,7 +554,7 @@ describe( const radii = new Cartesian3(125000.0, 125000.0, 125000.0); modelMatrices.push( Matrix4.fromTranslation(new Cartesian3(radii.x, 0.0, 0.0)), - Matrix4.fromTranslation(new Cartesian3(-radii.x, 0.0, 0.0)) + Matrix4.fromTranslation(new Cartesian3(-radii.x, 0.0, 0.0)), ); const ellipsoids = packEllipsoids([ { @@ -570,7 +570,7 @@ describe( modelMatrices.push( Matrix4.fromTranslation(new Cartesian3(radius, 0.0, 0.0)), - Matrix4.fromTranslation(new Cartesian3(-radius, 0.0, 0.0)) + Matrix4.fromTranslation(new Cartesian3(-radius, 0.0, 0.0)), ); const spheres = packSpheres([ { @@ -621,7 +621,7 @@ describe( let length = 125000.0; modelMatrices.push( Matrix4.fromTranslation(new Cartesian3(radius, 0.0, 0.0)), - Matrix4.fromTranslation(new Cartesian3(-radius, 0.0, 0.0)) + Matrix4.fromTranslation(new Cartesian3(-radius, 0.0, 0.0)), ); const cylinders = packCylinders([ { @@ -659,7 +659,7 @@ describe( modelMatrix: modelMatrix, batchTable: batchTable, boundingVolume: bv, - }) + }), ); geometry.forceRebatch = true; return loadGeometries(geometry).then(function () { @@ -672,11 +672,11 @@ describe( const transform = Matrix4.multiply( modelMatrix, modelMatrices[i], - new Matrix4() + new Matrix4(), ); scene.camera.lookAtTransform( transform, - new Cartesian3(0.0, 0.0, 10.0) + new Cartesian3(0.0, 0.0, 10.0), ); batchTable.setShow(i, true); @@ -709,7 +709,7 @@ describe( const bv = new BoundingSphere( center, - Cartesian3.maximumComponent(radii) + Cartesian3.maximumComponent(radii), ); const batchTable = new Cesium3DTileBatchTable(mockTileset, 1); @@ -725,18 +725,18 @@ describe( center: center, modelMatrix: modelMatrix, batchTable: batchTable, - }) + }), ); return loadGeometries(geometry).then(function () { scene.camera.lookAtTransform( modelMatrix, - new Cartesian3(0.0, 0.0, 1.0) + new Cartesian3(0.0, 0.0, 1.0), ); expect(scene).toRender([255, 255, 255, 255]); scene.camera.lookAtTransform( modelMatrix, - new Cartesian3(radii.x, 0.0, 1.0) + new Cartesian3(radii.x, 0.0, 1.0), ); expect(scene).toRender([255, 0, 0, 255]); @@ -771,7 +771,7 @@ describe( modelMatrix: modelMatrix, batchTable: batchTable, boundingVolume: new BoundingSphere(center, 1000000.0), - }) + }), ); geometry.debugWireframe = true; return loadGeometries(geometry).then(function () { @@ -804,7 +804,7 @@ describe( const bv = new BoundingSphere( center, - Cartesian3.maximumComponent(radii) + Cartesian3.maximumComponent(radii), ); const batchTable = new Cesium3DTileBatchTable(mockTileset, 1); @@ -821,12 +821,12 @@ describe( center: center, modelMatrix: modelMatrix, batchTable: batchTable, - }) + }), ); return loadGeometries(geometry).then(function () { scene.camera.lookAtTransform( modelMatrix, - new Cartesian3(0.0, 0.0, 1.0) + new Cartesian3(0.0, 0.0, 1.0), ); geometry.classificationType = ClassificationType.CESIUM_3D_TILE; @@ -880,7 +880,7 @@ describe( modelMatrix: modelMatrix, batchTable: batchTable, boundingVolume: new BoundingSphere(center, 500000.0), - }) + }), ); return loadGeometries(geometry).then(function () { scene.camera.setView({ @@ -914,5 +914,5 @@ describe( }); } }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Vector3DTilePointsSpec.js b/packages/engine/Specs/Scene/Vector3DTilePointsSpec.js index b04ec5f92b67..b171cc95684b 100644 --- a/packages/engine/Specs/Scene/Vector3DTilePointsSpec.js +++ b/packages/engine/Specs/Scene/Vector3DTilePointsSpec.js @@ -70,9 +70,8 @@ describe( // render until all labels have been updated return pollToPromise(function () { scene.renderForSpecs(); - const backgroundBillboard = points._labelCollection._backgroundBillboardCollection.get( - 0 - ); + const backgroundBillboard = + points._labelCollection._backgroundBillboardCollection.get(0); return ( (!defined(backgroundBillboard) || backgroundBillboard.ready) && points._labelCollection._labelsToUpdate.length === 0 && @@ -100,7 +99,7 @@ describe( rectangle, minimumHeight, maximumHeight, - positions + positions, ) { const length = positions.length; const buffer = new Uint16Array(length * 3); @@ -145,7 +144,7 @@ describe( rectangle, minHeight, maxHeight, - cartoPositions + cartoPositions, ); const batchTable = new Cesium3DTileBatchTable(mockTileset, 1); @@ -159,7 +158,7 @@ describe( rectangle: rectangle, minimumHeight: minHeight, maximumHeight: maxHeight, - }) + }), ); return loadPoints(points) .then(function () { @@ -169,7 +168,7 @@ describe( scene.camera.lookAt( Cartesian3.fromDegrees(0.0, 0.0, 30.0), - new Cartesian3(0.0, 0.0, 50.0) + new Cartesian3(0.0, 0.0, 50.0), ); return allPrimitivesReady(points); }) @@ -192,7 +191,7 @@ describe( rectangle, minHeight, maxHeight, - cartoPositions + cartoPositions, ); const batchTable = new Cesium3DTileBatchTable(mockTileset, 5); @@ -206,7 +205,7 @@ describe( rectangle: rectangle, minimumHeight: minHeight, maximumHeight: maxHeight, - }) + }), ); const style = new Cesium3DTileStyle({ verticalOrigin: VerticalOrigin.BOTTOM, @@ -225,7 +224,7 @@ describe( .then(function () { for (let i = 0; i < cartoPositions.length; ++i) { const position = ellipsoid.cartographicToCartesian( - cartoPositions[i] + cartoPositions[i], ); scene.camera.lookAt(position, new Cartesian3(0.0, 0.0, 50.0)); expect(scene).toRenderAndCall(function (rgba) { @@ -246,7 +245,7 @@ describe( rectangle, minHeight, maxHeight, - cartoPositions + cartoPositions, ); const batchTable = new Cesium3DTileBatchTable(mockTileset, 1); @@ -259,7 +258,7 @@ describe( rectangle: rectangle, minimumHeight: minHeight, maximumHeight: maxHeight, - }) + }), ); const features = []; const getFeature = mockTileset.getFeature; @@ -267,7 +266,7 @@ describe( .then(function () { scene.camera.lookAt( Cartesian3.fromDegrees(0.0, 0.0, 10.0), - new Cartesian3(0.0, 0.0, 50.0) + new Cartesian3(0.0, 0.0, 50.0), ); points.createFeatures(mockTileset, features); @@ -305,7 +304,7 @@ describe( rectangle, minHeight, maxHeight, - cartoPositions + cartoPositions, ); const mockTilesetClone = clone(mockTileset); @@ -325,7 +324,7 @@ describe( rectangle: rectangle, minimumHeight: minHeight, maximumHeight: maxHeight, - }) + }), ); const style = new Cesium3DTileStyle({ @@ -369,41 +368,41 @@ describe( expect(feature.pointSize).toEqual(10.0); expect(feature.color).toEqual(new Color(1.0, 1.0, 0.0, 0.5)); expect(feature.pointOutlineColor).toEqual( - new Color(1.0, 1.0, 0.0, 1.0) + new Color(1.0, 1.0, 0.0, 1.0), ); expect(feature.pointOutlineWidth).toEqual(11.0 * i); expect(feature.labelColor).toEqual(new Color(1.0, 1.0, 0.0, 1.0)); expect(feature.labelOutlineColor).toEqual( - new Color(1.0, 1.0, 0.0, 0.5) + new Color(1.0, 1.0, 0.0, 0.5), ); expect(feature.labelOutlineWidth).toEqual(1.0); expect(feature.font).toEqual("30px sans-serif"); expect(feature.labelStyle).toEqual(LabelStyle.FILL_AND_OUTLINE); expect(feature.labelText).toEqual("test"); expect(feature.backgroundColor).toEqual( - new Color(1.0, 1.0, 0.0, 0.2) + new Color(1.0, 1.0, 0.0, 0.2), ); expect(feature.backgroundPadding).toEqual(new Cartesian2(10, 11)); expect(feature.backgroundEnabled).toEqual(true); expect(feature.scaleByDistance).toEqual( - new NearFarScalar(1.0e4, 1.0, 1.0e6, 0.0) + new NearFarScalar(1.0e4, 1.0, 1.0e6, 0.0), ); expect(feature.translucencyByDistance).toEqual( - new NearFarScalar(1.0e4, 1.0, 1.0e6, 0.0) + new NearFarScalar(1.0e4, 1.0, 1.0e6, 0.0), ); expect(feature.distanceDisplayCondition).toEqual( - new DistanceDisplayCondition(0.1, 1.0e6) + new DistanceDisplayCondition(0.1, 1.0e6), ); expect(feature.heightOffset).toEqual(0.0); expect(feature.anchorLineEnabled).toEqual(true); expect(feature.anchorLineColor).toEqual( - new Color(1.0, 1.0, 0.0, 1.0) + new Color(1.0, 1.0, 0.0, 1.0), ); expect(feature.disableDepthTestDistance).toEqual(1.0e6); expect(feature.horizontalOrigin).toEqual(HorizontalOrigin.CENTER); expect(feature.verticalOrigin).toEqual(VerticalOrigin.CENTER); expect(feature.labelHorizontalOrigin).toEqual( - HorizontalOrigin.RIGHT + HorizontalOrigin.RIGHT, ); expect(feature.labelVerticalOrigin).toEqual(VerticalOrigin.BOTTOM); } @@ -477,13 +476,13 @@ describe( rectangle, minHeight, maxHeight, - cartoPositions + cartoPositions, ); const mockTilesetClone = clone(mockTileset); const batchTable = new Cesium3DTileBatchTable( mockTilesetClone, - testOptions.length + testOptions.length, ); mockTilesetClone.batchTable = batchTable; @@ -502,7 +501,7 @@ describe( rectangle: rectangle, minimumHeight: minHeight, maximumHeight: maxHeight, - }) + }), ); const styleOptions = {}; @@ -554,7 +553,7 @@ describe( if (defined(expectedCesium3DTileFeaturePropertyValue)) { expect(feature[cesium3DTileFeaturePropertyName]).toBeDefined(); expect(feature[cesium3DTileFeaturePropertyName]).toEqual( - expectedCesium3DTileFeaturePropertyValue + expectedCesium3DTileFeaturePropertyValue, ); } else { expect(feature[cesium3DTileFeaturePropertyName]).toBeUndefined(); @@ -576,7 +575,7 @@ describe( rectangle, minHeight, maxHeight, - cartoPositions + cartoPositions, ); const batchTable = new Cesium3DTileBatchTable(mockTileset, 1); @@ -590,7 +589,7 @@ describe( rectangle: rectangle, minimumHeight: minHeight, maximumHeight: maxHeight, - }) + }), ); const style = new Cesium3DTileStyle({ @@ -609,7 +608,7 @@ describe( scene.camera.lookAt( Cartesian3.fromDegrees(0.0, 0.0, 10.0), - new Cartesian3(0.0, 0.0, 50.0) + new Cartesian3(0.0, 0.0, 50.0), ); return pollToPromise(function () { scene.renderForSpecs(); @@ -635,7 +634,7 @@ describe( rectangle, minHeight, maxHeight, - cartoPositions + cartoPositions, ); const batchTable = new Cesium3DTileBatchTable(mockTileset, 5); @@ -649,7 +648,7 @@ describe( rectangle: rectangle, minimumHeight: minHeight, maximumHeight: maxHeight, - }) + }), ); const style = new Cesium3DTileStyle({ verticalOrigin: VerticalOrigin.BOTTOM, @@ -699,5 +698,5 @@ describe( expect(points.isDestroyed()).toEqual(true); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Vector3DTilePolygonsSpec.js b/packages/engine/Specs/Scene/Vector3DTilePolygonsSpec.js index ef14b4d4b60c..784e3f383c5e 100644 --- a/packages/engine/Specs/Scene/Vector3DTilePolygonsSpec.js +++ b/packages/engine/Specs/Scene/Vector3DTilePolygonsSpec.js @@ -74,7 +74,7 @@ describe( }); } const depthColorAttribute = ColorGeometryInstanceAttribute.fromColor( - new Color(1.0, 0.0, 0.0, 1.0) + new Color(1.0, 0.0, 0.0, 1.0), ); return new Primitive({ geometryInstances: new GeometryInstance({ @@ -132,7 +132,7 @@ describe( reusableGlobePrimitive = createPrimitive(rectangle, Pass.GLOBE); reusableTilesetPrimitive = createPrimitive( rectangle, - Pass.CESIUM_3D_TILE + Pass.CESIUM_3D_TILE, ); }); @@ -147,7 +147,7 @@ describe( globePrimitive = new MockPrimitive(reusableGlobePrimitive, Pass.GLOBE); tilesetPrimitive = new MockPrimitive( reusableTilesetPrimitive, - Pass.CESIUM_3D_TILE + Pass.CESIUM_3D_TILE, ); }); @@ -232,7 +232,7 @@ describe( scene.primitives.add(globePrimitive); const center = ellipsoid.cartographicToCartesian( - Rectangle.center(rectangle) + Rectangle.center(rectangle), ); polygons = scene.primitives.add( new Vector3DTilePolygons( @@ -245,8 +245,8 @@ describe( batchTable: batchTable, batchIds: new Uint32Array([0]), isCartographic: true, - }) - ) + }), + ), ); return loadPolygons(polygons).then(function () { scene.camera.setView({ @@ -283,7 +283,7 @@ describe( scene.primitives.add(globePrimitive); const center = ellipsoid.cartographicToCartesian( - Rectangle.center(rectangle) + Rectangle.center(rectangle), ); polygons = scene.primitives.add( new Vector3DTilePolygons({ @@ -299,7 +299,7 @@ describe( batchTable: batchTable, batchIds: new Uint32Array([0, 1]), isCartographic: true, - }) + }), ); return loadPolygons(polygons).then(function () { scene.camera.setView({ @@ -347,7 +347,7 @@ describe( scene.primitives.add(globePrimitive); const center = ellipsoid.cartographicToCartesian( - Rectangle.center(rectangle) + Rectangle.center(rectangle), ); polygons = scene.primitives.add( new Vector3DTilePolygons({ @@ -363,7 +363,7 @@ describe( batchTable: batchTable, batchIds: new Uint32Array([0, 1]), isCartographic: true, - }) + }), ); polygons.forceRebatch = true; return loadPolygons(polygons).then(function () { @@ -412,7 +412,7 @@ describe( scene.primitives.add(globePrimitive); const center = ellipsoid.cartographicToCartesian( - Rectangle.center(rectangle) + Rectangle.center(rectangle), ); polygons = scene.primitives.add( new Vector3DTilePolygons({ @@ -430,7 +430,7 @@ describe( batchTable: batchTable, batchIds: new Uint32Array([0, 1]), isCartographic: true, - }) + }), ); polygons.forceRebatch = true; return loadPolygons(polygons).then(function () { @@ -463,7 +463,7 @@ describe( scene.primitives.add(tilesetPrimitive); const center = ellipsoid.cartographicToCartesian( - Rectangle.center(rectangle) + Rectangle.center(rectangle), ); polygons = scene.primitives.add( new Vector3DTilePolygons( @@ -476,8 +476,8 @@ describe( batchTable: batchTable, batchIds: new Uint32Array([0]), isCartographic: true, - }) - ) + }), + ), ); return loadPolygons(polygons).then(function () { scene.camera.setView({ @@ -510,7 +510,7 @@ describe( scene.primitives.add(globePrimitive); const center = ellipsoid.cartographicToCartesian( - Rectangle.center(rectangle) + Rectangle.center(rectangle), ); polygons = scene.primitives.add( new Vector3DTilePolygons( @@ -523,8 +523,8 @@ describe( batchTable: batchTable, batchIds: new Uint32Array([0]), isCartographic: true, - }) - ) + }), + ), ); polygons.debugWireframe = true; return loadPolygons(polygons).then(function () { @@ -552,7 +552,7 @@ describe( scene.primitives.add(tilesetPrimitive); const center = ellipsoid.cartographicToCartesian( - Rectangle.center(rectangle) + Rectangle.center(rectangle), ); polygons = scene.primitives.add( new Vector3DTilePolygons( @@ -565,8 +565,8 @@ describe( batchTable: batchTable, batchIds: new Uint32Array([0]), isCartographic: true, - }) - ) + }), + ), ); return loadPolygons(polygons).then(function () { scene.camera.setView({ @@ -611,7 +611,7 @@ describe( scene.primitives.add(globePrimitive); const center = ellipsoid.cartographicToCartesian( - Rectangle.center(rectangle) + Rectangle.center(rectangle), ); polygons = scene.primitives.add( new Vector3DTilePolygons( @@ -624,8 +624,8 @@ describe( batchTable: batchTable, batchIds: new Uint32Array([0]), isCartographic: true, - }) - ) + }), + ), ); polygons.debugWireframe = true; return loadPolygons(polygons).then(function () { @@ -659,5 +659,5 @@ describe( }); } }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/Vector3DTilePolylinesSpec.js b/packages/engine/Specs/Scene/Vector3DTilePolylinesSpec.js index 1ca2cffad46b..7626e6cc86d2 100644 --- a/packages/engine/Specs/Scene/Vector3DTilePolylinesSpec.js +++ b/packages/engine/Specs/Scene/Vector3DTilePolylinesSpec.js @@ -72,7 +72,7 @@ describe( rectangle, minimumHeight, maximumHeight, - positions + positions, ) { const length = positions.length; const buffer = new Uint16Array(length * 3); @@ -120,14 +120,14 @@ describe( rectangle, minHeight, maxHeight, - cartoPositions + cartoPositions, ); const batchTable = new Cesium3DTileBatchTable(mockTileset, 1); batchTable.update(mockTileset, scene.frameState); const center = ellipsoid.cartographicToCartesian( - Rectangle.center(rectangle) + Rectangle.center(rectangle), ); polylines = scene.primitives.add( @@ -143,12 +143,12 @@ describe( boundingVolume: new BoundingSphere(center, 1000000.0), batchTable: batchTable, keepDecodedPositions: false, - }) + }), ); return loadPolylines(polylines).then(function () { scene.camera.lookAt( Cartesian3.fromDegrees(0.5, 0.0, 1.5), - new Cartesian3(0.0, 0.0, 1.0) + new Cartesian3(0.0, 0.0, 1.0), ); expect(scene).toRender([255, 255, 255, 255]); }); @@ -171,14 +171,14 @@ describe( rectangle, minHeight, maxHeight, - cartoPositions + cartoPositions, ); const batchTable = new Cesium3DTileBatchTable(mockTileset, 1); batchTable.update(mockTileset, scene.frameState); const center = ellipsoid.cartographicToCartesian( - Rectangle.center(rectangle) + Rectangle.center(rectangle), ); polylines = scene.primitives.add( @@ -194,7 +194,7 @@ describe( boundingVolume: new BoundingSphere(center, 1000000.0), batchTable: batchTable, keepDecodedPositions: false, - }) + }), ); return loadPolylines(polylines).then(function () { for (let i = 0; i < cartoPositions.length; i += 2) { @@ -222,13 +222,13 @@ describe( rectangle, minHeight, maxHeight, - cartoPositions + cartoPositions, ); const batchTable = new Cesium3DTileBatchTable(mockTileset, 1); const center = ellipsoid.cartographicToCartesian( - Rectangle.center(rectangle) + Rectangle.center(rectangle), ); polylines = scene.primitives.add( @@ -244,12 +244,12 @@ describe( boundingVolume: new BoundingSphere(center, 1000000.0), batchTable: batchTable, keepDecodedPositions: false, - }) + }), ); return loadPolylines(polylines).then(function () { scene.camera.lookAt( Cartesian3.fromDegrees(0.5, 0.0, 1.5), - new Cartesian3(0.0, 0.0, 1.0) + new Cartesian3(0.0, 0.0, 1.0), ); const features = []; @@ -277,5 +277,5 @@ describe( expect(polylines.isDestroyed()).toEqual(true); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/VertexAttributeSemanticSpec.js b/packages/engine/Specs/Scene/VertexAttributeSemanticSpec.js index b400be14e290..3806abc4facd 100644 --- a/packages/engine/Specs/Scene/VertexAttributeSemanticSpec.js +++ b/packages/engine/Specs/Scene/VertexAttributeSemanticSpec.js @@ -18,7 +18,7 @@ describe("Scene/VertexAttributeSemantic", function () { const semanticsLength = semantics.length; for (let i = 0; i < semanticsLength; ++i) { expect(VertexAttributeSemantic.hasSetIndex(semantics[i])).toBe( - hasSetIndex[i] + hasSetIndex[i], ); } }); @@ -73,7 +73,7 @@ describe("Scene/VertexAttributeSemantic", function () { const semanticsLength = gltfSemantics.length; for (let i = 0; i < semanticsLength; ++i) { expect(VertexAttributeSemantic.fromGltfSemantic(gltfSemantics[i])).toBe( - expectedSemantics[i] + expectedSemantics[i], ); } }); @@ -110,7 +110,7 @@ describe("Scene/VertexAttributeSemantic", function () { const semanticsLength = pntsSemantics.length; for (let i = 0; i < semanticsLength; ++i) { expect(VertexAttributeSemantic.fromPntsSemantic(pntsSemantics[i])).toBe( - expectedSemantics[i] + expectedSemantics[i], ); } }); @@ -153,7 +153,7 @@ describe("Scene/VertexAttributeSemantic", function () { const semanticsLength = semantics.length; for (let i = 0; i < semanticsLength; ++i) { expect(VertexAttributeSemantic.getGlslType(semantics[i])).toBe( - expectedShaderTypes[i] + expectedShaderTypes[i], ); } }); @@ -196,7 +196,7 @@ describe("Scene/VertexAttributeSemantic", function () { const semanticsLength = semantics.length; for (let i = 0; i < semanticsLength; ++i) { expect(VertexAttributeSemantic.getVariableName(semantics[i])).toBe( - expectedVariableName[i] + expectedVariableName[i], ); } }); @@ -205,8 +205,8 @@ describe("Scene/VertexAttributeSemantic", function () { expect( VertexAttributeSemantic.getVariableName( VertexAttributeSemantic.FEATURE_ID, - 0 - ) + 0, + ), ).toBe("featureId_0"); }); diff --git a/packages/engine/Specs/Scene/ViewportQuadSpec.js b/packages/engine/Specs/Scene/ViewportQuadSpec.js index 64673c2fadbe..c4ed9d8d417c 100644 --- a/packages/engine/Specs/Scene/ViewportQuadSpec.js +++ b/packages/engine/Specs/Scene/ViewportQuadSpec.js @@ -18,11 +18,11 @@ describe( beforeAll(function () { scene = createScene(); - return Resource.fetchImage("./Data/Images/Red16x16.png").then(function ( - image - ) { - testImage = image; - }); + return Resource.fetchImage("./Data/Images/Red16x16.png").then( + function (image) { + testImage = image; + }, + ); }); afterAll(function () { @@ -52,7 +52,7 @@ describe( it("gets the default color", function () { expect(viewportQuad.material.uniforms.color).toEqual( - new Color(1.0, 1.0, 1.0, 1.0) + new Color(1.0, 1.0, 1.0, 1.0), ); }); @@ -110,7 +110,7 @@ describe( viewportQuad.rectangle = otherRectangle; scene.renderForSpecs(); expect(scene.frameState.commandList[0].renderState.viewport).toEqual( - otherRectangle + otherRectangle, ); }); @@ -123,5 +123,5 @@ describe( expect(vq.isDestroyed()).toEqual(true); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/VoxelBoxShapeSpec.js b/packages/engine/Specs/Scene/VoxelBoxShapeSpec.js index 339aa5ffefef..fb52f06ddd46 100644 --- a/packages/engine/Specs/Scene/VoxelBoxShapeSpec.js +++ b/packages/engine/Specs/Scene/VoxelBoxShapeSpec.js @@ -32,7 +32,7 @@ describe("Scene/VoxelBoxShape", function () { const modelMatrix = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, - scale + scale, ); const minBounds = VoxelBoxShape.DefaultMinBounds; const maxBounds = VoxelBoxShape.DefaultMaxBounds; @@ -49,22 +49,22 @@ describe("Scene/VoxelBoxShape", function () { 0.0, 0.0, scale.z, - ]) + ]), ); const expectedBoundingSphere = new BoundingSphere( translation, - Cartesian3.magnitude(scale) + Cartesian3.magnitude(scale), ); const visible = shape.update(modelMatrix, minBounds, maxBounds); expect(shape.orientedBoundingBox.center).toEqual( - expectedOrientedBoundingBox.center + expectedOrientedBoundingBox.center, ); expect(shape.orientedBoundingBox.halfAxes).toEqualEpsilon( expectedOrientedBoundingBox.halfAxes, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(shape.boundingSphere).toEqual(expectedBoundingSphere); expect(shape.boundTransform).toEqual(modelMatrix); @@ -81,7 +81,7 @@ describe("Scene/VoxelBoxShape", function () { translation, rotation, scale, - new Matrix4() + new Matrix4(), ); const minBounds = new Cartesian3(-0.75, -0.75, -0.75); const maxBounds = new Cartesian3(-0.25, -0.25, -0.25); @@ -90,7 +90,7 @@ describe("Scene/VoxelBoxShape", function () { minBounds, maxBounds, minBounds, - maxBounds + maxBounds, ); const expectedTranslation = new Cartesian3(0.0, 0.5, 1); @@ -100,15 +100,15 @@ describe("Scene/VoxelBoxShape", function () { expectedTranslation, expectedRotation, expectedScale, - new Matrix4() + new Matrix4(), ); const expectedOrientedBoundingBox = new OrientedBoundingBox( expectedTranslation, - Matrix3.fromScale(expectedScale) + Matrix3.fromScale(expectedScale), ); const expectedBoundingSphere = new BoundingSphere( expectedTranslation, - Cartesian3.magnitude(expectedScale) + Cartesian3.magnitude(expectedScale), ); expect(shape.orientedBoundingBox).toEqual(expectedOrientedBoundingBox); @@ -135,7 +135,7 @@ describe("Scene/VoxelBoxShape", function () { modelMatrix = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, - scale + scale, ); visible = shape.update(modelMatrix, minBounds, maxBounds); expect(visible).toBeTrue(); @@ -145,7 +145,7 @@ describe("Scene/VoxelBoxShape", function () { modelMatrix = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, - scale + scale, ); visible = shape.update(modelMatrix, minBounds, maxBounds); expect(visible).toBeTrue(); @@ -155,7 +155,7 @@ describe("Scene/VoxelBoxShape", function () { modelMatrix = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, - scale + scale, ); visible = shape.update(modelMatrix, minBounds, maxBounds); expect(visible).toBeTrue(); @@ -177,7 +177,7 @@ describe("Scene/VoxelBoxShape", function () { modelMatrix = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, - scale + scale, ); visible = shape.update(modelMatrix, minBounds, maxBounds); expect(visible).toBeFalse(); @@ -187,7 +187,7 @@ describe("Scene/VoxelBoxShape", function () { modelMatrix = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, - scale + scale, ); visible = shape.update(modelMatrix, minBounds, maxBounds); expect(visible).toBeFalse(); @@ -197,7 +197,7 @@ describe("Scene/VoxelBoxShape", function () { modelMatrix = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, - scale + scale, ); visible = shape.update(modelMatrix, minBounds, maxBounds); expect(visible).toBeFalse(); @@ -207,7 +207,7 @@ describe("Scene/VoxelBoxShape", function () { modelMatrix = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, - scale + scale, ); visible = shape.update(modelMatrix, minBounds, maxBounds); expect(visible).toBeFalse(); @@ -221,7 +221,7 @@ describe("Scene/VoxelBoxShape", function () { const modelMatrix = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, - scale + scale, ); let minBounds; @@ -244,12 +244,12 @@ describe("Scene/VoxelBoxShape", function () { minBounds, maxBounds, clipMinBounds, - clipMaxBounds + clipMaxBounds, ); actualScale = Matrix4.getScale(shape.boundTransform, new Cartesian3()); actualTranslation = Matrix4.getTranslation( shape.shapeTransform, - new Cartesian3() + new Cartesian3(), ); expect(visible).toBeTrue(); expect(actualScale).toEqual(expectedScale); @@ -265,12 +265,12 @@ describe("Scene/VoxelBoxShape", function () { minBounds, maxBounds, clipMinBounds, - clipMaxBounds + clipMaxBounds, ); actualScale = Matrix4.getScale(shape.boundTransform, new Cartesian3()); actualTranslation = Matrix4.getTranslation( shape.shapeTransform, - new Cartesian3() + new Cartesian3(), ); expect(visible).toBeTrue(); expect(actualScale).toEqual(expectedScale); @@ -286,12 +286,12 @@ describe("Scene/VoxelBoxShape", function () { minBounds, maxBounds, clipMinBounds, - clipMaxBounds + clipMaxBounds, ); actualScale = Matrix4.getScale(shape.boundTransform, new Cartesian3()); actualTranslation = Matrix4.getTranslation( shape.shapeTransform, - new Cartesian3() + new Cartesian3(), ); expect(visible).toBeTrue(); expect(actualScale).toEqual(expectedScale); @@ -306,7 +306,7 @@ describe("Scene/VoxelBoxShape", function () { const modelMatrix = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, - scale + scale, ); let minBounds; @@ -327,7 +327,7 @@ describe("Scene/VoxelBoxShape", function () { minBounds, maxBounds, minBounds, - maxBounds + maxBounds, ); expect(visible).toBeFalse(); @@ -352,7 +352,7 @@ describe("Scene/VoxelBoxShape", function () { const modelMatrix = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, - scale + scale, ); let visible; @@ -370,7 +370,7 @@ describe("Scene/VoxelBoxShape", function () { minBounds, maxBounds, clipMinBounds, - clipMaxBounds + clipMaxBounds, ); expect(visible).toBeFalse(); @@ -382,7 +382,7 @@ describe("Scene/VoxelBoxShape", function () { minBounds, maxBounds, clipMinBounds, - clipMaxBounds + clipMaxBounds, ); expect(visible).toBeFalse(); @@ -394,7 +394,7 @@ describe("Scene/VoxelBoxShape", function () { minBounds, maxBounds, clipMinBounds, - clipMaxBounds + clipMaxBounds, ); expect(visible).toBeFalse(); }); @@ -417,7 +417,7 @@ describe("Scene/VoxelBoxShape", function () { const modelMatrix = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, - scale + scale, ); const maxBounds = VoxelBoxShape.DefaultMaxBounds; @@ -434,7 +434,7 @@ describe("Scene/VoxelBoxShape", function () { const modelMatrix = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, - scale + scale, ); const minBounds = VoxelBoxShape.DefaultMinBounds; @@ -451,7 +451,7 @@ describe("Scene/VoxelBoxShape", function () { const modelMatrix = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, - scale + scale, ); const minBounds = VoxelBoxShape.DefaultMinBounds; const maxBounds = VoxelBoxShape.DefaultMaxBounds; @@ -466,7 +466,7 @@ describe("Scene/VoxelBoxShape", function () { tileX, tileY, tileZ, - new OrientedBoundingBox() + new OrientedBoundingBox(), ); const expectedOrientedBoundingBox = shape.orientedBoundingBox; @@ -481,7 +481,7 @@ describe("Scene/VoxelBoxShape", function () { const modelMatrix = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, - scale + scale, ); const minBounds = VoxelBoxShape.DefaultMinBounds; const maxBounds = VoxelBoxShape.DefaultMaxBounds; @@ -506,14 +506,14 @@ describe("Scene/VoxelBoxShape", function () { tileX, tileY, tileZ, - new OrientedBoundingBox() + new OrientedBoundingBox(), ); expectedTranslation = new Cartesian3(-0.5, -0.5, -0.5); expect(orientedBoundingBox).toEqual( new OrientedBoundingBox( expectedTranslation, - Matrix3.fromScale(expectedScale, new Matrix3()) - ) + Matrix3.fromScale(expectedScale, new Matrix3()), + ), ); // Child (1, 0, 0) @@ -525,14 +525,14 @@ describe("Scene/VoxelBoxShape", function () { tileX, tileY, tileZ, - new OrientedBoundingBox() + new OrientedBoundingBox(), ); expectedTranslation = new Cartesian3(+0.5, -0.5, -0.5); expect(orientedBoundingBox).toEqual( new OrientedBoundingBox( expectedTranslation, - Matrix3.fromScale(expectedScale, new Matrix3()) - ) + Matrix3.fromScale(expectedScale, new Matrix3()), + ), ); // Child (0, 1, 0) @@ -544,14 +544,14 @@ describe("Scene/VoxelBoxShape", function () { tileX, tileY, tileZ, - new OrientedBoundingBox() + new OrientedBoundingBox(), ); expectedTranslation = new Cartesian3(-0.5, +0.5, -0.5); expect(orientedBoundingBox).toEqual( new OrientedBoundingBox( expectedTranslation, - Matrix3.fromScale(expectedScale, new Matrix3()) - ) + Matrix3.fromScale(expectedScale, new Matrix3()), + ), ); // Child (0, 0, 1) @@ -563,14 +563,14 @@ describe("Scene/VoxelBoxShape", function () { tileX, tileY, tileZ, - new OrientedBoundingBox() + new OrientedBoundingBox(), ); expectedTranslation = new Cartesian3(-0.5, -0.5, +0.5); expect(orientedBoundingBox).toEqual( new OrientedBoundingBox( expectedTranslation, - Matrix3.fromScale(expectedScale, new Matrix3()) - ) + Matrix3.fromScale(expectedScale, new Matrix3()), + ), ); // Child (1, 1, 0) @@ -582,14 +582,14 @@ describe("Scene/VoxelBoxShape", function () { tileX, tileY, tileZ, - new OrientedBoundingBox() + new OrientedBoundingBox(), ); expectedTranslation = new Cartesian3(+0.5, +0.5, -0.5); expect(orientedBoundingBox).toEqual( new OrientedBoundingBox( expectedTranslation, - Matrix3.fromScale(expectedScale, new Matrix3()) - ) + Matrix3.fromScale(expectedScale, new Matrix3()), + ), ); // Child (1, 0, 1) @@ -601,14 +601,14 @@ describe("Scene/VoxelBoxShape", function () { tileX, tileY, tileZ, - new OrientedBoundingBox() + new OrientedBoundingBox(), ); expectedTranslation = new Cartesian3(+0.5, -0.5, +0.5); expect(orientedBoundingBox).toEqual( new OrientedBoundingBox( expectedTranslation, - Matrix3.fromScale(expectedScale, new Matrix3()) - ) + Matrix3.fromScale(expectedScale, new Matrix3()), + ), ); // Child (1, 1, 1) @@ -620,14 +620,14 @@ describe("Scene/VoxelBoxShape", function () { tileX, tileY, tileZ, - new OrientedBoundingBox() + new OrientedBoundingBox(), ); expectedTranslation = new Cartesian3(+0.5, +0.5, +0.5); expect(orientedBoundingBox).toEqual( new OrientedBoundingBox( expectedTranslation, - Matrix3.fromScale(expectedScale, new Matrix3()) - ) + Matrix3.fromScale(expectedScale, new Matrix3()), + ), ); }); @@ -639,7 +639,7 @@ describe("Scene/VoxelBoxShape", function () { const modelMatrix = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, - scale + scale, ); const minBounds = VoxelBoxShape.DefaultMinBounds; const maxBounds = VoxelBoxShape.DefaultMaxBounds; @@ -656,7 +656,7 @@ describe("Scene/VoxelBoxShape", function () { tileX, tileY, tileZ, - new OrientedBoundingBox() + new OrientedBoundingBox(), ); }).toThrowDeveloperError(); @@ -666,7 +666,7 @@ describe("Scene/VoxelBoxShape", function () { undefined, tileY, tileZ, - new OrientedBoundingBox() + new OrientedBoundingBox(), ); }).toThrowDeveloperError(); @@ -676,7 +676,7 @@ describe("Scene/VoxelBoxShape", function () { tileX, undefined, tileZ, - new OrientedBoundingBox() + new OrientedBoundingBox(), ); }).toThrowDeveloperError(); @@ -686,7 +686,7 @@ describe("Scene/VoxelBoxShape", function () { tileX, tileY, undefined, - new OrientedBoundingBox() + new OrientedBoundingBox(), ); }).toThrowDeveloperError(); }); @@ -699,7 +699,7 @@ describe("Scene/VoxelBoxShape", function () { const modelMatrix = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, - scale + scale, ); const minBounds = VoxelBoxShape.DefaultMinBounds; const maxBounds = VoxelBoxShape.DefaultMaxBounds; @@ -716,7 +716,7 @@ describe("Scene/VoxelBoxShape", function () { tileX, tileY, tileZ, - undefined + undefined, ); }).toThrowDeveloperError(); }); @@ -729,7 +729,7 @@ describe("Scene/VoxelBoxShape", function () { const modelMatrix = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, - scale + scale, ); const minBounds = VoxelBoxShape.DefaultMinBounds; const maxBounds = VoxelBoxShape.DefaultMaxBounds; @@ -748,7 +748,7 @@ describe("Scene/VoxelBoxShape", function () { tileZ, undefined, shape, - paddedDimensions + paddedDimensions, ); const tileUv = new Cartesian3(0.5, 0.5, 0.5); @@ -756,12 +756,12 @@ describe("Scene/VoxelBoxShape", function () { spatialNode, tileDimensions, tileUv, - new OrientedBoundingBox() + new OrientedBoundingBox(), ); const expectedCenter = new Cartesian3(0.125, 0.125, 0.125); expect(sampleBoundingBox.center).toEqual(expectedCenter); expect(sampleBoundingBox.halfAxes).toEqual( - Matrix3.fromScale(new Cartesian3(0.125, 0.125, 0.125)) + Matrix3.fromScale(new Cartesian3(0.125, 0.125, 0.125)), ); }); @@ -773,7 +773,7 @@ describe("Scene/VoxelBoxShape", function () { const modelMatrix = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, - scale + scale, ); const minBounds = VoxelBoxShape.DefaultMinBounds; const maxBounds = VoxelBoxShape.DefaultMaxBounds; @@ -792,7 +792,7 @@ describe("Scene/VoxelBoxShape", function () { tileZ, undefined, shape, - paddedDimensions + paddedDimensions, ); const tileUv = new Cartesian3(0.5, 0.5, 0.5); @@ -801,7 +801,7 @@ describe("Scene/VoxelBoxShape", function () { undefined, tileDimensions, tileUv, - new OrientedBoundingBox() + new OrientedBoundingBox(), ); }).toThrowDeveloperError(); expect(function () { @@ -809,7 +809,7 @@ describe("Scene/VoxelBoxShape", function () { spatialNode, undefined, tileUv, - new OrientedBoundingBox() + new OrientedBoundingBox(), ); }).toThrowDeveloperError(); expect(function () { @@ -817,7 +817,7 @@ describe("Scene/VoxelBoxShape", function () { spatialNode, tileDimensions, undefined, - new OrientedBoundingBox() + new OrientedBoundingBox(), ); }).toThrowDeveloperError(); expect(function () { @@ -825,7 +825,7 @@ describe("Scene/VoxelBoxShape", function () { spatialNode, tileDimensions, tileUv, - undefined + undefined, ); }).toThrowDeveloperError(); }); diff --git a/packages/engine/Specs/Scene/VoxelCellSpec.js b/packages/engine/Specs/Scene/VoxelCellSpec.js index 7ffeffb0e214..a18af60b5e2f 100644 --- a/packages/engine/Specs/Scene/VoxelCellSpec.js +++ b/packages/engine/Specs/Scene/VoxelCellSpec.js @@ -51,7 +51,7 @@ describe("Scene/VoxelCell", function () { voxelPrimitive, tileIndex, sampleIndex, - keyframeNode + keyframeNode, ); expect(voxelCell instanceof VoxelCell).toBe(true); expect(voxelCell.primitive).toBe(voxelPrimitive); @@ -68,7 +68,7 @@ describe("Scene/VoxelCell", function () { undefined, tileIndex, sampleIndex, - keyframeNode + keyframeNode, ); }).toThrowDeveloperError(); expect(function () { @@ -76,7 +76,7 @@ describe("Scene/VoxelCell", function () { voxelPrimitive, tileIndex, undefined, - keyframeNode + keyframeNode, ); }).toThrowDeveloperError(); expect(function () { @@ -84,7 +84,7 @@ describe("Scene/VoxelCell", function () { undefined, tileIndex, sampleIndex, - undefined + undefined, ); }).toThrowDeveloperError(); }); @@ -97,7 +97,7 @@ describe("Scene/VoxelCell", function () { voxelPrimitive, tileIndex, sampleIndex, - keyframeNode + keyframeNode, ); expect(voxelCell.getNames()).toEqual(["a"]); expect(voxelCell.hasProperty("a")).toBe(true); @@ -112,19 +112,19 @@ describe("Scene/VoxelCell", function () { voxelPrimitive, tileIndex, sampleIndex, - keyframeNode + keyframeNode, ); const orientedBoundingBox = voxelCell.orientedBoundingBox; expect(orientedBoundingBox instanceof OrientedBoundingBox).toBe(true); const expectedCenter = new Cartesian3(0.5, 0.5, 0.5); expect(orientedBoundingBox.center).toEqualEpsilon( expectedCenter, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); const expectedHalfAxes = new Matrix3.fromUniformScale(0.5); expect(orientedBoundingBox.halfAxes).toEqualEpsilon( expectedHalfAxes, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); }); }); diff --git a/packages/engine/Specs/Scene/VoxelCylinderShapeSpec.js b/packages/engine/Specs/Scene/VoxelCylinderShapeSpec.js index 943eb8d6b0cc..224fbc926397 100644 --- a/packages/engine/Specs/Scene/VoxelCylinderShapeSpec.js +++ b/packages/engine/Specs/Scene/VoxelCylinderShapeSpec.js @@ -31,7 +31,7 @@ describe("Scene/VoxelCylinderShape", function () { const modelMatrix = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, - scale + scale, ); const minBounds = VoxelCylinderShape.DefaultMinBounds; const maxBounds = VoxelCylinderShape.DefaultMaxBounds; @@ -50,19 +50,19 @@ describe("Scene/VoxelCylinderShape", function () { 0.0, 0.0, scale.z, - ]) + ]), ); const expectedBoundingSphere = new BoundingSphere( translation, - Cartesian3.magnitude(scale) + Cartesian3.magnitude(scale), ); expect(shape.orientedBoundingBox.center).toEqual( - expectedOrientedBoundingBox.center + expectedOrientedBoundingBox.center, ); expect(shape.orientedBoundingBox.halfAxes).toEqualEpsilon( expectedOrientedBoundingBox.halfAxes, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(shape.boundingSphere).toEqual(expectedBoundingSphere); expect(shape.boundTransform).toEqual(modelMatrix); @@ -79,7 +79,7 @@ describe("Scene/VoxelCylinderShape", function () { translation, rotation, scale, - new Matrix4() + new Matrix4(), ); // Half revolution @@ -104,50 +104,50 @@ describe("Scene/VoxelCylinderShape", function () { const expectedScale = new Cartesian3( 0.5 * (expectedMaxY - expectedMinY), 0.5 * (expectedMaxX - expectedMinX), - 0.5 * (expectedMaxZ - expectedMinZ) + 0.5 * (expectedMaxZ - expectedMinZ), ); const expectedTranslation = new Cartesian3( 0.5 * (expectedMaxX + expectedMinX), 0.5 * (expectedMaxY + expectedMinY), - 0.5 * (expectedMaxZ + expectedMinZ) + 0.5 * (expectedMaxZ + expectedMinZ), ); const expectedRotation = Matrix3.fromRotationZ(-CesiumMath.PI_OVER_TWO); const expectedHalfAxes = Matrix3.multiplyByScale( expectedRotation, expectedScale, - new Matrix3() + new Matrix3(), ); const expectedOrientedBoundingBox = new OrientedBoundingBox( expectedTranslation, - expectedHalfAxes + expectedHalfAxes, ); const expectedBoundingSphere = new BoundingSphere( expectedTranslation, - Cartesian3.magnitude(expectedScale) + Cartesian3.magnitude(expectedScale), ); const expectedBoundTransform = Matrix4.setTranslation( Matrix4.fromRotation(expectedHalfAxes), expectedTranslation, - new Matrix4() + new Matrix4(), ); expect(shape.orientedBoundingBox.center).toEqualEpsilon( expectedOrientedBoundingBox.center, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(shape.orientedBoundingBox.halfAxes).toEqualEpsilon( expectedOrientedBoundingBox.halfAxes, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(shape.boundingSphere).toEqualEpsilon( expectedBoundingSphere, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(shape.boundTransform).toEqualEpsilon( expectedBoundTransform, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(shape.shapeTransform).toEqual(modelMatrix); expect(visible).toBeTrue(); @@ -162,7 +162,7 @@ describe("Scene/VoxelCylinderShape", function () { translation, rotation, scale, - new Matrix4() + new Matrix4(), ); // Half revolution around 180th meridian @@ -173,12 +173,12 @@ describe("Scene/VoxelCylinderShape", function () { const minBounds = new Cartesian3( defaultMinBounds.x, defaultMinBounds.y, - minAngle + minAngle, ); const maxBounds = new Cartesian3( defaultMaxBounds.x, defaultMaxBounds.y, - maxAngle + maxAngle, ); const visible = shape.update(modelMatrix, minBounds, maxBounds); @@ -188,38 +188,38 @@ describe("Scene/VoxelCylinderShape", function () { const expectedHalfAxes = Matrix3.multiplyByScale( expectedRotation, expectedScale, - new Matrix3() + new Matrix3(), ); const expectedOrientedBoundingBox = new OrientedBoundingBox( expectedTranslation, - expectedHalfAxes + expectedHalfAxes, ); const expectedBoundingSphere = new BoundingSphere( expectedTranslation, - Cartesian3.magnitude(expectedScale) + Cartesian3.magnitude(expectedScale), ); const expectedBoundTransform = Matrix4.setTranslation( Matrix4.fromRotation(expectedHalfAxes), expectedTranslation, - new Matrix4() + new Matrix4(), ); expect(shape.orientedBoundingBox.center).toEqualEpsilon( expectedOrientedBoundingBox.center, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(shape.orientedBoundingBox.halfAxes).toEqualEpsilon( expectedOrientedBoundingBox.halfAxes, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(shape.boundingSphere).toEqualEpsilon( expectedBoundingSphere, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(shape.boundTransform).toEqualEpsilon( expectedBoundTransform, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); expect(shape.shapeTransform).toEqual(modelMatrix); expect(visible).toBeTrue(); @@ -235,7 +235,7 @@ describe("Scene/VoxelCylinderShape", function () { translation, rotation, scale, - new Matrix4() + new Matrix4(), ); // Half revolution @@ -254,7 +254,7 @@ describe("Scene/VoxelCylinderShape", function () { expect(result.center.z).toEqual(3.0); expect(result.halfAxes).toEqualEpsilon( new Matrix3(0, 1.5, 0, -1.125, 0, 0, 0, 0, 2), - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); @@ -266,7 +266,7 @@ describe("Scene/VoxelCylinderShape", function () { const modelMatrix = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, - scale + scale, ); const minBounds = VoxelCylinderShape.DefaultMinBounds; const maxBounds = VoxelCylinderShape.DefaultMaxBounds; @@ -298,7 +298,7 @@ describe("Scene/VoxelCylinderShape", function () { const modelMatrix = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, - scale + scale, ); const minBounds = VoxelCylinderShape.DefaultMinBounds; const maxBounds = VoxelCylinderShape.DefaultMaxBounds; @@ -317,7 +317,7 @@ describe("Scene/VoxelCylinderShape", function () { tileZ, undefined, shape, - paddedDimensions + paddedDimensions, ); const tileUv = new Cartesian3(0.5, 0.5, 0.5); @@ -325,18 +325,18 @@ describe("Scene/VoxelCylinderShape", function () { spatialNode, tileDimensions, tileUv, - new OrientedBoundingBox() + new OrientedBoundingBox(), ); const centerAngle = Math.PI / 8.0; const centerRadius = 0.5434699; const expectedCenter = new Cartesian3( centerRadius * Math.cos(centerAngle), centerRadius * Math.sin(centerAngle), - 0.125 + 0.125, ); expect(sampleBoundingBox.center).toEqualEpsilon( expectedCenter, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); const expectedHalfAxes = new Matrix3( 0.075324, @@ -347,11 +347,11 @@ describe("Scene/VoxelCylinderShape", function () { 0.0, 0.0, 0.0, - 0.125 + 0.125, ); expect(sampleBoundingBox.halfAxes).toEqualEpsilon( expectedHalfAxes, - CesiumMath.EPSILON6 + CesiumMath.EPSILON6, ); }); @@ -363,7 +363,7 @@ describe("Scene/VoxelCylinderShape", function () { const modelMatrix = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, - scale + scale, ); const minBounds = VoxelCylinderShape.DefaultMinBounds; const maxBounds = VoxelCylinderShape.DefaultMaxBounds; @@ -382,7 +382,7 @@ describe("Scene/VoxelCylinderShape", function () { tileZ, undefined, shape, - paddedDimensions + paddedDimensions, ); const tileUv = new Cartesian3(0.5, 0.5, 0.5); @@ -391,7 +391,7 @@ describe("Scene/VoxelCylinderShape", function () { undefined, tileDimensions, tileUv, - new OrientedBoundingBox() + new OrientedBoundingBox(), ); }).toThrowDeveloperError(); expect(function () { @@ -399,7 +399,7 @@ describe("Scene/VoxelCylinderShape", function () { spatialNode, undefined, tileUv, - new OrientedBoundingBox() + new OrientedBoundingBox(), ); }).toThrowDeveloperError(); expect(function () { @@ -407,7 +407,7 @@ describe("Scene/VoxelCylinderShape", function () { spatialNode, tileDimensions, undefined, - new OrientedBoundingBox() + new OrientedBoundingBox(), ); }).toThrowDeveloperError(); expect(function () { @@ -415,7 +415,7 @@ describe("Scene/VoxelCylinderShape", function () { spatialNode, tileDimensions, tileUv, - undefined + undefined, ); }).toThrowDeveloperError(); }); diff --git a/packages/engine/Specs/Scene/VoxelEllipsoidShapeSpec.js b/packages/engine/Specs/Scene/VoxelEllipsoidShapeSpec.js index 22aeedcd5b54..bfd80ac66e58 100644 --- a/packages/engine/Specs/Scene/VoxelEllipsoidShapeSpec.js +++ b/packages/engine/Specs/Scene/VoxelEllipsoidShapeSpec.js @@ -31,18 +31,18 @@ describe("Scene/VoxelEllipsoidShape", function () { const modelMatrix = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, - scale + scale, ); const minBounds = new Cartesian3( -CesiumMath.PI, -CesiumMath.PI_OVER_TWO, - 0.0 + 0.0, ); const maxBounds = new Cartesian3( +CesiumMath.PI, +CesiumMath.PI_OVER_TWO, - 100000 + 100000, ); const maxHeight = maxBounds.z; @@ -58,35 +58,35 @@ describe("Scene/VoxelEllipsoidShape", function () { (scale.x + maxHeight) * Math.sin(angle), (scale.y + maxHeight) * Math.cos(angle), 0.0, - ]) + ]), ); const expectedBoundingSphere = BoundingSphere.fromOrientedBoundingBox( expectedOrientedBoundingBox, - new BoundingSphere() + new BoundingSphere(), ); const visible = shape.update(modelMatrix, minBounds, maxBounds); expect(shape.orientedBoundingBox.center).toEqual( - expectedOrientedBoundingBox.center + expectedOrientedBoundingBox.center, ); expect(shape.orientedBoundingBox.halfAxes).toEqualEpsilon( expectedOrientedBoundingBox.halfAxes, - CesiumMath.EPSILON9 + CesiumMath.EPSILON9, ); expect(shape.boundingSphere).toEqual(expectedBoundingSphere); expect( - Matrix4.getTranslation(shape.boundTransform, new Cartesian3()) + Matrix4.getTranslation(shape.boundTransform, new Cartesian3()), ).toEqualEpsilon(expectedOrientedBoundingBox.center, CesiumMath.EPSILON12); expect( - Matrix4.getMatrix3(shape.boundTransform, new Matrix3()) + Matrix4.getMatrix3(shape.boundTransform, new Matrix3()), ).toEqualEpsilon(expectedOrientedBoundingBox.halfAxes, CesiumMath.EPSILON9); expect( - Matrix4.getTranslation(shape.shapeTransform, new Cartesian3()) + Matrix4.getTranslation(shape.shapeTransform, new Cartesian3()), ).toEqualEpsilon(expectedOrientedBoundingBox.center, CesiumMath.EPSILON12); const expectedShapeTransform = Matrix4.fromRowMajorArray([ @@ -109,7 +109,7 @@ describe("Scene/VoxelEllipsoidShape", function () { ]); expect(shape.shapeTransform).toEqualEpsilon( expectedShapeTransform, - CesiumMath.EPSILON9 + CesiumMath.EPSILON9, ); expect(visible).toBeTrue(); }); @@ -122,18 +122,18 @@ describe("Scene/VoxelEllipsoidShape", function () { const modelMatrix = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, - scale + scale, ); const minBounds = new Cartesian3( -CesiumMath.PI, -CesiumMath.PI_OVER_TWO, - -0.5 + -0.5, ); const maxBounds = new Cartesian3( CesiumMath.PI, CesiumMath.PI_OVER_TWO, - 0.0 + 0.0, ); shape.update(modelMatrix, minBounds, maxBounds); let result = new OrientedBoundingBox(); @@ -143,7 +143,7 @@ describe("Scene/VoxelEllipsoidShape", function () { const expectedHalfAxes = new Matrix3(0, 0, 1, 1, 0, 0, 0, 1, 0); expect(result.halfAxes).toEqualEpsilon( expectedHalfAxes, - CesiumMath.EPSILON12 + CesiumMath.EPSILON12, ); }); @@ -155,7 +155,7 @@ describe("Scene/VoxelEllipsoidShape", function () { const modelMatrix = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, - scale + scale, ); const minBounds = VoxelEllipsoidShape.DefaultMinBounds; const maxBounds = VoxelEllipsoidShape.DefaultMaxBounds; @@ -187,17 +187,17 @@ describe("Scene/VoxelEllipsoidShape", function () { const modelMatrix = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, - scale + scale, ); const minBounds = new Cartesian3( -CesiumMath.PI, -CesiumMath.PI_OVER_TWO, - -1.0 + -1.0, ); const maxBounds = new Cartesian3( CesiumMath.PI, CesiumMath.PI_OVER_TWO, - 0.0 + 0.0, ); shape.update(modelMatrix, minBounds, maxBounds); @@ -214,7 +214,7 @@ describe("Scene/VoxelEllipsoidShape", function () { tileZ, undefined, shape, - paddedDimensions + paddedDimensions, ); const tileUv = new Cartesian3(0.5, 0.5, 0.5); @@ -222,7 +222,7 @@ describe("Scene/VoxelEllipsoidShape", function () { spatialNode, tileDimensions, tileUv, - new OrientedBoundingBox() + new OrientedBoundingBox(), ); const centerLongitude = Math.PI / 16.0; @@ -231,11 +231,11 @@ describe("Scene/VoxelEllipsoidShape", function () { const expectedCenter = new Cartesian3( centerRadius * Math.cos(centerLongitude) * Math.cos(centerLatitude), centerRadius * Math.sin(centerLongitude) * Math.cos(centerLatitude), - centerRadius * Math.sin(centerLatitude) + centerRadius * Math.sin(centerLatitude), ); expect(sampleBoundingBox.center).toEqualEpsilon( expectedCenter, - CesiumMath.EPSILON2 + CesiumMath.EPSILON2, ); }); @@ -247,17 +247,17 @@ describe("Scene/VoxelEllipsoidShape", function () { const modelMatrix = Matrix4.fromTranslationQuaternionRotationScale( translation, rotation, - scale + scale, ); const minBounds = new Cartesian3( -CesiumMath.PI, -CesiumMath.PI_OVER_TWO, - -1.0 + -1.0, ); const maxBounds = new Cartesian3( CesiumMath.PI, CesiumMath.PI_OVER_TWO, - 0.0 + 0.0, ); shape.update(modelMatrix, minBounds, maxBounds); @@ -274,7 +274,7 @@ describe("Scene/VoxelEllipsoidShape", function () { tileZ, undefined, shape, - paddedDimensions + paddedDimensions, ); const tileUv = new Cartesian3(0.5, 0.5, 0.5); @@ -284,7 +284,7 @@ describe("Scene/VoxelEllipsoidShape", function () { undefined, tileDimensions, tileUv, - result + result, ); }).toThrowDeveloperError(); expect(function () { @@ -292,7 +292,7 @@ describe("Scene/VoxelEllipsoidShape", function () { spatialNode, undefined, tileUv, - result + result, ); }).toThrowDeveloperError(); expect(function () { @@ -300,7 +300,7 @@ describe("Scene/VoxelEllipsoidShape", function () { spatialNode, tileDimensions, undefined, - result + result, ); }).toThrowDeveloperError(); expect(function () { @@ -308,7 +308,7 @@ describe("Scene/VoxelEllipsoidShape", function () { spatialNode, tileDimensions, tileUv, - undefined + undefined, ); }).toThrowDeveloperError(); }); diff --git a/packages/engine/Specs/Scene/VoxelPrimitiveSpec.js b/packages/engine/Specs/Scene/VoxelPrimitiveSpec.js index d41713eca959..3c55516d198b 100644 --- a/packages/engine/Specs/Scene/VoxelPrimitiveSpec.js +++ b/packages/engine/Specs/Scene/VoxelPrimitiveSpec.js @@ -22,7 +22,7 @@ describe( camera.direction = Cartesian3.fromElements(1, 1, 1); provider = await Cesium3DTilesVoxelProvider.fromUrl( - "./Data/Cesium3DTiles/Voxel/VoxelEllipsoid3DTiles/tileset.json" + "./Data/Cesium3DTiles/Voxel/VoxelEllipsoid3DTiles/tileset.json", ); return provider; }); @@ -140,7 +140,7 @@ describe( it("applies vertical exaggeration to box-shaped voxels by scaling the model matrix", async function () { const boxProvider = await Cesium3DTilesVoxelProvider.fromUrl( - "./Data/Cesium3DTiles/Voxel/VoxelBox3DTiles/tileset.json" + "./Data/Cesium3DTiles/Voxel/VoxelBox3DTiles/tileset.json", ); const primitive = new VoxelPrimitive({ provider: boxProvider }); scene.primitives.add(primitive); @@ -156,7 +156,7 @@ describe( const expectedModelMatrix = Matrix4.multiplyByScale( modelMatrix, scalar, - new Matrix4() + new Matrix4(), ); expect(primitive._exaggeratedModelMatrix).toEqual(expectedModelMatrix); }); @@ -220,5 +220,5 @@ describe( expect(primitive._traversal).toBeUndefined(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/VoxelShapeTypeSpec.js b/packages/engine/Specs/Scene/VoxelShapeTypeSpec.js index 94762a25d0c6..965c256bcb1d 100644 --- a/packages/engine/Specs/Scene/VoxelShapeTypeSpec.js +++ b/packages/engine/Specs/Scene/VoxelShapeTypeSpec.js @@ -8,13 +8,13 @@ import { describe("Scene/VoxelShapeType", function () { it("getMinBounds works", function () { expect(VoxelShapeType.getMinBounds(VoxelShapeType.BOX)).toEqual( - VoxelBoxShape.DefaultMinBounds + VoxelBoxShape.DefaultMinBounds, ); expect(VoxelShapeType.getMinBounds(VoxelShapeType.ELLIPSOID)).toEqual( - VoxelEllipsoidShape.DefaultMinBounds + VoxelEllipsoidShape.DefaultMinBounds, ); expect(VoxelShapeType.getMinBounds(VoxelShapeType.CYLINDER)).toEqual( - VoxelCylinderShape.DefaultMinBounds + VoxelCylinderShape.DefaultMinBounds, ); }); @@ -26,13 +26,13 @@ describe("Scene/VoxelShapeType", function () { it("getMaxBounds works", function () { expect(VoxelShapeType.getMaxBounds(VoxelShapeType.BOX)).toEqual( - VoxelBoxShape.DefaultMaxBounds + VoxelBoxShape.DefaultMaxBounds, ); expect(VoxelShapeType.getMaxBounds(VoxelShapeType.ELLIPSOID)).toEqual( - VoxelEllipsoidShape.DefaultMaxBounds + VoxelEllipsoidShape.DefaultMaxBounds, ); expect(VoxelShapeType.getMaxBounds(VoxelShapeType.CYLINDER)).toEqual( - VoxelCylinderShape.DefaultMaxBounds + VoxelCylinderShape.DefaultMaxBounds, ); }); @@ -44,13 +44,13 @@ describe("Scene/VoxelShapeType", function () { it("getShapeConstructor works", function () { expect(VoxelShapeType.getShapeConstructor(VoxelShapeType.BOX)).toBe( - VoxelBoxShape + VoxelBoxShape, ); expect(VoxelShapeType.getShapeConstructor(VoxelShapeType.ELLIPSOID)).toBe( - VoxelEllipsoidShape + VoxelEllipsoidShape, ); expect(VoxelShapeType.getShapeConstructor(VoxelShapeType.CYLINDER)).toBe( - VoxelCylinderShape + VoxelCylinderShape, ); }); diff --git a/packages/engine/Specs/Scene/VoxelTraversalSpec.js b/packages/engine/Specs/Scene/VoxelTraversalSpec.js index cd61469689eb..c70e0dc1b73c 100644 --- a/packages/engine/Specs/Scene/VoxelTraversalSpec.js +++ b/packages/engine/Specs/Scene/VoxelTraversalSpec.js @@ -36,7 +36,7 @@ describe( beforeEach(async function () { scene = createScene(); provider = await Cesium3DTilesVoxelProvider.fromUrl( - "./Data/Cesium3DTiles/Voxel/VoxelEllipsoid3DTiles/tileset.json" + "./Data/Cesium3DTiles/Voxel/VoxelEllipsoid3DTiles/tileset.json", ); camera = scene.camera; @@ -55,7 +55,7 @@ describe( provider.types, provider.componentTypes, keyframeCount, - textureMemory + textureMemory, ); }); @@ -80,7 +80,7 @@ describe( shape.update( modelMatrix, VoxelEllipsoidShape.DefaultMinBounds, - VoxelEllipsoidShape.DefaultMaxBounds + VoxelEllipsoidShape.DefaultMaxBounds, ); const keyFrameLocation = 0; const recomputeBoundingVolumes = true; @@ -89,14 +89,14 @@ describe( scene.frameState, keyFrameLocation, recomputeBoundingVolumes, - pauseUpdate + pauseUpdate, ); const newOrientedBoundingBox = rootNode.orientedBoundingBox.clone(); expect( OrientedBoundingBox.equals( oldOrientedBoundingBox, - newOrientedBoundingBox - ) + newOrientedBoundingBox, + ), ).toBe(false); expect(newOrientedBoundingBox.center.equals(translation)).toBe(true); }); @@ -109,11 +109,11 @@ describe( const rootNode = traversal.rootNode; rootNode.computeScreenSpaceError( camera.positionWC, - screenSpaceErrorMultiplier + screenSpaceErrorMultiplier, ); let distanceToCamera = Math.sqrt( - rootNode.orientedBoundingBox.distanceSquaredTo(camera.positionWC) + rootNode.orientedBoundingBox.distanceSquaredTo(camera.positionWC), ); distanceToCamera = Math.max(distanceToCamera, CesiumMath.EPSILON7); const error = @@ -128,7 +128,7 @@ describe( const visibilityWhenLookingAtRoot = rootNode.visibility( scene.frameState, - visibilityPlaneMask + visibilityPlaneMask, ); expect(visibilityWhenLookingAtRoot).toBe(CullingVolume.MASK_INSIDE); // expect(traversal.isRenderable(rootNode)).toBe(true); @@ -136,7 +136,7 @@ describe( turnCameraAround(scene); const visibilityWhenLookingAway = rootNode.visibility( scene.frameState, - visibilityPlaneMask + visibilityPlaneMask, ); expect(visibilityWhenLookingAway).toBe(CullingVolume.MASK_OUTSIDE); }); @@ -156,7 +156,7 @@ describe( scene.frameState, keyFrameLocation, recomputeBoundingVolumes, - pauseUpdate + pauseUpdate, ); scene.renderForSpecs(); return traversal.megatextures[0].occupiedCount > 0; @@ -175,7 +175,7 @@ describe( scene.frameState, keyFrameLocation, recomputeBoundingVolumes, - pauseUpdate + pauseUpdate, ); scene.renderForSpecs(); return traversal.megatextures[0].occupiedCount > 0; @@ -200,7 +200,7 @@ describe( scene.frameState, keyFrameLocation, recomputeBoundingVolumes, - pauseUpdate + pauseUpdate, ); } for (let i = 0; i < 10; i++) { @@ -212,12 +212,12 @@ describe( const bottomLeftNearCorner = Cartesian3.fromElements( -0.5 - eps, -0.5 - eps, - -0.5 - eps + -0.5 - eps, ); const topRightFarCorner = Cartesian3.fromElements( 0.5 + eps, 0.5 + eps, - 0.5 + eps + 0.5 + eps, ); scene.camera.position = bottomLeftNearCorner; updateTraversalTenTimes(); @@ -245,5 +245,5 @@ describe( expect(nodeNoLongerInMegatexture).toBe(true); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/WebMapServiceImageryProviderSpec.js b/packages/engine/Specs/Scene/WebMapServiceImageryProviderSpec.js index fe750f3c4347..70835d7063b5 100644 --- a/packages/engine/Specs/Scene/WebMapServiceImageryProviderSpec.js +++ b/packages/engine/Specs/Scene/WebMapServiceImageryProviderSpec.js @@ -91,20 +91,18 @@ describe("Scene/WebMapServiceImageryProvider", function () { }, }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - const uri = new Uri(request.url); - const params = queryToObject(uri.query()); - expect(params.something).toEqual("foo"); - expect(params.another).toEqual("false"); - expect(params.version).toEqual("1.3.0"); - - // Don't need to actually load image, but satisfy the request. - deferred.resolve(true); - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + const uri = new Uri(request.url); + const params = queryToObject(uri.query()); + expect(params.something).toEqual("foo"); + expect(params.another).toEqual("false"); + expect(params.version).toEqual("1.3.0"); + + // Don't need to actually load image, but satisfy the request. + deferred.resolve(true); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -121,19 +119,17 @@ describe("Scene/WebMapServiceImageryProvider", function () { }, }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - const uri = new Uri(request.url); - const params = queryToObject(uri.query()); - expect(params.crs).toEqual("CRS:27"); - expect(params.version).toEqual("1.3.0"); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + const uri = new Uri(request.url); + const params = queryToObject(uri.query()); + expect(params.crs).toEqual("CRS:27"); + expect(params.version).toEqual("1.3.0"); - // Don't need to actually load image, but satisfy the request. - deferred.resolve(true); - }); + // Don't need to actually load image, but satisfy the request. + deferred.resolve(true); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -150,20 +146,18 @@ describe("Scene/WebMapServiceImageryProvider", function () { }, }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - const uri = new Uri(request.url); - const params = queryToObject(uri.query()); - expect(params.crs).toEqual("EPSG:4326"); - expect(params.version).toEqual("1.3.0"); - expect(params.bbox).toEqual("-90,-180,90,0"); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + const uri = new Uri(request.url); + const params = queryToObject(uri.query()); + expect(params.crs).toEqual("EPSG:4326"); + expect(params.version).toEqual("1.3.0"); + expect(params.bbox).toEqual("-90,-180,90,0"); - // Don't need to actually load image, but satisfy the request. - deferred.resolve(true); - }); + // Don't need to actually load image, but satisfy the request. + deferred.resolve(true); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -180,20 +174,18 @@ describe("Scene/WebMapServiceImageryProvider", function () { }, }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - const uri = new Uri(request.url); - const params = queryToObject(uri.query()); - expect(params.crs).toEqual("EPSG:4321"); - expect(params.version).toEqual("1.3.0"); - expect(params.bbox).toEqual("-90,-180,90,0"); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + const uri = new Uri(request.url); + const params = queryToObject(uri.query()); + expect(params.crs).toEqual("EPSG:4321"); + expect(params.version).toEqual("1.3.0"); + expect(params.bbox).toEqual("-90,-180,90,0"); - // Don't need to actually load image, but satisfy the request. - deferred.resolve(true); - }); + // Don't need to actually load image, but satisfy the request. + deferred.resolve(true); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -210,20 +202,18 @@ describe("Scene/WebMapServiceImageryProvider", function () { }, }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - const uri = new Uri(request.url); - const params = queryToObject(uri.query()); - expect(params.crs).toEqual("EPSG:3035"); - expect(params.version).toEqual("1.3.0"); - expect(params.bbox).toEqual("-90,-180,90,0"); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + const uri = new Uri(request.url); + const params = queryToObject(uri.query()); + expect(params.crs).toEqual("EPSG:3035"); + expect(params.version).toEqual("1.3.0"); + expect(params.bbox).toEqual("-90,-180,90,0"); - // Don't need to actually load image, but satisfy the request. - deferred.resolve(true); - }); + // Don't need to actually load image, but satisfy the request. + deferred.resolve(true); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -240,20 +230,18 @@ describe("Scene/WebMapServiceImageryProvider", function () { }, }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - const uri = new Uri(request.url); - const params = queryToObject(uri.query()); - expect(params.crs).toEqual("EPSG:4559"); - expect(params.version).toEqual("1.3.0"); - expect(params.bbox).toEqual("-180,-90,0,90"); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + const uri = new Uri(request.url); + const params = queryToObject(uri.query()); + expect(params.crs).toEqual("EPSG:4559"); + expect(params.version).toEqual("1.3.0"); + expect(params.bbox).toEqual("-180,-90,0,90"); - // Don't need to actually load image, but satisfy the request. - deferred.resolve(true); - }); + // Don't need to actually load image, but satisfy the request. + deferred.resolve(true); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -270,19 +258,17 @@ describe("Scene/WebMapServiceImageryProvider", function () { }, }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - const uri = new Uri(request.url); - const params = queryToObject(uri.query()); - expect(params.srs).toEqual("EPSG:4326"); - expect(params.version).toEqual("1.1.0"); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + const uri = new Uri(request.url); + const params = queryToObject(uri.query()); + expect(params.srs).toEqual("EPSG:4326"); + expect(params.version).toEqual("1.1.0"); - // Don't need to actually load image, but satisfy the request. - deferred.resolve(true); - }); + // Don't need to actually load image, but satisfy the request. + deferred.resolve(true); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -299,19 +285,17 @@ describe("Scene/WebMapServiceImageryProvider", function () { }, }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - const uri = new Uri(request.url); - const params = queryToObject(uri.query()); - expect(params.srs).toEqual("IAU2000:30118"); - expect(params.version).toEqual("1.1.0"); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + const uri = new Uri(request.url); + const params = queryToObject(uri.query()); + expect(params.srs).toEqual("IAU2000:30118"); + expect(params.version).toEqual("1.1.0"); - // Don't need to actually load image, but satisfy the request. - deferred.resolve(true); - }); + // Don't need to actually load image, but satisfy the request. + deferred.resolve(true); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -328,20 +312,18 @@ describe("Scene/WebMapServiceImageryProvider", function () { }, }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - const uri = new Uri(request.url); - const params = queryToObject(uri.query()); - expect(params.srs).toEqual("EPSG:4326"); - expect(params.version).toEqual("1.1.0"); - expect(params.bbox).toEqual("-180,-90,0,90"); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + const uri = new Uri(request.url); + const params = queryToObject(uri.query()); + expect(params.srs).toEqual("EPSG:4326"); + expect(params.version).toEqual("1.1.0"); + expect(params.bbox).toEqual("-180,-90,0,90"); - // Don't need to actually load image, but satisfy the request. - deferred.resolve(true); - }); + // Don't need to actually load image, but satisfy the request. + deferred.resolve(true); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -373,7 +355,7 @@ describe("Scene/WebMapServiceImageryProvider", function () { provider.requestImage(0, 0, 0); const url = ImageryProvider.loadImage.calls.mostRecent().args[1].url; expect(["foo", "bar"].indexOf(url.substring(0, 3))).toBeGreaterThanOrEqual( - 0 + 0, ); }); @@ -383,17 +365,15 @@ describe("Scene/WebMapServiceImageryProvider", function () { layers: "someLayer", }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - const questionMarkCount = request.url.match(/\?/g).length; - expect(questionMarkCount).toEqual(1); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + const questionMarkCount = request.url.match(/\?/g).length; + expect(questionMarkCount).toEqual(1); - // Don't need to actually load image, but satisfy the request. - deferred.resolve(true); - }); + // Don't need to actually load image, but satisfy the request. + deferred.resolve(true); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -406,19 +386,17 @@ describe("Scene/WebMapServiceImageryProvider", function () { layers: "someLayer", }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - const url = request.url; - const questionMarkCount = url.match(/\?/g).length; - expect(questionMarkCount).toEqual(1); - expect(url).not.toContain("&&"); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + const url = request.url; + const questionMarkCount = url.match(/\?/g).length; + expect(questionMarkCount).toEqual(1); + expect(url).not.toContain("&&"); - // Don't need to actually load image, but satisfy the request. - deferred.resolve(true); - }); + // Don't need to actually load image, but satisfy the request. + deferred.resolve(true); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -431,22 +409,20 @@ describe("Scene/WebMapServiceImageryProvider", function () { layers: "someLayer", }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - const url = request.url; - const questionMarkCount = url.match(/\?/g).length; - expect(questionMarkCount).toEqual(1); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + const url = request.url; + const questionMarkCount = url.match(/\?/g).length; + expect(questionMarkCount).toEqual(1); - const uri = new Uri(url); - const params = queryToObject(uri.query()); - expect(params.foo).toEqual("bar"); + const uri = new Uri(url); + const params = queryToObject(uri.query()); + expect(params.foo).toEqual("bar"); - // Don't need to actually load image, but satisfy the request. - deferred.resolve(true); - }); + // Don't need to actually load image, but satisfy the request. + deferred.resolve(true); + }, + ); provider.requestImage(0, 0, 0); @@ -459,19 +435,17 @@ describe("Scene/WebMapServiceImageryProvider", function () { layers: "someLayer", }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - const url = request.url; - const uri = new Uri(url); - const params = queryToObject(uri.query()); - expect(params.version).toEqual("1.1.1"); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + const url = request.url; + const uri = new Uri(url); + const params = queryToObject(uri.query()); + expect(params.version).toEqual("1.1.1"); - // Don't need to actually load image, but satisfy the request. - deferred.resolve(true); - }); + // Don't need to actually load image, but satisfy the request. + deferred.resolve(true); + }, + ); provider.requestImage(0, 0, 0); @@ -493,18 +467,16 @@ describe("Scene/WebMapServiceImageryProvider", function () { expect(provider.tilingScheme).toBeInstanceOf(GeographicTilingScheme); expect(provider.rectangle).toEqual(new GeographicTilingScheme().rectangle); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -529,28 +501,26 @@ describe("Scene/WebMapServiceImageryProvider", function () { expect(provider.tilingScheme).toBeInstanceOf(WebMercatorTilingScheme); expect(provider.rectangle).toEqual(new WebMercatorTilingScheme().rectangle); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - const uri = new Uri(request.url); - const params = queryToObject(uri.query()); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + const uri = new Uri(request.url); + const params = queryToObject(uri.query()); - expect(params.srs).toEqual("EPSG:3857"); - expect(params.version).toEqual("1.1.1"); + expect(params.srs).toEqual("EPSG:3857"); + expect(params.version).toEqual("1.1.1"); - const rect = tilingScheme.tileXYToNativeRectangle(0, 0, 0); - expect(params.bbox).toEqual( - `${rect.west},${rect.south},${rect.east},${rect.north}` - ); + const rect = tilingScheme.tileXYToNativeRectangle(0, 0, 0); + expect(params.bbox).toEqual( + `${rect.west},${rect.south},${rect.east},${rect.north}`, + ); - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -578,28 +548,26 @@ describe("Scene/WebMapServiceImageryProvider", function () { expect(provider.tilingScheme).toBeInstanceOf(WebMercatorTilingScheme); expect(provider.rectangle).toEqual(new WebMercatorTilingScheme().rectangle); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - const uri = new Uri(request.url); - const params = queryToObject(uri.query()); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + const uri = new Uri(request.url); + const params = queryToObject(uri.query()); - expect(params.crs).toEqual("EPSG:3857"); - expect(params.version).toEqual("1.3.0"); + expect(params.crs).toEqual("EPSG:3857"); + expect(params.version).toEqual("1.3.0"); - const rect = tilingScheme.tileXYToNativeRectangle(0, 0, 0); - expect(params.bbox).toEqual( - `${rect.west},${rect.south},${rect.east},${rect.north}` - ); + const rect = tilingScheme.tileXYToNativeRectangle(0, 0, 0); + expect(params.bbox).toEqual( + `${rect.west},${rect.south},${rect.east},${rect.north}`, + ); - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -624,28 +592,26 @@ describe("Scene/WebMapServiceImageryProvider", function () { expect(provider.tilingScheme).toBeInstanceOf(GeographicTilingScheme); expect(provider.rectangle).toEqual(new GeographicTilingScheme().rectangle); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - const uri = new Uri(request.url); - const params = queryToObject(uri.query()); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + const uri = new Uri(request.url); + const params = queryToObject(uri.query()); - expect(params.srs).toEqual("EPSG:4326"); - expect(params.version).toEqual("1.1.1"); + expect(params.srs).toEqual("EPSG:4326"); + expect(params.version).toEqual("1.1.1"); - const rect = tilingScheme.tileXYToNativeRectangle(0, 0, 0); - expect(params.bbox).toEqual( - `${rect.west},${rect.south},${rect.east},${rect.north}` - ); + const rect = tilingScheme.tileXYToNativeRectangle(0, 0, 0); + expect(params.bbox).toEqual( + `${rect.west},${rect.south},${rect.east},${rect.north}`, + ); - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -673,28 +639,26 @@ describe("Scene/WebMapServiceImageryProvider", function () { expect(provider.tilingScheme).toBeInstanceOf(GeographicTilingScheme); expect(provider.rectangle).toEqual(new GeographicTilingScheme().rectangle); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - const uri = new Uri(request.url); - const params = queryToObject(uri.query()); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + const uri = new Uri(request.url); + const params = queryToObject(uri.query()); - expect(params.srs).toEqual("EPSG:4326"); - expect(params.version).toEqual("1.1.0"); + expect(params.srs).toEqual("EPSG:4326"); + expect(params.version).toEqual("1.1.0"); - const rect = tilingScheme.tileXYToNativeRectangle(0, 0, 0); - expect(params.bbox).toEqual( - `${rect.west},${rect.south},${rect.east},${rect.north}` - ); + const rect = tilingScheme.tileXYToNativeRectangle(0, 0, 0); + expect(params.bbox).toEqual( + `${rect.west},${rect.south},${rect.east},${rect.north}`, + ); - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -722,28 +686,26 @@ describe("Scene/WebMapServiceImageryProvider", function () { expect(provider.tilingScheme).toBeInstanceOf(GeographicTilingScheme); expect(provider.rectangle).toEqual(new GeographicTilingScheme().rectangle); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - const uri = new Uri(request.url); - const params = queryToObject(uri.query()); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + const uri = new Uri(request.url); + const params = queryToObject(uri.query()); - expect(params.crs).toEqual("CRS:84"); - expect(params.version).toEqual("1.3.0"); + expect(params.crs).toEqual("CRS:84"); + expect(params.version).toEqual("1.3.0"); - const rect = tilingScheme.tileXYToNativeRectangle(0, 0, 0); - expect(params.bbox).toEqual( - `${rect.west},${rect.south},${rect.east},${rect.north}` - ); + const rect = tilingScheme.tileXYToNativeRectangle(0, 0, 0); + expect(params.bbox).toEqual( + `${rect.west},${rect.south},${rect.east},${rect.north}`, + ); - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -771,28 +733,26 @@ describe("Scene/WebMapServiceImageryProvider", function () { expect(provider.tilingScheme).toBeInstanceOf(GeographicTilingScheme); expect(provider.rectangle).toEqual(new GeographicTilingScheme().rectangle); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - const uri = new Uri(request.url); - const params = queryToObject(uri.query()); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + const uri = new Uri(request.url); + const params = queryToObject(uri.query()); - expect(params.crs).toEqual("CRS:84"); - expect(params.version).toEqual("1.3.1"); + expect(params.crs).toEqual("CRS:84"); + expect(params.version).toEqual("1.3.1"); - const rect = tilingScheme.tileXYToNativeRectangle(0, 0, 0); - expect(params.bbox).toEqual( - `${rect.west},${rect.south},${rect.east},${rect.north}` - ); + const rect = tilingScheme.tileXYToNativeRectangle(0, 0, 0); + expect(params.bbox).toEqual( + `${rect.west},${rect.south},${rect.east},${rect.north}`, + ); - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -809,24 +769,22 @@ describe("Scene/WebMapServiceImageryProvider", function () { }, }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - const uri = new Uri(request.url); - const params = queryToObject(uri.query()); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + const uri = new Uri(request.url); + const params = queryToObject(uri.query()); - expect(params.format).toEqual("foo"); - expect(params.format).not.toEqual("image/jpeg"); + expect(params.format).toEqual("foo"); + expect(params.format).not.toEqual("image/jpeg"); - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -933,14 +891,14 @@ describe("Scene/WebMapServiceImageryProvider", function () { Resource._Implementations.createImage = function ( request, crossOrigin, - deferred + deferred, ) { if (tries === 2) { // Succeed after 2 tries Resource._DefaultImplementations.createImage( new Request({ url: "Data/Images/Red16x16.png" }), crossOrigin, - deferred + deferred, ); } else { // fail @@ -978,7 +936,7 @@ describe("Scene/WebMapServiceImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { expect(url).toContain("GetFeatureInfo"); Resource._DefaultImplementations.loadWithXhr( @@ -988,7 +946,7 @@ describe("Scene/WebMapServiceImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ); }; @@ -1002,7 +960,7 @@ describe("Scene/WebMapServiceImageryProvider", function () { expect(firstResult.name).toBe("TOP TANK"); expect(firstResult.description).toContain("GEOSCIENCE AUSTRALIA"); expect(firstResult.position).toEqual( - Cartographic.fromDegrees(145.91299, -30.19445) + Cartographic.fromDegrees(145.91299, -30.19445), ); }); }); @@ -1020,7 +978,7 @@ describe("Scene/WebMapServiceImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { expect(url).toContain("GetFeatureInfo"); Resource._DefaultImplementations.loadWithXhr( @@ -1030,7 +988,7 @@ describe("Scene/WebMapServiceImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ); }; @@ -1059,7 +1017,7 @@ describe("Scene/WebMapServiceImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { expect(url).toContain("GetFeatureInfo"); Resource._DefaultImplementations.loadWithXhr( @@ -1069,7 +1027,7 @@ describe("Scene/WebMapServiceImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ); }; @@ -1098,7 +1056,7 @@ describe("Scene/WebMapServiceImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { expect(url).toContain("GetFeatureInfo"); Resource._DefaultImplementations.loadWithXhr( @@ -1108,7 +1066,7 @@ describe("Scene/WebMapServiceImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ); }; @@ -1137,7 +1095,7 @@ describe("Scene/WebMapServiceImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { expect(url).toContain("GetFeatureInfo"); Resource._DefaultImplementations.loadWithXhr( @@ -1147,7 +1105,7 @@ describe("Scene/WebMapServiceImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ); }; @@ -1176,7 +1134,7 @@ describe("Scene/WebMapServiceImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { expect(url).toContain("GetFeatureInfo"); Resource._DefaultImplementations.loadWithXhr( @@ -1186,7 +1144,7 @@ describe("Scene/WebMapServiceImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ); }; @@ -1215,7 +1173,7 @@ describe("Scene/WebMapServiceImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { expect(url).toContain("GetFeatureInfo"); Resource._DefaultImplementations.loadWithXhr( @@ -1225,7 +1183,7 @@ describe("Scene/WebMapServiceImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ); }; @@ -1293,7 +1251,7 @@ describe("Scene/WebMapServiceImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { expect(url).toContain("GetFeatureInfo"); expect(url).not.toContain("json"); @@ -1304,7 +1262,7 @@ describe("Scene/WebMapServiceImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ); }; @@ -1334,7 +1292,7 @@ describe("Scene/WebMapServiceImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { expect(url).toContain("GetFeatureInfo"); @@ -1349,7 +1307,7 @@ describe("Scene/WebMapServiceImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ); } }; @@ -1378,7 +1336,7 @@ describe("Scene/WebMapServiceImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { expect(url).toContain("GetFeatureInfo"); expect(url).toContain("1.1.1"); @@ -1394,7 +1352,7 @@ describe("Scene/WebMapServiceImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ); }; @@ -1417,7 +1375,7 @@ describe("Scene/WebMapServiceImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { expect(url).toContain("GetFeatureInfo"); expect(url).not.toContain("1.1.1"); @@ -1433,7 +1391,7 @@ describe("Scene/WebMapServiceImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ); }; @@ -1453,7 +1411,7 @@ describe("Scene/WebMapServiceImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { expect(url).toContain("GetFeatureInfo"); expect(url).toContain("1.1.1"); @@ -1469,7 +1427,7 @@ describe("Scene/WebMapServiceImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ); }; return provider.pickFeatures(0, 0, 0, 0.5, 0.5); @@ -1499,7 +1457,7 @@ describe("Scene/WebMapServiceImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { expect(url).toContain("GetFeatureInfo"); @@ -1514,7 +1472,7 @@ describe("Scene/WebMapServiceImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ); }; @@ -1537,7 +1495,7 @@ describe("Scene/WebMapServiceImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ) { expect(url).toContain("GetFeatureInfo"); if (url.indexOf(encodeURIComponent("text/html")) < 0) { @@ -1550,7 +1508,7 @@ describe("Scene/WebMapServiceImageryProvider", function () { data, headers, deferred, - overrideMimeType + overrideMimeType, ); }; @@ -1605,12 +1563,12 @@ describe("Scene/WebMapServiceImageryProvider", function () { Resource._Implementations.createImage = function ( request, crossOrigin, - deferred + deferred, ) { Resource._DefaultImplementations.createImage( new Request({ url: "Data/Images/Red16x16.png" }), crossOrigin, - deferred + deferred, ); }; @@ -1660,12 +1618,12 @@ describe("Scene/WebMapServiceImageryProvider", function () { Resource._Implementations.createImage = function ( request, crossOrigin, - deferred + deferred, ) { Resource._DefaultImplementations.createImage( new Request({ url: "Data/Images/Red16x16.png" }), crossOrigin, - deferred + deferred, ); }; @@ -1713,12 +1671,12 @@ describe("Scene/WebMapServiceImageryProvider", function () { Resource._Implementations.createImage = function ( request, crossOrigin, - deferred + deferred, ) { Resource._DefaultImplementations.createImage( new Request({ url: "Data/Images/Red16x16.png" }), crossOrigin, - deferred + deferred, ); }; @@ -1771,12 +1729,12 @@ describe("Scene/WebMapServiceImageryProvider", function () { Resource._Implementations.createImage = function ( request, crossOrigin, - deferred + deferred, ) { Resource._DefaultImplementations.createImage( new Request({ url: "Data/Images/Red16x16.png" }), crossOrigin, - deferred + deferred, ); }; diff --git a/packages/engine/Specs/Scene/WebMapTileServiceImageryProviderSpec.js b/packages/engine/Specs/Scene/WebMapTileServiceImageryProviderSpec.js index 755c238ad9f9..f16abebc577c 100644 --- a/packages/engine/Specs/Scene/WebMapTileServiceImageryProviderSpec.js +++ b/packages/engine/Specs/Scene/WebMapTileServiceImageryProviderSpec.js @@ -34,7 +34,7 @@ describe("Scene/WebMapTileServiceImageryProvider", function () { it("conforms to ImageryProvider interface", function () { expect(WebMapTileServiceImageryProvider).toConformToInterface( - ImageryProvider + ImageryProvider, ); }); @@ -190,8 +190,7 @@ describe("Scene/WebMapTileServiceImageryProvider", function () { it("generates expected tile urls from template", function () { const options = { - url: - "http://wmts.invalid/{style}/{TileMatrixSet}/{TileMatrix}/{TileRow}/{TileCol}.png", + url: "http://wmts.invalid/{style}/{TileMatrixSet}/{TileMatrix}/{TileRow}/{TileCol}.png", format: "image/png", layer: "someLayer", style: "someStyle", @@ -208,10 +207,10 @@ describe("Scene/WebMapTileServiceImageryProvider", function () { const level = 1; provider.requestImage(tilecol, tilerow, level); const uri = new Uri( - ImageryProvider.loadImage.calls.mostRecent().args[1].getUrlComponent() + ImageryProvider.loadImage.calls.mostRecent().args[1].getUrlComponent(), ); expect(uri.toString()).toEqual( - "http://wmts.invalid/someStyle/someTMS/second/5/12.png" + "http://wmts.invalid/someStyle/someTMS/second/5/12.png", ); }); @@ -339,18 +338,16 @@ describe("Scene/WebMapTileServiceImageryProvider", function () { tileMatrixSetID: "someTMS", }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider1.requestImage(0, 0, 0).then(function (image) { return provider2.requestImage(0, 0, 0).then(function (image) { @@ -370,18 +367,16 @@ describe("Scene/WebMapTileServiceImageryProvider", function () { tileMatrixSetID: "someTMS", }); - spyOn(Resource._Implementations, "createImage").and.callFake(function ( - request, - crossOrigin, - deferred - ) { - // Just return any old image. - Resource._DefaultImplementations.createImage( - new Request({ url: "Data/Images/Red16x16.png" }), - crossOrigin, - deferred - ); - }); + spyOn(Resource._Implementations, "createImage").and.callFake( + function (request, crossOrigin, deferred) { + // Just return any old image. + Resource._DefaultImplementations.createImage( + new Request({ url: "Data/Images/Red16x16.png" }), + crossOrigin, + deferred, + ); + }, + ); return provider.requestImage(0, 0, 0).then(function (image) { expect(Resource._Implementations.createImage).toHaveBeenCalled(); @@ -414,14 +409,14 @@ describe("Scene/WebMapTileServiceImageryProvider", function () { Resource._Implementations.createImage = function ( request, crossOrigin, - deferred + deferred, ) { if (tries === 2) { // Succeed after 2 tries Resource._DefaultImplementations.createImage( new Request({ url: "Data/Images/Red16x16.png" }), crossOrigin, - deferred + deferred, ); } else { // fail @@ -471,12 +466,12 @@ describe("Scene/WebMapTileServiceImageryProvider", function () { Resource._Implementations.createImage = function ( request, crossOrigin, - deferred + deferred, ) { Resource._DefaultImplementations.createImage( new Request({ url: "Data/Images/Red16x16.png" }), crossOrigin, - deferred + deferred, ); }; @@ -527,12 +522,12 @@ describe("Scene/WebMapTileServiceImageryProvider", function () { Resource._Implementations.createImage = function ( request, crossOrigin, - deferred + deferred, ) { Resource._DefaultImplementations.createImage( new Request({ url: "Data/Images/Red16x16.png" }), crossOrigin, - deferred + deferred, ); }; @@ -580,12 +575,12 @@ describe("Scene/WebMapTileServiceImageryProvider", function () { Resource._Implementations.createImage = function ( request, crossOrigin, - deferred + deferred, ) { Resource._DefaultImplementations.createImage( new Request({ url: "Data/Images/Red16x16.png" }), crossOrigin, - deferred + deferred, ); }; @@ -625,13 +620,13 @@ describe("Scene/WebMapTileServiceImageryProvider", function () { Resource._Implementations.createImage = function ( request, crossOrigin, - deferred + deferred, ) { lastUrl = request.url; Resource._DefaultImplementations.createImage( new Request({ url: "Data/Images/Red16x16.png" }), crossOrigin, - deferred + deferred, ); }; @@ -668,13 +663,13 @@ describe("Scene/WebMapTileServiceImageryProvider", function () { Resource._Implementations.createImage = function ( request, crossOrigin, - deferred + deferred, ) { lastUrl = request.url; Resource._DefaultImplementations.createImage( new Request({ url: "Data/Images/Red16x16.png" }), crossOrigin, - deferred + deferred, ); }; diff --git a/packages/engine/Specs/Scene/buildVoxelDrawCommandsSpec.js b/packages/engine/Specs/Scene/buildVoxelDrawCommandsSpec.js index 7ba353984ca8..d1aea9c50b59 100644 --- a/packages/engine/Specs/Scene/buildVoxelDrawCommandsSpec.js +++ b/packages/engine/Specs/Scene/buildVoxelDrawCommandsSpec.js @@ -16,7 +16,7 @@ describe("Scene/buildVoxelDrawCommands", function () { scene = createScene(); provider = await Cesium3DTilesVoxelProvider.fromUrl( - "./Data/Cesium3DTiles/Voxel/VoxelEllipsoid3DTiles/tileset.json" + "./Data/Cesium3DTiles/Voxel/VoxelEllipsoid3DTiles/tileset.json", ); }); diff --git a/packages/engine/Specs/Scene/computeFlyToLocationForRectangleSpec.js b/packages/engine/Specs/Scene/computeFlyToLocationForRectangleSpec.js index b4e849b001f8..0786e1f6b4f9 100644 --- a/packages/engine/Specs/Scene/computeFlyToLocationForRectangleSpec.js +++ b/packages/engine/Specs/Scene/computeFlyToLocationForRectangleSpec.js @@ -53,30 +53,30 @@ describe("Scene/computeFlyToLocationForRectangle", function () { spyOn( computeFlyToLocationForRectangle, - "_sampleTerrainMostDetailed" + "_sampleTerrainMostDetailed", ).and.returnValue(Promise.resolve(sampledResults)); // Basically do the computation ourselves with our known values; let expectedResult; if (sceneMode === SceneMode.SCENE3D) { expectedResult = scene.ellipsoid.cartesianToCartographic( - scene.camera.getRectangleCameraCoordinates(rectangle) + scene.camera.getRectangleCameraCoordinates(rectangle), ); } else { expectedResult = scene.mapProjection.unproject( - scene.camera.getRectangleCameraCoordinates(rectangle) + scene.camera.getRectangleCameraCoordinates(rectangle), ); } expectedResult.height += maxHeight; - return computeFlyToLocationForRectangle(rectangle, scene).then(function ( - result - ) { - expect(result).toEqual(expectedResult); - expect( - computeFlyToLocationForRectangle._sampleTerrainMostDetailed - ).toHaveBeenCalledWith(terrainProvider, cartographics); - }); + return computeFlyToLocationForRectangle(rectangle, scene).then( + function (result) { + expect(result).toEqual(expectedResult); + expect( + computeFlyToLocationForRectangle._sampleTerrainMostDetailed, + ).toHaveBeenCalledWith(terrainProvider, cartographics); + }, + ); } it("samples terrain and returns expected result in 3D", function () { @@ -97,18 +97,18 @@ describe("Scene/computeFlyToLocationForRectangle", function () { const rectangle = new Rectangle(0.2, 0.4, 0.6, 0.8); const expectedResult = scene.mapProjection.unproject( - scene.camera.getRectangleCameraCoordinates(rectangle) + scene.camera.getRectangleCameraCoordinates(rectangle), ); spyOn(computeFlyToLocationForRectangle, "_sampleTerrainMostDetailed"); - return computeFlyToLocationForRectangle(rectangle, scene).then(function ( - result - ) { - expect(result).toEqual(expectedResult); - expect( - computeFlyToLocationForRectangle._sampleTerrainMostDetailed - ).not.toHaveBeenCalled(); - }); + return computeFlyToLocationForRectangle(rectangle, scene).then( + function (result) { + expect(result).toEqual(expectedResult); + expect( + computeFlyToLocationForRectangle._sampleTerrainMostDetailed, + ).not.toHaveBeenCalled(); + }, + ); }); it("returns height above ellipsoid when terrain not available", function () { @@ -119,16 +119,16 @@ describe("Scene/computeFlyToLocationForRectangle", function () { spyOn(computeFlyToLocationForRectangle, "_sampleTerrainMostDetailed"); const expectedResult = scene.ellipsoid.cartesianToCartographic( - scene.camera.getRectangleCameraCoordinates(rectangle) + scene.camera.getRectangleCameraCoordinates(rectangle), + ); + return computeFlyToLocationForRectangle(rectangle, scene).then( + function (result) { + expect(result).toEqual(expectedResult); + expect( + computeFlyToLocationForRectangle._sampleTerrainMostDetailed, + ).not.toHaveBeenCalled(); + }, ); - return computeFlyToLocationForRectangle(rectangle, scene).then(function ( - result - ) { - expect(result).toEqual(expectedResult); - expect( - computeFlyToLocationForRectangle._sampleTerrainMostDetailed - ).not.toHaveBeenCalled(); - }); }); it("returns height above ellipsoid when terrain undefined", function () { @@ -137,15 +137,15 @@ describe("Scene/computeFlyToLocationForRectangle", function () { spyOn(computeFlyToLocationForRectangle, "_sampleTerrainMostDetailed"); const expectedResult = scene.ellipsoid.cartesianToCartographic( - scene.camera.getRectangleCameraCoordinates(rectangle) + scene.camera.getRectangleCameraCoordinates(rectangle), + ); + return computeFlyToLocationForRectangle(rectangle, scene).then( + function (result) { + expect(result).toEqual(expectedResult); + expect( + computeFlyToLocationForRectangle._sampleTerrainMostDetailed, + ).not.toHaveBeenCalled(); + }, ); - return computeFlyToLocationForRectangle(rectangle, scene).then(function ( - result - ) { - expect(result).toEqual(expectedResult); - expect( - computeFlyToLocationForRectangle._sampleTerrainMostDetailed - ).not.toHaveBeenCalled(); - }); }); }); diff --git a/packages/engine/Specs/Scene/createElevationBandMaterialSpec.js b/packages/engine/Specs/Scene/createElevationBandMaterialSpec.js index 1b1ee0ee4a7a..a67c0b57d2c9 100644 --- a/packages/engine/Specs/Scene/createElevationBandMaterialSpec.js +++ b/packages/engine/Specs/Scene/createElevationBandMaterialSpec.js @@ -1149,7 +1149,7 @@ describe("Scene/createElevationBandMaterial", function () { checkTexel( 0, new Color(0, 0, 0, 1), - createElevationBandMaterial._minimumHeight + createElevationBandMaterial._minimumHeight, ); }); @@ -1170,7 +1170,7 @@ describe("Scene/createElevationBandMaterial", function () { ]; spyOn(createElevationBandMaterial, "_useFloatTexture").and.returnValue( - false + false, ); createElevationBandMaterial({ scene: scene, @@ -1199,7 +1199,7 @@ describe("Scene/createElevationBandMaterial", function () { ]; spyOn(createElevationBandMaterial, "_useFloatTexture").and.returnValue( - true + true, ); createElevationBandMaterial({ scene: scene, diff --git a/packages/engine/Specs/Scene/createTangentSpaceDebugPrimitiveSpec.js b/packages/engine/Specs/Scene/createTangentSpaceDebugPrimitiveSpec.js index baf821b911ba..95373c5a6aa5 100644 --- a/packages/engine/Specs/Scene/createTangentSpaceDebugPrimitiveSpec.js +++ b/packages/engine/Specs/Scene/createTangentSpaceDebugPrimitiveSpec.js @@ -16,7 +16,7 @@ describe("Scene/createTangentSpaceDebugPrimitive", function () { const modelMatrix = Matrix4.multiplyByTranslation( Matrix4.IDENTITY, new Cartesian3(0.0, 0.0, 11000000.0), - new Matrix4() + new Matrix4(), ); const primitive = createTangentSpaceDebugPrimitive({ diff --git a/packages/engine/Specs/Scene/createWorldImageryAsyncSpec.js b/packages/engine/Specs/Scene/createWorldImageryAsyncSpec.js index 085fe985cecc..83698881426f 100644 --- a/packages/engine/Specs/Scene/createWorldImageryAsyncSpec.js +++ b/packages/engine/Specs/Scene/createWorldImageryAsyncSpec.js @@ -10,7 +10,7 @@ import createFakeBingMapsMetadataResponse from "../createFakeBingMapsMetadataRes describe("Core/createWorldImageryAsync", function () { it("resolves to IonImageryProvider instance with default parameters", async function () { spyOn(Resource.prototype, "fetchJsonp").and.callFake(() => - Promise.resolve(createFakeBingMapsMetadataResponse(BingMapsStyle.AERIAL)) + Promise.resolve(createFakeBingMapsMetadataResponse(BingMapsStyle.AERIAL)), ); const provider = await createWorldImageryAsync(); diff --git a/packages/engine/Specs/Scene/parseBatchTableSpec.js b/packages/engine/Specs/Scene/parseBatchTableSpec.js index 06a29a30f4c5..df0e4d0c3502 100644 --- a/packages/engine/Specs/Scene/parseBatchTableSpec.js +++ b/packages/engine/Specs/Scene/parseBatchTableSpec.js @@ -137,28 +137,28 @@ describe("Scene/parseBatchTable", function () { const properties = metadata.schema.classes[className].properties; expect(properties.uint8Property.componentType).toBe( - MetadataComponentType.UINT8 + MetadataComponentType.UINT8, ); expect(properties.uint16Property.componentType).toBe( - MetadataComponentType.UINT16 + MetadataComponentType.UINT16, ); expect(properties.uint32Property.componentType).toBe( - MetadataComponentType.UINT32 + MetadataComponentType.UINT32, ); expect(properties.int8Property.componentType).toBe( - MetadataComponentType.INT8 + MetadataComponentType.INT8, ); expect(properties.int16Property.componentType).toBe( - MetadataComponentType.INT16 + MetadataComponentType.INT16, ); expect(properties.int32Property.componentType).toBe( - MetadataComponentType.INT32 + MetadataComponentType.INT32, ); expect(properties.floatProperty.componentType).toBe( - MetadataComponentType.FLOAT32 + MetadataComponentType.FLOAT32, ); expect(properties.doubleProperty.componentType).toBe( - MetadataComponentType.FLOAT64 + MetadataComponentType.FLOAT64, ); const propertyTable = metadata.getPropertyTable(0); @@ -204,24 +204,24 @@ describe("Scene/parseBatchTable", function () { expect(properties.uvec3Property.type).toBe(MetadataType.VEC3); expect(properties.dvec4Property.type).toBe(MetadataType.VEC4); expect(properties.vec2Property.componentType).toBe( - MetadataComponentType.FLOAT32 + MetadataComponentType.FLOAT32, ); expect(properties.uvec3Property.componentType).toBe( - MetadataComponentType.UINT32 + MetadataComponentType.UINT32, ); expect(properties.dvec4Property.componentType).toBe( - MetadataComponentType.FLOAT64 + MetadataComponentType.FLOAT64, ); const propertyTable = metadata.getPropertyTable(0); expect(propertyTable.getProperty(0, "vec2Property")).toEqual( - new Cartesian2(0.0, 0.0) + new Cartesian2(0.0, 0.0), ); expect(propertyTable.getProperty(0, "uvec3Property")).toEqual( - new Cartesian3(0, 0, 0) + new Cartesian3(0, 0, 0), ); expect(propertyTable.getProperty(0, "dvec4Property")).toEqual( - new Cartesian4(0.0, 0.0, 0.0, 0.0) + new Cartesian4(0.0, 0.0, 0.0, 0.0), ); }); @@ -255,16 +255,16 @@ describe("Scene/parseBatchTable", function () { const propertyTable = metadata.getPropertyTable(0); expect(propertyTable.getProperty(0, "location")).toEqual( - jsonBatchTable.location[0] + jsonBatchTable.location[0], ); expect(propertyTable.getProperty(1, "location")).toEqual( - jsonBatchTable.location[1] + jsonBatchTable.location[1], ); expect(propertyTable.getProperty(0, "payload")).toEqual( - jsonBatchTable.payload[0] + jsonBatchTable.payload[0], ); expect(propertyTable.getProperty(1, "payload")).toEqual( - jsonBatchTable.payload[1] + jsonBatchTable.payload[1], ); }); @@ -476,9 +476,8 @@ describe("Scene/parseBatchTable", function () { // Since the original properties is an unordered collection, sort // to be sure of the order - const [heightAttribute, windDirectionAttribute] = customAttributes.sort( - sortByName - ); + const [heightAttribute, windDirectionAttribute] = + customAttributes.sort(sortByName); expect(heightAttribute.name).toBe("_HEIGHT"); expect(heightAttribute.count).toBe(3); expect(heightAttribute.type).toBe("SCALAR"); @@ -489,7 +488,7 @@ describe("Scene/parseBatchTable", function () { expect(windDirectionAttribute.count).toBe(3); expect(windDirectionAttribute.type).toBe("VEC2"); expect(windDirectionAttribute.componentDatatype).toBe( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(windDirectionAttribute.typedArray).toEqual(values.slice(3)); @@ -505,7 +504,7 @@ describe("Scene/parseBatchTable", function () { expect(heightClassProperty.name).toBe("height"); expect(heightClassProperty.type).toBe(MetadataType.SCALAR); expect(heightClassProperty.componentType).toBe( - MetadataComponentType.FLOAT32 + MetadataComponentType.FLOAT32, ); const windClassProperty = metadataClass.properties["windDirection"]; expect(windClassProperty.name).toBe("windDirection"); @@ -559,9 +558,8 @@ describe("Scene/parseBatchTable", function () { // Since the original properties is an unordered collection, sort // to be sure of the order. - const [numericAttribute, unicodeAttribute] = customAttributes.sort( - sortByName - ); + const [numericAttribute, unicodeAttribute] = + customAttributes.sort(sortByName); // Attributes are converted to upper-case like glTF attributes. expect(numericAttribute.name).toBe("_1234"); @@ -644,7 +642,7 @@ describe("Scene/parseBatchTable", function () { return classProperty.name; }); expect(classPropertyNames.sort()).toEqual( - Object.keys(binaryBatchTable).sort() + Object.keys(binaryBatchTable).sort(), ); const properties = propertyAttribute.properties; @@ -698,25 +696,24 @@ describe("Scene/parseBatchTable", function () { // Since the original properties is an unordered collection, sort // to be sure of the order - const [heightAttribute, windDirectionAttribute] = customAttributes.sort( - sortByName - ); + const [heightAttribute, windDirectionAttribute] = + customAttributes.sort(sortByName); expect(heightAttribute.name).toBe("_HEIGHT"); expect(heightAttribute.count).toBe(3); expect(heightAttribute.type).toBe("SCALAR"); expect(heightAttribute.componentDatatype).toBe(ComponentDatatype.FLOAT); expect(heightAttribute.typedArray).toEqual( - binaryBatchTable.height.typedArray + binaryBatchTable.height.typedArray, ); expect(windDirectionAttribute.name).toBe("_WINDDIRECTION"); expect(windDirectionAttribute.count).toBe(3); expect(windDirectionAttribute.type).toBe("VEC2"); expect(windDirectionAttribute.componentDatatype).toBe( - ComponentDatatype.FLOAT + ComponentDatatype.FLOAT, ); expect(windDirectionAttribute.typedArray).toEqual( - binaryBatchTable.windDirection.typedArray + binaryBatchTable.windDirection.typedArray, ); // No property table will be created. @@ -731,7 +728,7 @@ describe("Scene/parseBatchTable", function () { expect(heightClassProperty.name).toBe("height"); expect(heightClassProperty.type).toBe(MetadataType.SCALAR); expect(heightClassProperty.componentType).toBe( - MetadataComponentType.FLOAT32 + MetadataComponentType.FLOAT32, ); const windClassProperty = metadataClass.properties["windDirection"]; expect(windClassProperty.name).toBe("windDirection"); diff --git a/packages/engine/Specs/Scene/parseFeatureMetadataLegacySpec.js b/packages/engine/Specs/Scene/parseFeatureMetadataLegacySpec.js index 0f351ba9ec6a..e0cfb1881cc0 100644 --- a/packages/engine/Specs/Scene/parseFeatureMetadataLegacySpec.js +++ b/packages/engine/Specs/Scene/parseFeatureMetadataLegacySpec.js @@ -298,5 +298,5 @@ describe( expect(metadata.extensions).toBe(extensions); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/parseStructuralMetadataSpec.js b/packages/engine/Specs/Scene/parseStructuralMetadataSpec.js index 4b0915aa6f5e..e2b8c9383bdc 100644 --- a/packages/engine/Specs/Scene/parseStructuralMetadataSpec.js +++ b/packages/engine/Specs/Scene/parseStructuralMetadataSpec.js @@ -306,10 +306,10 @@ describe( expect(propertyAttribute.class).toBe(pointsClass); expect(propertyAttribute.getProperty("color").attribute).toBe("_COLOR"); expect(propertyAttribute.getProperty("intensity").attribute).toBe( - "_INTENSITY" + "_INTENSITY", ); expect(propertyAttribute.getProperty("pointSize").attribute).toBe( - "_POINT_SIZE" + "_POINT_SIZE", ); }); @@ -366,5 +366,5 @@ describe( expect(metadata.extensions).toBe(extensions); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/engine/Specs/Scene/processVoxelPropertiesSpec.js b/packages/engine/Specs/Scene/processVoxelPropertiesSpec.js index 0be881a18ee3..2e6ea2a90bb5 100644 --- a/packages/engine/Specs/Scene/processVoxelPropertiesSpec.js +++ b/packages/engine/Specs/Scene/processVoxelPropertiesSpec.js @@ -15,7 +15,7 @@ describe("Scene/processVoxelProperties", function () { scene = createScene(); provider = await Cesium3DTilesVoxelProvider.fromUrl( - "./Data/Cesium3DTiles/Voxel/VoxelEllipsoid3DTiles/tileset.json" + "./Data/Cesium3DTiles/Voxel/VoxelEllipsoid3DTiles/tileset.json", ); }); @@ -46,7 +46,7 @@ describe("Scene/processVoxelProperties", function () { shaderBuilder, "PropertyStatistics_a", "PropertyStatistics_a", - propertyStatisticsFields + propertyStatisticsFields, ); // Check for Statistics struct @@ -55,7 +55,7 @@ describe("Scene/processVoxelProperties", function () { shaderBuilder, "Statistics", "Statistics", - statisticsFields + statisticsFields, ); // Check for Metadata struct @@ -64,7 +64,7 @@ describe("Scene/processVoxelProperties", function () { shaderBuilder, "Metadata", "Metadata", - metadataFields + metadataFields, ); // Check for VoxelProperty structs @@ -78,7 +78,7 @@ describe("Scene/processVoxelProperties", function () { shaderBuilder, "VoxelProperty_a", "VoxelProperty_a", - voxelPropertyFields + voxelPropertyFields, ); // Check for Voxel struct @@ -100,7 +100,7 @@ describe("Scene/processVoxelProperties", function () { shaderBuilder, "Voxel", "Voxel", - voxelFields + voxelFields, ); // Check for FragmentInput struct @@ -109,7 +109,7 @@ describe("Scene/processVoxelProperties", function () { shaderBuilder, "FragmentInput", "FragmentInput", - fragmentInputFields + fragmentInputFields, ); // Check for Properties struct @@ -118,7 +118,7 @@ describe("Scene/processVoxelProperties", function () { shaderBuilder, "Properties", "Properties", - propertiesFields + propertiesFields, ); // Check clearProperties function diff --git a/packages/engine/Specs/Widget/CesiumWidgetSpec.js b/packages/engine/Specs/Widget/CesiumWidgetSpec.js index b59261f86d4a..9c7720b086a7 100644 --- a/packages/engine/Specs/Widget/CesiumWidgetSpec.js +++ b/packages/engine/Specs/Widget/CesiumWidgetSpec.js @@ -46,7 +46,7 @@ describe( options.contextOptions = defaultValue(options.contextOptions, {}); options.contextOptions.webgl = defaultValue( options.contextOptions.webgl, - {} + {}, ); if (!!window.webglStub) { options.contextOptions.getWebGLStub = getWebGLStub; @@ -69,7 +69,7 @@ describe( expect(widget.camera).toBeInstanceOf(Camera); expect(widget.clock).toBeInstanceOf(Clock); expect(widget.screenSpaceEventHandler).toBeInstanceOf( - ScreenSpaceEventHandler + ScreenSpaceEventHandler, ); expect(widget.useBrowserRecommendedResolution).toBe(true); widget.render(); @@ -233,13 +233,13 @@ describe( expect(contextAttributes.stencil).toEqual(webglOptions.stencil); expect(contextAttributes.antialias).toEqual(webglOptions.antialias); expect(contextAttributes.premultipliedAlpha).toEqual( - webglOptions.premultipliedAlpha + webglOptions.premultipliedAlpha, ); expect(contextAttributes.powerPreference).toEqual( - webglOptions.powerPreference + webglOptions.powerPreference, ); expect(contextAttributes.preserveDrawingBuffer).toEqual( - webglOptions.preserveDrawingBuffer + webglOptions.preserveDrawingBuffer, ); }); @@ -264,7 +264,7 @@ describe( }); expect(widget.scene.maximumRenderTimeChange).toBe( - Number.POSITIVE_INFINITY + Number.POSITIVE_INFINITY, ); }); @@ -374,11 +374,11 @@ describe( return !widget.useDefaultRenderLoop; }).then(function () { expect( - widget._element.querySelector(".cesium-widget-errorPanel") + widget._element.querySelector(".cesium-widget-errorPanel"), ).not.toBeNull(); const messages = widget._element.querySelectorAll( - ".cesium-widget-errorPanel-message" + ".cesium-widget-errorPanel-message", ); let found = false; @@ -392,11 +392,11 @@ describe( // click the OK button to dismiss the panel DomEventSimulator.fireClick( - widget._element.querySelector(".cesium-button") + widget._element.querySelector(".cesium-button"), ); expect( - widget._element.querySelector(".cesium-widget-errorPanel") + widget._element.querySelector(".cesium-widget-errorPanel"), ).toBeNull(); }); }); @@ -415,10 +415,10 @@ describe( return !widget.useDefaultRenderLoop; }).then(function () { expect( - widget._element.querySelector(".cesium-widget-errorPanel") + widget._element.querySelector(".cesium-widget-errorPanel"), ).toBeNull(); }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/widgets/Source/Animation/Animation.js b/packages/widgets/Source/Animation/Animation.js index 5d2990993a0b..2e9e5d04d0e4 100644 --- a/packages/widgets/Source/Animation/Animation.js +++ b/packages/widgets/Source/Animation/Animation.js @@ -13,20 +13,20 @@ const xlinkNS = "http://www.w3.org/1999/xlink"; let widgetForDrag; const gradientEnabledColor0 = Color.fromCssColorString( - "rgba(247,250,255,0.384)" + "rgba(247,250,255,0.384)", ); const gradientEnabledColor1 = Color.fromCssColorString( - "rgba(143,191,255,0.216)" + "rgba(143,191,255,0.216)", ); const gradientEnabledColor2 = Color.fromCssColorString( - "rgba(153,197,255,0.098)" + "rgba(153,197,255,0.098)", ); const gradientEnabledColor3 = Color.fromCssColorString( - "rgba(255,255,255,0.086)" + "rgba(255,255,255,0.086)", ); const gradientDisabledColor0 = Color.fromCssColorString( - "rgba(255,255,255,0.267)" + "rgba(255,255,255,0.267)", ); const gradientDisabledColor1 = Color.fromCssColorString("rgba(255,255,255,0)"); @@ -35,7 +35,7 @@ const gradientPointerColor = Color.fromCssColorString("rgba(0,0,0,0.5)"); function getElementColor(element) { return Color.fromCssColorString( - window.getComputedStyle(element).getPropertyValue("color") + window.getComputedStyle(element).getPropertyValue("color"), ); } @@ -43,8 +43,7 @@ const svgIconsById = { animation_pathReset: { tagName: "path", transform: "translate(16,16) scale(0.85) translate(-16,-16)", - d: - "M24.316,5.318,9.833,13.682,9.833,5.5,5.5,5.5,5.5,25.5,9.833,25.5,9.833,17.318,24.316,25.682z", + d: "M24.316,5.318,9.833,13.682,9.833,5.5,5.5,5.5,5.5,25.5,9.833,25.5,9.833,17.318,24.316,25.682z", }, animation_pathPause: { tagName: "path", @@ -64,19 +63,16 @@ const svgIconsById = { animation_pathLoop: { tagName: "path", transform: "translate(16,16) scale(0.85) translate(-16,-16)", - d: - "M24.249,15.499c-0.009,4.832-3.918,8.741-8.75,8.75c-2.515,0-4.768-1.064-6.365-2.763l2.068-1.442l-7.901-3.703l0.744,8.694l2.193-1.529c2.244,2.594,5.562,4.242,9.26,4.242c6.767,0,12.249-5.482,12.249-12.249H24.249zM15.499,6.75c2.516,0,4.769,1.065,6.367,2.764l-2.068,1.443l7.901,3.701l-0.746-8.693l-2.192,1.529c-2.245-2.594-5.562-4.245-9.262-4.245C8.734,3.25,3.25,8.734,3.249,15.499H6.75C6.758,10.668,10.668,6.758,15.499,6.75z", + d: "M24.249,15.499c-0.009,4.832-3.918,8.741-8.75,8.75c-2.515,0-4.768-1.064-6.365-2.763l2.068-1.442l-7.901-3.703l0.744,8.694l2.193-1.529c2.244,2.594,5.562,4.242,9.26,4.242c6.767,0,12.249-5.482,12.249-12.249H24.249zM15.499,6.75c2.516,0,4.769,1.065,6.367,2.764l-2.068,1.443l7.901,3.701l-0.746-8.693l-2.192,1.529c-2.245-2.594-5.562-4.245-9.262-4.245C8.734,3.25,3.25,8.734,3.249,15.499H6.75C6.758,10.668,10.668,6.758,15.499,6.75z", }, animation_pathClock: { tagName: "path", transform: "translate(16,16) scale(0.85) translate(-16,-15.5)", - d: - "M15.5,2.374C8.251,2.375,2.376,8.251,2.374,15.5C2.376,22.748,8.251,28.623,15.5,28.627c7.249-0.004,13.124-5.879,13.125-13.127C28.624,8.251,22.749,2.375,15.5,2.374zM15.5,25.623C9.909,25.615,5.385,21.09,5.375,15.5C5.385,9.909,9.909,5.384,15.5,5.374c5.59,0.01,10.115,4.535,10.124,10.125C25.615,21.09,21.091,25.615,15.5,25.623zM8.625,15.5c-0.001-0.552-0.448-0.999-1.001-1c-0.553,0-1,0.448-1,1c0,0.553,0.449,1,1,1C8.176,16.5,8.624,16.053,8.625,15.5zM8.179,18.572c-0.478,0.277-0.642,0.889-0.365,1.367c0.275,0.479,0.889,0.641,1.365,0.365c0.479-0.275,0.643-0.887,0.367-1.367C9.27,18.461,8.658,18.297,8.179,18.572zM9.18,10.696c-0.479-0.276-1.09-0.112-1.366,0.366s-0.111,1.09,0.365,1.366c0.479,0.276,1.09,0.113,1.367-0.366C9.821,11.584,9.657,10.973,9.18,10.696zM22.822,12.428c0.478-0.275,0.643-0.888,0.366-1.366c-0.275-0.478-0.89-0.642-1.366-0.366c-0.479,0.278-0.642,0.89-0.366,1.367C21.732,12.54,22.344,12.705,22.822,12.428zM12.062,21.455c-0.478-0.275-1.089-0.111-1.366,0.367c-0.275,0.479-0.111,1.09,0.366,1.365c0.478,0.277,1.091,0.111,1.365-0.365C12.704,22.344,12.54,21.732,12.062,21.455zM12.062,9.545c0.479-0.276,0.642-0.888,0.366-1.366c-0.276-0.478-0.888-0.642-1.366-0.366s-0.642,0.888-0.366,1.366C10.973,9.658,11.584,9.822,12.062,9.545zM22.823,18.572c-0.48-0.275-1.092-0.111-1.367,0.365c-0.275,0.479-0.112,1.092,0.367,1.367c0.477,0.275,1.089,0.113,1.365-0.365C23.464,19.461,23.3,18.848,22.823,18.572zM19.938,7.813c-0.477-0.276-1.091-0.111-1.365,0.366c-0.275,0.48-0.111,1.091,0.366,1.367s1.089,0.112,1.366-0.366C20.581,8.702,20.418,8.089,19.938,7.813zM23.378,14.5c-0.554,0.002-1.001,0.45-1.001,1c0.001,0.552,0.448,1,1.001,1c0.551,0,1-0.447,1-1C24.378,14.949,23.929,14.5,23.378,14.5zM15.501,6.624c-0.552,0-1,0.448-1,1l-0.466,7.343l-3.004,1.96c-0.478,0.277-0.642,0.889-0.365,1.365c0.275,0.479,0.889,0.643,1.365,0.367l3.305-1.676C15.39,16.99,15.444,17,15.501,17c0.828,0,1.5-0.671,1.5-1.5l-0.5-7.876C16.501,7.072,16.053,6.624,15.501,6.624zM15.501,22.377c-0.552,0-1,0.447-1,1s0.448,1,1,1s1-0.447,1-1S16.053,22.377,15.501,22.377zM18.939,21.455c-0.479,0.277-0.643,0.889-0.366,1.367c0.275,0.477,0.888,0.643,1.366,0.365c0.478-0.275,0.642-0.889,0.366-1.365C20.028,21.344,19.417,21.18,18.939,21.455z", + d: "M15.5,2.374C8.251,2.375,2.376,8.251,2.374,15.5C2.376,22.748,8.251,28.623,15.5,28.627c7.249-0.004,13.124-5.879,13.125-13.127C28.624,8.251,22.749,2.375,15.5,2.374zM15.5,25.623C9.909,25.615,5.385,21.09,5.375,15.5C5.385,9.909,9.909,5.384,15.5,5.374c5.59,0.01,10.115,4.535,10.124,10.125C25.615,21.09,21.091,25.615,15.5,25.623zM8.625,15.5c-0.001-0.552-0.448-0.999-1.001-1c-0.553,0-1,0.448-1,1c0,0.553,0.449,1,1,1C8.176,16.5,8.624,16.053,8.625,15.5zM8.179,18.572c-0.478,0.277-0.642,0.889-0.365,1.367c0.275,0.479,0.889,0.641,1.365,0.365c0.479-0.275,0.643-0.887,0.367-1.367C9.27,18.461,8.658,18.297,8.179,18.572zM9.18,10.696c-0.479-0.276-1.09-0.112-1.366,0.366s-0.111,1.09,0.365,1.366c0.479,0.276,1.09,0.113,1.367-0.366C9.821,11.584,9.657,10.973,9.18,10.696zM22.822,12.428c0.478-0.275,0.643-0.888,0.366-1.366c-0.275-0.478-0.89-0.642-1.366-0.366c-0.479,0.278-0.642,0.89-0.366,1.367C21.732,12.54,22.344,12.705,22.822,12.428zM12.062,21.455c-0.478-0.275-1.089-0.111-1.366,0.367c-0.275,0.479-0.111,1.09,0.366,1.365c0.478,0.277,1.091,0.111,1.365-0.365C12.704,22.344,12.54,21.732,12.062,21.455zM12.062,9.545c0.479-0.276,0.642-0.888,0.366-1.366c-0.276-0.478-0.888-0.642-1.366-0.366s-0.642,0.888-0.366,1.366C10.973,9.658,11.584,9.822,12.062,9.545zM22.823,18.572c-0.48-0.275-1.092-0.111-1.367,0.365c-0.275,0.479-0.112,1.092,0.367,1.367c0.477,0.275,1.089,0.113,1.365-0.365C23.464,19.461,23.3,18.848,22.823,18.572zM19.938,7.813c-0.477-0.276-1.091-0.111-1.365,0.366c-0.275,0.48-0.111,1.091,0.366,1.367s1.089,0.112,1.366-0.366C20.581,8.702,20.418,8.089,19.938,7.813zM23.378,14.5c-0.554,0.002-1.001,0.45-1.001,1c0.001,0.552,0.448,1,1.001,1c0.551,0,1-0.447,1-1C24.378,14.949,23.929,14.5,23.378,14.5zM15.501,6.624c-0.552,0-1,0.448-1,1l-0.466,7.343l-3.004,1.96c-0.478,0.277-0.642,0.889-0.365,1.365c0.275,0.479,0.889,0.643,1.365,0.367l3.305-1.676C15.39,16.99,15.444,17,15.501,17c0.828,0,1.5-0.671,1.5-1.5l-0.5-7.876C16.501,7.072,16.053,6.624,15.501,6.624zM15.501,22.377c-0.552,0-1,0.447-1,1s0.448,1,1,1s1-0.447,1-1S16.053,22.377,15.501,22.377zM18.939,21.455c-0.479,0.277-0.643,0.889-0.366,1.367c0.275,0.477,0.888,0.643,1.366,0.365c0.478-0.275,0.642-0.889,0.366-1.365C20.028,21.344,19.417,21.18,18.939,21.455z", }, animation_pathWingButton: { tagName: "path", - d: - "m 4.5,0.5 c -2.216,0 -4,1.784 -4,4 l 0,24 c 0,2.216 1.784,4 4,4 l 13.71875,0 C 22.478584,27.272785 27.273681,22.511272 32.5,18.25 l 0,-13.75 c 0,-2.216 -1.784,-4 -4,-4 l -24,0 z", + d: "m 4.5,0.5 c -2.216,0 -4,1.784 -4,4 l 0,24 c 0,2.216 1.784,4 4,4 l 13.71875,0 C 22.478584,27.272785 27.273681,22.511272 32.5,18.25 l 0,-13.75 c 0,-2.216 -1.784,-4 -4,-4 l -24,0 z", }, animation_pathPointer: { tagName: "path", @@ -84,8 +80,7 @@ const svgIconsById = { }, animation_pathSwooshFX: { tagName: "path", - d: - "m 85,0 c 0,16.617 -4.813944,35.356 -13.131081,48.4508 h 6.099803 c 8.317138,-13.0948 13.13322,-28.5955 13.13322,-45.2124 0,-46.94483 -38.402714,-85.00262 -85.7743869,-85.00262 -1.0218522,0 -2.0373001,0.0241 -3.0506131,0.0589 45.958443,1.59437 82.723058,35.77285 82.723058,81.70532 z", + d: "m 85,0 c 0,16.617 -4.813944,35.356 -13.131081,48.4508 h 6.099803 c 8.317138,-13.0948 13.13322,-28.5955 13.13322,-45.2124 0,-46.94483 -38.402714,-85.00262 -85.7743869,-85.00262 -1.0218522,0 -2.0373001,0.0241 -3.0506131,0.0589 45.958443,1.59437 82.723058,35.77285 82.723058,81.70532 z", }, }; @@ -126,7 +121,7 @@ function svgText(x, y, msg) { function setShuttleRingPointer(shuttleRingPointer, knobOuter, angle) { shuttleRingPointer.setAttribute( "transform", - `translate(100,100) rotate(${angle})` + `translate(100,100) rotate(${angle})`, ); knobOuter.setAttribute("transform", `rotate(${angle})`); } @@ -324,7 +319,7 @@ function SvgButton(svgElement, viewModel) { viewModel.command, "canExecute", this.setEnabled, - this + this, ), ]; } @@ -354,7 +349,7 @@ SvgButton.prototype.setEnabled = function (enabled) { if (this._toggled) { this.svgElement.setAttribute( "class", - "cesium-animation-rectButton cesium-animation-buttonToggled" + "cesium-animation-rectButton cesium-animation-buttonToggled", ); return; } @@ -371,7 +366,7 @@ SvgButton.prototype.setToggled = function (toggled) { if (toggled) { this.svgElement.setAttribute( "class", - "cesium-animation-rectButton cesium-animation-buttonToggled" + "cesium-animation-rectButton cesium-animation-buttonToggled", ); } else { this.svgElement.setAttribute("class", "cesium-animation-rectButton"); @@ -507,19 +502,19 @@ function Animation(container, viewModel) { this._realtimeSVG = new SvgButton( wingButton(3, 4, "animation_pathClock"), - viewModel.playRealtimeViewModel + viewModel.playRealtimeViewModel, ); this._playReverseSVG = new SvgButton( rectButton(44, 99, "animation_pathPlayReverse"), - viewModel.playReverseViewModel + viewModel.playReverseViewModel, ); this._playForwardSVG = new SvgButton( rectButton(124, 99, "animation_pathPlay"), - viewModel.playForwardViewModel + viewModel.playForwardViewModel, ); this._pauseSVG = new SvgButton( rectButton(84, 99, "animation_pathPause"), - viewModel.pauseViewModel + viewModel.pauseViewModel, ); const buttonsG = document.createElementNS(svgNS, "g"); @@ -669,12 +664,12 @@ function Animation(container, viewModel) { if (isPaused) { that._shuttleRingPointer.setAttribute( "class", - "cesium-animation-shuttleRingPausePointer" + "cesium-animation-shuttleRingPausePointer", ); } else { that._shuttleRingPointer.setAttribute( "class", - "cesium-animation-shuttleRingPointer" + "cesium-animation-shuttleRingPointer", ); } } @@ -758,22 +753,22 @@ Animation.prototype.destroy = function () { this._shuttleRingBackPanel.removeEventListener( "mousedown", mouseCallback, - true + true, ); this._shuttleRingBackPanel.removeEventListener( "touchstart", mouseCallback, - true + true, ); this._shuttleRingSwooshG.removeEventListener( "mousedown", mouseCallback, - true + true, ); this._shuttleRingSwooshG.removeEventListener( "touchstart", mouseCallback, - true + true, ); doc.removeEventListener("mousemove", mouseCallback, true); doc.removeEventListener("touchmove", mouseCallback, true); @@ -783,12 +778,12 @@ Animation.prototype.destroy = function () { this._shuttleRingPointer.removeEventListener( "mousedown", mouseCallback, - true + true, ); this._shuttleRingPointer.removeEventListener( "touchstart", mouseCallback, - true + true, ); this._knobOuter.removeEventListener("mousedown", mouseCallback, true); this._knobOuter.removeEventListener("touchstart", mouseCallback, true); @@ -915,7 +910,7 @@ Animation.prototype.applyThemeChanges = function () { offset: "0%", "stop-color": makeColorString( buttonNormalBackColor, - gradientEnabledColor0 + gradientEnabledColor0, ), }, { @@ -923,7 +918,7 @@ Animation.prototype.applyThemeChanges = function () { offset: "12%", "stop-color": makeColorString( buttonNormalBackColor, - gradientEnabledColor1 + gradientEnabledColor1, ), }, { @@ -931,7 +926,7 @@ Animation.prototype.applyThemeChanges = function () { offset: "46%", "stop-color": makeColorString( buttonNormalBackColor, - gradientEnabledColor2 + gradientEnabledColor2, ), }, { @@ -939,7 +934,7 @@ Animation.prototype.applyThemeChanges = function () { offset: "81%", "stop-color": makeColorString( buttonNormalBackColor, - gradientEnabledColor3 + gradientEnabledColor3, ), }, ], @@ -957,7 +952,7 @@ Animation.prototype.applyThemeChanges = function () { offset: "0%", "stop-color": makeColorString( buttonHoverBackColor, - gradientEnabledColor0 + gradientEnabledColor0, ), }, { @@ -965,7 +960,7 @@ Animation.prototype.applyThemeChanges = function () { offset: "12%", "stop-color": makeColorString( buttonHoverBackColor, - gradientEnabledColor1 + gradientEnabledColor1, ), }, { @@ -973,7 +968,7 @@ Animation.prototype.applyThemeChanges = function () { offset: "46%", "stop-color": makeColorString( buttonHoverBackColor, - gradientEnabledColor2 + gradientEnabledColor2, ), }, { @@ -981,7 +976,7 @@ Animation.prototype.applyThemeChanges = function () { offset: "81%", "stop-color": makeColorString( buttonHoverBackColor, - gradientEnabledColor3 + gradientEnabledColor3, ), }, ], @@ -999,7 +994,7 @@ Animation.prototype.applyThemeChanges = function () { offset: "0%", "stop-color": makeColorString( buttonToggledBackColor, - gradientEnabledColor0 + gradientEnabledColor0, ), }, { @@ -1007,7 +1002,7 @@ Animation.prototype.applyThemeChanges = function () { offset: "12%", "stop-color": makeColorString( buttonToggledBackColor, - gradientEnabledColor1 + gradientEnabledColor1, ), }, { @@ -1015,7 +1010,7 @@ Animation.prototype.applyThemeChanges = function () { offset: "46%", "stop-color": makeColorString( buttonToggledBackColor, - gradientEnabledColor2 + gradientEnabledColor2, ), }, { @@ -1023,7 +1018,7 @@ Animation.prototype.applyThemeChanges = function () { offset: "81%", "stop-color": makeColorString( buttonToggledBackColor, - gradientEnabledColor3 + gradientEnabledColor3, ), }, ], @@ -1041,7 +1036,7 @@ Animation.prototype.applyThemeChanges = function () { offset: "0%", "stop-color": makeColorString( buttonDisabledBackColor, - gradientDisabledColor0 + gradientDisabledColor0, ), }, { @@ -1049,7 +1044,7 @@ Animation.prototype.applyThemeChanges = function () { offset: "75%", "stop-color": makeColorString( buttonDisabledBackColor, - gradientDisabledColor1 + gradientDisabledColor1, ), }, ], diff --git a/packages/widgets/Source/Animation/AnimationViewModel.js b/packages/widgets/Source/Animation/AnimationViewModel.js index 864b0bc51e6f..f00e762cd677 100644 --- a/packages/widgets/Source/Animation/AnimationViewModel.js +++ b/packages/widgets/Source/Animation/AnimationViewModel.js @@ -192,13 +192,13 @@ function AnimationViewModel(clockViewModel) { return multiplierToAngle( clockViewModel.multiplier, that._allShuttleRingTicks, - clockViewModel + clockViewModel, ); }, set: function (angle) { angle = Math.max( Math.min(angle, maxShuttleRingAngle), - -maxShuttleRingAngle + -maxShuttleRingAngle, ); const ticks = that._allShuttleRingTicks; @@ -342,9 +342,12 @@ function AnimationViewModel(clockViewModel) { tooltip: "Play Forward", }); - const playRealtimeCommand = createCommand(function () { - that._clockViewModel.clockStep = ClockStep.SYSTEM_CLOCK; - }, knockout.getObservable(this, "_isSystemTimeAvailable")); + const playRealtimeCommand = createCommand( + function () { + that._clockViewModel.clockStep = ClockStep.SYSTEM_CLOCK; + }, + knockout.getObservable(this, "_isSystemTimeAvailable"), + ); this._playRealtimeViewModel = new ToggleButtonViewModel(playRealtimeCommand, { toggled: knockout.computed(function () { diff --git a/packages/widgets/Source/BaseLayerPicker/BaseLayerPicker.css b/packages/widgets/Source/BaseLayerPicker/BaseLayerPicker.css index 8f623f369e49..4c96ecb200f5 100644 --- a/packages/widgets/Source/BaseLayerPicker/BaseLayerPicker.css +++ b/packages/widgets/Source/BaseLayerPicker/BaseLayerPicker.css @@ -28,14 +28,19 @@ transform: translate(0, -20%); visibility: hidden; opacity: 0; - transition: visibility 0s 0.2s, opacity 0.2s ease-in, transform 0.2s ease-in; + transition: + visibility 0s 0.2s, + opacity 0.2s ease-in, + transform 0.2s ease-in; } .cesium-baseLayerPicker-dropDown-visible { transform: translate(0, 0); visibility: visible; opacity: 1; - transition: opacity 0.2s ease-out, transform 0.2s ease-out; + transition: + opacity 0.2s ease-out, + transform 0.2s ease-out; } .cesium-baseLayerPicker-sectionTitle { @@ -105,7 +110,9 @@ .cesium-baseLayerPicker-item:hover .cesium-baseLayerPicker-itemIcon { border-color: #fff; - box-shadow: 0 0 8px #fff, 0 0 8px #fff; + box-shadow: + 0 0 8px #fff, + 0 0 8px #fff; } .cesium-baseLayerPicker-selectedItem .cesium-baseLayerPicker-itemLabel { diff --git a/packages/widgets/Source/BaseLayerPicker/BaseLayerPicker.js b/packages/widgets/Source/BaseLayerPicker/BaseLayerPicker.js index a1ec026cca76..0748df1688d2 100644 --- a/packages/widgets/Source/BaseLayerPicker/BaseLayerPicker.js +++ b/packages/widgets/Source/BaseLayerPicker/BaseLayerPicker.js @@ -111,7 +111,7 @@ function BaseLayerPicker(container, options) { "data-bind", "\ attr: { title: buttonTooltip },\ -click: toggleDropDown" +click: toggleDropDown", ); container.appendChild(element); @@ -121,7 +121,7 @@ click: toggleDropDown" imgElement.setAttribute( "data-bind", "\ -attr: { src: buttonImageUrl }, visible: !!buttonImageUrl" +attr: { src: buttonImageUrl }, visible: !!buttonImageUrl", ); element.appendChild(imgElement); @@ -130,7 +130,7 @@ attr: { src: buttonImageUrl }, visible: !!buttonImageUrl" dropPanel.setAttribute( "data-bind", '\ -css: { "cesium-baseLayerPicker-dropDown-visible" : dropDownVisible }' +css: { "cesium-baseLayerPicker-dropDown-visible" : dropDownVisible }', ); container.appendChild(dropPanel); @@ -138,7 +138,7 @@ css: { "cesium-baseLayerPicker-dropDown-visible" : dropDownVisible }' imageryTitle.className = "cesium-baseLayerPicker-sectionTitle"; imageryTitle.setAttribute( "data-bind", - "visible: imageryProviderViewModels.length > 0" + "visible: imageryProviderViewModels.length > 0", ); imageryTitle.innerHTML = "Imagery"; dropPanel.appendChild(imageryTitle); @@ -170,7 +170,7 @@ css: { "cesium-baseLayerPicker-dropDown-visible" : dropDownVisible }' css: { "cesium-baseLayerPicker-selectedItem" : $data === $parents[1].selectedImagery },\ attr: { title: tooltip },\ visible: creationCommand.canExecute,\ -click: function($data) { $parents[1].selectedImagery = $data; }' +click: function($data) { $parents[1].selectedImagery = $data; }', ); imageryChoices.appendChild(imageryProvider); @@ -189,7 +189,7 @@ click: function($data) { $parents[1].selectedImagery = $data; }' terrainTitle.className = "cesium-baseLayerPicker-sectionTitle"; terrainTitle.setAttribute( "data-bind", - "visible: terrainProviderViewModels.length > 0" + "visible: terrainProviderViewModels.length > 0", ); terrainTitle.innerHTML = "Terrain"; dropPanel.appendChild(terrainTitle); @@ -221,7 +221,7 @@ click: function($data) { $parents[1].selectedImagery = $data; }' css: { "cesium-baseLayerPicker-selectedItem" : $data === $parents[1].selectedTerrain },\ attr: { title: tooltip },\ visible: creationCommand.canExecute,\ -click: function($data) { $parents[1].selectedTerrain = $data; }' +click: function($data) { $parents[1].selectedTerrain = $data; }', ); terrainChoices.appendChild(terrainProvider); diff --git a/packages/widgets/Source/BaseLayerPicker/BaseLayerPickerViewModel.js b/packages/widgets/Source/BaseLayerPicker/BaseLayerPickerViewModel.js index 1e35d2f25a9d..3297b1f97a56 100644 --- a/packages/widgets/Source/BaseLayerPicker/BaseLayerPickerViewModel.js +++ b/packages/widgets/Source/BaseLayerPicker/BaseLayerPickerViewModel.js @@ -30,11 +30,11 @@ function BaseLayerPickerViewModel(options) { const globe = options.globe; const imageryProviderViewModels = defaultValue( options.imageryProviderViewModels, - [] + [], ); const terrainProviderViewModels = defaultValue( options.terrainProviderViewModels, - [] + [], ); //>>includeStart('debug', pragmas.debug); @@ -74,7 +74,7 @@ function BaseLayerPickerViewModel(options) { const imageryObservable = knockout.getObservable( this, - "imageryProviderViewModels" + "imageryProviderViewModels", ); const imageryProviders = knockout.pureComputed(function () { const providers = imageryObservable(); @@ -105,7 +105,7 @@ function BaseLayerPickerViewModel(options) { const terrainObservable = knockout.getObservable( this, - "terrainProviderViewModels" + "terrainProviderViewModels", ); const terrainProviders = knockout.pureComputed(function () { const providers = terrainObservable(); @@ -269,12 +269,11 @@ function BaseLayerPickerViewModel(options) { this._globe.terrainProvider = newProvider; } else if (defined(newProvider)) { let cancelUpdate = false; - const removeCancelListener = this._globe.terrainProviderChanged.addEventListener( - () => { + const removeCancelListener = + this._globe.terrainProviderChanged.addEventListener(() => { cancelUpdate = true; removeCancelListener(); - } - ); + }); const terrain = new Terrain(newProvider); const removeEventListener = terrain.readyEvent.addEventListener( @@ -289,7 +288,7 @@ function BaseLayerPickerViewModel(options) { ); this._globe.terrainProvider = terrainProvider; removeEventListener(); - } + }, ); } @@ -305,7 +304,7 @@ function BaseLayerPickerViewModel(options) { this.selectedImagery = defaultValue( options.selectedImageryProviderViewModel, - imageryProviderViewModels[0] + imageryProviderViewModels[0], ); this.selectedTerrain = options.selectedTerrainProviderViewModel; } diff --git a/packages/widgets/Source/BaseLayerPicker/createDefaultImageryProviderViewModels.js b/packages/widgets/Source/BaseLayerPicker/createDefaultImageryProviderViewModels.js index ab5d7c60079c..4238fdb61446 100644 --- a/packages/widgets/Source/BaseLayerPicker/createDefaultImageryProviderViewModels.js +++ b/packages/widgets/Source/BaseLayerPicker/createDefaultImageryProviderViewModels.js @@ -27,14 +27,14 @@ function createDefaultImageryProviderViewModels() { style: IonWorldImageryStyle.AERIAL, }); }, - }) + }), ); providerViewModels.push( new ProviderViewModel({ name: "Bing Maps Aerial with Labels", iconUrl: buildModuleUrl( - "Widgets/Images/ImageryProviders/bingAerialLabels.png" + "Widgets/Images/ImageryProviders/bingAerialLabels.png", ), tooltip: "Bing Maps aerial imagery with labels, provided by Cesium ion", category: "Cesium ion", @@ -43,7 +43,7 @@ function createDefaultImageryProviderViewModels() { style: IonWorldImageryStyle.AERIAL_WITH_LABELS, }); }, - }) + }), ); providerViewModels.push( @@ -57,14 +57,14 @@ function createDefaultImageryProviderViewModels() { style: IonWorldImageryStyle.ROAD, }); }, - }) + }), ); providerViewModels.push( new ProviderViewModel({ name: "ArcGIS World Imagery", iconUrl: buildModuleUrl( - "Widgets/Images/ImageryProviders/ArcGisMapServiceWorldImagery.png" + "Widgets/Images/ImageryProviders/ArcGisMapServiceWorldImagery.png", ), tooltip: "\ @@ -82,17 +82,17 @@ https://www.arcgis.com/home/item.html?id=10df2279f9684e4a9f6a7f08febac2a9", ArcGisBaseMapType.SATELLITE, { enablePickFeatures: false, - } + }, ); }, - }) + }), ); providerViewModels.push( new ProviderViewModel({ name: "ArcGIS World Hillshade", iconUrl: buildModuleUrl( - "Widgets/Images/ImageryProviders/ArcGisMapServiceWorldHillshade.png" + "Widgets/Images/ImageryProviders/ArcGisMapServiceWorldHillshade.png", ), tooltip: "\ @@ -107,17 +107,17 @@ https://www.arcgis.com/home/item.html?id=1b243539f4514b6ba35e7d995890db1d", ArcGisBaseMapType.HILLSHADE, { enablePickFeatures: false, - } + }, ); }, - }) + }), ); providerViewModels.push( new ProviderViewModel({ name: "Esri World Ocean", iconUrl: buildModuleUrl( - "Widgets/Images/ImageryProviders/ArcGisMapServiceWorldOcean.png" + "Widgets/Images/ImageryProviders/ArcGisMapServiceWorldOcean.png", ), tooltip: "\ @@ -135,17 +135,17 @@ https://www.arcgis.com/home/item.html?id=1e126e7520f9466c9ca28b8f28b5e500", ArcGisBaseMapType.OCEANS, { enablePickFeatures: false, - } + }, ); }, - }) + }), ); providerViewModels.push( new ProviderViewModel({ name: "Open\u00adStreet\u00adMap", iconUrl: buildModuleUrl( - "Widgets/Images/ImageryProviders/openStreetMap.png" + "Widgets/Images/ImageryProviders/openStreetMap.png", ), tooltip: "OpenStreetMap (OSM) is a collaborative project to create a free editable map \ @@ -156,14 +156,14 @@ of the world.\nhttp://www.openstreetmap.org", url: "https://tile.openstreetmap.org/", }); }, - }) + }), ); providerViewModels.push( new ProviderViewModel({ name: "Stadia x Stamen Watercolor", iconUrl: buildModuleUrl( - "Widgets/Images/ImageryProviders/stamenWatercolor.png" + "Widgets/Images/ImageryProviders/stamenWatercolor.png", ), tooltip: "Based on the original basemaps created for the Knight Foundation and reminiscent of hand drawn maps, the watercolor maps from Stamen Design apply raster effect area washes and organic edges over a paper texture to add warm pop to any map.\nhttps://docs.stadiamaps.com/map-styles/stamen-watercolor/", @@ -178,14 +178,14 @@ of the world.\nhttp://www.openstreetmap.org", © <a href="https://www.openstreetmap.org/about/" target="_blank">OpenStreetMap contributors</a>`, }); }, - }) + }), ); providerViewModels.push( new ProviderViewModel({ name: "Stadia x Stamen Toner", iconUrl: buildModuleUrl( - "Widgets/Images/ImageryProviders/stamenToner.png" + "Widgets/Images/ImageryProviders/stamenToner.png", ), tooltip: "Based on the original basemaps created for the Knight Foundation and the most popular of the excellent styles from Stamen Design, these high-contrast B+W (black and white) maps are the perfect backdrop for your colorful and eye-catching overlays.\nhttps://docs.stadiamaps.com/map-styles/stamen-toner/", @@ -200,14 +200,14 @@ of the world.\nhttp://www.openstreetmap.org", © <a href="https://www.openstreetmap.org/about/" target="_blank">OpenStreetMap contributors</a>`, }); }, - }) + }), ); providerViewModels.push( new ProviderViewModel({ name: "Stadia Alidade Smooth", iconUrl: buildModuleUrl( - "Widgets/Images/ImageryProviders/stadiaAlidadeSmooth.png" + "Widgets/Images/ImageryProviders/stadiaAlidadeSmooth.png", ), tooltip: "Stadia's custom Alidade Smooth style is designed for maps that use a lot of markers or overlays. It features a muted color scheme and fewer points of interest to allow your added data to shine.\nhttps://docs.stadiamaps.com/map-styles/alidade-smooth/", @@ -221,14 +221,14 @@ of the world.\nhttp://www.openstreetmap.org", © <a href="https://www.openstreetmap.org/about/" target="_blank">OpenStreetMap contributors</a>`, }); }, - }) + }), ); providerViewModels.push( new ProviderViewModel({ name: "Stadia Alidade Smooth Dark", iconUrl: buildModuleUrl( - "Widgets/Images/ImageryProviders/stadiaAlidadeSmoothDark.png" + "Widgets/Images/ImageryProviders/stadiaAlidadeSmoothDark.png", ), tooltip: "Stadia Alidade Smooth Dark, like its lighter cousin, is also designed to stay out of the way. It just flips the dark mode switch on the color scheme. With the lights out, your data can now literally shine.\nhttps://docs.stadiamaps.com/map-styles/alidade-smooth-dark/", @@ -242,7 +242,7 @@ of the world.\nhttp://www.openstreetmap.org", © <a href="https://www.openstreetmap.org/about/" target="_blank">OpenStreetMap contributors</a>`, }); }, - }) + }), ); providerViewModels.push( @@ -255,7 +255,7 @@ of the world.\nhttp://www.openstreetmap.org", creationFunction: function () { return IonImageryProvider.fromAssetId(3954); }, - }) + }), ); providerViewModels.push( @@ -267,14 +267,14 @@ of the world.\nhttp://www.openstreetmap.org", creationFunction: function () { return IonImageryProvider.fromAssetId(3845); }, - }) + }), ); providerViewModels.push( new ProviderViewModel({ name: "Earth at night", iconUrl: buildModuleUrl( - "Widgets/Images/ImageryProviders/earthAtNight.png" + "Widgets/Images/ImageryProviders/earthAtNight.png", ), tooltip: "The Earth at night, also known as The Black Marble, is a 500 meter resolution global composite imagery layer released by NASA.", @@ -282,24 +282,24 @@ of the world.\nhttp://www.openstreetmap.org", creationFunction: function () { return IonImageryProvider.fromAssetId(3812); }, - }) + }), ); providerViewModels.push( new ProviderViewModel({ name: "Natural Earth\u00a0II", iconUrl: buildModuleUrl( - "Widgets/Images/ImageryProviders/naturalEarthII.png" + "Widgets/Images/ImageryProviders/naturalEarthII.png", ), tooltip: "Natural Earth II, darkened for contrast.\nhttp://www.naturalearthdata.com/", category: "Cesium ion", creationFunction: function () { return TileMapServiceImageryProvider.fromUrl( - buildModuleUrl("Assets/Textures/NaturalEarthII") + buildModuleUrl("Assets/Textures/NaturalEarthII"), ); }, - }) + }), ); return providerViewModels; diff --git a/packages/widgets/Source/BaseLayerPicker/createDefaultTerrainProviderViewModels.js b/packages/widgets/Source/BaseLayerPicker/createDefaultTerrainProviderViewModels.js index ca9e7b2be41b..2cf4b667b1cf 100644 --- a/packages/widgets/Source/BaseLayerPicker/createDefaultTerrainProviderViewModels.js +++ b/packages/widgets/Source/BaseLayerPicker/createDefaultTerrainProviderViewModels.js @@ -20,14 +20,14 @@ function createDefaultTerrainProviderViewModels() { creationFunction: function () { return new EllipsoidTerrainProvider({ ellipsoid: Ellipsoid.WGS84 }); }, - }) + }), ); providerViewModels.push( new ProviderViewModel({ name: "Cesium World Terrain", iconUrl: buildModuleUrl( - "Widgets/Images/TerrainProviders/CesiumWorldTerrain.png" + "Widgets/Images/TerrainProviders/CesiumWorldTerrain.png", ), tooltip: "High-resolution global terrain tileset curated from several datasources and hosted by Cesium ion", @@ -38,7 +38,7 @@ function createDefaultTerrainProviderViewModels() { requestVertexNormals: true, }); }, - }) + }), ); return providerViewModels; diff --git a/packages/widgets/Source/Cesium3DTilesInspector/Cesium3DTilesInspector.js b/packages/widgets/Source/Cesium3DTilesInspector/Cesium3DTilesInspector.js index 742434dc7361..9cd5cacff3bf 100644 --- a/packages/widgets/Source/Cesium3DTilesInspector/Cesium3DTilesInspector.js +++ b/packages/widgets/Source/Cesium3DTilesInspector/Cesium3DTilesInspector.js @@ -24,7 +24,7 @@ function Cesium3DTilesInspector(container, scene) { performanceContainer.setAttribute("data-bind", "visible: performance"); const viewModel = new Cesium3DTilesInspectorViewModel( scene, - performanceContainer + performanceContainer, ); this._viewModel = viewModel; @@ -39,7 +39,7 @@ function Cesium3DTilesInspector(container, scene) { element.className = "cesium-cesiumInspector cesium-3DTilesInspector"; element.setAttribute( "data-bind", - 'css: { "cesium-cesiumInspector-visible" : inspectorVisible, "cesium-cesiumInspector-hidden" : !inspectorVisible}' + 'css: { "cesium-cesiumInspector-visible" : inspectorVisible, "cesium-cesiumInspector-hidden" : !inspectorVisible}', ); container.appendChild(element); @@ -56,43 +56,43 @@ function Cesium3DTilesInspector(container, scene) { panel, "Tileset", "tilesetVisible", - "toggleTileset" + "toggleTileset", ); const displayPanelContents = createSection( panel, "Display", "displayVisible", - "toggleDisplay" + "toggleDisplay", ); const updatePanelContents = createSection( panel, "Update", "updateVisible", - "toggleUpdate" + "toggleUpdate", ); const loggingPanelContents = createSection( panel, "Logging", "loggingVisible", - "toggleLogging" + "toggleLogging", ); const tileDebugLabelsPanelContents = createSection( panel, "Tile Debug Labels", "tileDebugLabelsVisible", - "toggleTileDebugLabels" + "toggleTileDebugLabels", ); const stylePanelContents = createSection( panel, "Style", "styleVisible", - "toggleStyle" + "toggleStyle", ); const optimizationPanelContents = createSection( panel, "Optimization", "optimizationVisible", - "toggleOptimization" + "toggleOptimization", ); const properties = document.createElement("div"); @@ -106,10 +106,10 @@ function Cesium3DTilesInspector(container, scene) { properties.appendChild(propertiesField); tilesetPanelContents.appendChild(properties); tilesetPanelContents.appendChild( - createButton("Pick Tileset", "togglePickTileset", "pickActive") + createButton("Pick Tileset", "togglePickTileset", "pickActive"), ); tilesetPanelContents.appendChild( - createButton("Trim Tiles Cache", "trimTilesCache") + createButton("Trim Tiles Cache", "trimTilesCache"), ); tilesetPanelContents.appendChild(createCheckbox("Enable Picking", "picking")); @@ -118,71 +118,77 @@ function Cesium3DTilesInspector(container, scene) { createCheckbox( "Wireframe", "wireframe", - "_tileset === undefined || hasEnabledWireframe" - ) + "_tileset === undefined || hasEnabledWireframe", + ), ); // Create warning text when the Wireframe checkbox is disabled const warningText = document.createElement("p"); warningText.setAttribute( "data-bind", - "visible: _tileset !== undefined && !hasEnabledWireframe" + "visible: _tileset !== undefined && !hasEnabledWireframe", ); warningText.setAttribute( "class", - "cesium-3DTilesInspector-disabledElementsInfo" + "cesium-3DTilesInspector-disabledElementsInfo", ); warningText.innerText = "Set enableDebugWireframe to true in the tileset constructor to enable this option."; wireframeCheckbox.appendChild(warningText); displayPanelContents.appendChild( - createCheckbox("Bounding Volumes", "showBoundingVolumes") + createCheckbox("Bounding Volumes", "showBoundingVolumes"), ); displayPanelContents.appendChild( - createCheckbox("Content Volumes", "showContentBoundingVolumes") + createCheckbox("Content Volumes", "showContentBoundingVolumes"), ); displayPanelContents.appendChild( - createCheckbox("Request Volumes", "showRequestVolumes") + createCheckbox("Request Volumes", "showRequestVolumes"), ); displayPanelContents.appendChild( - createCheckbox("Point Cloud Shading", "pointCloudShading") + createCheckbox("Point Cloud Shading", "pointCloudShading"), ); const pointCloudShadingContainer = document.createElement("div"); pointCloudShadingContainer.setAttribute( "data-bind", - "visible: pointCloudShading" + "visible: pointCloudShading", ); pointCloudShadingContainer.appendChild( - createRangeInput("Geometric Error Scale", "geometricErrorScale", 0, 2, 0.01) + createRangeInput( + "Geometric Error Scale", + "geometricErrorScale", + 0, + 2, + 0.01, + ), ); pointCloudShadingContainer.appendChild( - createRangeInput("Maximum Attenuation", "maximumAttenuation", 0, 32, 1) + createRangeInput("Maximum Attenuation", "maximumAttenuation", 0, 32, 1), ); pointCloudShadingContainer.appendChild( - createRangeInput("Base Resolution", "baseResolution", 0, 1, 0.01) + createRangeInput("Base Resolution", "baseResolution", 0, 1, 0.01), ); pointCloudShadingContainer.appendChild( - createCheckbox("Eye Dome Lighting (EDL)", "eyeDomeLighting") + createCheckbox("Eye Dome Lighting (EDL)", "eyeDomeLighting"), ); displayPanelContents.appendChild(pointCloudShadingContainer); const edlContainer = document.createElement("div"); edlContainer.setAttribute("data-bind", "visible: eyeDomeLighting"); edlContainer.appendChild( - createRangeInput("EDL Strength", "eyeDomeLightingStrength", 0, 2.0, 0.1) + createRangeInput("EDL Strength", "eyeDomeLightingStrength", 0, 2.0, 0.1), ); edlContainer.appendChild( - createRangeInput("EDL Radius", "eyeDomeLightingRadius", 0, 4.0, 0.1) + createRangeInput("EDL Radius", "eyeDomeLightingRadius", 0, 4.0, 0.1), ); pointCloudShadingContainer.appendChild(edlContainer); updatePanelContents.appendChild( - createCheckbox("Freeze Frame", "freezeFrame") + createCheckbox("Freeze Frame", "freezeFrame"), ); updatePanelContents.appendChild( - createCheckbox("Dynamic Screen Space Error", "dynamicScreenSpaceError") + createCheckbox("Dynamic Screen Space Error", "dynamicScreenSpaceError"), ); const sseContainer = document.createElement("div"); sseContainer.appendChild( @@ -191,14 +197,14 @@ function Cesium3DTilesInspector(container, scene) { "maximumScreenSpaceError", 0, 128, - 1 - ) + 1, + ), ); updatePanelContents.appendChild(sseContainer); const dynamicScreenSpaceErrorContainer = document.createElement("div"); dynamicScreenSpaceErrorContainer.setAttribute( "data-bind", - "visible: dynamicScreenSpaceError" + "visible: dynamicScreenSpaceError", ); dynamicScreenSpaceErrorContainer.appendChild( createRangeInput( @@ -207,8 +213,8 @@ function Cesium3DTilesInspector(container, scene) { 0, 1, 0.005, - "dynamicScreenSpaceErrorDensity" - ) + "dynamicScreenSpaceErrorDensity", + ), ); dynamicScreenSpaceErrorContainer.appendChild( createRangeInput( @@ -216,43 +222,43 @@ function Cesium3DTilesInspector(container, scene) { "dynamicScreenSpaceErrorFactor", 1, 32, - 0.1 - ) + 0.1, + ), ); updatePanelContents.appendChild(dynamicScreenSpaceErrorContainer); loggingPanelContents.appendChild( - createCheckbox("Performance", "performance") + createCheckbox("Performance", "performance"), ); loggingPanelContents.appendChild(performanceContainer); loggingPanelContents.appendChild( - createCheckbox("Statistics", "showStatistics") + createCheckbox("Statistics", "showStatistics"), ); const statistics = document.createElement("div"); statistics.className = "cesium-3dTilesInspector-statistics"; statistics.setAttribute( "data-bind", - "html: statisticsText, visible: showStatistics" + "html: statisticsText, visible: showStatistics", ); loggingPanelContents.appendChild(statistics); loggingPanelContents.appendChild( - createCheckbox("Pick Statistics", "showPickStatistics") + createCheckbox("Pick Statistics", "showPickStatistics"), ); const pickStatistics = document.createElement("div"); pickStatistics.className = "cesium-3dTilesInspector-statistics"; pickStatistics.setAttribute( "data-bind", - "html: pickStatisticsText, visible: showPickStatistics" + "html: pickStatisticsText, visible: showPickStatistics", ); loggingPanelContents.appendChild(pickStatistics); loggingPanelContents.appendChild( - createCheckbox("Resource Cache Statistics", "showResourceCacheStatistics") + createCheckbox("Resource Cache Statistics", "showResourceCacheStatistics"), ); const resourceCacheStatistics = document.createElement("div"); resourceCacheStatistics.className = "cesium-3dTilesInspector-statistics"; resourceCacheStatistics.setAttribute( "data-bind", - "html: resourceCacheStatisticsText, visible: showResourceCacheStatistics" + "html: resourceCacheStatisticsText, visible: showResourceCacheStatistics", ); loggingPanelContents.appendChild(resourceCacheStatistics); @@ -265,13 +271,13 @@ function Cesium3DTilesInspector(container, scene) { "options: colorBlendModes, " + 'optionsText: "text", ' + 'optionsValue: "value", ' + - "value: colorBlendMode" + "value: colorBlendMode", ); stylePanelEditor.appendChild(blendDropdown); const styleEditor = document.createElement("textarea"); styleEditor.setAttribute( "data-bind", - "textInput: styleString, event: { keydown: styleEditorKeyPress }" + "textInput: styleString, event: { keydown: styleEditorKeyPress }", ); stylePanelEditor.className = "cesium-cesiumInspector-styleEditor"; stylePanelEditor.appendChild(styleEditor); @@ -283,25 +289,25 @@ function Cesium3DTilesInspector(container, scene) { stylePanelEditor.appendChild(errorBox); tileDebugLabelsPanelContents.appendChild( - createCheckbox("Show Picked Only", "showOnlyPickedTileDebugLabel") + createCheckbox("Show Picked Only", "showOnlyPickedTileDebugLabel"), ); tileDebugLabelsPanelContents.appendChild( - createCheckbox("Geometric Error", "showGeometricError") + createCheckbox("Geometric Error", "showGeometricError"), ); tileDebugLabelsPanelContents.appendChild( - createCheckbox("Rendering Statistics", "showRenderingStatistics") + createCheckbox("Rendering Statistics", "showRenderingStatistics"), ); tileDebugLabelsPanelContents.appendChild( - createCheckbox("Memory Usage (MB)", "showMemoryUsage") + createCheckbox("Memory Usage (MB)", "showMemoryUsage"), ); tileDebugLabelsPanelContents.appendChild(createCheckbox("Url", "showUrl")); optimizationPanelContents.appendChild( - createCheckbox("Skip Tile LODs", "skipLevelOfDetail") + createCheckbox("Skip Tile LODs", "skipLevelOfDetail"), ); const skipScreenSpaceErrorFactorContainer = document.createElement("div"); skipScreenSpaceErrorFactorContainer.appendChild( - createRangeInput("Skip SSE Factor", "skipScreenSpaceErrorFactor", 1, 50, 1) + createRangeInput("Skip SSE Factor", "skipScreenSpaceErrorFactor", 1, 50, 1), ); optimizationPanelContents.appendChild(skipScreenSpaceErrorFactorContainer); const baseScreenSpaceError = document.createElement("div"); @@ -311,23 +317,23 @@ function Cesium3DTilesInspector(container, scene) { "baseScreenSpaceError", 0, 4096, - 1 - ) + 1, + ), ); optimizationPanelContents.appendChild(baseScreenSpaceError); const skipLevelsContainer = document.createElement("div"); skipLevelsContainer.appendChild( - createRangeInput("Min. levels to skip", "skipLevels", 0, 10, 1) + createRangeInput("Min. levels to skip", "skipLevels", 0, 10, 1), ); optimizationPanelContents.appendChild(skipLevelsContainer); optimizationPanelContents.appendChild( createCheckbox( "Load only tiles that meet the max SSE.", - "immediatelyLoadDesiredLevelOfDetail" - ) + "immediatelyLoadDesiredLevelOfDetail", + ), ); optimizationPanelContents.appendChild( - createCheckbox("Load siblings of visible tiles", "loadSiblings") + createCheckbox("Load siblings of visible tiles", "loadSiblings"), ); knockout.applyBindings(viewModel, element); diff --git a/packages/widgets/Source/Cesium3DTilesInspector/Cesium3DTilesInspectorViewModel.js b/packages/widgets/Source/Cesium3DTilesInspector/Cesium3DTilesInspectorViewModel.js index 093545e1572b..6c95c7aa9df3 100644 --- a/packages/widgets/Source/Cesium3DTilesInspector/Cesium3DTilesInspectorViewModel.js +++ b/packages/widgets/Source/Cesium3DTilesInspector/Cesium3DTilesInspectorViewModel.js @@ -112,13 +112,13 @@ function getStatistics(tileset, isPick) { s += // --- Memory statistics `<li><strong>Geometry Memory (MB): </strong>${formatMemoryString( - statistics.geometryByteLength + statistics.geometryByteLength, )}</li>` + `<li><strong>Texture Memory (MB): </strong>${formatMemoryString( - statistics.texturesByteLength + statistics.texturesByteLength, )}</li>` + `<li><strong>Batch Table Memory (MB): </strong>${formatMemoryString( - statistics.batchTableByteLength + statistics.batchTableByteLength, )}</li>`; s += "</ul>"; } @@ -131,10 +131,10 @@ function getResourceCacheStatistics() { return ` <ul class="cesium-cesiumInspector-statistics"> <li><strong>Geometry Memory (MB): </strong>${formatMemoryString( - statistics.geometryByteLength + statistics.geometryByteLength, )}</li> <li><strong>Texture Memory (MB): </strong>${formatMemoryString( - statistics.texturesByteLength + statistics.texturesByteLength, )}</li> </ul> `; @@ -782,7 +782,7 @@ function Cesium3DTilesInspectorViewModel(scene, performanceContainer) { if (value) { that._eventHandler.setInputAction( pickTileset, - ScreenSpaceEventType.LEFT_CLICK + ScreenSpaceEventType.LEFT_CLICK, ); } else { that._eventHandler.removeInputAction(ScreenSpaceEventType.LEFT_CLICK); @@ -1487,7 +1487,7 @@ Cesium3DTilesInspectorViewModel.prototype.compileStyle = function () { */ Cesium3DTilesInspectorViewModel.prototype.styleEditorKeyPress = function ( sender, - event + event, ) { if (event.keyCode === 9) { //tab diff --git a/packages/widgets/Source/CesiumInspector/CesiumInspector.js b/packages/widgets/Source/CesiumInspector/CesiumInspector.js index 54129b654178..085db17089d3 100644 --- a/packages/widgets/Source/CesiumInspector/CesiumInspector.js +++ b/packages/widgets/Source/CesiumInspector/CesiumInspector.js @@ -48,7 +48,7 @@ function CesiumInspector(container, scene) { element.className = "cesium-cesiumInspector"; element.setAttribute( "data-bind", - 'css: { "cesium-cesiumInspector-visible" : dropDownVisible, "cesium-cesiumInspector-hidden" : !dropDownVisible }' + 'css: { "cesium-cesiumInspector-visible" : dropDownVisible, "cesium-cesiumInspector-hidden" : !dropDownVisible }', ); container.appendChild(this._element); @@ -64,7 +64,7 @@ function CesiumInspector(container, scene) { panel, "General", "generalVisible", - "toggleGeneral" + "toggleGeneral", ); const debugShowFrustums = createCheckbox("Show Frustums", "frustums"); @@ -72,16 +72,16 @@ function CesiumInspector(container, scene) { frustumStatistics.className = "cesium-cesiumInspector-frustumStatistics"; frustumStatistics.setAttribute( "data-bind", - "visible: frustums, html: frustumStatisticText" + "visible: frustums, html: frustumStatisticText", ); debugShowFrustums.appendChild(frustumStatistics); generalSection.appendChild(debugShowFrustums); generalSection.appendChild( - createCheckbox("Show Frustum Planes", "frustumPlanes") + createCheckbox("Show Frustum Planes", "frustumPlanes"), ); generalSection.appendChild( - createCheckbox("Performance Display", "performance") + createCheckbox("Performance Display", "performance"), ); performanceContainer.className = "cesium-cesiumInspector-performanceDisplay"; @@ -99,7 +99,7 @@ function CesiumInspector(container, scene) { const gLabel = document.createElement("span"); gLabel.setAttribute( "data-bind", - 'html: "     Frustum:"' + 'html: "     Frustum:"', ); depthFrustum.appendChild(gLabel); @@ -126,7 +126,7 @@ function CesiumInspector(container, scene) { panel, "Primitives", "primitivesVisible", - "togglePrimitives" + "togglePrimitives", ); const pickPrimRequired = document.createElement("div"); pickPrimRequired.className = "cesium-cesiumInspector-pickSection"; @@ -138,7 +138,7 @@ function CesiumInspector(container, scene) { pickPrimitiveButton.className = "cesium-cesiumInspector-pickButton"; pickPrimitiveButton.setAttribute( "data-bind", - 'css: {"cesium-cesiumInspector-pickButtonHighlight" : pickPrimitiveActive}, click: pickPrimitive' + 'css: {"cesium-cesiumInspector-pickButtonHighlight" : pickPrimitiveActive}, click: pickPrimitive', ); let buttonWrap = document.createElement("div"); buttonWrap.className = "cesium-cesiumInspector-center"; @@ -149,21 +149,21 @@ function CesiumInspector(container, scene) { createCheckbox( "Show bounding sphere", "primitiveBoundingSphere", - "hasPickedPrimitive" - ) + "hasPickedPrimitive", + ), ); pickPrimRequired.appendChild( createCheckbox( "Show reference frame", "primitiveReferenceFrame", - "hasPickedPrimitive" - ) + "hasPickedPrimitive", + ), ); this._primitiveOnly = createCheckbox( "Show only selected", "filterPrimitive", - "hasPickedPrimitive" + "hasPickedPrimitive", ); pickPrimRequired.appendChild(this._primitiveOnly); @@ -172,7 +172,7 @@ function CesiumInspector(container, scene) { panel, "Terrain", "terrainVisible", - "toggleTerrain" + "toggleTerrain", ); const pickTileRequired = document.createElement("div"); pickTileRequired.className = "cesium-cesiumInspector-pickSection"; @@ -183,7 +183,7 @@ function CesiumInspector(container, scene) { pickTileButton.className = "cesium-cesiumInspector-pickButton"; pickTileButton.setAttribute( "data-bind", - 'css: {"cesium-cesiumInspector-pickButtonHighlight" : pickTileActive}, click: pickTile' + 'css: {"cesium-cesiumInspector-pickButtonHighlight" : pickTileActive}, click: pickTile', ); buttonWrap = document.createElement("div"); buttonWrap.appendChild(pickTileButton); @@ -258,19 +258,19 @@ function CesiumInspector(container, scene) { createCheckbox( "Show bounding volume", "tileBoundingSphere", - "hasPickedTile" - ) + "hasPickedTile", + ), ); pickTileRequired.appendChild( - createCheckbox("Show only selected", "filterTile", "hasPickedTile") + createCheckbox("Show only selected", "filterTile", "hasPickedTile"), ); terrainSection.appendChild(createCheckbox("Wireframe", "wireframe")); terrainSection.appendChild( - createCheckbox("Suspend LOD update", "suspendUpdates") + createCheckbox("Suspend LOD update", "suspendUpdates"), ); terrainSection.appendChild( - createCheckbox("Show tile coordinates", "tileCoordinates") + createCheckbox("Show tile coordinates", "tileCoordinates"), ); knockout.applyBindings(viewModel, this._element); diff --git a/packages/widgets/Source/CesiumInspector/CesiumInspectorViewModel.js b/packages/widgets/Source/CesiumInspector/CesiumInspectorViewModel.js index 25eb458a661d..e1c391af3644 100644 --- a/packages/widgets/Source/CesiumInspector/CesiumInspectorViewModel.js +++ b/packages/widgets/Source/CesiumInspector/CesiumInspectorViewModel.js @@ -432,7 +432,7 @@ function CesiumInspectorViewModel(scene, performanceContainer) { tileBoundariesLayer = scene.imageryLayers.addImageryProvider( new TileCoordinatesImageryProvider({ tilingScheme: scene.terrainProvider.tilingScheme, - }) + }), ); } else if (!that.tileCoordinates && defined(tileBoundariesLayer)) { scene.imageryLayers.remove(tileBoundariesLayer); @@ -512,7 +512,7 @@ function CesiumInspectorViewModel(scene, performanceContainer) { if (val) { eventHandler.setInputAction( pickPrimitive, - ScreenSpaceEventType.LEFT_CLICK + ScreenSpaceEventType.LEFT_CLICK, ); } else { eventHandler.removeInputAction(ScreenSpaceEventType.LEFT_CLICK); @@ -568,7 +568,7 @@ function CesiumInspectorViewModel(scene, performanceContainer) { if (val) { eventHandler.setInputAction( selectTile, - ScreenSpaceEventType.LEFT_CLICK + ScreenSpaceEventType.LEFT_CLICK, ); } else { eventHandler.removeInputAction(ScreenSpaceEventType.LEFT_CLICK); @@ -925,7 +925,7 @@ Object.defineProperties(CesiumInspectorViewModel.prototype, { CesiumInspectorViewModel.prototype._update = function () { if (this.frustums) { this.frustumStatisticText = frustumStatisticsToString( - this._scene.debugFrustumStatistics + this._scene.debugFrustumStatistics, ); } diff --git a/packages/widgets/Source/FullscreenButton/FullscreenButton.js b/packages/widgets/Source/FullscreenButton/FullscreenButton.js index 4dd5a677ae76..b03b1b49fe2c 100644 --- a/packages/widgets/Source/FullscreenButton/FullscreenButton.js +++ b/packages/widgets/Source/FullscreenButton/FullscreenButton.js @@ -48,7 +48,7 @@ function FullscreenButton(container, fullscreenElement) { attr: { title: tooltip },\ click: command,\ enable: isFullscreenEnabled,\ -cesiumSvgPath: { path: isFullscreen ? _exitFullScreenPath : _enterFullScreenPath, width: 128, height: 128 }" +cesiumSvgPath: { path: isFullscreen ? _exitFullScreenPath : _enterFullScreenPath, width: 128, height: 128 }", ); container.appendChild(element); diff --git a/packages/widgets/Source/FullscreenButton/FullscreenButtonViewModel.js b/packages/widgets/Source/FullscreenButton/FullscreenButtonViewModel.js index 15e01ec5ba1f..eca4b52bce4e 100644 --- a/packages/widgets/Source/FullscreenButton/FullscreenButtonViewModel.js +++ b/packages/widgets/Source/FullscreenButton/FullscreenButtonViewModel.js @@ -71,17 +71,20 @@ function FullscreenButtonViewModel(fullscreenElement, container) { return tmpIsFullscreen() ? "Exit full screen" : "Full screen"; }); - this._command = createCommand(function () { - if (Fullscreen.fullscreen) { - Fullscreen.exitFullscreen(); - } else { - Fullscreen.requestFullscreen(that._fullscreenElement); - } - }, knockout.getObservable(this, "isFullscreenEnabled")); + this._command = createCommand( + function () { + if (Fullscreen.fullscreen) { + Fullscreen.exitFullscreen(); + } else { + Fullscreen.requestFullscreen(that._fullscreenElement); + } + }, + knockout.getObservable(this, "isFullscreenEnabled"), + ); this._fullscreenElement = defaultValue( getElement(fullscreenElement), - ownerDocument.body + ownerDocument.body, ); this._callback = function () { diff --git a/packages/widgets/Source/Geocoder/Geocoder.css b/packages/widgets/Source/Geocoder/Geocoder.css index c7ade60dfcb8..fbcff4fad24a 100644 --- a/packages/widgets/Source/Geocoder/Geocoder.css +++ b/packages/widgets/Source/Geocoder/Geocoder.css @@ -10,7 +10,9 @@ padding: 0 32px 0 0; border-radius: 0; box-sizing: border-box; - transition: width ease-in-out 0.25s, background-color 0.2s ease-in-out; + transition: + width ease-in-out 0.25s, + background-color 0.2s ease-in-out; -webkit-appearance: none; } diff --git a/packages/widgets/Source/Geocoder/Geocoder.js b/packages/widgets/Source/Geocoder/Geocoder.js index f6ab8080ea31..37f438bb37e5 100644 --- a/packages/widgets/Source/Geocoder/Geocoder.js +++ b/packages/widgets/Source/Geocoder/Geocoder.js @@ -58,7 +58,7 @@ textInput: searchText,\ disable: isSearchInProgress,\ event: { keyup: handleKeyUp, keydown: handleKeyDown, mouseover: deselectSuggestion },\ css: { "cesium-geocoder-input-wide" : keepExpanded || searchText.length > 0 },\ -hasFocus: _focusTextbox' +hasFocus: _focusTextbox', ); this._onTextBoxFocus = function () { @@ -79,7 +79,7 @@ hasFocus: _focusTextbox' "data-bind", "\ click: search,\ -cesiumSvgPath: { path: isSearchInProgress ? _stopSearchPath : _startSearchPath, width: 32, height: 32 }" +cesiumSvgPath: { path: isSearchInProgress ? _stopSearchPath : _startSearchPath, width: 32, height: 32 }", ); form.appendChild(searchButton); @@ -89,7 +89,7 @@ cesiumSvgPath: { path: isSearchInProgress ? _stopSearchPath : _startSearchPath, searchSuggestionsContainer.className = "search-results"; searchSuggestionsContainer.setAttribute( "data-bind", - "visible: _suggestionsVisible" + "visible: _suggestionsVisible", ); const suggestionsList = document.createElement("ul"); @@ -101,7 +101,7 @@ cesiumSvgPath: { path: isSearchInProgress ? _stopSearchPath : _startSearchPath, "text: $data.displayName, \ click: $parent.activateSuggestion, \ event: { mouseover: $parent.handleMouseover}, \ -css: { active: $data === $parent._selectedSuggestion }" +css: { active: $data === $parent._selectedSuggestion }", ); searchSuggestionsContainer.appendChild(suggestionsList); diff --git a/packages/widgets/Source/Geocoder/GeocoderViewModel.js b/packages/widgets/Source/Geocoder/GeocoderViewModel.js index 97b48677a014..441031338431 100644 --- a/packages/widgets/Source/Geocoder/GeocoderViewModel.js +++ b/packages/widgets/Source/Geocoder/GeocoderViewModel.js @@ -161,7 +161,7 @@ function GeocoderViewModel(options) { */ this.destinationFound = defaultValue( options.destinationFound, - GeocoderViewModel.flyToDestination + GeocoderViewModel.flyToDestination, ); this._focusTextbox = false; @@ -318,7 +318,7 @@ function handleArrowUp(viewModel) { return; } const currentIndex = viewModel._suggestions.indexOf( - viewModel._selectedSuggestion + viewModel._selectedSuggestion, ); if (currentIndex === -1 || currentIndex === 0) { viewModel._selectedSuggestion = undefined; @@ -335,7 +335,7 @@ function handleArrowDown(viewModel) { } const numberOfSuggestions = viewModel._suggestions.length; const currentIndex = viewModel._suggestions.indexOf( - viewModel._selectedSuggestion + viewModel._selectedSuggestion, ); const next = (currentIndex + 1) % numberOfSuggestions; viewModel._selectedSuggestion = viewModel._suggestions[next]; @@ -358,7 +358,7 @@ function computeFlyToLocationForCartographic(cartographic, terrainProvider) { cartographic = positionOnTerrain[0]; cartographic.height += DEFAULT_HEIGHT; return cartographic; - } + }, ); } @@ -377,12 +377,12 @@ function flyToDestination(viewModel, destination) { CesiumMath.equalsEpsilon( destination.south, destination.north, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ) && CesiumMath.equalsEpsilon( destination.east, destination.west, - CesiumMath.EPSILON7 + CesiumMath.EPSILON7, ) ) { // destination is now a Cartographic @@ -474,7 +474,7 @@ async function geocode(viewModel, geocoderServices, geocodeType) { viewModel.destinationFound(viewModel, geocoderResults[0].destination); const credits = updateCredits( viewModel, - GeocoderService.getCreditsFromResult(geocoderResults[0]) + GeocoderService.getCreditsFromResult(geocoderResults[0]), ); // If the result does not contain any credits, default to the service credit. if (!defined(credits)) { diff --git a/packages/widgets/Source/HomeButton/HomeButton.js b/packages/widgets/Source/HomeButton/HomeButton.js index 342a7d8ab932..0f67ece61c05 100644 --- a/packages/widgets/Source/HomeButton/HomeButton.js +++ b/packages/widgets/Source/HomeButton/HomeButton.js @@ -39,7 +39,7 @@ function HomeButton(container, scene, duration) { "\ attr: { title: tooltip },\ click: command,\ -cesiumSvgPath: { path: _svgPath, width: 28, height: 28 }" +cesiumSvgPath: { path: _svgPath, width: 28, height: 28 }", ); container.appendChild(element); diff --git a/packages/widgets/Source/I3SBuildingSceneLayerExplorer/I3SBuildingSceneLayerExplorerViewModel.js b/packages/widgets/Source/I3SBuildingSceneLayerExplorer/I3SBuildingSceneLayerExplorerViewModel.js index f1ab2f4b1255..47fbc6fd049f 100644 --- a/packages/widgets/Source/I3SBuildingSceneLayerExplorer/I3SBuildingSceneLayerExplorerViewModel.js +++ b/packages/widgets/Source/I3SBuildingSceneLayerExplorer/I3SBuildingSceneLayerExplorerViewModel.js @@ -2,9 +2,10 @@ import { defined } from "@cesium/engine"; import knockout from "../ThirdParty/knockout.js"; function expandItemsHandler(data, event) { - const nestedList = event.currentTarget.parentElement.parentElement.querySelector( - `#${data.name}-expander` - ); + const nestedList = + event.currentTarget.parentElement.parentElement.querySelector( + `#${data.name}-expander`, + ); nestedList.classList.toggle("active"); event.currentTarget.textContent = event.currentTarget.textContent === "+" ? "-" : "+"; diff --git a/packages/widgets/Source/InfoBox/InfoBox.css b/packages/widgets/Source/InfoBox/InfoBox.css index 5041c550418e..26899af2a8b4 100644 --- a/packages/widgets/Source/InfoBox/InfoBox.css +++ b/packages/widgets/Source/InfoBox/InfoBox.css @@ -15,14 +15,19 @@ transform: translate(100%, 0); visibility: hidden; opacity: 0; - transition: visibility 0s 0.2s, opacity 0.2s ease-in, transform 0.2s ease-in; + transition: + visibility 0s 0.2s, + opacity 0.2s ease-in, + transform 0.2s ease-in; } .cesium-infoBox-visible { transform: translate(0, 0); visibility: visible; opacity: 1; - transition: opacity 0.2s ease-out, transform 0.2s ease-out; + transition: + opacity 0.2s ease-out, + transform 0.2s ease-out; } .cesium-infoBox-title { diff --git a/packages/widgets/Source/InfoBox/InfoBox.js b/packages/widgets/Source/InfoBox/InfoBox.js index 82dda94f90c8..5a4871249e28 100644 --- a/packages/widgets/Source/InfoBox/InfoBox.js +++ b/packages/widgets/Source/InfoBox/InfoBox.js @@ -32,7 +32,7 @@ function InfoBox(container) { infoElement.setAttribute( "data-bind", '\ -css: { "cesium-infoBox-visible" : showInfo, "cesium-infoBox-bodyless" : _bodyless }' +css: { "cesium-infoBox-visible" : showInfo, "cesium-infoBox-bodyless" : _bodyless }', ); container.appendChild(infoElement); @@ -50,7 +50,7 @@ css: { "cesium-infoBox-visible" : showInfo, "cesium-infoBox-bodyless" : _bodyles attr: { title: "Focus camera on object" },\ click: function () { cameraClicked.raiseEvent(this); },\ enable: enableCamera,\ -cesiumSvgPath: { path: cameraIconPath, width: 32, height: 32 }' +cesiumSvgPath: { path: cameraIconPath, width: 32, height: 32 }', ); infoElement.appendChild(cameraElement); @@ -60,7 +60,7 @@ cesiumSvgPath: { path: cameraIconPath, width: 32, height: 32 }' closeElement.setAttribute( "data-bind", "\ -click: function () { closeClicked.raiseEvent(this); }" +click: function () { closeClicked.raiseEvent(this); }", ); closeElement.innerHTML = "×"; infoElement.appendChild(closeElement); @@ -70,7 +70,7 @@ click: function () { closeClicked.raiseEvent(this); }" frame.setAttribute("sandbox", "allow-same-origin allow-popups allow-forms"); //allow-pointer-lock allow-scripts allow-top-navigation frame.setAttribute( "data-bind", - "style : { maxHeight : maxHeightOffset(40) }" + "style : { maxHeight : maxHeightOffset(40) }", ); frame.setAttribute("allowfullscreen", true); infoElement.appendChild(frame); @@ -138,7 +138,7 @@ click: function () { closeClicked.raiseEvent(this); }" // Measure and set the new custom height, based on text wrapped above. const height = frameContent.getBoundingClientRect().height; frame.style.height = `${height}px`; - } + }, ); }); diff --git a/packages/widgets/Source/InspectorShared.js b/packages/widgets/Source/InspectorShared.js index 25e4d1326b85..8fe28ca7b7ca 100644 --- a/packages/widgets/Source/InspectorShared.js +++ b/packages/widgets/Source/InspectorShared.js @@ -16,7 +16,7 @@ const InspectorShared = {}; InspectorShared.createCheckbox = function ( labelText, checkedBinding, - enableBinding + enableBinding, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.string("labelText", labelText); @@ -50,7 +50,7 @@ InspectorShared.createSection = function ( panel, headerText, sectionVisibleBinding, - toggleSectionVisibilityBinding + toggleSectionVisibilityBinding, ) { //>>includeStart('debug', pragmas.debug); Check.defined("panel", panel); @@ -58,14 +58,14 @@ InspectorShared.createSection = function ( Check.typeOf.string("sectionVisibleBinding", sectionVisibleBinding); Check.typeOf.string( "toggleSectionVisibilityBinding", - toggleSectionVisibilityBinding + toggleSectionVisibilityBinding, ); //>>includeEnd('debug'); const section = document.createElement("div"); section.className = "cesium-cesiumInspector-section"; section.setAttribute( "data-bind", - `css: { "cesium-cesiumInspector-section-collapsed": !${sectionVisibleBinding} }` + `css: { "cesium-cesiumInspector-section-collapsed": !${sectionVisibleBinding} }`, ); panel.appendChild(section); @@ -74,7 +74,7 @@ InspectorShared.createSection = function ( sectionHeader.appendChild(document.createTextNode(headerText)); sectionHeader.setAttribute( "data-bind", - `click: ${toggleSectionVisibilityBinding}` + `click: ${toggleSectionVisibilityBinding}`, ); section.appendChild(sectionHeader); @@ -100,7 +100,7 @@ InspectorShared.createRangeInput = function ( min, max, step, - inputValueBinding + inputValueBinding, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.string("rangeText", rangeText); @@ -121,7 +121,7 @@ InspectorShared.createRangeInput = function ( slider.step = defaultValue(step, "any"); slider.setAttribute( "data-bind", - `valueUpdate: "input", value: ${sliderValueBinding}` + `valueUpdate: "input", value: ${sliderValueBinding}`, ); const wrapper = document.createElement("div"); @@ -146,7 +146,7 @@ InspectorShared.createRangeInput = function ( InspectorShared.createButton = function ( buttonText, clickedBinding, - activeBinding + activeBinding, ) { //>>includeStart('debug', pragmas.debug); Check.typeOf.string("buttonText", buttonText); diff --git a/packages/widgets/Source/NavigationHelpButton/NavigationHelpButton.css b/packages/widgets/Source/NavigationHelpButton/NavigationHelpButton.css index 736c4d2932d9..beb556e803fc 100644 --- a/packages/widgets/Source/NavigationHelpButton/NavigationHelpButton.css +++ b/packages/widgets/Source/NavigationHelpButton/NavigationHelpButton.css @@ -12,7 +12,9 @@ border-radius: 10px; transform: scale(0.01); transform-origin: 234px -10px; - transition: visibility 0s 0.25s, transform 0.25s ease-in; + transition: + visibility 0s 0.25s, + transform 0.25s ease-in; } .cesium-navigation-help-visible { diff --git a/packages/widgets/Source/NavigationHelpButton/NavigationHelpButton.js b/packages/widgets/Source/NavigationHelpButton/NavigationHelpButton.js index 05b7573eb54d..1babb8aa1c6d 100644 --- a/packages/widgets/Source/NavigationHelpButton/NavigationHelpButton.js +++ b/packages/widgets/Source/NavigationHelpButton/NavigationHelpButton.js @@ -44,7 +44,7 @@ function NavigationHelpButton(options) { const showInsructionsDefault = defaultValue( options.instructionsInitiallyVisible, - false + false, ); viewModel.showInstructions = showInsructionsDefault; @@ -64,7 +64,7 @@ function NavigationHelpButton(options) { "\ attr: { title: tooltip },\ click: command,\ -cesiumSvgPath: { path: _svgPath, width: 32, height: 32 }" +cesiumSvgPath: { path: _svgPath, width: 32, height: 32 }", ); wrapper.appendChild(button); @@ -72,7 +72,7 @@ cesiumSvgPath: { path: _svgPath, width: 32, height: 32 }" instructionContainer.className = "cesium-navigation-help"; instructionContainer.setAttribute( "data-bind", - 'css: { "cesium-navigation-help-visible" : showInstructions}' + 'css: { "cesium-navigation-help-visible" : showInstructions}', ); wrapper.appendChild(instructionContainer); @@ -82,7 +82,7 @@ cesiumSvgPath: { path: _svgPath, width: 32, height: 32 }" "cesium-navigation-button cesium-navigation-button-left"; mouseButton.setAttribute( "data-bind", - 'click: showClick, css: {"cesium-navigation-button-selected": !_touch, "cesium-navigation-button-unselected": _touch}' + 'click: showClick, css: {"cesium-navigation-button-selected": !_touch, "cesium-navigation-button-unselected": _touch}', ); const mouseIcon = document.createElement("img"); mouseIcon.src = buildModuleUrl("Widgets/Images/NavigationHelp/Mouse.svg"); @@ -98,7 +98,7 @@ cesiumSvgPath: { path: _svgPath, width: 32, height: 32 }" "cesium-navigation-button cesium-navigation-button-right"; touchButton.setAttribute( "data-bind", - 'click: showTouch, css: {"cesium-navigation-button-selected": _touch, "cesium-navigation-button-unselected": !_touch}' + 'click: showTouch, css: {"cesium-navigation-button-selected": _touch, "cesium-navigation-button-unselected": !_touch}', ); const touchIcon = document.createElement("img"); touchIcon.src = buildModuleUrl("Widgets/Images/NavigationHelp/Touch.svg"); @@ -116,13 +116,13 @@ cesiumSvgPath: { path: _svgPath, width: 32, height: 32 }" "cesium-click-navigation-help cesium-navigation-help-instructions"; clickInstructions.setAttribute( "data-bind", - 'css: { "cesium-click-navigation-help-visible" : !_touch}' + 'css: { "cesium-click-navigation-help-visible" : !_touch}', ); clickInstructions.innerHTML = `\ <table>\ <tr>\ <td><img src="${buildModuleUrl( - "Widgets/Images/NavigationHelp/MouseLeft.svg" + "Widgets/Images/NavigationHelp/MouseLeft.svg", )}" width="48" height="48" /></td>\ <td>\ <div class="cesium-navigation-help-pan">Pan view</div>\ @@ -131,7 +131,7 @@ cesiumSvgPath: { path: _svgPath, width: 32, height: 32 }" </tr>\ <tr>\ <td><img src="${buildModuleUrl( - "Widgets/Images/NavigationHelp/MouseRight.svg" + "Widgets/Images/NavigationHelp/MouseRight.svg", )}" width="48" height="48" /></td>\ <td>\ <div class="cesium-navigation-help-zoom">Zoom view</div>\ @@ -141,7 +141,7 @@ cesiumSvgPath: { path: _svgPath, width: 32, height: 32 }" </tr>\ <tr>\ <td><img src="${buildModuleUrl( - "Widgets/Images/NavigationHelp/MouseMiddle.svg" + "Widgets/Images/NavigationHelp/MouseMiddle.svg", )}" width="48" height="48" /></td>\ <td>\ <div class="cesium-navigation-help-rotate">Rotate view</div>\ @@ -158,13 +158,13 @@ cesiumSvgPath: { path: _svgPath, width: 32, height: 32 }" "cesium-touch-navigation-help cesium-navigation-help-instructions"; touchInstructions.setAttribute( "data-bind", - 'css: { "cesium-touch-navigation-help-visible" : _touch}' + 'css: { "cesium-touch-navigation-help-visible" : _touch}', ); touchInstructions.innerHTML = `\ <table>\ <tr>\ <td><img src="${buildModuleUrl( - "Widgets/Images/NavigationHelp/TouchDrag.svg" + "Widgets/Images/NavigationHelp/TouchDrag.svg", )}" width="70" height="48" /></td>\ <td>\ <div class="cesium-navigation-help-pan">Pan view</div>\ @@ -173,7 +173,7 @@ cesiumSvgPath: { path: _svgPath, width: 32, height: 32 }" </tr>\ <tr>\ <td><img src="${buildModuleUrl( - "Widgets/Images/NavigationHelp/TouchZoom.svg" + "Widgets/Images/NavigationHelp/TouchZoom.svg", )}" width="70" height="48" /></td>\ <td>\ <div class="cesium-navigation-help-zoom">Zoom view</div>\ @@ -182,7 +182,7 @@ cesiumSvgPath: { path: _svgPath, width: 32, height: 32 }" </tr>\ <tr>\ <td><img src="${buildModuleUrl( - "Widgets/Images/NavigationHelp/TouchTilt.svg" + "Widgets/Images/NavigationHelp/TouchTilt.svg", )}" width="70" height="48" /></td>\ <td>\ <div class="cesium-navigation-help-rotate">Tilt view</div>\ @@ -191,7 +191,7 @@ cesiumSvgPath: { path: _svgPath, width: 32, height: 32 }" </tr>\ <tr>\ <td><img src="${buildModuleUrl( - "Widgets/Images/NavigationHelp/TouchRotate.svg" + "Widgets/Images/NavigationHelp/TouchRotate.svg", )}" width="70" height="48" /></td>\ <td>\ <div class="cesium-navigation-help-tilt">Rotate view</div>\ diff --git a/packages/widgets/Source/PerformanceWatchdog/PerformanceWatchdogViewModel.js b/packages/widgets/Source/PerformanceWatchdog/PerformanceWatchdogViewModel.js index 5f0fd411344b..653c344c088c 100644 --- a/packages/widgets/Source/PerformanceWatchdog/PerformanceWatchdogViewModel.js +++ b/packages/widgets/Source/PerformanceWatchdog/PerformanceWatchdogViewModel.js @@ -35,7 +35,7 @@ function PerformanceWatchdogViewModel(options) { */ this.lowFrameRateMessage = defaultValue( options.lowFrameRateMessage, - "This application appears to be performing poorly on your system. Please try using a different web browser or updating your video drivers." + "This application appears to be performing poorly on your system. Please try using a different web browser or updating your video drivers.", ); /** @@ -70,13 +70,13 @@ function PerformanceWatchdogViewModel(options) { if (!that.lowFrameRateMessageDismissed) { that.showingLowFrameRateMessage = true; } - } + }, ); this._unsubscribeNominalFrameRate = monitor.nominalFrameRate.addEventListener( function () { that.showingLowFrameRateMessage = false; - } + }, ); } diff --git a/packages/widgets/Source/ProjectionPicker/ProjectionPicker.css b/packages/widgets/Source/ProjectionPicker/ProjectionPicker.css index 80e0ac1cf674..f9d26457d548 100644 --- a/packages/widgets/Source/ProjectionPicker/ProjectionPicker.css +++ b/packages/widgets/Source/ProjectionPicker/ProjectionPicker.css @@ -13,7 +13,9 @@ span.cesium-projectionPicker-wrapper { .cesium-projectionPicker-hidden { visibility: hidden; opacity: 0; - transition: visibility 0s 0.25s, opacity 0.25s linear; + transition: + visibility 0s 0.25s, + opacity 0.25s linear; } .cesium-projectionPicker-wrapper .cesium-projectionPicker-none { @@ -45,5 +47,7 @@ span.cesium-projectionPicker-wrapper { .cesium-projectionPicker-wrapper .cesium-projectionPicker-selected { border-color: #2e2; - box-shadow: 0 0 8px #fff, 0 0 8px #fff; + box-shadow: + 0 0 8px #fff, + 0 0 8px #fff; } diff --git a/packages/widgets/Source/ProjectionPicker/ProjectionPicker.js b/packages/widgets/Source/ProjectionPicker/ProjectionPicker.js index d1cc1dee8cae..c596245dc8fb 100644 --- a/packages/widgets/Source/ProjectionPicker/ProjectionPicker.js +++ b/packages/widgets/Source/ProjectionPicker/ProjectionPicker.js @@ -63,7 +63,7 @@ css: { "cesium-projectionPicker-buttonPerspective": !_orthographic,\ "cesium-button-disabled" : sceneMode === _sceneMode.SCENE2D || _flightInProgress, \ "cesium-projectionPicker-selected": dropDownVisible },\ attr: { title: selectedTooltip },\ -click: toggleDropDown' +click: toggleDropDown', ); button.innerHTML = '\ @@ -83,7 +83,7 @@ css: { "cesium-projectionPicker-visible" : (dropDownVisible && _orthographic),\ "cesium-projectionPicker-hidden" : !dropDownVisible },\ attr: { title: tooltipPerspective },\ click: switchToPerspective,\ -cesiumSvgPath: { path: _perspectivePath, width: 64, height: 64 }' +cesiumSvgPath: { path: _perspectivePath, width: 64, height: 64 }', ); wrapper.appendChild(perspectiveButton); @@ -99,7 +99,7 @@ css: { "cesium-projectionPicker-visible" : (dropDownVisible && !_orthographic),\ "cesium-projectionPicker-hidden" : !dropDownVisible},\ attr: { title: tooltipOrthographic },\ click: switchToOrthographic,\ -cesiumSvgPath: { path: _orthographicPath, width: 64, height: 64 }' +cesiumSvgPath: { path: _orthographicPath, width: 64, height: 64 }', ); wrapper.appendChild(orthographicButton); diff --git a/packages/widgets/Source/ProjectionPicker/ProjectionPickerViewModel.js b/packages/widgets/Source/ProjectionPicker/ProjectionPickerViewModel.js index bf51b586a9af..7b370939c796 100644 --- a/packages/widgets/Source/ProjectionPicker/ProjectionPickerViewModel.js +++ b/packages/widgets/Source/ProjectionPicker/ProjectionPickerViewModel.js @@ -86,17 +86,15 @@ function ProjectionPickerViewModel(scene) { }); this._eventHelper = new EventHelper(); - this._eventHelper.add(scene.morphComplete, function ( - transitioner, - oldMode, - newMode, - isMorphing - ) { - that.sceneMode = newMode; - that._orthographic = - newMode === SceneMode.SCENE2D || - that._scene.camera.frustum instanceof OrthographicFrustum; - }); + this._eventHelper.add( + scene.morphComplete, + function (transitioner, oldMode, newMode, isMorphing) { + that.sceneMode = newMode; + that._orthographic = + newMode === SceneMode.SCENE2D || + that._scene.camera.frustum instanceof OrthographicFrustum; + }, + ); this._eventHelper.add(scene.preRender, function () { that._flightInProgress = defined(scene.camera._currentFlight); }); diff --git a/packages/widgets/Source/SceneModePicker/SceneModePicker.css b/packages/widgets/Source/SceneModePicker/SceneModePicker.css index 85ea7272233c..eb74f6091914 100644 --- a/packages/widgets/Source/SceneModePicker/SceneModePicker.css +++ b/packages/widgets/Source/SceneModePicker/SceneModePicker.css @@ -13,7 +13,9 @@ span.cesium-sceneModePicker-wrapper { .cesium-sceneModePicker-hidden { visibility: hidden; opacity: 0; - transition: visibility 0s 0.25s, opacity 0.25s linear; + transition: + visibility 0s 0.25s, + opacity 0.25s linear; } .cesium-sceneModePicker-wrapper .cesium-sceneModePicker-none { @@ -76,5 +78,7 @@ span.cesium-sceneModePicker-wrapper { .cesium-sceneModePicker-wrapper .cesium-sceneModePicker-selected { border-color: #2e2; - box-shadow: 0 0 8px #fff, 0 0 8px #fff; + box-shadow: + 0 0 8px #fff, + 0 0 8px #fff; } diff --git a/packages/widgets/Source/SceneModePicker/SceneModePicker.js b/packages/widgets/Source/SceneModePicker/SceneModePicker.js index 3ab0c6465ef9..83c028afc48a 100644 --- a/packages/widgets/Source/SceneModePicker/SceneModePicker.js +++ b/packages/widgets/Source/SceneModePicker/SceneModePicker.js @@ -77,7 +77,7 @@ css: { "cesium-sceneModePicker-button2D": sceneMode === _sceneMode.SCENE2D,\ "cesium-sceneModePicker-buttonColumbusView": sceneMode === _sceneMode.COLUMBUS_VIEW,\ "cesium-sceneModePicker-selected": dropDownVisible },\ attr: { title: selectedTooltip },\ -click: toggleDropDown' +click: toggleDropDown', ); button.innerHTML = '\ @@ -98,7 +98,7 @@ css: { "cesium-sceneModePicker-visible" : (dropDownVisible && (sceneMode !== _sc "cesium-sceneModePicker-hidden" : !dropDownVisible },\ attr: { title: tooltip3D },\ click: morphTo3D,\ -cesiumSvgPath: { path: _globePath, width: 64, height: 64 }' +cesiumSvgPath: { path: _globePath, width: 64, height: 64 }', ); wrapper.appendChild(morphTo3DButton); @@ -114,7 +114,7 @@ css: { "cesium-sceneModePicker-visible" : (dropDownVisible && (sceneMode !== _sc "cesium-sceneModePicker-hidden" : !dropDownVisible },\ attr: { title: tooltip2D },\ click: morphTo2D,\ -cesiumSvgPath: { path: _flatMapPath, width: 64, height: 64 }' +cesiumSvgPath: { path: _flatMapPath, width: 64, height: 64 }', ); wrapper.appendChild(morphTo2DButton); @@ -130,7 +130,7 @@ css: { "cesium-sceneModePicker-visible" : (dropDownVisible && (sceneMode !== _sc "cesium-sceneModePicker-hidden" : !dropDownVisible},\ attr: { title: tooltipColumbusView },\ click: morphToColumbusView,\ -cesiumSvgPath: { path: _columbusViewPath, width: 64, height: 64 }' +cesiumSvgPath: { path: _columbusViewPath, width: 64, height: 64 }', ); wrapper.appendChild(morphToCVButton); diff --git a/packages/widgets/Source/SelectionIndicator/SelectionIndicator.css b/packages/widgets/Source/SelectionIndicator/SelectionIndicator.css index a73a8ce5a723..e5c9fe1cfa7b 100644 --- a/packages/widgets/Source/SelectionIndicator/SelectionIndicator.css +++ b/packages/widgets/Source/SelectionIndicator/SelectionIndicator.css @@ -5,7 +5,9 @@ pointer-events: none; visibility: hidden; opacity: 0; - transition: visibility 0s 0.2s, opacity 0.2s ease-in; + transition: + visibility 0s 0.2s, + opacity 0.2s ease-in; } .cesium-selection-wrapper-visible { diff --git a/packages/widgets/Source/SelectionIndicator/SelectionIndicator.js b/packages/widgets/Source/SelectionIndicator/SelectionIndicator.js index 53c2ee83f9bf..d123c03dc091 100644 --- a/packages/widgets/Source/SelectionIndicator/SelectionIndicator.js +++ b/packages/widgets/Source/SelectionIndicator/SelectionIndicator.js @@ -35,7 +35,7 @@ function SelectionIndicator(container, scene) { "data-bind", '\ style: { "top" : _screenPositionY, "left" : _screenPositionX },\ -css: { "cesium-selection-wrapper-visible" : isVisible }' +css: { "cesium-selection-wrapper-visible" : isVisible }', ); container.appendChild(el); this._element = el; @@ -63,7 +63,7 @@ css: { "cesium-selection-wrapper-visible" : isVisible }' const viewModel = new SelectionIndicatorViewModel( scene, this._element, - this._container + this._container, ); this._viewModel = viewModel; diff --git a/packages/widgets/Source/SelectionIndicator/SelectionIndicatorViewModel.js b/packages/widgets/Source/SelectionIndicator/SelectionIndicatorViewModel.js index d6ba66c211ec..e5d5c63137be 100644 --- a/packages/widgets/Source/SelectionIndicator/SelectionIndicatorViewModel.js +++ b/packages/widgets/Source/SelectionIndicator/SelectionIndicatorViewModel.js @@ -23,7 +23,7 @@ const offScreen = "-1000px"; function SelectionIndicatorViewModel( scene, selectionIndicatorElement, - container + container, ) { //>>includeStart('debug', pragmas.debug); if (!defined(scene)) { @@ -110,7 +110,7 @@ SelectionIndicatorViewModel.prototype.update = function () { if (this.showSelection && defined(this.position)) { const screenPosition = this.computeScreenSpacePosition( this.position, - screenSpacePos + screenSpacePos, ); if (!defined(screenPosition)) { this._screenPositionX = offScreen; @@ -125,12 +125,12 @@ SelectionIndicatorViewModel.prototype.update = function () { screenPosition.x = Math.min( Math.max(screenPosition.x, -indicatorSize), - containerWidth + indicatorSize + containerWidth + indicatorSize, ) - halfSize; screenPosition.y = Math.min( Math.max(screenPosition.y, -indicatorSize), - containerHeight + indicatorSize + containerHeight + indicatorSize, ) - halfSize; this._screenPositionX = `${Math.floor(screenPosition.x + 0.25)}px`; diff --git a/packages/widgets/Source/SvgPathBindingHandler.js b/packages/widgets/Source/SvgPathBindingHandler.js index 5ffe18660450..773f98dac73d 100644 --- a/packages/widgets/Source/SvgPathBindingHandler.js +++ b/packages/widgets/Source/SvgPathBindingHandler.js @@ -59,7 +59,7 @@ const SvgPathBindingHandler = { if (value.css) { svg.setAttribute( "class", - `${svgClassName} ${knockout.unwrap(value.css)}` + `${svgClassName} ${knockout.unwrap(value.css)}`, ); } }, diff --git a/packages/widgets/Source/Timeline/Timeline.js b/packages/widgets/Source/Timeline/Timeline.js index 581bb7a33731..c2eb1c40d21f 100644 --- a/packages/widgets/Source/Timeline/Timeline.js +++ b/packages/widgets/Source/Timeline/Timeline.js @@ -250,13 +250,13 @@ Timeline.prototype.addTrack = function ( interval, heightInPx, color, - backgroundColor + backgroundColor, ) { const newTrack = new TimelineTrack( interval, heightInPx, color, - backgroundColor + backgroundColor, ); this._trackList.push(newTrack); this._lastHeight = undefined; @@ -294,7 +294,7 @@ Timeline.prototype.zoomTo = function (startTime, stopTime) { const clockSpan = JulianDate.secondsDifference(clockEnd, clockStart); const startOffset = JulianDate.secondsDifference( clockStart, - this._startJulian + this._startJulian, ); const endOffset = JulianDate.secondsDifference(clockEnd, this._endJulian); @@ -308,24 +308,24 @@ Timeline.prototype.zoomTo = function (startTime, stopTime) { this._endJulian = JulianDate.addSeconds( this._endJulian, startOffset, - new JulianDate() + new JulianDate(), ); this._startJulian = clockStart; this._timeBarSecondsSpan = JulianDate.secondsDifference( this._endJulian, - this._startJulian + this._startJulian, ); } else if (endOffset < 0) { // if timeline end is after clock end, shift left this._startJulian = JulianDate.addSeconds( this._startJulian, endOffset, - new JulianDate() + new JulianDate(), ); this._endJulian = clockEnd; this._timeBarSecondsSpan = JulianDate.secondsDifference( this._endJulian, - this._startJulian + this._startJulian, ); } } @@ -348,7 +348,7 @@ Timeline.prototype.zoomTo = function (startTime, stopTime) { Timeline.prototype.zoomFrom = function (amount) { let centerSec = JulianDate.secondsDifference( this._scrubJulian, - this._startJulian + this._startJulian, ); if (amount > 1 || centerSec < 0 || centerSec > this._timeBarSecondsSpan) { centerSec = this._timeBarSecondsSpan * 0.5; @@ -360,13 +360,13 @@ Timeline.prototype.zoomFrom = function (amount) { JulianDate.addSeconds( this._startJulian, centerSec - centerSec * amount, - new JulianDate() + new JulianDate(), ), JulianDate.addSeconds( this._endJulian, centerSecFlip * amount - centerSecFlip, - new JulianDate() - ) + new JulianDate(), + ), ); }; @@ -392,7 +392,7 @@ Timeline.prototype.makeLabel = function (time) { return `${timelineMonthNames[gregorian.month - 1]} ${gregorian.day} ${ gregorian.year } ${twoDigits(gregorian.hour)}:${twoDigits(gregorian.minute)}:${twoDigits( - gregorian.second + gregorian.second, )}${millisecondString}`; }; @@ -409,10 +409,10 @@ Timeline.prototype._makeTics = function () { const seconds = JulianDate.secondsDifference( this._scrubJulian, - this._startJulian + this._startJulian, ); const xPos = Math.round( - (seconds * this._topDiv.clientWidth) / this._timeBarSecondsSpan + (seconds * this._topDiv.clientWidth) / this._timeBarSecondsSpan, ); const scrubX = xPos - 8; let tic; @@ -436,7 +436,7 @@ Timeline.prototype._makeTics = function () { this._endJulian = JulianDate.addSeconds( this._startJulian, minimumDuration, - new JulianDate() + new JulianDate(), ); } else if (duration > maximumDuration) { duration = maximumDuration; @@ -444,7 +444,7 @@ Timeline.prototype._makeTics = function () { this._endJulian = JulianDate.addSeconds( this._startJulian, maximumDuration, - new JulianDate() + new JulianDate(), ); } @@ -463,31 +463,31 @@ Timeline.prototype._makeTics = function () { if (duration > 315360000) { // 3650+ days visible, epoch is start of the first visible century. epochJulian = JulianDate.fromDate( - new Date(Date.UTC(Math.floor(gregorianDate.year / 100) * 100, 0)) + new Date(Date.UTC(Math.floor(gregorianDate.year / 100) * 100, 0)), ); } else if (duration > 31536000) { // 365+ days visible, epoch is start of the first visible decade. epochJulian = JulianDate.fromDate( - new Date(Date.UTC(Math.floor(gregorianDate.year / 10) * 10, 0)) + new Date(Date.UTC(Math.floor(gregorianDate.year / 10) * 10, 0)), ); } else if (duration > 86400) { // 1+ day(s) visible, epoch is start of the year. epochJulian = JulianDate.fromDate( - new Date(Date.UTC(gregorianDate.year, 0)) + new Date(Date.UTC(gregorianDate.year, 0)), ); } else { // Less than a day on timeline, epoch is midnight of the visible day. epochJulian = JulianDate.fromDate( new Date( - Date.UTC(gregorianDate.year, gregorianDate.month, gregorianDate.day) - ) + Date.UTC(gregorianDate.year, gregorianDate.month, gregorianDate.day), + ), ); } // startTime: Seconds offset of the left side of the timeline from epochJulian. const startTime = JulianDate.secondsDifference( this._startJulian, - JulianDate.addSeconds(epochJulian, epsilonTime, new JulianDate()) + JulianDate.addSeconds(epochJulian, epsilonTime, new JulianDate()), ); // endTime: Seconds offset of the right side of the timeline from epochJulian. let endTime = startTime + duration; @@ -512,7 +512,7 @@ Timeline.prototype._makeTics = function () { // Width in pixels of a typical label, plus padding this._rulerEle.innerHTML = this.makeLabel( - JulianDate.addSeconds(this._endJulian, -minimumDuration, new JulianDate()) + JulianDate.addSeconds(this._endJulian, -minimumDuration, new JulianDate()), ); let sampleWidth = this._rulerEle.offsetWidth + 20; if (sampleWidth < 30) { @@ -616,7 +616,7 @@ Timeline.prototype._makeTics = function () { tic = getNextTic(tic, tinyTic) ) { tics += `<span class="cesium-timeline-ticTiny" style="left: ${Math.round( - timeBarWidth * getAlpha(tic) + timeBarWidth * getAlpha(tic), ).toString()}px;"></span>`; } } @@ -627,7 +627,7 @@ Timeline.prototype._makeTics = function () { tic = getNextTic(tic, subTic) ) { tics += `<span class="cesium-timeline-ticSub" style="left: ${Math.round( - timeBarWidth * getAlpha(tic) + timeBarWidth * getAlpha(tic), ).toString()}px;"></span>`; } } @@ -640,7 +640,7 @@ Timeline.prototype._makeTics = function () { let ticTime = JulianDate.addSeconds( startJulian, tic - startTime, - new JulianDate() + new JulianDate(), ); if (mainTic > 2.1) { const ticLeap = JulianDate.computeTaiMinusUtc(ticTime); @@ -649,7 +649,7 @@ Timeline.prototype._makeTics = function () { ticTime = JulianDate.addSeconds( startJulian, tic - startTime, - new JulianDate() + new JulianDate(), ); } } @@ -685,7 +685,7 @@ Timeline.prototype._makeTics = function () { 0, 0, this._trackListEle.width, - this._trackListEle.height + this._trackListEle.height, ); renderState.y = 0; @@ -704,10 +704,10 @@ Timeline.prototype.updateFromClock = function () { if (defined(this._scrubElement)) { const seconds = JulianDate.secondsDifference( this._scrubJulian, - this._startJulian + this._startJulian, ); const xPos = Math.round( - (seconds * this._topDiv.clientWidth) / this._timeBarSecondsSpan + (seconds * this._topDiv.clientWidth) / this._timeBarSecondsSpan, ); if (this._lastXPos !== xPos) { @@ -721,19 +721,19 @@ Timeline.prototype.updateFromClock = function () { this._setTimeBarTime( this._timelineDragLocation, (this._timelineDragLocation * this._timeBarSecondsSpan) / - this._topDiv.clientWidth + this._topDiv.clientWidth, ); this.zoomTo( JulianDate.addSeconds( this._startJulian, this._timelineDrag, - new JulianDate() + new JulianDate(), ), JulianDate.addSeconds( this._endJulian, this._timelineDrag, - new JulianDate() - ) + new JulianDate(), + ), ); } }; @@ -746,7 +746,7 @@ Timeline.prototype._setTimeBarTime = function (xPos, seconds) { this._scrubJulian = JulianDate.addSeconds( this._startJulian, seconds, - new JulianDate() + new JulianDate(), ); if (this._scrubElement) { const scrubX = xPos - 8; @@ -813,7 +813,7 @@ function createMouseMoveCallback(timeline) { timeline._timelineDragLocation = undefined; timeline._setTimeBarTime( x, - (x * timeline._timeBarSecondsSpan) / timeline._topDiv.clientWidth + (x * timeline._timeBarSecondsSpan) / timeline._topDiv.clientWidth, ); } } else if (timeline._mouseMode === timelineMouseMode.slide) { @@ -824,7 +824,7 @@ function createMouseMoveCallback(timeline) { (dx * timeline._timeBarSecondsSpan) / timeline._topDiv.clientWidth; timeline.zoomTo( JulianDate.addSeconds(timeline._startJulian, dsec, new JulianDate()), - JulianDate.addSeconds(timeline._endJulian, dsec, new JulianDate()) + JulianDate.addSeconds(timeline._endJulian, dsec, new JulianDate()), ); } } else if (timeline._mouseMode === timelineMouseMode.zoom) { @@ -842,7 +842,7 @@ function createMouseWheelCallback(timeline) { let dy = e.wheelDeltaY || e.wheelDelta || -e.detail; timelineWheelDelta = Math.max( Math.min(Math.abs(dy), timelineWheelDelta), - 1 + 1, ); dy /= timelineWheelDelta; timeline.zoomFrom(Math.pow(1.05, -dy)); @@ -859,12 +859,12 @@ function createTouchStartCallback(timeline) { if (len === 1) { seconds = JulianDate.secondsDifference( timeline._scrubJulian, - timeline._startJulian + timeline._startJulian, ); xPos = Math.round( (seconds * timeline._topDiv.clientWidth) / timeline._timeBarSecondsSpan + - leftX + leftX, ); if (Math.abs(e.touches[0].clientX - xPos) < 50) { timeline._touchMode = timelineTouchMode.scrub; @@ -881,7 +881,7 @@ function createTouchStartCallback(timeline) { timeline._touchState.centerX = (e.touches[0].clientX + e.touches[1].clientX) * 0.5 - leftX; timeline._touchState.spanX = Math.abs( - e.touches[0].clientX - e.touches[1].clientX + e.touches[0].clientX - e.touches[1].clientX, ); } else { timeline._touchMode = timelineTouchMode.ignore; @@ -933,7 +933,7 @@ function createTouchMoveCallback(timeline) { if (x >= 0 && x <= timeline._topDiv.clientWidth) { timeline._setTimeBarTime( x, - (x * timeline._timeBarSecondsSpan) / timeline._topDiv.clientWidth + (x * timeline._timeBarSecondsSpan) / timeline._topDiv.clientWidth, ); } } @@ -956,7 +956,7 @@ function createTouchMoveCallback(timeline) { (timeline._touchState.centerX * timeline._timeBarSecondsSpan - newCenter * timeline._timeBarSecondsSpan * zoom) / timeline._topDiv.clientWidth, - new JulianDate() + new JulianDate(), ); } else { // Slide to newCenter @@ -964,7 +964,7 @@ function createTouchMoveCallback(timeline) { newStartTime = JulianDate.addSeconds( timeline._startJulian, (dx * timeline._timeBarSecondsSpan) / timeline._topDiv.clientWidth, - new JulianDate() + new JulianDate(), ); } @@ -973,8 +973,8 @@ function createTouchMoveCallback(timeline) { JulianDate.addSeconds( newStartTime, timeline._timeBarSecondsSpan * zoom, - new JulianDate() - ) + new JulianDate(), + ), ); timeline._touchState.centerX = newCenter; timeline._touchState.spanX = newSpan; diff --git a/packages/widgets/Source/Timeline/TimelineHighlightRange.js b/packages/widgets/Source/Timeline/TimelineHighlightRange.js index 0d2baec78599..de11a00d388d 100644 --- a/packages/widgets/Source/Timeline/TimelineHighlightRange.js +++ b/packages/widgets/Source/Timeline/TimelineHighlightRange.js @@ -35,18 +35,18 @@ TimelineHighlightRange.prototype.render = function (renderState) { if (this._start && this._stop && this._color) { const highlightStart = JulianDate.secondsDifference( this._start, - renderState.epochJulian + renderState.epochJulian, ); let highlightLeft = Math.round( - renderState.timeBarWidth * renderState.getAlpha(highlightStart) + renderState.timeBarWidth * renderState.getAlpha(highlightStart), ); const highlightStop = JulianDate.secondsDifference( this._stop, - renderState.epochJulian + renderState.epochJulian, ); let highlightWidth = Math.round( - renderState.timeBarWidth * renderState.getAlpha(highlightStop) + renderState.timeBarWidth * renderState.getAlpha(highlightStop), ) - highlightLeft; if (highlightLeft < 0) { highlightWidth += highlightLeft; diff --git a/packages/widgets/Source/Timeline/TimelineTrack.js b/packages/widgets/Source/Timeline/TimelineTrack.js index c661df9cbd71..f9e0e26e697d 100644 --- a/packages/widgets/Source/Timeline/TimelineTrack.js +++ b/packages/widgets/Source/Timeline/TimelineTrack.js @@ -18,7 +18,7 @@ TimelineTrack.prototype.render = function (context, renderState) { const spanStop = JulianDate.addSeconds( renderState.startJulian, renderState.duration, - new JulianDate() + new JulianDate(), ); if ( @@ -39,7 +39,7 @@ TimelineTrack.prototype.render = function (context, renderState) { const currentTime = JulianDate.addSeconds( renderState.startJulian, (x / renderState.timeBarWidth) * renderState.duration, - new JulianDate() + new JulianDate(), ); if ( !defined(start) && @@ -66,7 +66,7 @@ TimelineTrack.prototype.render = function (context, renderState) { start, renderState.y, Math.max(stop - start, 1), - this.height + this.height, ); } } diff --git a/packages/widgets/Source/VRButton/VRButton.js b/packages/widgets/Source/VRButton/VRButton.js index 0c22bf11d8b4..48bc9b9b6e7a 100644 --- a/packages/widgets/Source/VRButton/VRButton.js +++ b/packages/widgets/Source/VRButton/VRButton.js @@ -51,7 +51,7 @@ css: { "cesium-button-disabled" : _isOrthographic }, \ attr: { title: tooltip },\ click: command,\ enable: isVREnabled,\ -cesiumSvgPath: { path: isVRMode ? _exitVRPath : _enterVRPath, width: 32, height: 32 }' +cesiumSvgPath: { path: isVRMode ? _exitVRPath : _enterVRPath, width: 32, height: 32 }', ); container.appendChild(element); diff --git a/packages/widgets/Source/VRButton/VRButtonViewModel.js b/packages/widgets/Source/VRButton/VRButtonViewModel.js index 1f5697fbb39e..21cc736d0813 100644 --- a/packages/widgets/Source/VRButton/VRButtonViewModel.js +++ b/packages/widgets/Source/VRButton/VRButtonViewModel.js @@ -149,9 +149,12 @@ function VRButtonViewModel(scene, vrElement) { this._locked = false; this._noSleep = new NoSleep(); - this._command = createCommand(function () { - toggleVR(that, scene, isVRMode, isOrthographic); - }, knockout.getObservable(this, "isVREnabled")); + this._command = createCommand( + function () { + toggleVR(that, scene, isVRMode, isOrthographic); + }, + knockout.getObservable(this, "isVREnabled"), + ); this._vrElement = defaultValue(getElement(vrElement), document.body); diff --git a/packages/widgets/Source/Viewer/Viewer.js b/packages/widgets/Source/Viewer/Viewer.js index 4b4f8cf35e26..26983bdc3819 100644 --- a/packages/widgets/Source/Viewer/Viewer.js +++ b/packages/widgets/Source/Viewer/Viewer.js @@ -152,7 +152,7 @@ function trackDataSourceClock(timeline, clock, dataSource) { stopTime = JulianDate.addSeconds( startTime, CesiumMath.EPSILON2, - scratchStopTime + scratchStopTime, ); } timeline.updateFromClock(); @@ -167,10 +167,8 @@ const cartesian3Scratch = new Cartesian3(); function pickImageryLayerFeature(viewer, windowPosition) { const scene = viewer.scene; const pickRay = scene.camera.getPickRay(windowPosition); - const imageryLayerFeaturePromise = scene.imageryLayers.pickImageryLayerFeatures( - pickRay, - scene - ); + const imageryLayerFeaturePromise = + scene.imageryLayers.pickImageryLayerFeatures(pickRay, scene); if (!defined(imageryLayerFeaturePromise)) { return; } @@ -204,7 +202,7 @@ function pickImageryLayerFeature(viewer, windowPosition) { if (defined(feature.position)) { const ecfPosition = viewer.scene.ellipsoid.cartographicToCartesian( feature.position, - cartesian3Scratch + cartesian3Scratch, ); entity.position = new ConstantPositionProperty(ecfPosition); } @@ -217,7 +215,7 @@ function pickImageryLayerFeature(viewer, windowPosition) { return; } viewer.selectedEntity = createNoFeaturesEntity(); - } + }, ); return loadingMessage; @@ -432,7 +430,7 @@ function Viewer(container, options) { ) { throw new DeveloperError( "options.selectedImageryProviderViewModel is not available when not using the BaseLayerPicker widget. \ -Either specify options.baseLayer instead or set options.baseLayerPicker to true." +Either specify options.baseLayer instead or set options.baseLayerPicker to true.", ); } @@ -443,7 +441,7 @@ Either specify options.baseLayer instead or set options.baseLayerPicker to true. ) { throw new DeveloperError( "options.selectedTerrainProviderViewModel is not available when not using the BaseLayerPicker widget. \ -Either specify options.terrainProvider instead or set options.baseLayerPicker to true." +Either specify options.terrainProvider instead or set options.baseLayerPicker to true.", ); } //>>includeEnd('debug') @@ -551,7 +549,7 @@ Either specify options.terrainProvider instead or set options.baseLayerPicker to viewerContainer.appendChild(selectionIndicatorContainer); selectionIndicator = new SelectionIndicator( selectionIndicatorContainer, - scene + scene, ); } @@ -567,12 +565,12 @@ Either specify options.terrainProvider instead or set options.baseLayerPicker to eventHelper.add( infoBoxViewModel.cameraClicked, Viewer.prototype._onInfoBoxCameraClicked, - this + this, ); eventHelper.add( infoBoxViewModel.closeClicked, Viewer.prototype._onInfoBoxClockClicked, - this + this, ); } @@ -602,7 +600,7 @@ Either specify options.terrainProvider instead or set options.baseLayerPicker to eventHelper.add( geocoder.viewModel.search.beforeExecute, Viewer.prototype._clearObjects, - this + this, ); } @@ -623,7 +621,7 @@ Either specify options.terrainProvider instead or set options.baseLayerPicker to eventHelper.add( homeButton.viewModel.command.beforeExecute, Viewer.prototype._clearTrackedObject, - this + this, ); } @@ -633,7 +631,7 @@ Either specify options.terrainProvider instead or set options.baseLayerPicker to //>>includeStart('debug', pragmas.debug); if (options.sceneModePicker === true && scene3DOnly) { throw new DeveloperError( - "options.sceneModePicker is not available when options.scene3DOnly is set to true." + "options.sceneModePicker is not available when options.scene3DOnly is set to true.", ); } //>>includeEnd('debug'); @@ -657,11 +655,11 @@ Either specify options.terrainProvider instead or set options.baseLayerPicker to if (createBaseLayerPicker) { const imageryProviderViewModels = defaultValue( options.imageryProviderViewModels, - createDefaultImageryProviderViewModels() + createDefaultImageryProviderViewModels(), ); const terrainProviderViewModels = defaultValue( options.terrainProviderViewModels, - createDefaultTerrainProviderViewModels() + createDefaultTerrainProviderViewModels(), ); baseLayerPicker = new BaseLayerPicker(toolbar, { @@ -676,7 +674,7 @@ Either specify options.terrainProvider instead or set options.baseLayerPicker to //Grab the dropdown for resize code. const elements = toolbar.getElementsByClassName( - "cesium-baseLayerPicker-dropDown" + "cesium-baseLayerPicker-dropDown", ); baseLayerPickerDropDown = elements[0]; } @@ -701,7 +699,7 @@ Either specify options.terrainProvider instead or set options.baseLayerPicker to //>>includeStart('debug', pragmas.debug); if (defined(options.terrainProvider)) { throw new DeveloperError( - "Specify either options.terrainProvider or options.terrain." + "Specify either options.terrainProvider or options.terrain.", ); } //>>includeEnd('debug') @@ -725,7 +723,7 @@ Either specify options.terrainProvider instead or set options.baseLayerPicker to //window.localStorage is null if disabled in Firefox or undefined in browsers with implementation if (defined(window.localStorage)) { const hasSeenNavHelp = window.localStorage.getItem( - "cesium-hasSeenNavHelp" + "cesium-hasSeenNavHelp", ); if (defined(hasSeenNavHelp) && Boolean(hasSeenNavHelp)) { showNavHelp = false; @@ -741,7 +739,7 @@ Either specify options.terrainProvider instead or set options.baseLayerPicker to container: toolbar, instructionsInitiallyVisible: defaultValue( options.navigationInstructionsInitiallyVisible, - showNavHelp + showNavHelp, ), }); } @@ -754,7 +752,7 @@ Either specify options.terrainProvider instead or set options.baseLayerPicker to viewerContainer.appendChild(animationContainer); animation = new Animation( animationContainer, - new AnimationViewModel(clockViewModel) + new AnimationViewModel(clockViewModel), ); } @@ -782,7 +780,7 @@ Either specify options.terrainProvider instead or set options.baseLayerPicker to viewerContainer.appendChild(fullscreenContainer); fullscreenButton = new FullscreenButton( fullscreenContainer, - options.fullscreenElement + options.fullscreenElement, ); //Subscribe to fullscreenButton.viewModel.isFullscreenEnabled so @@ -798,7 +796,7 @@ Either specify options.terrainProvider instead or set options.baseLayerPicker to timeline.container.style.right = `${fullscreenContainer.clientWidth}px`; timeline.resize(); } - } + }, ); } @@ -824,7 +822,7 @@ Either specify options.terrainProvider instead or set options.baseLayerPicker to timeline.container.style.right = `${vrContainer.clientWidth}px`; timeline.resize(); } - } + }, ); vrModeSubscription = subscribeAndEvaluate( @@ -832,7 +830,7 @@ Either specify options.terrainProvider instead or set options.baseLayerPicker to "isVRMode", function (isVRMode) { enableVRUI(that, isVRMode); - } + }, ); } @@ -845,7 +843,7 @@ Either specify options.terrainProvider instead or set options.baseLayerPicker to this._dataSourceChangedListeners = {}; this._automaticallyTrackDataSourceClocks = defaultValue( options.automaticallyTrackDataSourceClocks, - true + true, ); this._container = container; this._bottomContainer = bottomContainer; @@ -896,12 +894,12 @@ Either specify options.terrainProvider instead or set options.baseLayerPicker to eventHelper.add( dataSourceCollection.dataSourceAdded, Viewer.prototype._onDataSourceAdded, - this + this, ); eventHelper.add( dataSourceCollection.dataSourceRemoved, Viewer.prototype._onDataSourceRemoved, - this + this, ); // Prior to each render, check if anything needs to be resized. @@ -921,12 +919,12 @@ Either specify options.terrainProvider instead or set options.baseLayerPicker to eventHelper.add( dataSourceCollection.dataSourceAdded, Viewer.prototype._dataSourceAdded, - this + this, ); eventHelper.add( dataSourceCollection.dataSourceRemoved, Viewer.prototype._dataSourceRemoved, - this + this, ); // Subscribe to left clicks and zoom to the picked object. @@ -952,11 +950,11 @@ Either specify options.terrainProvider instead or set options.baseLayerPicker to cesiumWidget.screenSpaceEventHandler.setInputAction( pickAndSelectObject, - ScreenSpaceEventType.LEFT_CLICK + ScreenSpaceEventType.LEFT_CLICK, ); cesiumWidget.screenSpaceEventHandler.setInputAction( pickAndTrackObject, - ScreenSpaceEventType.LEFT_DOUBLE_CLICK + ScreenSpaceEventType.LEFT_DOUBLE_CLICK, ); } @@ -1746,10 +1744,10 @@ Viewer.prototype.destroy = function () { !this.screenSpaceEventHandler.isDestroyed() ) { this.screenSpaceEventHandler.removeInputAction( - ScreenSpaceEventType.LEFT_CLICK + ScreenSpaceEventType.LEFT_CLICK, ); this.screenSpaceEventHandler.removeInputAction( - ScreenSpaceEventType.LEFT_DOUBLE_CLICK + ScreenSpaceEventType.LEFT_DOUBLE_CLICK, ); } @@ -1795,7 +1793,7 @@ Viewer.prototype.destroy = function () { this._timeline.removeEventListener( "settime", onTimelineScrubfunction, - false + false, ); this._element.removeChild(this._timeline.container); this._timeline = this._timeline.destroy(); @@ -1842,12 +1840,12 @@ Viewer.prototype.destroy = function () { */ Viewer.prototype._dataSourceAdded = function ( dataSourceCollection, - dataSource + dataSource, ) { const entityCollection = dataSource.entities; entityCollection.collectionChanged.addEventListener( Viewer.prototype._onEntityCollectionChanged, - this + this, ); }; @@ -1856,12 +1854,12 @@ Viewer.prototype._dataSourceAdded = function ( */ Viewer.prototype._dataSourceRemoved = function ( dataSourceCollection, - dataSource + dataSource, ) { const entityCollection = dataSource.entities; entityCollection.collectionChanged.removeEventListener( Viewer.prototype._onEntityCollectionChanged, - this + this, ); if (defined(this.trackedEntity)) { @@ -1898,7 +1896,7 @@ Viewer.prototype._onTick = function (clock) { const trackedState = this._dataSourceDisplay.getBoundingSphere( trackedEntity, false, - boundingSphereScratch + boundingSphereScratch, ); if (trackedState === BoundingSphereState.DONE) { entityView.update(time, boundingSphereScratch); @@ -1918,7 +1916,7 @@ Viewer.prototype._onTick = function (clock) { const state = this._dataSourceDisplay.getBoundingSphere( selectedEntity, true, - boundingSphereScratch + boundingSphereScratch, ); if (state !== BoundingSphereState.FAILED) { position = boundingSphereScratch.center; @@ -1934,7 +1932,7 @@ Viewer.prototype._onTick = function (clock) { if (defined(selectionIndicatorViewModel)) { selectionIndicatorViewModel.position = Cartesian3.clone( position, - selectionIndicatorViewModel.position + selectionIndicatorViewModel.position, ); selectionIndicatorViewModel.showSelection = showSelection && enableCamera; selectionIndicatorViewModel.update(); @@ -1952,12 +1950,12 @@ Viewer.prototype._onTick = function (clock) { if (showSelection) { infoBoxViewModel.titleText = defaultValue( selectedEntity.name, - selectedEntity.id + selectedEntity.id, ); infoBoxViewModel.description = Property.getValueOrDefault( selectedEntity.description, time, - "" + "", ); } else { infoBoxViewModel.titleText = ""; @@ -1972,7 +1970,7 @@ Viewer.prototype._onTick = function (clock) { Viewer.prototype._onEntityCollectionChanged = function ( collection, added, - removed + removed, ) { const length = removed.length; for (let i = 0; i < length; i++) { @@ -2042,7 +2040,7 @@ Viewer.prototype._onDataSourceChanged = function (dataSource) { */ Viewer.prototype._onDataSourceAdded = function ( dataSourceCollection, - dataSource + dataSource, ) { if (this._automaticallyTrackDataSourceClocks) { this.clockTrackedDataSource = dataSource; @@ -2051,7 +2049,7 @@ Viewer.prototype._onDataSourceAdded = function ( const removalFunc = this._eventHelper.add( dataSource.changedEvent, Viewer.prototype._onDataSourceChanged, - this + this, ); this._dataSourceChangedListeners[id] = removalFunc; }; @@ -2061,7 +2059,7 @@ Viewer.prototype._onDataSourceAdded = function ( */ Viewer.prototype._onDataSourceRemoved = function ( dataSourceCollection, - dataSource + dataSource, ) { const resetClock = this.clockTrackedDataSource === dataSource; const id = dataSource.entities.id; @@ -2071,7 +2069,7 @@ Viewer.prototype._onDataSourceRemoved = function ( const numDataSources = dataSourceCollection.length; if (this._automaticallyTrackDataSourceClocks && numDataSources > 0) { this.clockTrackedDataSource = dataSourceCollection.get( - numDataSources - 1 + numDataSources - 1, ); } else { this.clockTrackedDataSource = undefined; @@ -2273,7 +2271,7 @@ function updateZoomTarget(viewer) { zoomOptions.offset = new HeadingPitchRange( 0.0, -0.5, - boundingSphere.radius + boundingSphere.radius, ); } @@ -2309,12 +2307,12 @@ function updateZoomTarget(viewer) { } // Otherwise, the first "frame" needs to have been rendered - const removeEventListener = target.frameChanged.addEventListener(function ( - timeDynamicPointCloud - ) { - zoomToBoundingSphere(timeDynamicPointCloud.boundingSphere); - removeEventListener(); - }); + const removeEventListener = target.frameChanged.addEventListener( + function (timeDynamicPointCloud) { + zoomToBoundingSphere(timeDynamicPointCloud.boundingSphere); + removeEventListener(); + }, + ); return; } @@ -2354,7 +2352,7 @@ function updateZoomTarget(viewer) { const state = viewer._dataSourceDisplay.getBoundingSphere( entities[i], false, - boundingSphereScratch + boundingSphereScratch, ); if (state === BoundingSphereState.PENDING) { @@ -2408,7 +2406,7 @@ function updateTrackedEntity(viewer) { //computed. In this case, we will track the entity once it comes back into existence. const currentPosition = Property.getValueOrUndefined( trackedEntity.position, - currentTime + currentTime, ); if (!defined(currentPosition)) { @@ -2420,7 +2418,7 @@ function updateTrackedEntity(viewer) { const state = viewer._dataSourceDisplay.getBoundingSphere( trackedEntity, false, - boundingSphereScratch + boundingSphereScratch, ); if (state === BoundingSphereState.PENDING) { return; diff --git a/packages/widgets/Source/Viewer/viewerCesium3DTilesInspectorMixin.js b/packages/widgets/Source/Viewer/viewerCesium3DTilesInspectorMixin.js index 1d6e985adc31..c8cf827bdb08 100644 --- a/packages/widgets/Source/Viewer/viewerCesium3DTilesInspectorMixin.js +++ b/packages/widgets/Source/Viewer/viewerCesium3DTilesInspectorMixin.js @@ -23,7 +23,7 @@ function viewerCesium3DTilesInspectorMixin(viewer) { viewer.container.appendChild(container); const cesium3DTilesInspector = new Cesium3DTilesInspector( container, - viewer.scene + viewer.scene, ); Object.defineProperties(viewer, { diff --git a/packages/widgets/Source/Viewer/viewerCesiumInspectorMixin.js b/packages/widgets/Source/Viewer/viewerCesiumInspectorMixin.js index 3d1ad208b481..a5e0afe8c9e6 100644 --- a/packages/widgets/Source/Viewer/viewerCesiumInspectorMixin.js +++ b/packages/widgets/Source/Viewer/viewerCesiumInspectorMixin.js @@ -29,7 +29,7 @@ function viewerCesiumInspectorMixin(viewer) { viewer.container.appendChild(cesiumInspectorContainer); const cesiumInspector = new CesiumInspector( cesiumInspectorContainer, - viewer.scene + viewer.scene, ); Object.defineProperties(viewer, { diff --git a/packages/widgets/Source/Viewer/viewerDragDropMixin.js b/packages/widgets/Source/Viewer/viewerDragDropMixin.js index 24f6b896f50c..6e11d882c7b0 100644 --- a/packages/widgets/Source/Viewer/viewerDragDropMixin.js +++ b/packages/widgets/Source/Viewer/viewerDragDropMixin.js @@ -48,7 +48,7 @@ function viewerDragDropMixin(viewer, options) { } if (viewer.hasOwnProperty("dropEnabled")) { throw new DeveloperError( - "dropEnabled is already defined by another mixin." + "dropEnabled is already defined by another mixin.", ); } if (viewer.hasOwnProperty("dropError")) { @@ -56,12 +56,12 @@ function viewerDragDropMixin(viewer, options) { } if (viewer.hasOwnProperty("clearOnDrop")) { throw new DeveloperError( - "clearOnDrop is already defined by another mixin." + "clearOnDrop is already defined by another mixin.", ); } if (viewer.hasOwnProperty("flyToOnDrop")) { throw new DeveloperError( - "flyToOnDrop is already defined by another mixin." + "flyToOnDrop is already defined by another mixin.", ); } //>>includeEnd('debug'); @@ -283,7 +283,7 @@ function createOnLoadCallback(viewer, file, proxy, clampToGround) { viewer.dropError.raiseEvent( viewer, fileName, - `Unrecognized file: ${fileName}` + `Unrecognized file: ${fileName}`, ); return; } diff --git a/packages/widgets/Source/VoxelInspector/VoxelInspector.js b/packages/widgets/Source/VoxelInspector/VoxelInspector.js index 26871cfbe81e..7cf771bc39e9 100644 --- a/packages/widgets/Source/VoxelInspector/VoxelInspector.js +++ b/packages/widgets/Source/VoxelInspector/VoxelInspector.js @@ -42,7 +42,7 @@ function VoxelInspector(container, scene) { element.className = "cesium-cesiumInspector cesium-VoxelInspector"; element.setAttribute( "data-bind", - 'css: { "cesium-cesiumInspector-visible" : inspectorVisible, "cesium-cesiumInspector-hidden" : !inspectorVisible}' + 'css: { "cesium-cesiumInspector-visible" : inspectorVisible, "cesium-cesiumInspector-hidden" : !inspectorVisible}', ); container.appendChild(element); @@ -59,55 +59,55 @@ function VoxelInspector(container, scene) { panel, "Display", "displayVisible", - "toggleDisplay" + "toggleDisplay", ); const transformPanelContents = createSection( panel, "Transform", "transformVisible", - "toggleTransform" + "toggleTransform", ); const boundsPanelContents = createSection( panel, "Bounds", "boundsVisible", - "toggleBounds" + "toggleBounds", ); const clippingPanelContents = createSection( panel, "Clipping", "clippingVisible", - "toggleClipping" + "toggleClipping", ); const shaderPanelContents = createSection( panel, "Shader", "shaderVisible", - "toggleShader" + "toggleShader", ); // Display displayPanelContents.appendChild(createCheckbox("Depth Test", "depthTest")); displayPanelContents.appendChild(createCheckbox("Show", "show")); displayPanelContents.appendChild( - createCheckbox("Disable Update", "disableUpdate") + createCheckbox("Disable Update", "disableUpdate"), ); displayPanelContents.appendChild(createCheckbox("Debug Draw", "debugDraw")); displayPanelContents.appendChild(createCheckbox("Jitter", "jitter")); displayPanelContents.appendChild( - createCheckbox("Nearest Sampling", "nearestSampling") + createCheckbox("Nearest Sampling", "nearestSampling"), ); displayPanelContents.appendChild( - createRangeInput("Screen Space Error", "screenSpaceError", 0, 128) + createRangeInput("Screen Space Error", "screenSpaceError", 0, 128), ); displayPanelContents.appendChild( - createRangeInput("Step Size", "stepSize", 0.0, 2.0) + createRangeInput("Step Size", "stepSize", 0.0, 2.0), ); // Transform @@ -116,31 +116,31 @@ function VoxelInspector(container, scene) { const maxAngle = CesiumMath.PI; transformPanelContents.appendChild( - createRangeInput("Translation X", "translationX", -maxTrans, +maxTrans) + createRangeInput("Translation X", "translationX", -maxTrans, +maxTrans), ); transformPanelContents.appendChild( - createRangeInput("Translation Y", "translationY", -maxTrans, +maxTrans) + createRangeInput("Translation Y", "translationY", -maxTrans, +maxTrans), ); transformPanelContents.appendChild( - createRangeInput("Translation Z", "translationZ", -maxTrans, +maxTrans) + createRangeInput("Translation Z", "translationZ", -maxTrans, +maxTrans), ); transformPanelContents.appendChild( - createRangeInput("Scale X", "scaleX", 0, +maxScale) + createRangeInput("Scale X", "scaleX", 0, +maxScale), ); transformPanelContents.appendChild( - createRangeInput("Scale Y", "scaleY", 0, +maxScale) + createRangeInput("Scale Y", "scaleY", 0, +maxScale), ); transformPanelContents.appendChild( - createRangeInput("Scale Z", "scaleZ", 0, +maxScale) + createRangeInput("Scale Z", "scaleZ", 0, +maxScale), ); transformPanelContents.appendChild( - createRangeInput("Heading", "angleX", -maxAngle, +maxAngle) + createRangeInput("Heading", "angleX", -maxAngle, +maxAngle), ); transformPanelContents.appendChild( - createRangeInput("Pitch", "angleY", -maxAngle, +maxAngle) + createRangeInput("Pitch", "angleY", -maxAngle, +maxAngle), ); transformPanelContents.appendChild( - createRangeInput("Roll", "angleZ", -maxAngle, +maxAngle) + createRangeInput("Roll", "angleZ", -maxAngle, +maxAngle), ); // Bounds @@ -151,20 +151,20 @@ function VoxelInspector(container, scene) { VoxelShapeType.getMinBounds(VoxelShapeType.ELLIPSOID).x, VoxelShapeType.getMinBounds(VoxelShapeType.ELLIPSOID).y, -Ellipsoid.WGS84.maximumRadius, - new Cartesian3() + new Cartesian3(), ); const ellipsoidMaxBounds = Cartesian3.fromElements( VoxelShapeType.getMaxBounds(VoxelShapeType.ELLIPSOID).x, VoxelShapeType.getMaxBounds(VoxelShapeType.ELLIPSOID).y, +10000000.0, - new Cartesian3() + new Cartesian3(), ); const cylinderMinBounds = VoxelShapeType.getMinBounds( - VoxelShapeType.CYLINDER + VoxelShapeType.CYLINDER, ); const cylinderMaxBounds = VoxelShapeType.getMaxBounds( - VoxelShapeType.CYLINDER + VoxelShapeType.CYLINDER, ); makeCoordinateRange( @@ -183,7 +183,7 @@ function VoxelInspector(container, scene) { boxMinBounds, boxMaxBounds, "shapeIsBox", - boundsPanelContents + boundsPanelContents, ); makeCoordinateRange( @@ -202,7 +202,7 @@ function VoxelInspector(container, scene) { ellipsoidMinBounds, ellipsoidMaxBounds, "shapeIsEllipsoid", - boundsPanelContents + boundsPanelContents, ); makeCoordinateRange( @@ -221,7 +221,7 @@ function VoxelInspector(container, scene) { cylinderMinBounds, cylinderMaxBounds, "shapeIsCylinder", - boundsPanelContents + boundsPanelContents, ); // Clipping @@ -241,7 +241,7 @@ function VoxelInspector(container, scene) { boxMinBounds, boxMaxBounds, "shapeIsBox", - clippingPanelContents + clippingPanelContents, ); makeCoordinateRange( @@ -260,7 +260,7 @@ function VoxelInspector(container, scene) { ellipsoidMinBounds, ellipsoidMaxBounds, "shapeIsEllipsoid", - clippingPanelContents + clippingPanelContents, ); makeCoordinateRange( @@ -279,7 +279,7 @@ function VoxelInspector(container, scene) { cylinderMinBounds, cylinderMaxBounds, "shapeIsCylinder", - clippingPanelContents + clippingPanelContents, ); // Shader @@ -289,13 +289,13 @@ function VoxelInspector(container, scene) { const shaderEditor = document.createElement("textarea"); shaderEditor.setAttribute( "data-bind", - "textInput: shaderString, event: { keydown: shaderEditorKeyPress }" + "textInput: shaderString, event: { keydown: shaderEditorKeyPress }", ); shaderPanelEditor.className = "cesium-cesiumInspector-styleEditor"; shaderPanelEditor.appendChild(shaderEditor); const compileShaderButton = createButton( "Compile (Ctrl+Enter)", - "compileShader" + "compileShader", ); shaderPanelEditor.appendChild(compileShaderButton); @@ -303,7 +303,7 @@ function VoxelInspector(container, scene) { compilationText.style.display = "block"; compilationText.setAttribute( "data-bind", - "text: shaderCompilationMessage, style: {color: shaderCompilationSuccess ? 'green' : 'red'}" + "text: shaderCompilationMessage, style: {color: shaderCompilationSuccess ? 'green' : 'red'}", ); shaderPanelEditor.appendChild(compilationText); @@ -371,14 +371,14 @@ function makeCoordinateRange( defaultMinBounds, defaultMaxBounds, allowedShape, - parentContainer + parentContainer, ) { const createRangeInput = InspectorShared.createRangeInput; const min = defaultMinBounds; const max = defaultMaxBounds; const boundsElement = parentContainer.appendChild( - document.createElement("div") + document.createElement("div"), ); boundsElement.setAttribute("data-bind", `if: ${allowedShape}`); boundsElement.appendChild(createRangeInput(maxXTitle, maxXVar, min.x, max.x)); diff --git a/packages/widgets/Source/VoxelInspector/VoxelInspectorViewModel.js b/packages/widgets/Source/VoxelInspector/VoxelInspectorViewModel.js index 317f57e6eed7..8ecc04914113 100644 --- a/packages/widgets/Source/VoxelInspector/VoxelInspectorViewModel.js +++ b/packages/widgets/Source/VoxelInspector/VoxelInspectorViewModel.js @@ -545,7 +545,7 @@ function VoxelInspectorViewModel(scene) { getPrimitiveFunction: function () { that.translationX = Matrix4.getTranslation( that._voxelPrimitive.modelMatrix, - new Cartesian3() + new Cartesian3(), ).x; }, }); @@ -560,7 +560,7 @@ function VoxelInspectorViewModel(scene) { getPrimitiveFunction: function () { that.translationY = Matrix4.getTranslation( that._voxelPrimitive.modelMatrix, - new Cartesian3() + new Cartesian3(), ).y; }, }); @@ -575,7 +575,7 @@ function VoxelInspectorViewModel(scene) { getPrimitiveFunction: function () { that.translationZ = Matrix4.getTranslation( that._voxelPrimitive.modelMatrix, - new Cartesian3() + new Cartesian3(), ).z; }, }); @@ -591,7 +591,7 @@ function VoxelInspectorViewModel(scene) { getPrimitiveFunction: function () { that.scaleX = Matrix4.getScale( that._voxelPrimitive.modelMatrix, - new Cartesian3() + new Cartesian3(), ).x; }, }); @@ -606,7 +606,7 @@ function VoxelInspectorViewModel(scene) { getPrimitiveFunction: function () { that.scaleY = Matrix4.getScale( that._voxelPrimitive.modelMatrix, - new Cartesian3() + new Cartesian3(), ).y; }, }); @@ -621,7 +621,7 @@ function VoxelInspectorViewModel(scene) { getPrimitiveFunction: function () { that.scaleZ = Matrix4.getScale( that._voxelPrimitive.modelMatrix, - new Cartesian3() + new Cartesian3(), ).z; }, }); @@ -667,13 +667,13 @@ function setModelMatrix(viewModel) { viewModel.translationX, viewModel.translationY, viewModel.translationZ, - scratchTranslation + scratchTranslation, ); const scale = Cartesian3.fromElements( viewModel.scaleX, viewModel.scaleY, viewModel.scaleZ, - scratchScale + scratchScale, ); const hpr = scratchHeadingPitchRoll; hpr.heading = viewModel.angleX; @@ -684,7 +684,7 @@ function setModelMatrix(viewModel) { viewModel._voxelPrimitive.modelMatrix = Matrix4.fromRotationTranslation( rotationScale, translation, - viewModel._voxelPrimitive.modelMatrix + viewModel._voxelPrimitive.modelMatrix, ); } @@ -720,21 +720,22 @@ Object.defineProperties(VoxelInspectorViewModel.prototype, { this._voxelPrimitive = voxelPrimitive; const that = this; - that._customShaderCompilationRemoveCallback = that._voxelPrimitive.customShaderCompilationEvent.addEventListener( - function (error) { - const shaderString = - that._voxelPrimitive.customShader.fragmentShaderText; - that.shaderString = formatShaderString(shaderString); - - if (!defined(error)) { - that.shaderCompilationMessage = "Shader compiled successfully!"; - that.shaderCompilationSuccess = true; - } else { - that.shaderCompilationMessage = error.message; - that.shaderCompilationSuccess = false; - } - } - ); + that._customShaderCompilationRemoveCallback = + that._voxelPrimitive.customShaderCompilationEvent.addEventListener( + function (error) { + const shaderString = + that._voxelPrimitive.customShader.fragmentShaderText; + that.shaderString = formatShaderString(shaderString); + + if (!defined(error)) { + that.shaderCompilationMessage = "Shader compiled successfully!"; + that.shaderCompilationSuccess = true; + } else { + that.shaderCompilationMessage = error.message; + that.shaderCompilationSuccess = false; + } + }, + ); that._modelMatrixReady = false; for (let i = 0; i < that._getPrimitiveFunctions.length; i++) { that._getPrimitiveFunctions[i](); @@ -806,7 +807,7 @@ VoxelInspectorViewModel.prototype.compileShader = function () { */ VoxelInspectorViewModel.prototype.shaderEditorKeyPress = function ( sender, - event + event, ) { if (event.keyCode === 9) { //tab diff --git a/packages/widgets/Source/subscribeAndEvaluate.js b/packages/widgets/Source/subscribeAndEvaluate.js index 510615498a98..6d32a2800417 100644 --- a/packages/widgets/Source/subscribeAndEvaluate.js +++ b/packages/widgets/Source/subscribeAndEvaluate.js @@ -20,7 +20,7 @@ function subscribeAndEvaluate( observablePropertyName, callback, target, - event + event, ) { callback.call(target, owner[observablePropertyName]); return knockout diff --git a/packages/widgets/Specs/Animation/AnimationViewModelSpec.js b/packages/widgets/Specs/Animation/AnimationViewModelSpec.js index e90fc79319a5..751f31a47472 100644 --- a/packages/widgets/Specs/Animation/AnimationViewModelSpec.js +++ b/packages/widgets/Specs/Animation/AnimationViewModelSpec.js @@ -35,7 +35,7 @@ describe("Widgets/Animation/AnimationViewModel", function () { expect(viewModel.playForwardViewModel.toggled).toEqual(false); expect(viewModel.playRealtimeViewModel.toggled).toEqual(true); expect(viewModel.shuttleRingAngle).toEqual( - AnimationViewModel._realtimeShuttleRingAngle + AnimationViewModel._realtimeShuttleRingAngle, ); } @@ -422,16 +422,16 @@ describe("Widgets/Animation/AnimationViewModel", function () { clockViewModel.startTime = JulianDate.addSeconds( clockViewModel.systemTime, -60, - new JulianDate() + new JulianDate(), ); clockViewModel.stopTime = JulianDate.addSeconds( clockViewModel.systemTime, -30, - new JulianDate() + new JulianDate(), ); expect(viewModel.playRealtimeViewModel.command.canExecute).toEqual(true); expect(viewModel.playRealtimeViewModel.tooltip).toEqual( - "Today (real-time)" + "Today (real-time)", ); //CLAMPED but unavailable when start/stop time does not include realtime @@ -439,16 +439,16 @@ describe("Widgets/Animation/AnimationViewModel", function () { clockViewModel.startTime = JulianDate.addSeconds( clockViewModel.systemTime, -60, - new JulianDate() + new JulianDate(), ); clockViewModel.stopTime = JulianDate.addSeconds( clockViewModel.systemTime, -30, - new JulianDate() + new JulianDate(), ); expect(viewModel.playRealtimeViewModel.command.canExecute).toEqual(false); expect(viewModel.playRealtimeViewModel.tooltip).toEqual( - "Current time not in range" + "Current time not in range", ); //CLAMPED but available when start/stop time includes realtime @@ -456,16 +456,16 @@ describe("Widgets/Animation/AnimationViewModel", function () { clockViewModel.startTime = JulianDate.addSeconds( clockViewModel.systemTime, -60, - new JulianDate() + new JulianDate(), ); clockViewModel.stopTime = JulianDate.addSeconds( clockViewModel.systemTime, 60, - new JulianDate() + new JulianDate(), ); expect(viewModel.playRealtimeViewModel.command.canExecute).toEqual(true); expect(viewModel.playRealtimeViewModel.tooltip).toEqual( - "Today (real-time)" + "Today (real-time)", ); //LOOP_STOP but unavailable when start/stop time does not include realtime @@ -473,16 +473,16 @@ describe("Widgets/Animation/AnimationViewModel", function () { clockViewModel.startTime = JulianDate.addSeconds( clockViewModel.systemTime, -60, - new JulianDate() + new JulianDate(), ); clockViewModel.stopTime = JulianDate.addSeconds( clockViewModel.systemTime, -30, - new JulianDate() + new JulianDate(), ); expect(viewModel.playRealtimeViewModel.command.canExecute).toEqual(false); expect(viewModel.playRealtimeViewModel.tooltip).toEqual( - "Current time not in range" + "Current time not in range", ); //LOOP_STOP but available when start/stop time includes realtime @@ -490,16 +490,16 @@ describe("Widgets/Animation/AnimationViewModel", function () { clockViewModel.startTime = JulianDate.addSeconds( clockViewModel.systemTime, -60, - new JulianDate() + new JulianDate(), ); clockViewModel.stopTime = JulianDate.addSeconds( clockViewModel.systemTime, 60, - new JulianDate() + new JulianDate(), ); expect(viewModel.playRealtimeViewModel.command.canExecute).toEqual(true); expect(viewModel.playRealtimeViewModel.tooltip).toEqual( - "Today (real-time)" + "Today (real-time)", ); }); @@ -619,7 +619,7 @@ describe("Widgets/Animation/AnimationViewModel", function () { viewModel.shuttleRingAngle = 0.0; expect(clockViewModel.multiplier).toEqual( - AnimationViewModel.defaultTicks[0] + AnimationViewModel.defaultTicks[0], ); }); @@ -667,10 +667,7 @@ describe("Widgets/Animation/AnimationViewModel", function () { animationViewModel.setShuttleRingTicks(ticks); expect(animationViewModel.getShuttleRingTicks()).toEqual([ - 0.0, - 2.0, - 4.0, - 8.0, + 0.0, 2.0, 4.0, 8.0, ]); }); }); diff --git a/packages/widgets/Specs/BaseLayerPicker/BaseLayerPickerViewModelSpec.js b/packages/widgets/Specs/BaseLayerPicker/BaseLayerPickerViewModelSpec.js index f4ab2aeddf71..13bcc3ab7eda 100644 --- a/packages/widgets/Specs/BaseLayerPicker/BaseLayerPickerViewModelSpec.js +++ b/packages/widgets/Specs/BaseLayerPicker/BaseLayerPickerViewModelSpec.js @@ -211,7 +211,7 @@ describe("Widgets/BaseLayerPicker/BaseLayerPickerViewModel", function () { viewModel.selectedTerrain = testProviderViewModel3; await testProviderViewModel.creationCommand(); expect(viewModel.buttonTooltip).toEqual( - `${testProviderViewModel.name}\n${testProviderViewModel3.name}` + `${testProviderViewModel.name}\n${testProviderViewModel3.name}`, ); viewModel.selectedImagery = undefined; diff --git a/packages/widgets/Specs/Cesium3DTilesInspector/Cesium3DTilesInspectorSpec.js b/packages/widgets/Specs/Cesium3DTilesInspector/Cesium3DTilesInspectorSpec.js index 39ded525e04b..4a76b8ac8530 100644 --- a/packages/widgets/Specs/Cesium3DTilesInspector/Cesium3DTilesInspectorSpec.js +++ b/packages/widgets/Specs/Cesium3DTilesInspector/Cesium3DTilesInspectorSpec.js @@ -51,5 +51,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/widgets/Specs/Cesium3DTilesInspector/Cesium3DTilesInspectorViewModelSpec.js b/packages/widgets/Specs/Cesium3DTilesInspector/Cesium3DTilesInspectorViewModelSpec.js index 51267ff50f61..0442fde73347 100644 --- a/packages/widgets/Specs/Cesium3DTilesInspector/Cesium3DTilesInspectorViewModelSpec.js +++ b/packages/widgets/Specs/Cesium3DTilesInspector/Cesium3DTilesInspectorViewModelSpec.js @@ -37,7 +37,7 @@ describe( it("can create and destroy", function () { const viewModel = new Cesium3DTilesInspectorViewModel( scene, - performanceContainer + performanceContainer, ); expect(viewModel._scene).toBe(scene); expect(viewModel.isDestroyed()).toEqual(false); @@ -61,7 +61,7 @@ describe( it("show properties", async function () { viewModel = new Cesium3DTilesInspectorViewModel( scene, - performanceContainer + performanceContainer, ); const tileset = await Cesium3DTileset.fromUrl(tilesetUrl); viewModel.tileset = tileset; @@ -77,7 +77,7 @@ describe( beforeAll(async function () { viewModel = new Cesium3DTilesInspectorViewModel( scene, - performanceContainer + performanceContainer, ); const tileset = await Cesium3DTileset.fromUrl(tilesetUrl); viewModel.tileset = tileset; @@ -167,22 +167,22 @@ describe( it("geometricErrorScale", function () { viewModel.geometricErrorScale = 1.0; expect(viewModel.tileset.pointCloudShading.geometricErrorScale).toBe( - 1.0 + 1.0, ); viewModel.geometricErrorScale = 0.0; expect(viewModel.tileset.pointCloudShading.geometricErrorScale).toBe( - 0.0 + 0.0, ); }); it("maximumAttenuation", function () { viewModel.maximumAttenuation = 1.0; expect(viewModel.tileset.pointCloudShading.maximumAttenuation).toBe( - 1.0 + 1.0, ); viewModel.maximumAttenuation = 0.0; expect( - viewModel.tileset.pointCloudShading.maximumAttenuation + viewModel.tileset.pointCloudShading.maximumAttenuation, ).not.toBeDefined(); }); @@ -191,7 +191,7 @@ describe( expect(viewModel.tileset.pointCloudShading.baseResolution).toBe(1.0); viewModel.baseResolution = 0.0; expect( - viewModel.tileset.pointCloudShading.baseResolution + viewModel.tileset.pointCloudShading.baseResolution, ).not.toBeDefined(); }); @@ -205,22 +205,22 @@ describe( it("eyeDomeLightingStrength", function () { viewModel.eyeDomeLightingStrength = 1.0; expect( - viewModel.tileset.pointCloudShading.eyeDomeLightingStrength + viewModel.tileset.pointCloudShading.eyeDomeLightingStrength, ).toBe(1.0); viewModel.eyeDomeLightingStrength = 0.0; expect( - viewModel.tileset.pointCloudShading.eyeDomeLightingStrength + viewModel.tileset.pointCloudShading.eyeDomeLightingStrength, ).toBe(0.0); }); it("eyeDomeLightingRadius", function () { viewModel.eyeDomeLightingRadius = 1.0; expect(viewModel.tileset.pointCloudShading.eyeDomeLightingRadius).toBe( - 1.0 + 1.0, ); viewModel.eyeDomeLightingRadius = 0.0; expect(viewModel.tileset.pointCloudShading.eyeDomeLightingRadius).toBe( - 0.0 + 0.0, ); }); }); @@ -229,7 +229,7 @@ describe( beforeAll(async function () { viewModel = new Cesium3DTilesInspectorViewModel( scene, - performanceContainer + performanceContainer, ); viewModel.tileset = await Cesium3DTileset.fromUrl(tilesetUrl); }); @@ -269,12 +269,12 @@ describe( viewModel.dynamicScreenSpaceErrorDensitySliderValue = rawSliderValue; expect( - viewModel.dynamicScreenSpaceErrorDensitySliderValue + viewModel.dynamicScreenSpaceErrorDensitySliderValue, ).toEqualEpsilon(rawSliderValue, CesiumMath.EPSILON8); expect(viewModel.tileset.dynamicScreenSpaceErrorDensity).toEqualEpsilon( scaledValue, - CesiumMath.EPSILON8 + CesiumMath.EPSILON8, ); }); }); @@ -302,7 +302,7 @@ describe( viewModel = new Cesium3DTilesInspectorViewModel( scene, - performanceContainer + performanceContainer, ); viewModel.tileset = await Cesium3DTileset.fromUrl(tilesetUrl); }); @@ -315,7 +315,7 @@ describe( viewModel.tileset.style = style; viewModel._update(); expect(JSON.stringify(style.style)).toBe( - JSON.stringify(JSON.parse(viewModel.styleString)) + JSON.stringify(JSON.parse(viewModel.styleString)), ); }); @@ -336,7 +336,7 @@ describe( viewModel._update(); expect(viewModel.tileset.style.style.color).toBe("color('red')"); expect(viewModel.tileset.style.style.meta.description).toBe( - "'Building id ${id} has height ${Height}.'" + "'Building id ${id} has height ${Height}.'", ); }); @@ -347,5 +347,5 @@ describe( }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/widgets/Specs/CesiumInspector/CesiumInspectorSpec.js b/packages/widgets/Specs/CesiumInspector/CesiumInspectorSpec.js index b372cf789d59..8250282dfff1 100644 --- a/packages/widgets/Specs/CesiumInspector/CesiumInspectorSpec.js +++ b/packages/widgets/Specs/CesiumInspector/CesiumInspectorSpec.js @@ -51,5 +51,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/widgets/Specs/CesiumInspector/CesiumInspectorViewModelSpec.js b/packages/widgets/Specs/CesiumInspector/CesiumInspectorViewModelSpec.js index b8d99c10c0a4..29f535510379 100644 --- a/packages/widgets/Specs/CesiumInspector/CesiumInspectorViewModelSpec.js +++ b/packages/widgets/Specs/CesiumInspector/CesiumInspectorViewModelSpec.js @@ -58,7 +58,7 @@ describe( it("can create and destroy", function () { const viewModel = new CesiumInspectorViewModel( scene, - performanceContainer + performanceContainer, ); expect(viewModel.scene).toBe(scene); expect(viewModel.performanceContainer).toBe(performanceContainer); @@ -82,7 +82,7 @@ describe( it("show frustums", function () { const viewModel = new CesiumInspectorViewModel( scene, - performanceContainer + performanceContainer, ); viewModel.frustums = true; expect(viewModel.scene.debugShowFrustums).toBe(true); @@ -96,7 +96,7 @@ describe( it("show performance", function () { const viewModel = new CesiumInspectorViewModel( scene, - performanceContainer + performanceContainer, ); viewModel.performance = true; scene.render(); @@ -114,14 +114,14 @@ describe( CesiumMath.toRadians(-110.0), CesiumMath.toRadians(0.0), CesiumMath.toRadians(-90.0), - CesiumMath.toRadians(20.0) + CesiumMath.toRadians(20.0), ), - CesiumMath.toRadians(45) - ) + CesiumMath.toRadians(45), + ), ); const viewModel = new CesiumInspectorViewModel( scene, - performanceContainer + performanceContainer, ); scene.render(); viewModel.primitive = p; @@ -140,10 +140,10 @@ describe( CesiumMath.toRadians(-110.0), CesiumMath.toRadians(0.0), CesiumMath.toRadians(-90.0), - CesiumMath.toRadians(20.0) + CesiumMath.toRadians(20.0), ), - CesiumMath.toRadians(45) - ) + CesiumMath.toRadians(45), + ), ); const q = scene.primitives.add( @@ -152,14 +152,14 @@ describe( CesiumMath.toRadians(-10.0), CesiumMath.toRadians(0.0), CesiumMath.toRadians(-9.0), - CesiumMath.toRadians(20.0) - ) - ) + CesiumMath.toRadians(20.0), + ), + ), ); const viewModel = new CesiumInspectorViewModel( scene, - performanceContainer + performanceContainer, ); scene.render(); viewModel.primitive = p; @@ -179,15 +179,15 @@ describe( CesiumMath.toRadians(-110.0), CesiumMath.toRadians(0.0), CesiumMath.toRadians(-90.0), - CesiumMath.toRadians(20.0) + CesiumMath.toRadians(20.0), ), - CesiumMath.toRadians(45) - ) + CesiumMath.toRadians(45), + ), ); const viewModel = new CesiumInspectorViewModel( scene, - performanceContainer + performanceContainer, ); scene.render(); viewModel.primitive = p; @@ -202,37 +202,37 @@ describe( it("show wireframe", function () { const viewModel = new CesiumInspectorViewModel( scene, - performanceContainer + performanceContainer, ); viewModel.wireframe = true; expect(viewModel.scene.globe._surface.tileProvider._debug.wireframe).toBe( - true + true, ); viewModel.wireframe = false; expect(viewModel.scene.globe._surface.tileProvider._debug.wireframe).toBe( - false + false, ); }); it("suspend updates", function () { const viewModel = new CesiumInspectorViewModel( scene, - performanceContainer + performanceContainer, ); viewModel.suspendUpdates = true; expect(viewModel.scene.globe._surface._debug.suspendLodUpdate).toBe(true); viewModel.suspendUpdates = false; expect(viewModel.scene.globe._surface._debug.suspendLodUpdate).toBe( - false + false, ); }); it("show tile coords", function () { const viewModel = new CesiumInspectorViewModel( scene, - performanceContainer + performanceContainer, ); expect(viewModel.scene.imageryLayers.length).toBe(0); @@ -246,7 +246,7 @@ describe( it("show tile bounding sphere", function () { const viewModel = new CesiumInspectorViewModel( scene, - performanceContainer + performanceContainer, ); const tile = new QuadtreeTile({ tilingScheme: new WebMercatorTilingScheme(), @@ -259,19 +259,19 @@ describe( viewModel.tileBoundingSphere = true; expect( - viewModel.scene.globe._surface.tileProvider._debug.boundingSphereTile + viewModel.scene.globe._surface.tileProvider._debug.boundingSphereTile, ).toBe(tile); viewModel.tileBoundingSphere = false; expect( - viewModel.scene.globe._surface.tileProvider._debug.boundingSphereTile + viewModel.scene.globe._surface.tileProvider._debug.boundingSphereTile, ).toBe(undefined); }); it("filter tile", function () { const viewModel = new CesiumInspectorViewModel( scene, - performanceContainer + performanceContainer, ); const tile = new QuadtreeTile({ tilingScheme: new WebMercatorTilingScheme(), @@ -294,7 +294,7 @@ describe( it("does not try to render a non-renderable tile", function () { const viewModel = new CesiumInspectorViewModel( scene, - performanceContainer + performanceContainer, ); const tile = new QuadtreeTile({ tilingScheme: new WebMercatorTilingScheme(), @@ -313,5 +313,5 @@ describe( expect(viewModel.suspendUpdates).toBe(false); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/widgets/Specs/Geocoder/GeocoderSpec.js b/packages/widgets/Specs/Geocoder/GeocoderSpec.js index bcd1dded57a6..fbe1cd1b10d1 100644 --- a/packages/widgets/Specs/Geocoder/GeocoderSpec.js +++ b/packages/widgets/Specs/Geocoder/GeocoderSpec.js @@ -76,5 +76,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/widgets/Specs/Geocoder/GeocoderViewModelSpec.js b/packages/widgets/Specs/Geocoder/GeocoderViewModelSpec.js index 259763916407..350e4fd4e7ba 100644 --- a/packages/widgets/Specs/Geocoder/GeocoderViewModelSpec.js +++ b/packages/widgets/Specs/Geocoder/GeocoderViewModelSpec.js @@ -141,7 +141,7 @@ describe( const promise = new Promise((resolve) => { destinationFoundCallback = function (viewModel, destination) { GeocoderViewModel.flyToDestination(viewModel, destination).then( - resolve + resolve, ); }; }); @@ -212,7 +212,7 @@ describe( expect(geocoderViewModel._searchText).toEqual("a"); expect(destinationFoundCallback).toHaveBeenCalledWith( geocoderViewModel, - destination + destination, ); }); @@ -227,11 +227,11 @@ describe( await geocoderViewModel.search(); expect(geocoderViewModel._searchText).toEqual( - geocoderResults2[0].displayName + geocoderResults2[0].displayName, ); expect(destinationFoundCallback).toHaveBeenCalledWith( geocoderViewModel, - mockDestination + mockDestination, ); }); @@ -245,7 +245,7 @@ describe( geocoderViewModel._searchText = "sthsnth"; // an empty query will prevent geocoding await GeocoderViewModel._updateSearchSuggestions(geocoderViewModel); expect(geocoderViewModel._suggestions.length).toEqual( - geocoderResults1.length + geocoderResults2.length + geocoderResults1.length + geocoderResults2.length, ); expect(destinationFoundCallback).not.toHaveBeenCalled(); }); @@ -263,12 +263,12 @@ describe( await geocoderViewModel.search(); // await promise; expect(geocoderViewModel._searchText).toEqual( - geocoderResults2[0].displayName + geocoderResults2[0].displayName, ); expect(GeocoderViewModel.flyToDestination).not.toHaveBeenCalled(); expect(destinationFoundCallback).toHaveBeenCalledWith( geocoderViewModel, - mockDestination + mockDestination, ); }); @@ -286,26 +286,26 @@ describe( expect(geocoderViewModel._selectedSuggestion).toEqual(undefined); geocoderViewModel._handleArrowDown(geocoderViewModel); expect(geocoderViewModel._selectedSuggestion.displayName).toEqual( - "a" + "a", ); geocoderViewModel._handleArrowDown(geocoderViewModel); geocoderViewModel._handleArrowDown(geocoderViewModel); expect(geocoderViewModel._selectedSuggestion.displayName).toEqual( - "c" + "c", ); geocoderViewModel._handleArrowDown(geocoderViewModel); expect(geocoderViewModel._selectedSuggestion.displayName).toEqual( - "a" + "a", ); geocoderViewModel._handleArrowDown(geocoderViewModel); geocoderViewModel._handleArrowUp(geocoderViewModel); expect(geocoderViewModel._selectedSuggestion.displayName).toEqual( - "a" + "a", ); geocoderViewModel._handleArrowUp(geocoderViewModel); expect(geocoderViewModel._selectedSuggestion).toBeUndefined(); expect(destinationFoundCallback).not.toHaveBeenCalled(); - } + }, ); }); @@ -388,5 +388,5 @@ describe( expect(destinationFoundCallback).toHaveBeenCalled(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/widgets/Specs/HomeButton/HomeButtonSpec.js b/packages/widgets/Specs/HomeButton/HomeButtonSpec.js index 23a6a84b2743..10909d425e1a 100644 --- a/packages/widgets/Specs/HomeButton/HomeButtonSpec.js +++ b/packages/widgets/Specs/HomeButton/HomeButtonSpec.js @@ -51,5 +51,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/widgets/Specs/HomeButton/HomeButtonViewModelSpec.js b/packages/widgets/Specs/HomeButton/HomeButtonViewModelSpec.js index b66e9ee7b8a5..4f2e5914947a 100644 --- a/packages/widgets/Specs/HomeButton/HomeButtonViewModelSpec.js +++ b/packages/widgets/Specs/HomeButton/HomeButtonViewModelSpec.js @@ -59,5 +59,5 @@ describe( viewModel.command(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/widgets/Specs/I3SBSLExplorer/I3SBSLExplorerSpec.js b/packages/widgets/Specs/I3SBSLExplorer/I3SBSLExplorerSpec.js index 131ea187b0fb..7bc9ffff6d81 100644 --- a/packages/widgets/Specs/I3SBSLExplorer/I3SBSLExplorerSpec.js +++ b/packages/widgets/Specs/I3SBSLExplorer/I3SBSLExplorerSpec.js @@ -48,11 +48,11 @@ describe("Widgets/I3SBuildingSceneLayerExplorer/I3SBuildingSceneLayerExplorer", expect(container.children[0].childElementCount).toEqual(3); expect(container.children[0].children[0].localName).toEqual("h3"); expect(container.children[0].children[0].textContent).toEqual( - "Building explorer" + "Building explorer", ); expect(container.children[0].children[1].localName).toEqual("select"); expect(container.children[0].children[1].textContent).toEqual( - "Building layers not found" + "Building layers not found", ); expect(container.children[0].children[2].localName).toEqual("div"); expect(container.children[0].children[2].id).toEqual("bsl-wrapper"); @@ -85,14 +85,13 @@ describe("Widgets/I3SBuildingSceneLayerExplorer/I3SBuildingSceneLayerExplorer", i3sProvider.filterByAttributes = jasmine.createSpy(); const widget = new I3SBuildingSceneLayerExplorer( "testContainer", - i3sProvider + i3sProvider, ); expect(widget).toBeInstanceOf(I3SBuildingSceneLayerExplorer); const expander = document.querySelector(".expandItem"); - const nestedList = expander.parentElement.parentElement.querySelector( - "#Cat1-expander" - ); + const nestedList = + expander.parentElement.parentElement.querySelector("#Cat1-expander"); expect(expander.textContent).toEqual("+"); expect(nestedList.className).toEqual("nested"); DomEventSimulator.fireClick(expander); diff --git a/packages/widgets/Specs/I3SBSLExplorer/I3SBSLExplorerViewModelSpec.js b/packages/widgets/Specs/I3SBSLExplorer/I3SBSLExplorerViewModelSpec.js index 8d8c22af3c4f..08f8c8b1a667 100644 --- a/packages/widgets/Specs/I3SBSLExplorer/I3SBSLExplorerViewModelSpec.js +++ b/packages/widgets/Specs/I3SBSLExplorer/I3SBSLExplorerViewModelSpec.js @@ -67,22 +67,22 @@ describe("Widgets/I3SBuildingSceneLayerExplorer/I3SBuildingSceneLayerExplorerVie expect(viewModel.sublayers[0].sublayers[0].visibility).toEqual(true); expect(viewModel.sublayers[0].sublayers[0].sublayers.length).toEqual(2); expect(viewModel.sublayers[0].sublayers[0].sublayers[0].name).toEqual( - "SubCat1" + "SubCat1", ); expect(viewModel.sublayers[0].sublayers[0].sublayers[0].visibility).toEqual( - true + true, ); expect( - viewModel.sublayers[0].sublayers[0].sublayers[0].sublayers.length + viewModel.sublayers[0].sublayers[0].sublayers[0].sublayers.length, ).toEqual(0); expect(viewModel.sublayers[0].sublayers[0].sublayers[1].name).toEqual( - "SubCat2" + "SubCat2", ); expect(viewModel.sublayers[0].sublayers[0].sublayers[1].visibility).toEqual( - false + false, ); expect( - viewModel.sublayers[0].sublayers[0].sublayers[1].sublayers.length + viewModel.sublayers[0].sublayers[0].sublayers[1].sublayers.length, ).toEqual(0); expect(viewModel.topLayers.length).toEqual(3); @@ -91,7 +91,7 @@ describe("Widgets/I3SBuildingSceneLayerExplorer/I3SBuildingSceneLayerExplorerVie it("can create bsl explorer ViewModel if no Overview", function () { const viewModel = new I3SBuildingSceneLayerExplorerViewModel( - i3sProviderWithoutOverview + i3sProviderWithoutOverview, ); expect(viewModel.sublayers.length).toEqual(1); expect(viewModel.sublayers[0].name).toEqual("Full Model"); @@ -102,22 +102,22 @@ describe("Widgets/I3SBuildingSceneLayerExplorer/I3SBuildingSceneLayerExplorerVie expect(viewModel.sublayers[0].sublayers[0].visibility).toEqual(true); expect(viewModel.sublayers[0].sublayers[0].sublayers.length).toEqual(2); expect(viewModel.sublayers[0].sublayers[0].sublayers[0].name).toEqual( - "SubCat1" + "SubCat1", ); expect(viewModel.sublayers[0].sublayers[0].sublayers[0].visibility).toEqual( - true + true, ); expect( - viewModel.sublayers[0].sublayers[0].sublayers[0].sublayers.length + viewModel.sublayers[0].sublayers[0].sublayers[0].sublayers.length, ).toEqual(0); expect(viewModel.sublayers[0].sublayers[0].sublayers[1].name).toEqual( - "SubCat2" + "SubCat2", ); expect(viewModel.sublayers[0].sublayers[0].sublayers[1].visibility).toEqual( - false + false, ); expect( - viewModel.sublayers[0].sublayers[0].sublayers[1].sublayers.length + viewModel.sublayers[0].sublayers[0].sublayers[1].sublayers.length, ).toEqual(0); expect(viewModel.topLayers.length).toEqual(2); @@ -187,7 +187,7 @@ describe("Widgets/I3SBuildingSceneLayerExplorer/I3SBuildingSceneLayerExplorerVie i3sProviderWithoutOverview.filterByAttributes = jasmine.createSpy(); const viewModel = new I3SBuildingSceneLayerExplorerViewModel( - i3sProviderWithoutOverview + i3sProviderWithoutOverview, ); knockout.track(viewModel); diff --git a/packages/widgets/Specs/InfoBox/InfoBoxSpec.js b/packages/widgets/Specs/InfoBox/InfoBoxSpec.js index eb3131693caa..87becab3ad41 100644 --- a/packages/widgets/Specs/InfoBox/InfoBoxSpec.js +++ b/packages/widgets/Specs/InfoBox/InfoBoxSpec.js @@ -49,7 +49,7 @@ describe("Widgets/InfoBox/InfoBox", function () { infoBox.viewModel.description = '<div style="background-color: rgb(255, 255, 255);">Please do not crash</div>'; expect(infoElement.style["background-color"]).toEqual( - "rgb(255, 255, 255)" + "rgb(255, 255, 255)", ); return pollToPromise(function () { return node.innerHTML === infoBox.viewModel.description; diff --git a/packages/widgets/Specs/PerformanceWatchdog/PerformanceWatchdogSpec.js b/packages/widgets/Specs/PerformanceWatchdog/PerformanceWatchdogSpec.js index a6d37e6d4c36..37392e430f2f 100644 --- a/packages/widgets/Specs/PerformanceWatchdog/PerformanceWatchdogSpec.js +++ b/packages/widgets/Specs/PerformanceWatchdog/PerformanceWatchdogSpec.js @@ -70,5 +70,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/widgets/Specs/PerformanceWatchdog/PerformanceWatchdogViewModelSpec.js b/packages/widgets/Specs/PerformanceWatchdog/PerformanceWatchdogViewModelSpec.js index 7f737d46927c..111844304a47 100644 --- a/packages/widgets/Specs/PerformanceWatchdog/PerformanceWatchdogViewModelSpec.js +++ b/packages/widgets/Specs/PerformanceWatchdog/PerformanceWatchdogViewModelSpec.js @@ -199,5 +199,5 @@ describe( expect(viewModel.showingLowFrameRateMessage).toBe(false); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/widgets/Specs/ProjectionPicker/ProjectionPickerSpec.js b/packages/widgets/Specs/ProjectionPicker/ProjectionPickerSpec.js index ce99a4b39cb3..5e422e037993 100644 --- a/packages/widgets/Specs/ProjectionPicker/ProjectionPickerSpec.js +++ b/packages/widgets/Specs/ProjectionPicker/ProjectionPickerSpec.js @@ -96,7 +96,7 @@ describe( addCloseOnInputSpec("pointerDown", DomEventSimulator.firePointerDown); addDisabledDuringFlightSpec( "pointerDown", - DomEventSimulator.firePointerDown + DomEventSimulator.firePointerDown, ); addDisabledIn2DSpec("pointerDown", DomEventSimulator.firePointerDown); } else { @@ -105,7 +105,7 @@ describe( addDisabledDuringFlightSpec("mousedown", DomEventSimulator.fireMouseDown); addDisabledDuringFlightSpec( "touchstart", - DomEventSimulator.fireTouchStart + DomEventSimulator.fireTouchStart, ); addDisabledIn2DSpec("mousedown", DomEventSimulator.fireMouseDown); addDisabledIn2DSpec("touchstart", DomEventSimulator.fireTouchStart); @@ -129,5 +129,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/widgets/Specs/ProjectionPicker/ProjectionPickerViewModelSpec.js b/packages/widgets/Specs/ProjectionPicker/ProjectionPickerViewModelSpec.js index 5982b23a72b7..aed794b5bed9 100644 --- a/packages/widgets/Specs/ProjectionPicker/ProjectionPickerViewModelSpec.js +++ b/packages/widgets/Specs/ProjectionPicker/ProjectionPickerViewModelSpec.js @@ -94,5 +94,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/widgets/Specs/SceneModePicker/SceneModePickerSpec.js b/packages/widgets/Specs/SceneModePicker/SceneModePickerSpec.js index a311999f575c..123e4a9f217c 100644 --- a/packages/widgets/Specs/SceneModePicker/SceneModePickerSpec.js +++ b/packages/widgets/Specs/SceneModePicker/SceneModePickerSpec.js @@ -79,5 +79,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/widgets/Specs/SceneModePicker/SceneModePickerViewModelSpec.js b/packages/widgets/Specs/SceneModePicker/SceneModePickerViewModelSpec.js index 6bd6cefb22f4..81e1ca9ffeab 100644 --- a/packages/widgets/Specs/SceneModePicker/SceneModePickerViewModelSpec.js +++ b/packages/widgets/Specs/SceneModePicker/SceneModePickerViewModelSpec.js @@ -101,5 +101,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/widgets/Specs/SelectionIndicator/SelectionIndicatorSpec.js b/packages/widgets/Specs/SelectionIndicator/SelectionIndicatorSpec.js index c363ab246201..fa768a458aab 100644 --- a/packages/widgets/Specs/SelectionIndicator/SelectionIndicatorSpec.js +++ b/packages/widgets/Specs/SelectionIndicator/SelectionIndicatorSpec.js @@ -44,5 +44,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/widgets/Specs/SelectionIndicator/SelectionIndicatorViewModelSpec.js b/packages/widgets/Specs/SelectionIndicator/SelectionIndicatorViewModelSpec.js index 360f38b9e8fc..d68922c2afeb 100644 --- a/packages/widgets/Specs/SelectionIndicator/SelectionIndicatorViewModelSpec.js +++ b/packages/widgets/Specs/SelectionIndicator/SelectionIndicatorViewModelSpec.js @@ -25,11 +25,11 @@ describe( const viewModel = new SelectionIndicatorViewModel( scene, selectionIndicatorElement, - container + container, ); expect(viewModel.scene).toBe(scene); expect(viewModel.selectionIndicatorElement).toBe( - selectionIndicatorElement + selectionIndicatorElement, ); expect(viewModel.container).toBe(container); expect(viewModel.computeScreenSpacePosition).toBeDefined(); @@ -51,7 +51,7 @@ describe( expect(function () { return new SelectionIndicatorViewModel( scene, - selectionIndicatorElement + selectionIndicatorElement, ); }).toThrowDeveloperError(); }); @@ -60,7 +60,7 @@ describe( const viewModel = new SelectionIndicatorViewModel( scene, selectionIndicatorElement, - container + container, ); viewModel.animateAppear(); viewModel.animateDepart(); @@ -71,7 +71,7 @@ describe( const viewModel = new SelectionIndicatorViewModel( scene, selectionIndicatorElement, - container + container, ); viewModel.showSelection = true; viewModel.position = new Cartesian3(1.0, 2.0, 3.0); @@ -89,7 +89,7 @@ describe( const viewModel = new SelectionIndicatorViewModel( scene, selectionIndicatorElement, - container + container, ); expect(viewModel.isVisible).toBe(false); viewModel.showSelection = true; @@ -105,7 +105,7 @@ describe( const viewModel = new SelectionIndicatorViewModel( scene, selectionIndicatorElement, - container + container, ); viewModel.showSelection = true; viewModel.position = new Cartesian3(1.0, 2.0, 3.0); @@ -119,5 +119,5 @@ describe( document.body.removeChild(container); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/widgets/Specs/SvgPathBindingHandlerSpec.js b/packages/widgets/Specs/SvgPathBindingHandlerSpec.js index ecc403fed5e8..ebb5ebab0197 100644 --- a/packages/widgets/Specs/SvgPathBindingHandlerSpec.js +++ b/packages/widgets/Specs/SvgPathBindingHandlerSpec.js @@ -6,7 +6,7 @@ describe("ThirdParty/knockout", function () { div.setAttribute( "data-bind", '\ -cesiumSvgPath: { path: "M 100 100 L 300 100 L 200 300 Z", width: 28, height: 40, css: "someClass" }' +cesiumSvgPath: { path: "M 100 100 L 300 100 L 200 300 Z", width: 28, height: 40, css: "someClass" }', ); document.body.appendChild(div); @@ -32,7 +32,7 @@ cesiumSvgPath: { path: "M 100 100 L 300 100 L 200 300 Z", width: 28, height: 40, div.setAttribute( "data-bind", "\ -cesiumSvgPath: { path: p, width: w, height: h, css: c }" +cesiumSvgPath: { path: p, width: w, height: h, css: c }", ); document.body.appendChild(div); @@ -44,7 +44,7 @@ cesiumSvgPath: { path: p, width: w, height: h, css: c }" h: knockout.observable(40), c: knockout.observable("someClass"), }, - div + div, ); const svg = div.querySelector("svg.cesium-svgPath-svg"); @@ -63,8 +63,11 @@ cesiumSvgPath: { path: p, width: w, height: h, css: c }" it("check binding with observable parameter object", function () { const div = document.createElement("div"); - div.setAttribute("data-bind", "\ -cesiumSvgPath: svgPath"); + div.setAttribute( + "data-bind", + "\ +cesiumSvgPath: svgPath", + ); document.body.appendChild(div); diff --git a/packages/widgets/Specs/Viewer/ViewerSpec.js b/packages/widgets/Specs/Viewer/ViewerSpec.js index dc313e43702b..a8428eae1a3e 100644 --- a/packages/widgets/Specs/Viewer/ViewerSpec.js +++ b/packages/widgets/Specs/Viewer/ViewerSpec.js @@ -106,7 +106,7 @@ describe( expect(viewer.animation).toBeInstanceOf(Animation); expect(viewer.clockViewModel).toBeInstanceOf(ClockViewModel); expect(viewer.animation.viewModel.clockViewModel).toBe( - viewer.clockViewModel + viewer.clockViewModel, ); expect(viewer.timeline).toBeInstanceOf(Timeline); expect(viewer.fullscreenButton).toBeInstanceOf(FullscreenButton); @@ -120,7 +120,7 @@ describe( expect(viewer.canvas).toBe(viewer.cesiumWidget.canvas); expect(viewer.cesiumLogo).toBe(viewer.cesiumWidget.cesiumLogo); expect(viewer.screenSpaceEventHandler).toBe( - viewer.cesiumWidget.screenSpaceEventHandler + viewer.cesiumWidget.screenSpaceEventHandler, ); expect(viewer.useBrowserRecommendedResolution).toBe(true); expect(viewer.isDestroyed()).toEqual(false); @@ -133,7 +133,7 @@ describe( viewer = createViewer(container, { clockViewModel: clockViewModel }); expect(viewer.clockViewModel).toBe(clockViewModel); expect(viewer.animation.viewModel.clockViewModel).toBe( - viewer.clockViewModel + viewer.clockViewModel, ); viewer.destroy(); expect(clockViewModel.isDestroyed()).toBe(false); @@ -151,7 +151,7 @@ describe( const clockViewModel = new ClockViewModel( new Clock({ shouldAnimate: false, - }) + }), ); viewer = createViewer(container, { @@ -449,7 +449,7 @@ describe( fullscreenElement: testElement, }); expect(viewer.fullscreenButton.viewModel.fullscreenElement).toBe( - testElement + testElement, ); }); @@ -481,13 +481,13 @@ describe( expect(contextAttributes.stencil).toEqual(webglOptions.stencil); expect(contextAttributes.antialias).toEqual(webglOptions.antialias); expect(contextAttributes.powerPreference).toEqual( - webglOptions.powerPreference + webglOptions.powerPreference, ); expect(contextAttributes.premultipliedAlpha).toEqual( - webglOptions.premultipliedAlpha + webglOptions.premultipliedAlpha, ); expect(contextAttributes.preserveDrawingBuffer).toEqual( - webglOptions.preserveDrawingBuffer + webglOptions.preserveDrawingBuffer, ); }); @@ -522,10 +522,10 @@ describe( await pollToPromise(() => viewer.scene.imageryLayers.get(0).ready); expect(viewer.scene.imageryLayers.length).toEqual(1); expect(viewer.scene.imageryLayers.get(0).imageryProvider).toBe( - testProvider + testProvider, ); expect(viewer.baseLayerPicker.viewModel.selectedImagery).toBe( - testProviderViewModel + testProviderViewModel, ); }); @@ -539,16 +539,16 @@ describe( await pollToPromise(() => viewer.scene.imageryLayers.get(0).ready); expect(viewer.scene.imageryLayers.length).toEqual(1); expect(viewer.scene.imageryLayers.get(0).imageryProvider).toBe( - testProvider + testProvider, ); expect(viewer.baseLayerPicker.viewModel.selectedImagery).toBe( - testProviderViewModel + testProviderViewModel, ); expect( - viewer.baseLayerPicker.viewModel.imageryProviderViewModels.length + viewer.baseLayerPicker.viewModel.imageryProviderViewModels.length, ).toBe(models.length); expect( - viewer.baseLayerPicker.viewModel.imageryProviderViewModels[0] + viewer.baseLayerPicker.viewModel.imageryProviderViewModels[0], ).toEqual(models[0]); }); @@ -559,7 +559,7 @@ describe( }); expect(viewer.scene.imageryLayers.length).toEqual(1); expect(viewer.scene.imageryLayers.get(0).imageryProvider).toBe( - testProvider + testProvider, ); }); @@ -726,9 +726,8 @@ describe( dataSource.clock = new DataSourceClock(); dataSource.clock.startTime = JulianDate.fromIso8601("2013-08-01T18:00Z"); dataSource.clock.stopTime = JulianDate.fromIso8601("2013-08-21T02:00Z"); - dataSource.clock.currentTime = JulianDate.fromIso8601( - "2013-08-02T00:00Z" - ); + dataSource.clock.currentTime = + JulianDate.fromIso8601("2013-08-02T00:00Z"); dataSource.clock.clockRange = ClockRange.CLAMPED; dataSource.clock.clockStep = ClockStep.TICK_DEPENDENT; dataSource.clock.multiplier = 20.0; @@ -749,9 +748,8 @@ describe( dataSource1.clock = new DataSourceClock(); dataSource1.clock.startTime = JulianDate.fromIso8601("2013-08-01T18:00Z"); dataSource1.clock.stopTime = JulianDate.fromIso8601("2013-08-21T02:00Z"); - dataSource1.clock.currentTime = JulianDate.fromIso8601( - "2013-08-02T00:00Z" - ); + dataSource1.clock.currentTime = + JulianDate.fromIso8601("2013-08-02T00:00Z"); let dataSource2, dataSource3; viewer = createViewer(container); @@ -763,15 +761,12 @@ describe( dataSource2 = new MockDataSource(); dataSource2.clock = new DataSourceClock(); - dataSource2.clock.startTime = JulianDate.fromIso8601( - "2014-08-01T18:00Z" - ); - dataSource2.clock.stopTime = JulianDate.fromIso8601( - "2014-08-21T02:00Z" - ); - dataSource2.clock.currentTime = JulianDate.fromIso8601( - "2014-08-02T00:00Z" - ); + dataSource2.clock.startTime = + JulianDate.fromIso8601("2014-08-01T18:00Z"); + dataSource2.clock.stopTime = + JulianDate.fromIso8601("2014-08-21T02:00Z"); + dataSource2.clock.currentTime = + JulianDate.fromIso8601("2014-08-02T00:00Z"); viewer.dataSources.add(dataSource2); }) @@ -781,15 +776,12 @@ describe( dataSource3 = new MockDataSource(); dataSource3.clock = new DataSourceClock(); - dataSource3.clock.startTime = JulianDate.fromIso8601( - "2015-08-01T18:00Z" - ); - dataSource3.clock.stopTime = JulianDate.fromIso8601( - "2015-08-21T02:00Z" - ); - dataSource3.clock.currentTime = JulianDate.fromIso8601( - "2015-08-02T00:00Z" - ); + dataSource3.clock.startTime = + JulianDate.fromIso8601("2015-08-01T18:00Z"); + dataSource3.clock.stopTime = + JulianDate.fromIso8601("2015-08-21T02:00Z"); + dataSource3.clock.currentTime = + JulianDate.fromIso8601("2015-08-02T00:00Z"); viewer.dataSources.add(dataSource3); }) @@ -814,22 +806,19 @@ describe( dataSource.clock = new DataSourceClock(); dataSource.clock.startTime = JulianDate.fromIso8601("2013-08-01T18:00Z"); dataSource.clock.stopTime = JulianDate.fromIso8601("2013-08-21T02:00Z"); - dataSource.clock.currentTime = JulianDate.fromIso8601( - "2013-08-02T00:00Z" - ); + dataSource.clock.currentTime = + JulianDate.fromIso8601("2013-08-02T00:00Z"); dataSource.clock.clockRange = ClockRange.CLAMPED; dataSource.clock.clockStep = ClockStep.TICK_DEPENDENT; dataSource.clock.multiplier = 20.0; viewer = createViewer(container); return viewer.dataSources.add(dataSource).then(function () { - dataSource.clock.startTime = JulianDate.fromIso8601( - "2014-08-01T18:00Z" - ); + dataSource.clock.startTime = + JulianDate.fromIso8601("2014-08-01T18:00Z"); dataSource.clock.stopTime = JulianDate.fromIso8601("2014-08-21T02:00Z"); - dataSource.clock.currentTime = JulianDate.fromIso8601( - "2014-08-02T00:00Z" - ); + dataSource.clock.currentTime = + JulianDate.fromIso8601("2014-08-02T00:00Z"); dataSource.clock.clockRange = ClockRange.UNBOUNDED; dataSource.clock.clockStep = ClockStep.SYSTEM_CLOCK_MULTIPLIER; dataSource.clock.multiplier = 10.0; @@ -857,9 +846,8 @@ describe( dataSource1.clock = new DataSourceClock(); dataSource1.clock.startTime = JulianDate.fromIso8601("2013-08-01T18:00Z"); dataSource1.clock.stopTime = JulianDate.fromIso8601("2013-08-21T02:00Z"); - dataSource1.clock.currentTime = JulianDate.fromIso8601( - "2013-08-02T00:00Z" - ); + dataSource1.clock.currentTime = + JulianDate.fromIso8601("2013-08-02T00:00Z"); viewer = createViewer(container, { automaticallyTrackDataSourceClocks: false, @@ -874,7 +862,7 @@ describe( expect(viewer.clockTrackedDataSource).not.toBeDefined(); // The mock data source time is in the past, so will not be the default time. expect(viewer.clock.startTime).not.toEqual( - dataSource1.clock.startTime + dataSource1.clock.startTime, ); // Manually set the first data source as the tracked data source. @@ -884,15 +872,12 @@ describe( dataSource2 = new MockDataSource(); dataSource2.clock = new DataSourceClock(); - dataSource2.clock.startTime = JulianDate.fromIso8601( - "2014-08-01T18:00Z" - ); - dataSource2.clock.stopTime = JulianDate.fromIso8601( - "2014-08-21T02:00Z" - ); - dataSource2.clock.currentTime = JulianDate.fromIso8601( - "2014-08-02T00:00Z" - ); + dataSource2.clock.startTime = + JulianDate.fromIso8601("2014-08-01T18:00Z"); + dataSource2.clock.stopTime = + JulianDate.fromIso8601("2014-08-21T02:00Z"); + dataSource2.clock.currentTime = + JulianDate.fromIso8601("2014-08-02T00:00Z"); // Adding a second data source in manual mode still leaves the first one tracked. viewer.dataSources.add(dataSource2); @@ -920,11 +905,11 @@ describe( return !viewer.useDefaultRenderLoop; }).catch(function () { expect( - viewer._element.querySelector(".cesium-widget-errorPanel") + viewer._element.querySelector(".cesium-widget-errorPanel"), ).not.toBeNull(); const messages = viewer._element.querySelectorAll( - ".cesium-widget-errorPanel-message" + ".cesium-widget-errorPanel-message", ); let found = false; @@ -938,11 +923,11 @@ describe( // click the OK button to dismiss the panel DomEventSimulator.fireClick( - viewer._element.querySelector(".cesium-button") + viewer._element.querySelector(".cesium-button"), ); expect( - viewer._element.querySelector(".cesium-widget-errorPanel") + viewer._element.querySelector(".cesium-widget-errorPanel"), ).toBeNull(); }); }); @@ -961,7 +946,7 @@ describe( return !viewer.useDefaultRenderLoop; }).catch(function () { expect( - viewer._element.querySelector(".cesium-widget-errorPanel") + viewer._element.querySelector(".cesium-widget-errorPanel"), ).toBeNull(); }); }); @@ -980,7 +965,7 @@ describe( }); expect(viewer.scene.maximumRenderTimeChange).toBe( - Number.POSITIVE_INFINITY + Number.POSITIVE_INFINITY, ); }); @@ -990,7 +975,7 @@ describe( }); expect(viewer.scene._depthPlane._ellipsoidOffset).toBe( - Number.POSITIVE_INFINITY + Number.POSITIVE_INFINITY, ); }); @@ -999,7 +984,7 @@ describe( const entity = new Entity(); entity.position = new ConstantProperty( - new Cartesian3(123456, 123456, 123456) + new Cartesian3(123456, 123456, 123456), ); viewer.trackedEntity = entity; @@ -1017,7 +1002,7 @@ describe( const entity = new Entity(); entity.position = new ConstantPositionProperty( - new Cartesian3(123456, 123456, 123456) + new Cartesian3(123456, 123456, 123456), ); dataSource.entities.add(entity); @@ -1039,7 +1024,7 @@ describe( const entity = new Entity(); entity.position = new ConstantPositionProperty( - new Cartesian3(123456, 123456, 123456) + new Cartesian3(123456, 123456, 123456), ); dataSource.entities.add(entity); @@ -1065,7 +1050,7 @@ describe( const entity = new Entity(); entity.position = new ConstantPositionProperty( - new Cartesian3(123456, 123456, 123456) + new Cartesian3(123456, 123456, 123456), ); dataSource.entities.add(entity); @@ -1121,7 +1106,7 @@ describe( const entity = new Entity(); entity.position = new ConstantProperty( - new Cartesian3(123456, 123456, 123456) + new Cartesian3(123456, 123456, 123456), ); viewer.trackedEntity = entity; @@ -1143,7 +1128,7 @@ describe( const entity = new Entity(); entity.position = new ConstantProperty( - new Cartesian3(123456, 123456, 123456) + new Cartesian3(123456, 123456, 123456), ); const dataSource = new MockDataSource(); @@ -1159,9 +1144,9 @@ describe( return Cartesian3.equals( Matrix4.getTranslation( viewer.scene.camera.transform, - new Cartesian3() + new Cartesian3(), ), - entity.position.getValue() + entity.position.getValue(), ); }).then(function () { dataSource.entities.remove(entity); @@ -1180,9 +1165,9 @@ describe( return Cartesian3.equals( Matrix4.getTranslation( viewer.scene.camera.transform, - new Cartesian3() + new Cartesian3(), ), - entity.position.getValue() + entity.position.getValue(), ); }).then(function () { viewer.dataSources.remove(dataSource); @@ -1236,18 +1221,17 @@ describe( const expectedOffset = new HeadingPitchRange( 0.0, -0.5, - expectedBoundingSphere.radius + expectedBoundingSphere.radius, ); let wasCompleted = false; - spyOn(viewer.camera, "viewBoundingSphere").and.callFake(function ( - boundingSphere, - offset - ) { - expect(boundingSphere).toEqual(expectedBoundingSphere); - expect(offset).toEqual(expectedOffset); - wasCompleted = true; - }); + spyOn(viewer.camera, "viewBoundingSphere").and.callFake( + function (boundingSphere, offset) { + expect(boundingSphere).toEqual(expectedBoundingSphere); + expect(offset).toEqual(expectedOffset); + wasCompleted = true; + }, + ); const promise = viewer.zoomTo(tileset); viewer._postRender(); @@ -1268,19 +1252,18 @@ describe( const expectedOffset = new HeadingPitchRange( 0.4, 1.2, - 4.0 * expectedBoundingSphere.radius + 4.0 * expectedBoundingSphere.radius, ); const promise = viewer.zoomTo(tileset, expectedOffset); let wasCompleted = false; - spyOn(viewer.camera, "viewBoundingSphere").and.callFake(function ( - boundingSphere, - offset - ) { - expect(boundingSphere).toEqual(expectedBoundingSphere); - expect(offset).toEqual(expectedOffset); - wasCompleted = true; - }); + spyOn(viewer.camera, "viewBoundingSphere").and.callFake( + function (boundingSphere, offset) { + expect(boundingSphere).toEqual(expectedBoundingSphere); + expect(offset).toEqual(expectedOffset); + wasCompleted = true; + }, + ); viewer._postRender(); @@ -1303,12 +1286,11 @@ describe( }; } - const timeIntervalCollection = TimeIntervalCollection.fromIso8601DateArray( - { + const timeIntervalCollection = + TimeIntervalCollection.fromIso8601DateArray({ iso8601Dates: dates, dataCallback: dataCallback, - } - ); + }); const pointCloud = new TimeDynamicPointCloud({ intervals: timeIntervalCollection, @@ -1338,19 +1320,18 @@ describe( const expectedOffset = new HeadingPitchRange( 0.0, -0.5, - expectedBoundingSphere.radius + expectedBoundingSphere.radius, ); const promise = viewer.zoomTo(pointCloud); let wasCompleted = false; - spyOn(viewer.camera, "viewBoundingSphere").and.callFake(function ( - boundingSphere, - offset - ) { - expect(boundingSphere).toEqual(expectedBoundingSphere); - expect(offset).toEqual(expectedOffset); - wasCompleted = true; - }); + spyOn(viewer.camera, "viewBoundingSphere").and.callFake( + function (boundingSphere, offset) { + expect(boundingSphere).toEqual(expectedBoundingSphere); + expect(offset).toEqual(expectedOffset); + wasCompleted = true; + }, + ); viewer._postRender(); @@ -1368,19 +1349,18 @@ describe( const expectedOffset = new HeadingPitchRange( 0.4, 1.2, - 4.0 * expectedBoundingSphere.radius + 4.0 * expectedBoundingSphere.radius, ); const promise = viewer.zoomTo(pointCloud, expectedOffset); let wasCompleted = false; - spyOn(viewer.camera, "viewBoundingSphere").and.callFake(function ( - boundingSphere, - offset - ) { - expect(boundingSphere).toEqual(expectedBoundingSphere); - expect(offset).toEqual(expectedOffset); - wasCompleted = true; - }); + spyOn(viewer.camera, "viewBoundingSphere").and.callFake( + function (boundingSphere, offset) { + expect(boundingSphere).toEqual(expectedBoundingSphere); + expect(offset).toEqual(expectedOffset); + wasCompleted = true; + }, + ); viewer._postRender(); @@ -1394,7 +1374,7 @@ describe( async function loadVoxelPrimitive(viewer) { const voxelPrimitive = new VoxelPrimitive({ provider: await Cesium3DTilesVoxelProvider.fromUrl( - "./Data/Cesium3DTiles/Voxel/VoxelEllipsoid3DTiles/tileset.json" + "./Data/Cesium3DTiles/Voxel/VoxelEllipsoid3DTiles/tileset.json", ), }); viewer.scene.primitives.add(voxelPrimitive); @@ -1409,19 +1389,18 @@ describe( const expectedOffset = new HeadingPitchRange( 0.0, -0.5, - expectedBoundingSphere.radius + expectedBoundingSphere.radius, ); const promise = viewer.zoomTo(voxelPrimitive); let wasCompleted = false; - spyOn(viewer.camera, "viewBoundingSphere").and.callFake(function ( - boundingSphere, - offset - ) { - expect(boundingSphere).toEqual(expectedBoundingSphere); - expect(offset).toEqual(expectedOffset); - wasCompleted = true; - }); + spyOn(viewer.camera, "viewBoundingSphere").and.callFake( + function (boundingSphere, offset) { + expect(boundingSphere).toEqual(expectedBoundingSphere); + expect(offset).toEqual(expectedOffset); + wasCompleted = true; + }, + ); viewer._postRender(); @@ -1439,19 +1418,18 @@ describe( const expectedOffset = new HeadingPitchRange( 0.4, 1.2, - 4.0 * expectedBoundingSphere.radius + 4.0 * expectedBoundingSphere.radius, ); const promise = viewer.zoomTo(voxelPrimitive, expectedOffset); let wasCompleted = false; - spyOn(viewer.camera, "viewBoundingSphere").and.callFake(function ( - boundingSphere, - offset - ) { - expect(boundingSphere).toEqual(expectedBoundingSphere); - expect(offset).toEqual(expectedOffset); - wasCompleted = true; - }); + spyOn(viewer.camera, "viewBoundingSphere").and.callFake( + function (boundingSphere, offset) { + expect(boundingSphere).toEqual(expectedBoundingSphere); + expect(offset).toEqual(expectedOffset); + wasCompleted = true; + }, + ); viewer._postRender(); @@ -1479,18 +1457,17 @@ describe( spyOn(viewer._dataSourceDisplay, "getBoundingSphere").and.callFake( function () { return new BoundingSphere(); - } + }, ); - spyOn(viewer.camera, "viewBoundingSphere").and.callFake(function ( - boundingSphere, - offset - ) { - expect(boundingSphere).toBeDefined(); - // expect offset to be undefined - doesn't use default bc of how zoomTo for entities is set up - expect(offset).toBeUndefined(); - wasCompleted = true; - }); + spyOn(viewer.camera, "viewBoundingSphere").and.callFake( + function (boundingSphere, offset) { + expect(boundingSphere).toBeDefined(); + // expect offset to be undefined - doesn't use default bc of how zoomTo for entities is set up + expect(offset).toBeUndefined(); + wasCompleted = true; + }, + ); viewer._postRender(); @@ -1519,15 +1496,14 @@ describe( spyOn(viewer._dataSourceDisplay, "getBoundingSphere").and.callFake( function () { return new BoundingSphere(); - } + }, + ); + spyOn(viewer.camera, "viewBoundingSphere").and.callFake( + function (boundingSphere, offset) { + expect(expectedOffset).toEqual(offset); + wasCompleted = true; + }, ); - spyOn(viewer.camera, "viewBoundingSphere").and.callFake(function ( - boundingSphere, - offset - ) { - expect(expectedOffset).toEqual(offset); - wasCompleted = true; - }); viewer._postRender(); @@ -1582,16 +1558,15 @@ describe( const promise = viewer.flyTo(tileset); let wasCompleted = false; - spyOn(viewer.camera, "flyToBoundingSphere").and.callFake(function ( - target, - options - ) { - expect(options.offset).toBeDefined(); - expect(options.duration).toBeUndefined(); - expect(options.maximumHeight).toBeUndefined(); - wasCompleted = true; - options.complete(); - }); + spyOn(viewer.camera, "flyToBoundingSphere").and.callFake( + function (target, options) { + expect(options.offset).toBeDefined(); + expect(options.duration).toBeUndefined(); + expect(options.maximumHeight).toBeUndefined(); + wasCompleted = true; + options.complete(); + }, + ); viewer._postRender(); @@ -1612,16 +1587,15 @@ describe( const promise = viewer.flyTo(tileset, options); let wasCompleted = false; - spyOn(viewer.camera, "flyToBoundingSphere").and.callFake(function ( - target, - options - ) { - expect(options.offset).toBeDefined(); - expect(options.duration).toBeUndefined(); - expect(options.maximumHeight).toBeUndefined(); - wasCompleted = true; - options.complete(); - }); + spyOn(viewer.camera, "flyToBoundingSphere").and.callFake( + function (target, options) { + expect(options.offset).toBeDefined(); + expect(options.duration).toBeUndefined(); + expect(options.maximumHeight).toBeUndefined(); + wasCompleted = true; + options.complete(); + }, + ); viewer._postRender(); @@ -1647,15 +1621,14 @@ describe( const promise = viewer.flyTo(tileset, options); let wasCompleted = false; - spyOn(viewer.camera, "flyToBoundingSphere").and.callFake(function ( - target, - options - ) { - expect(options.duration).toBeDefined(); - expect(options.maximumHeight).toBeDefined(); - wasCompleted = true; - options.complete(); - }); + spyOn(viewer.camera, "flyToBoundingSphere").and.callFake( + function (target, options) { + expect(options.duration).toBeDefined(); + expect(options.maximumHeight).toBeDefined(); + wasCompleted = true; + options.complete(); + }, + ); viewer._postRender(); @@ -1670,16 +1643,15 @@ describe( const promise = viewer.flyTo(pointCloud); let wasCompleted = false; - spyOn(viewer.camera, "flyToBoundingSphere").and.callFake(function ( - target, - options - ) { - expect(options.offset).toBeDefined(); - expect(options.duration).toBeUndefined(); - expect(options.maximumHeight).toBeUndefined(); - wasCompleted = true; - options.complete(); - }); + spyOn(viewer.camera, "flyToBoundingSphere").and.callFake( + function (target, options) { + expect(options.offset).toBeDefined(); + expect(options.duration).toBeUndefined(); + expect(options.maximumHeight).toBeUndefined(); + wasCompleted = true; + options.complete(); + }, + ); viewer._postRender(); @@ -1697,16 +1669,15 @@ describe( const promise = viewer.flyTo(pointCloud, options); let wasCompleted = false; - spyOn(viewer.camera, "flyToBoundingSphere").and.callFake(function ( - target, - options - ) { - expect(options.offset).toBeDefined(); - expect(options.duration).toBeUndefined(); - expect(options.maximumHeight).toBeUndefined(); - wasCompleted = true; - options.complete(); - }); + spyOn(viewer.camera, "flyToBoundingSphere").and.callFake( + function (target, options) { + expect(options.offset).toBeDefined(); + expect(options.duration).toBeUndefined(); + expect(options.maximumHeight).toBeUndefined(); + wasCompleted = true; + options.complete(); + }, + ); viewer._postRender(); @@ -1729,15 +1700,14 @@ describe( const promise = viewer.flyTo(pointCloud, options); let wasCompleted = false; - spyOn(viewer.camera, "flyToBoundingSphere").and.callFake(function ( - target, - options - ) { - expect(options.duration).toBeDefined(); - expect(options.maximumHeight).toBeDefined(); - wasCompleted = true; - options.complete(); - }); + spyOn(viewer.camera, "flyToBoundingSphere").and.callFake( + function (target, options) { + expect(options.duration).toBeDefined(); + expect(options.maximumHeight).toBeDefined(); + wasCompleted = true; + options.complete(); + }, + ); viewer._postRender(); @@ -1755,16 +1725,15 @@ describe( const promise = viewer.flyTo(voxelPrimitive); let wasCompleted = false; - spyOn(viewer.camera, "flyToBoundingSphere").and.callFake(function ( - target, - options - ) { - expect(options.offset).toBeDefined(); - expect(options.duration).toBeUndefined(); - expect(options.maximumHeight).toBeUndefined(); - wasCompleted = true; - options.complete(); - }); + spyOn(viewer.camera, "flyToBoundingSphere").and.callFake( + function (target, options) { + expect(options.offset).toBeDefined(); + expect(options.duration).toBeUndefined(); + expect(options.maximumHeight).toBeUndefined(); + wasCompleted = true; + options.complete(); + }, + ); viewer._postRender(); @@ -1782,16 +1751,15 @@ describe( const promise = viewer.flyTo(voxelPrimitive, options); let wasCompleted = false; - spyOn(viewer.camera, "flyToBoundingSphere").and.callFake(function ( - target, - options - ) { - expect(options.offset).toBeDefined(); - expect(options.duration).toBeUndefined(); - expect(options.maximumHeight).toBeUndefined(); - wasCompleted = true; - options.complete(); - }); + spyOn(viewer.camera, "flyToBoundingSphere").and.callFake( + function (target, options) { + expect(options.offset).toBeDefined(); + expect(options.duration).toBeUndefined(); + expect(options.maximumHeight).toBeUndefined(); + wasCompleted = true; + options.complete(); + }, + ); viewer._postRender(); @@ -1816,15 +1784,14 @@ describe( const promise = viewer.flyTo(voxelPrimitive, options); let wasCompleted = false; - spyOn(viewer.camera, "flyToBoundingSphere").and.callFake(function ( - target, - options - ) { - expect(options.duration).toBeDefined(); - expect(options.maximumHeight).toBeDefined(); - wasCompleted = true; - options.complete(); - }); + spyOn(viewer.camera, "flyToBoundingSphere").and.callFake( + function (target, options) { + expect(options.duration).toBeDefined(); + expect(options.maximumHeight).toBeDefined(); + wasCompleted = true; + options.complete(); + }, + ); viewer._postRender(); @@ -1852,17 +1819,16 @@ describe( spyOn(viewer._dataSourceDisplay, "getBoundingSphere").and.callFake( function () { return new BoundingSphere(); - } + }, + ); + spyOn(viewer.camera, "flyToBoundingSphere").and.callFake( + function (target, options) { + expect(options.duration).toBeUndefined(); + expect(options.maximumHeight).toBeUndefined(); + wasCompleted = true; + options.complete(); + }, ); - spyOn(viewer.camera, "flyToBoundingSphere").and.callFake(function ( - target, - options - ) { - expect(options.duration).toBeUndefined(); - expect(options.maximumHeight).toBeUndefined(); - wasCompleted = true; - options.complete(); - }); viewer._postRender(); @@ -1892,16 +1858,15 @@ describe( const promise = viewer.flyTo(voxelPrimitive); let wasCompleted = false; - spyOn(viewer.camera, "flyToBoundingSphere").and.callFake(function ( - target, - options - ) { - expect(options.offset).toBeDefined(); - expect(options.duration).toBeUndefined(); - expect(options.maximumHeight).toBeUndefined(); - wasCompleted = true; - options.complete(); - }); + spyOn(viewer.camera, "flyToBoundingSphere").and.callFake( + function (target, options) { + expect(options.offset).toBeDefined(); + expect(options.duration).toBeUndefined(); + expect(options.maximumHeight).toBeUndefined(); + wasCompleted = true; + options.complete(); + }, + ); viewer._postRender(); @@ -1919,16 +1884,15 @@ describe( const promise = viewer.flyTo(voxelPrimitive, options); let wasCompleted = false; - spyOn(viewer.camera, "flyToBoundingSphere").and.callFake(function ( - target, - options - ) { - expect(options.offset).toBeDefined(); - expect(options.duration).toBeUndefined(); - expect(options.maximumHeight).toBeUndefined(); - wasCompleted = true; - options.complete(); - }); + spyOn(viewer.camera, "flyToBoundingSphere").and.callFake( + function (target, options) { + expect(options.offset).toBeDefined(); + expect(options.duration).toBeUndefined(); + expect(options.maximumHeight).toBeUndefined(); + wasCompleted = true; + options.complete(); + }, + ); viewer._postRender(); @@ -1953,15 +1917,14 @@ describe( const promise = viewer.flyTo(voxelPrimitive, options); let wasCompleted = false; - spyOn(viewer.camera, "flyToBoundingSphere").and.callFake(function ( - target, - options - ) { - expect(options.duration).toBeDefined(); - expect(options.maximumHeight).toBeDefined(); - wasCompleted = true; - options.complete(); - }); + spyOn(viewer.camera, "flyToBoundingSphere").and.callFake( + function (target, options) { + expect(options.duration).toBeDefined(); + expect(options.maximumHeight).toBeDefined(); + wasCompleted = true; + options.complete(); + }, + ); viewer._postRender(); @@ -1991,17 +1954,16 @@ describe( spyOn(viewer._dataSourceDisplay, "getBoundingSphere").and.callFake( function () { return new BoundingSphere(); - } + }, + ); + spyOn(viewer.camera, "flyToBoundingSphere").and.callFake( + function (target, options) { + expect(options.duration).toBeUndefined(); + expect(options.maximumHeight).toBeUndefined(); + wasCompleted = true; + options.complete(); + }, ); - spyOn(viewer.camera, "flyToBoundingSphere").and.callFake(function ( - target, - options - ) { - expect(options.duration).toBeUndefined(); - expect(options.maximumHeight).toBeUndefined(); - wasCompleted = true; - options.complete(); - }); viewer._postRender(); @@ -2035,17 +1997,16 @@ describe( spyOn(viewer._dataSourceDisplay, "getBoundingSphere").and.callFake( function () { return new BoundingSphere(); - } + }, + ); + spyOn(viewer.camera, "flyToBoundingSphere").and.callFake( + function (target, options) { + expect(options.duration).toBeDefined(); + expect(options.maximumHeight).toBeDefined(); + wasCompleted = true; + options.complete(); + }, ); - spyOn(viewer.camera, "flyToBoundingSphere").and.callFake(function ( - target, - options - ) { - expect(options.duration).toBeDefined(); - expect(options.maximumHeight).toBeDefined(); - wasCompleted = true; - options.complete(); - }); viewer._postRender(); @@ -2077,17 +2038,16 @@ describe( spyOn(viewer._dataSourceDisplay, "getBoundingSphere").and.callFake( function () { return new BoundingSphere(); - } + }, + ); + spyOn(viewer.camera, "flyToBoundingSphere").and.callFake( + function (target, options) { + expect(options.duration).toBeUndefined(); + expect(options.maximumHeight).toBeUndefined(); + wasCompleted = true; + options.complete(); + }, ); - spyOn(viewer.camera, "flyToBoundingSphere").and.callFake(function ( - target, - options - ) { - expect(options.duration).toBeUndefined(); - expect(options.maximumHeight).toBeUndefined(); - wasCompleted = true; - options.complete(); - }); viewer._postRender(); @@ -2117,13 +2077,13 @@ describe( viewer = viewer.destroy(); expect( - preMixinDataSource.entities.collectionChanged._listeners.length + preMixinDataSource.entities.collectionChanged._listeners.length, ).not.toEqual(preMixinListenerCount); expect( - postMixinDataSource.entities.collectionChanged._listeners.length + postMixinDataSource.entities.collectionChanged._listeners.length, ).not.toEqual(postMixinListenerCount); }); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/widgets/Specs/Viewer/viewerDragDropMixinSpec.js b/packages/widgets/Specs/Viewer/viewerDragDropMixinSpec.js index d730ac1f4136..882900e0b2b4 100644 --- a/packages/widgets/Specs/Viewer/viewerDragDropMixinSpec.js +++ b/packages/widgets/Specs/Viewer/viewerDragDropMixinSpec.js @@ -285,7 +285,7 @@ describe( expect(spyListener).toHaveBeenCalledWith( viewer, "czml1.czml", - jasmine.any(SyntaxError) + jasmine.any(SyntaxError), ); viewer.dropError.removeEventListener(spyListener); @@ -320,7 +320,7 @@ describe( expect(spyListener).toHaveBeenCalledWith( viewer, mockEvent.dataTransfer.files[0].name, - mockEvent.dataTransfer.files[0].errorMessage + mockEvent.dataTransfer.files[0].errorMessage, ); viewer.dropError.removeEventListener(spyListener); @@ -471,5 +471,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/widgets/Specs/Viewer/viewerPerformanceWatchdogMixinSpec.js b/packages/widgets/Specs/Viewer/viewerPerformanceWatchdogMixinSpec.js index 3526b0304dc5..fe64352157af 100644 --- a/packages/widgets/Specs/Viewer/viewerPerformanceWatchdogMixinSpec.js +++ b/packages/widgets/Specs/Viewer/viewerPerformanceWatchdogMixinSpec.js @@ -36,7 +36,7 @@ describe( lowFrameRateMessage: "Foo", }); expect(viewer.performanceWatchdog.viewModel.lowFrameRateMessage).toBe( - "Foo" + "Foo", ); }); @@ -46,5 +46,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/packages/widgets/Specs/VoxelInspector/VoxelInspectorSpec.js b/packages/widgets/Specs/VoxelInspector/VoxelInspectorSpec.js index c2f12bd41369..b269e347787e 100644 --- a/packages/widgets/Specs/VoxelInspector/VoxelInspectorSpec.js +++ b/packages/widgets/Specs/VoxelInspector/VoxelInspectorSpec.js @@ -51,5 +51,5 @@ describe( }).toThrowDeveloperError(); }); }, - "WebGL" + "WebGL", ); diff --git a/server.js b/server.js index 057beb0f0d98..b5092672ce6f 100644 --- a/server.js +++ b/server.js @@ -104,7 +104,7 @@ async function generateDevelopmentBuild() { }); console.log( - `Cesium built in ${formatTimeSinceInSeconds(startTime)} seconds.` + `Cesium built in ${formatTimeSinceInSeconds(startTime)} seconds.`, ); return contexts; @@ -140,7 +140,7 @@ async function generateDevelopmentBuild() { ], "text/plain": ["glsl"], }, - true + true, ); const app = express(); @@ -150,7 +150,7 @@ async function generateDevelopmentBuild() { res.header("Access-Control-Allow-Origin", "*"); res.header( "Access-Control-Allow-Headers", - "Origin, X-Requested-With, Content-Type, Accept" + "Origin, X-Requested-With, Content-Type, Accept", ); next(); }); @@ -193,19 +193,19 @@ async function generateDevelopmentBuild() { "Cesium.js", "/Build/CesiumUnminified/Cesium.js*", contexts.iife, - [iifeWorkersCache] + [iifeWorkersCache], ); const esmCache = createRoute( app, "index.js", "/Build/CesiumUnminified/index.js*", - contexts.esm + contexts.esm, ); const workersCache = createRoute( app, "Workers/*", "/Build/CesiumUnminified/Workers/*.js", - contexts.workers + contexts.workers, ); const glslWatcher = chokidar.watch(shaderFiles, { ignoreInitial: true }); @@ -232,7 +232,7 @@ async function generateDevelopmentBuild() { app, "TestWorkers/*", "/Build/Specs/TestWorkers/*", - contexts.testWorkers + contexts.testWorkers, ); chokidar .watch(["Specs/TestWorkers/*.js"], { ignoreInitial: true }) @@ -242,7 +242,7 @@ async function generateDevelopmentBuild() { app, "Specs/*", "/Build/Specs/*", - contexts.specs + contexts.specs, ); const specWatcher = chokidar.watch(specFiles, { ignoreInitial: true }); specWatcher.on("all", async (event) => { @@ -254,21 +254,24 @@ async function generateDevelopmentBuild() { }); // Rebuild jsHintOptions as needed and serve as-is - app.get("/Apps/Sandcastle/jsHintOptions.js", async function ( - //eslint-disable-next-line no-unused-vars - req, - res, - //eslint-disable-next-line no-unused-vars - next - ) { - if (!jsHintOptionsCache) { - jsHintOptionsCache = await createJsHintOptions(); - } + app.get( + "/Apps/Sandcastle/jsHintOptions.js", + async function ( + //eslint-disable-next-line no-unused-vars + req, + res, + //eslint-disable-next-line no-unused-vars + next, + ) { + if (!jsHintOptionsCache) { + jsHintOptionsCache = await createJsHintOptions(); + } - res.append("Cache-Control", "max-age=0"); - res.append("Content-Type", "application/javascript"); - res.send(jsHintOptionsCache); - }); + res.append("Cache-Control", "max-age=0"); + res.append("Content-Type", "application/javascript"); + res.send(jsHintOptionsCache); + }, + ); // Serve any static files starting with "Build/CesiumUnminified" from the // development build instead. That way, previous build output is preserved @@ -293,7 +296,8 @@ async function generateDevelopmentBuild() { return remoteUrl; } - const dontProxyHeaderRegex = /^(?:Host|Proxy-Connection|Connection|Keep-Alive|Transfer-Encoding|TE|Trailer|Proxy-Authorization|Proxy-Authenticate|Upgrade)$/i; + const dontProxyHeaderRegex = + /^(?:Host|Proxy-Connection|Connection|Keep-Alive|Transfer-Encoding|TE|Trailer|Proxy-Authorization|Proxy-Authenticate|Upgrade)$/i; //eslint-disable-next-line no-unused-vars function filterHeaders(req, headers) { @@ -360,7 +364,7 @@ async function generateDevelopmentBuild() { } res.status(code).send(body); - } + }, ); }); @@ -371,28 +375,28 @@ async function generateDevelopmentBuild() { if (argv.public) { console.log( "Cesium development server running publicly. Connect to http://localhost:%d/", - server.address().port + server.address().port, ); } else { console.log( "Cesium development server running locally. Connect to http://localhost:%d/", - server.address().port + server.address().port, ); } - } + }, ); server.on("error", function (e) { if (e.code === "EADDRINUSE") { console.log( "Error: Port %d is already in use, select a different port.", - argv.port + argv.port, ); console.log("Example: node server.js --port %d", argv.port + 1); } else if (e.code === "EACCES") { console.log( "Error: This process does not have permission to listen on port %d.", - argv.port + argv.port, ); if (argv.port < 1024) { console.log("Try a port number higher than 1024."); From de96ac67b60dadd3e4408e4024aa4f33aae78045 Mon Sep 17 00:00:00 2001 From: Jason Sobotka <jason.sobotka@cesium.com> Date: Tue, 10 Dec 2024 23:01:39 -0600 Subject: [PATCH 45/97] sorting fixes in texture mode --- .../Scene/Model/GaussianSplatTexturePipelineStage.js | 3 +-- packages/engine/Source/Scene/Model/ModelDrawCommands.js | 4 ++-- packages/engine/Source/Scene/PrimitiveLoadPlan.js | 3 ++- .../engine/Source/Shaders/Model/GaussianSplatVS.glsl | 9 --------- 4 files changed, 5 insertions(+), 14 deletions(-) diff --git a/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js index a42491984b98..accd216933eb 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js @@ -36,7 +36,7 @@ GaussianSplatTexturePipelineStage.process = function ( ShaderDestination.BOTH, ); - shaderBuilder.addAttribute("vec2", "a_screenQuadPosition"); + //shaderBuilder.addAttribute("vec2", "a_screenQuadPosition"); shaderBuilder.addAttribute("float", "a_splatIndex"); shaderBuilder.addVarying("vec4", "v_splatColor"); @@ -53,7 +53,6 @@ GaussianSplatTexturePipelineStage.process = function ( shaderBuilder.addUniform("float", "u_splatScale", ShaderDestination.VERTEX); const uniformMap = renderResources.uniformMap; - //const cam = frameState.camera; uniformMap.u_splatScale = function () { return renderResources.model?.style?.splatScale ?? 1.0; diff --git a/packages/engine/Source/Scene/Model/ModelDrawCommands.js b/packages/engine/Source/Scene/Model/ModelDrawCommands.js index 8bbfe5ab80d0..2ad173e9d38e 100644 --- a/packages/engine/Source/Scene/Model/ModelDrawCommands.js +++ b/packages/engine/Source/Scene/Model/ModelDrawCommands.js @@ -280,8 +280,8 @@ function buildDrawCommandForGaussianSplatPrimitive( }); } const splatQuadAttrLocations = { - 5: 5, - splatIndex: 7, + // 5: 5, + splatIndex: 5, }; const geometry = new Geometry({ attributes: { diff --git a/packages/engine/Source/Scene/PrimitiveLoadPlan.js b/packages/engine/Source/Scene/PrimitiveLoadPlan.js index acd13c4bc4d9..f6a9792f31ef 100644 --- a/packages/engine/Source/Scene/PrimitiveLoadPlan.js +++ b/packages/engine/Source/Scene/PrimitiveLoadPlan.js @@ -180,7 +180,7 @@ function PrimitiveLoadPlan(primitive) { * @type {boolean} * @private */ - this.generateGaussianSplatTexture = false; + this.generateGaussianSplatTexture = true; } /** @@ -349,6 +349,7 @@ function generateSplatTexture(loadPlan, context) { const count = loadPlan.primitive.attributes[0].count; const attribute = new ModelComponents.Attribute(); + //index attribute for indexing into attribute texture attribute.name = "_SPLAT_INDEXES"; attribute.typedArray = new Uint32Array([...Array(count).keys()]); attribute.componentDatatype = ComponentDatatype.UNSIGNED_INT; diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl index c00988f66ec5..3ccb82f289a0 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl @@ -135,19 +135,10 @@ vec4 calcCovVectors(vec3 worldPos, mat3 Vrk, mat3 viewmatrix) { highp vec4 discardVec = vec4(0.0, 0.0, 2.0, 1.0); -vec4 dequantizePos(uvec4 qPos) { - vec3 normalizedPos = vec3(qPos) / 65535.0; - - vec4 worldPos = u_scalingMatrix * vec4(normalizedPos, 1.0); - - return worldPos; -} - void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) { uint texIdx = uint(a_splatIndex); ivec2 posCoord = ivec2((texIdx & 0x3ffu) << 1, texIdx >> 10); vec4 splatPosition = vec4( uintBitsToFloat(uvec4(texelFetch(u_splatAttributeTexture, posCoord, 0))) ); - //vec4 splatPosition = dequantizePos(uvec4(texelFetch(u_splatAttributeTexture, posCoord, 0))); vec4 splatViewPos = czm_modelView * vec4(splatPosition.xyz, 1.0); vec4 clipPosition = czm_projection * splatViewPos; From 276a410a13cad3f1f3b078d4ad02b1f72c142ef7 Mon Sep 17 00:00:00 2001 From: Jason Sobotka <jason.sobotka@cesium.com> Date: Tue, 10 Dec 2024 23:48:13 -0600 Subject: [PATCH 46/97] support scaling in texture mode fix initial splat mode state. --- .../Source/Scene/Model/GaussianSplatTexturePipelineStage.js | 1 - packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js | 4 ++-- packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl | 3 ++- packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl | 3 +++ 4 files changed, 7 insertions(+), 4 deletions(-) diff --git a/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js index accd216933eb..16eaf148e656 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js @@ -36,7 +36,6 @@ GaussianSplatTexturePipelineStage.process = function ( ShaderDestination.BOTH, ); - //shaderBuilder.addAttribute("vec2", "a_screenQuadPosition"); shaderBuilder.addAttribute("float", "a_splatIndex"); shaderBuilder.addVarying("vec4", "v_splatColor"); diff --git a/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js b/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js index 68e67cc58db5..1464e7b2bbd3 100644 --- a/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js +++ b/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js @@ -243,7 +243,7 @@ ModelRuntimePrimitive.prototype.configurePipeline = function (frameState) { const hasClassification = defined(model.classificationType); const hasGaussianSplats = - (model?.style?.showGaussianSplatting ?? false) && + (model?.style?.showGaussianSplatting ?? model.showGaussianSplatting) && (primitive?.isGaussianSplatPrimitive ?? false); // Start of pipeline ----------------------------------------------------- if (use2D) { @@ -314,7 +314,7 @@ ModelRuntimePrimitive.prototype.configurePipeline = function (frameState) { pipelineStages.push(PrimitiveStatisticsPipelineStage); - if (hasGaussianSplats) { + if (hasGaussianSplats && !(primitive?.gaussianSplatTexturePending ?? false)) { if (primitive?.hasGaussianSplatTexture ?? false) { pipelineStages.push(GaussianSplatTexturePipelineStage); } else { diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl index 0af1ce0437ea..a74f3f1e78cf 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatFS.glsl @@ -2,7 +2,8 @@ void gaussianSplatStage(inout vec4 color, in ProcessedAttributes attributes) { mediump float A = dot(v_vertPos, v_vertPos); if(A > 1.0) discard; - mediump float B = exp(-A * 4.0) * (v_splatColor.a); + mediump float scale = 4.0; + mediump float B = exp(-A * scale) * (v_splatColor.a); color = vec4(v_splatColor.rgb * B, B); } diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl index 3ccb82f289a0..d8b9461670b5 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl @@ -160,6 +160,9 @@ void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) vec2 u3 = unpackHalf2x16(covariance.z); mat3 Vrk = mat3(u1.x, u1.y, u2.x, u1.y, u2.y, u3.x, u2.x, u3.x, u3.y); + //we can still apply scale here even though cov3d is pre-computed + Vrk *= u_splatScale; + vec4 covVectors = calcCovVectors( splatViewPos.xyz, Vrk, From fb0559b7c44db4772fae52582d9e707867dd2b25 Mon Sep 17 00:00:00 2001 From: Jason Sobotka <jason.sobotka@cesium.com> Date: Tue, 10 Dec 2024 23:59:50 -0600 Subject: [PATCH 47/97] add myself --- CONTRIBUTORS.md | 1 + 1 file changed, 1 insertion(+) diff --git a/CONTRIBUTORS.md b/CONTRIBUTORS.md index d9dbdf94d7f2..c2fac8596491 100644 --- a/CONTRIBUTORS.md +++ b/CONTRIBUTORS.md @@ -168,6 +168,7 @@ See [CONTRIBUTING.md](CONTRIBUTING.md) for details on how to contribute to Cesiu - [Siddhesh Ranade](https://github.com/siddheshranade) - [Adam Morris](https://github.com/weegeekps) - [Luke McKinstry](https://github.com/lukemckinstry) + - [Jason Sobotka](https://github.com/keyboardspecialist) - [Northrop Grumman](http://www.northropgrumman.com) - [Joseph Stein](https://github.com/nahgrin) - [EOX IT Services GmbH](https://eox.at) From 6874e7204668cbd1a6ff6bbdfc3ef5eb085402d9 Mon Sep 17 00:00:00 2001 From: Jason Sobotka <jason.sobotka@cesium.com> Date: Wed, 11 Dec 2024 03:27:34 -0600 Subject: [PATCH 48/97] more sensible dequant positions --- packages/engine/Source/Scene/GltfLoader.js | 6 +- .../GaussianSplatTexturePipelineStage.js | 8 +- .../engine/Source/Scene/PrimitiveLoadPlan.js | 107 ++++++++++-------- 3 files changed, 68 insertions(+), 53 deletions(-) diff --git a/packages/engine/Source/Scene/GltfLoader.js b/packages/engine/Source/Scene/GltfLoader.js index 234e5d97e876..feabd189ef1a 100644 --- a/packages/engine/Source/Scene/GltfLoader.js +++ b/packages/engine/Source/Scene/GltfLoader.js @@ -516,6 +516,10 @@ function postProcessGeometry(loader, context) { // finished. This way they can be destroyed when the loader is destroyed. gatherPostProcessBuffers(loader, loadPlan); } + + if (loadPlan.needsGaussianSplatting) { + loader.gltfJson.nodes[0].matrix = loadPlan.gaussianSplatScalingMatrix; + } } } @@ -1951,8 +1955,6 @@ function loadPrimitive(loader, gltfPrimitive, hasInstances, frameState) { needsPostProcessing = true; primitivePlan.needsGaussianSplats = true; primitivePlan.gaussianSplatScalingMatrix = loader.gltfJson.nodes[0].matrix; - // loader.gltfJson.nodes[0].matrix[6] = loader.gltfJson.nodes[0].matrix[0]; - // loader.gltfJson.nodes[0].matrix[10] = loader.gltfJson.nodes[0].matrix[0]; } const loadForClassification = loader._loadForClassification; diff --git a/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js index 16eaf148e656..55dfa1535a91 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js @@ -47,7 +47,11 @@ GaussianSplatTexturePipelineStage.process = function ( ShaderDestination.VERTEX, ); - shaderBuilder.addUniform("mat4", "u_scalingMatrix", ShaderDestination.VERTEX); + shaderBuilder.addUniform( + "mat4", + "u_transformMatrix", + ShaderDestination.VERTEX, + ); shaderBuilder.addUniform("float", "u_splatScale", ShaderDestination.VERTEX); @@ -61,7 +65,7 @@ GaussianSplatTexturePipelineStage.process = function ( return primitive.gaussianSplatTexture; }; - uniformMap.u_scalingMatrix = function () { + uniformMap.u_transformMatrix = function () { return renderResources.model.sceneGraph.components.nodes[0].matrix; }; diff --git a/packages/engine/Source/Scene/PrimitiveLoadPlan.js b/packages/engine/Source/Scene/PrimitiveLoadPlan.js index f6a9792f31ef..dfafc55334da 100644 --- a/packages/engine/Source/Scene/PrimitiveLoadPlan.js +++ b/packages/engine/Source/Scene/PrimitiveLoadPlan.js @@ -202,10 +202,9 @@ PrimitiveLoadPlan.prototype.postProcess = function (context) { //handle splat post-processing for point primitives if (this.needsGaussianSplats) { this.primitive.isGaussianSplatPrimitive = true; + setupGaussianSplatBuffers(this, context); if (this.generateGaussianSplatTexture) { generateSplatTexture(this, context); - } else { - setupGaussianSplatBuffers(this, context); } } }; @@ -264,6 +263,62 @@ function makeOutlineCoordinatesAttribute(outlineCoordinatesTypedArray) { * Do our dequantizing here. When using meshopt, our positions are quantized, * as well as our quaternions. decodeFilterQuat returns quantized shorts */ +function dequantizeSplatMeshopt(attribute, matrix) { + if ( + attribute.name === "_ROTATION" && + attribute.componentDatatype === ComponentDatatype.SHORT + ) { + attribute.typedArray = AttributeCompression.dequantize( + attribute.typedArray, + ComponentDatatype.SHORT, + AttributeType.VEC4, + attribute.count, + ); + attribute.componentDatatype = ComponentDatatype.FLOAT; + } + + if ( + attribute.name === "POSITION" && + attribute.componentDatatype === ComponentDatatype.SHORT + ) { + const fa = new Float32Array(attribute.typedArray).map( + (n, i) => (n / 32767.0) * matrix[0], + ); + attribute.typedArray = fa; + attribute.componentDatatype = ComponentDatatype.FLOAT; + attribute.normalized = false; + attribute.constant = new Cartesian3(0, 0, 0); + + const findMinMaxXY = (flatArray) => { + let minX = Infinity; + let maxX = -Infinity; + let minY = Infinity; + let maxY = -Infinity; + let minZ = Infinity; + let maxZ = -Infinity; + + // Step through array 3 values at a time + for (let i = 0; i < flatArray.length; i += 3) { + const x = flatArray[i]; + const y = flatArray[i + 1]; + const z = flatArray[i + 2]; + + minX = Math.min(minX, x); + maxX = Math.max(maxX, x); + minY = Math.min(minY, y); + maxY = Math.max(maxY, y); + minZ = Math.min(minZ, z); + maxZ = Math.max(maxZ, z); + } + + return [ + new Cartesian3(minX, minY, minZ), + new Cartesian3(maxX, maxY, maxZ), + ]; + }; + [attribute.min, attribute.max] = findMinMaxXY(attribute.typedArray); + } +} function setupGaussianSplatBuffers(loadPlan, context) { const attributePlans = loadPlan.attributePlans; @@ -275,53 +330,7 @@ function setupGaussianSplatBuffers(loadPlan, context) { attributePlan.loadTypedArray = true; const attribute = attributePlan.attribute; - if ( - attribute.name === "_ROTATION" && - attribute.componentDatatype === ComponentDatatype.SHORT - ) { - attribute.typedArray = AttributeCompression.dequantize( - attribute.typedArray, - ComponentDatatype.SHORT, - AttributeType.VEC4, - attribute.count, - ); - attribute.componentDatatype = ComponentDatatype.FLOAT; - } - - if ( - attribute.name === "POSITION" && - attribute.componentDatatype === ComponentDatatype.SHORT - ) { - const scale = [ - loadPlan.gaussianSplatScalingMatrix[0], - -loadPlan.gaussianSplatScalingMatrix[6], - loadPlan.gaussianSplatScalingMatrix[9], - ]; - - const translation = [ - loadPlan.gaussianSplatScalingMatrix[12], - loadPlan.gaussianSplatScalingMatrix[14], - loadPlan.gaussianSplatScalingMatrix[13], - ]; - - const fa = new Float32Array(attribute.typedArray).map( - (n, i) => (n / 32767.0) * scale[i % 3], - ); - attribute.typedArray = fa; - attribute.componentDatatype = ComponentDatatype.FLOAT; - attribute.normalized = false; - attribute.constant = new Cartesian3(0, 0, 0); - attribute.min = new Cartesian3( - translation[0], - translation[1], - translation[2], - ); - attribute.max = new Cartesian3( - translation[0] + scale[0], - translation[1] + scale[1], - translation[2] + scale[2], - ); - } + dequantizeSplatMeshopt(attribute, loadPlan.gaussianSplatScalingMatrix); } } From 2ed9de46b893f60bb2c894bdbdd1933ea1c0bdf5 Mon Sep 17 00:00:00 2001 From: Jason Sobotka <jason.sobotka@cesium.com> Date: Wed, 11 Dec 2024 10:34:11 -0600 Subject: [PATCH 49/97] force a command rebuild if the sorter isnt ready --- packages/engine/Source/Scene/Model/Model.js | 1 + 1 file changed, 1 insertion(+) diff --git a/packages/engine/Source/Scene/Model/Model.js b/packages/engine/Source/Scene/Model/Model.js index 1a072af1c6ab..73a1bdfbc977 100644 --- a/packages/engine/Source/Scene/Model/Model.js +++ b/packages/engine/Source/Scene/Model/Model.js @@ -2172,6 +2172,7 @@ function updateGaussianSplatting(model, frameState) { }); if (promise === undefined) { + model.resetDrawCommands(); return; } From 62116b543301a80b9d84fdd3a088f946ed2da8f2 Mon Sep 17 00:00:00 2001 From: Jason Sobotka <jason.sobotka@cesium.com> Date: Wed, 11 Dec 2024 10:51:45 -0600 Subject: [PATCH 50/97] wrap await --- .../Model/GaussianSplatTextureGenerator.js | 31 +++++++++++-------- 1 file changed, 18 insertions(+), 13 deletions(-) diff --git a/packages/engine/Source/Scene/Model/GaussianSplatTextureGenerator.js b/packages/engine/Source/Scene/Model/GaussianSplatTextureGenerator.js index 3f336ba58c7f..8611ef810a1e 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatTextureGenerator.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatTextureGenerator.js @@ -41,22 +41,27 @@ GaussianSplatTextureGenerator.generateFromAttrs = async function ( attributes, count, ) { - if (!this.wasmModule || !this.wasmInitialized) { - this.initWasmModule(); - - while (!this.wasmModule) { - await new Promise((r) => setTimeout(r, 100)); + try { + if (!this.wasmModule || !this.wasmInitialized) { + this.initWasmModule(); + + while (!this.wasmModule) { + await new Promise((r) => setTimeout(r, 100)); + } + return generate_splat_texture_from_attrs( + attributes.find((a) => a.name === "POSITION").typedArray, + attributes.find((a) => a.name === "_SCALE").typedArray, + attributes.find((a) => a.name === "_ROTATION").typedArray, + attributes.find((a) => a.name === "COLOR_0").typedArray, + count, + ); } + } catch (e) { + console.err(e); + return 0; } - - return generate_splat_texture_from_attrs( - attributes.find((a) => a.name === "POSITION").typedArray, - attributes.find((a) => a.name === "_SCALE").typedArray, - attributes.find((a) => a.name === "_ROTATION").typedArray, - attributes.find((a) => a.name === "COLOR_0").typedArray, - count, - ); }; + //////////////////////////////////////////////////// /////// From f0237a72e7534d274374c1a7ec56d6f9901a3578 Mon Sep 17 00:00:00 2001 From: Jason Sobotka <jason.sobotka@cesium.com> Date: Wed, 11 Dec 2024 11:22:24 -0600 Subject: [PATCH 51/97] back up bad change --- .../Model/GaussianSplatTextureGenerator.js | 30 ++++++++----------- packages/engine/Source/Scene/Model/Model.js | 1 - 2 files changed, 13 insertions(+), 18 deletions(-) diff --git a/packages/engine/Source/Scene/Model/GaussianSplatTextureGenerator.js b/packages/engine/Source/Scene/Model/GaussianSplatTextureGenerator.js index 8611ef810a1e..fb4c72a26842 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatTextureGenerator.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatTextureGenerator.js @@ -41,25 +41,21 @@ GaussianSplatTextureGenerator.generateFromAttrs = async function ( attributes, count, ) { - try { - if (!this.wasmModule || !this.wasmInitialized) { - this.initWasmModule(); - - while (!this.wasmModule) { - await new Promise((r) => setTimeout(r, 100)); - } - return generate_splat_texture_from_attrs( - attributes.find((a) => a.name === "POSITION").typedArray, - attributes.find((a) => a.name === "_SCALE").typedArray, - attributes.find((a) => a.name === "_ROTATION").typedArray, - attributes.find((a) => a.name === "COLOR_0").typedArray, - count, - ); + if (!this.wasmModule || !this.wasmInitialized) { + this.initWasmModule(); + + while (!this.wasmModule) { + await new Promise((r) => setTimeout(r, 100)); } - } catch (e) { - console.err(e); - return 0; } + + return generate_splat_texture_from_attrs( + attributes.find((a) => a.name === "POSITION").typedArray, + attributes.find((a) => a.name === "_SCALE").typedArray, + attributes.find((a) => a.name === "_ROTATION").typedArray, + attributes.find((a) => a.name === "COLOR_0").typedArray, + count, + ); }; //////////////////////////////////////////////////// diff --git a/packages/engine/Source/Scene/Model/Model.js b/packages/engine/Source/Scene/Model/Model.js index 73a1bdfbc977..1a072af1c6ab 100644 --- a/packages/engine/Source/Scene/Model/Model.js +++ b/packages/engine/Source/Scene/Model/Model.js @@ -2172,7 +2172,6 @@ function updateGaussianSplatting(model, frameState) { }); if (promise === undefined) { - model.resetDrawCommands(); return; } From 7142df34bc9a104cfed00e2209b528e9fdb751b3 Mon Sep 17 00:00:00 2001 From: keyboardspecialist <jason.sobotka@cesium.com> Date: Tue, 7 Jan 2025 14:14:52 -0600 Subject: [PATCH 52/97] remove unneeded deps --- package.json | 4 +--- 1 file changed, 1 insertion(+), 3 deletions(-) diff --git a/package.json b/package.json index 167c0f627f4a..ede68c586c48 100644 --- a/package.json +++ b/package.json @@ -53,12 +53,10 @@ "dependencies": { "@cesium/engine": "^13.1.0", "@cesium/widgets": "^10.1.0", - "cesiumjs-gsplat-utils": "file:./temp_wasm/cesiumjs-gsplat-utils/pkg", - "esbuild-plugin-wasm": "^1.1.0" + "cesiumjs-gsplat-utils": "file:./temp_wasm/cesiumjs-gsplat-utils/pkg" }, "devDependencies": { "@playwright/test": "^1.41.1", - "assemblyscript": "^0.27.30", "chokidar": "^4.0.1", "cloc": "^2.2.0-cloc", "compression": "^1.7.4", From eb1db947157761459ee329e85bd79531c56f1b25 Mon Sep 17 00:00:00 2001 From: "Adam N. Morris" <adam@cesium.com> Date: Wed, 8 Jan 2025 15:50:16 -0600 Subject: [PATCH 53/97] Added a sandcastle example for 3D Tiles Gaussian Splatting --- .../gallery/3D Tiles Gaussian Splatting.html | 108 ++++++++++++++++++ .../gallery/3D Tiles Gaussian Splatting.jpg | Bin 0 -> 8689 bytes 2 files changed, 108 insertions(+) create mode 100644 Apps/Sandcastle/gallery/3D Tiles Gaussian Splatting.html create mode 100644 Apps/Sandcastle/gallery/3D Tiles Gaussian Splatting.jpg diff --git a/Apps/Sandcastle/gallery/3D Tiles Gaussian Splatting.html b/Apps/Sandcastle/gallery/3D Tiles Gaussian Splatting.html new file mode 100644 index 000000000000..20df0d40093a --- /dev/null +++ b/Apps/Sandcastle/gallery/3D Tiles Gaussian Splatting.html @@ -0,0 +1,108 @@ +<!doctype html> +<html lang="en"> + <head> + <meta charset="utf-8" /> + <meta http-equiv="X-UA-Compatible" content="IE=edge" /> + <meta + name="viewport" + content="width=device-width, initial-scale=1, maximum-scale=1, minimum-scale=1, user-scalable=no" + /> + <meta + name="description" + content="Use Viewer to start building new applications or easily embed Cesium into existing applications." + /> + <meta name="cesium-sandcastle-labels" content="Showcases, 3D Tiles" /> + <title>Cesium Demo + + + + + +
+

Loading...

+
+ + + diff --git a/Apps/Sandcastle/gallery/3D Tiles Gaussian Splatting.jpg b/Apps/Sandcastle/gallery/3D Tiles Gaussian Splatting.jpg new file mode 100644 index 0000000000000000000000000000000000000000..46638db6d931e66a149daa05c0a766ec606ded3e GIT binary patch literal 8689 zcmb7pRa6{I(Cng%1%kV~1_|yG+=DF^U~zZJ;(WL)Ebi{^PH+vu-7QFP*YM}whyR@W zczYgePE~c!!%X+QFTZaCJ}Sy8$O7Qt-~jUf7T|piAPqo9L_$VFL`FtJ1_F^$&_1G} zp`xPUVPau?B*Y^kBE%ygAR(uxBq60GBOst+qoQSCWM*L|rex=2XX2!1VrKe3Byd0= z5Df(l7Yz-UiG+ZJ>Hj<4djZ%efE2(x0vrth9vcn;8}5A&Kn4K7!z282`@eyRjDQ4y z2g0HJLuEb!;NcJu;E<4z5s;DKfdBv;JOTg_8wrP+3mI2Z!xWFkB`5*NEv1=Xk5Ai2 zz$0xovqSg!t7`!Yi~;Nx+|YmdkA(;h@c$_Mlln)4_z$7M{-+Ly^sid~>i`ZO8wY`! zOHu>Z6p=>ieHDO#0Qb)>0yaP#aG;aAK<9@(yS?lNGbSph@z0h?}~rZiFgNp`sH!TU5mmC>@)LO6rAR z<@&akpQXV*f?9u|P>3NT!#xGzw8 z+noKFaDWppccItzJxcFIhVzfk@N(ea(^!L*t$4m>1I#sY+C7c&2>1gxdy@n(Ip|Z$<6VtO zG5^a0&&UYfrMRMyySD|ao?|SWGAs&PqSYdvb%sK{%|wF8YSOxG-6PosJtRKh!*m8z+p~ zyIOu~ap*&VLk?dX7rvSHJw7VTAI~r*>P3c)s}C!*!X}^I!dVM}O{}1WB@k1BA~*)- zjR!VE_$~OEXX8@{OeAnfUX%AcxuczS!4AntLqqh2)>;lz&M(>FX!3GjxDfIZm9W2s^+0T#98;a5PPjJ0!Ay zwbSOO81kCNzYiRrdItZvJ<5(_8At)0~=u3C^$q zn=TUnx)VqEd%I${MyeA7@#P4NIY4ptm#w@w{Sx!d3f;yDoxqi6-}|kif9`8GA;TA7 zi4q*N+VhF>%a6bxjrtR$&LDNir)_i1l5$G(-EoDY^TUd8+6R0^TSF@6n!!2EC0B*(>TJ@uW`rO0zWu zEoYcH4rW|~9JDVZE-wRvOWN}e|2?-9qOK~$d8)!u*P+n<(aMj)Slf?ocuQ@Z^RPb8 z4-6b1l~4V?{b&}L*|5mZv$1Xg(@h^R?L`lxE%DygJY0F3+-S97$JwbseZHr9pO^cF|8 zlZ87rc*C)%AS-lA06Bj9)IX)8skIsUR94L}Gk9E%aEk3D075ea#Rn!>Bm*YJ+#Tt<9$>^E+}3OB2Kubw6w0y&_iC zK=0GJ;j#J#-%Wc_yZP7?TI0-i&Q_~Feb+>CSf7{Bq2qRKmZoZZ#l!dC`J_s%_UCz= zBhYNaypse>Eu(C$@#qa30#BD5bXMY^C$3sKYhEHaf(D7`7&Bp%Fn<%vGV1yC@g$%q z&$jKC`0$6w{j2u+s9zaJseI%N&AwOh5Bw6XMy;5+c{HI)FX?o`HHQIzi^3$|0SUDn zH2xRg;{(p~irE8WV-91=@Yu&({lhs^+){||v=HvgV&wei;#ZFByy=nZ*&^sxCC2(j zihZzQB92CXg_HI^x1w<}YFjV+xGgreqX{`Y&R@#|QCr27xh7@kCmfuk-T{f_g)a8y zCS{}Iobm;G-RZKbdRY3==XIKuZI3}2%b%r%)1cv>!~1a0*B(+SzzLvmM0nun(tk|7 z$StHU4@azE9UYwsW`wz7Q=DOGxlqQuDgC=5gnYNFYT=EpWO1Gh_?hbE?#|EzaEst2 zo7;6>_3nawA-W5C7eYK725=n8bI{;Tk+iTfDMZ?~2pVP0@i}608*lIn4`#)pK%5}U0T0f6inVLE|LNQoo;2y3L6}x-pIoKe@E%B0$ zdoM%Vi3b_uYY>vMGFGh4)4$MiMg5}osjs%OR_s zO)0cweMVAQhll{5Bgx*1Y~eHHUH)&@Fy_5iX(~SEa3#BdGv&|-EH?~x3OQrFcrooN zdWIY+?_27%E`7(AS;P%G_B5%AG9YEd9ZhrH!l|R9%mvt;&Qj0}K+KpZM~dg5bCy1% zZEk|VF9-zoht-?VJtZ^b-hob7gG)Kp_)$owECoy{?oR+8_p|Tx>sU{yF=t zkB0?uj2=6j%*U#BxC3jIhHK{3ToZXkD=RU^LGYK*Y=9NJgL~#f7p@Jgs!JfDb;k`R z>uYP4DII@xuQ{wFd^&E9{AE~T+u?ZV+dZheVp_P)6GsSlDSpr_wP(EV;;uV;sjL3cSccYQL&NI&FaYDT&8-? zPl=)P^_2u>m^raR&aDvHJdZ>B4p1~V7w^_G@?BmQu2C#2C7(}DVS zg35l*A

o)a`QrHHWn%jy%z;zXOV`AkLV-XJ<{{0h;UFl*h8)f}dz}AoTNmCr)hU zu`Y}9zkpNt`VZ3v<6x~!;zN_sH@mXtgBJO0UQ*5P=`@%>zCBb-O3TbP<5@~O(I#de zmGDp1*sz?T9(xZ)+by3*BSllob#Gx%ZkA}^9X_cv1!bKhzE-?-QkM>Ap6Aa~a6S1Q zyfN9la1}V^RL@IsM%LZZ1XU7-vMcDLtnpdTrQ7tg7Q^nn5G_4#`(&rw zGV2+G4p+w%oQN2w%L%jZQLA9Dci&^eH|Z%4yy>&L0EML;I@zsHx; z%PiUV*wCJglm~+1Vl*^GE$m;eYLU0srJI|TKUVG<3sNmO<+%p98e)xTM8E=l zB8R!vOqHZU-&XwFI_6i~!%zx>iLup(+cwee#1;32Ue*ooVGqk0P6ty|mXT^5UO8?% zs<{@cNurs5=`wzfHyFx?QhWw?*6;-VkswMjl2cD0zFfjwli1$RaEZI6Ea0l|&d|A_ zOVMTA8e{w;Gh*qmYhtdO_#sfFC6~mZu0ikOY0GnAX~@9aXM z3~_~6_8k29>82@sM|!Tn;VMeKSt6PZP%h+EKEmmtMu|um(?Wr3yB)bT)UnQZC8{>@ z2J||v-ymn&;zwf>)ZB9(jbZP}d_&P>zPK7vL!GjEP3E^E5pZM&mGh}!`fIK+i58RV z#><}4H9cC5F$s5#(W}{4uvl?DUhHGD`m$VTe11mv6lO<)?|m z#0tmn%AvTR2&tAm4YU%l9V2V{{;Ps(u`9@&^|c*S&8T@#o)0nsv$n|2_td5rxwM%k zFgoZTgzV~J@#t3_J#G4>GQp=?vPn4tSo&g~m9 zL(yHa4Bq-)vyAU< z8Ymb8QQ51yy~=hIZreDh+m&J*RwY+Q5{)<%!1GOU*K~0Gubg(mLiwzM7yo)R7yC&U z8%&3YQNZt{mRvGU(_pUIPRDeXEt^=xZ9+0|S&eBeh>hgG8J3TDj~Lk6#Kb2y_o4q? zuwU$1XQ!e7Oosv6XmzQE9Z}x-g6T!NR4to%n1U3%)q^U5eLlu=*{EC^E{=z<8@^XY zimvZ~6bt2}j)BY{jT0a(e6xglU<_p7a{^{}=?X}JeUL$0I{0n#^(Ictqi9LLtR)Jm zBSQ&KxruGS<}i2bw)j4#JEk+!dWy+cHQ+C=bLNo)$X-~^qH1gdBsHqx<;Zmg%#DRO z2oG(ctg#9ByJV=J{-?@|>UrLa7~^w@w_?(ka*(aK9~1T`o_So;41&8R-z#BGYOaez zNbW6&clB0owSjuxgr=3Gt#7Ln6hWAUNOU8SrH}R6bLAE0J)a`3sVjC~v3LX6gBMHtTAO?A2lrBz@aPYG4Y=(aeiTgpU0Sj(t98VO;_{H{8SuT3#6Opfn`d%eA*+JT z;@|CZ?3HT;tFw%=-eZi%lz%H1dIvNL6&DJ?#;vr)eemM#O1MLI(wgZ2jOiu}?*~laY|CF6?+?OqCqyxCw%IxUu!BG`UV#i$ z08Vd~ca3Cm#02QB?I#)_q3DVc4M~F6`x3n>uc zz%YwlIStIGXnALB{AGEF?ZgY$wDXu8x-+TBACF!xw#R2snyfPlY#QuBMBIT=QHBcr zG0IdTry1TQob2sOJKtm6+xIS3DzT7YjLq#bZ7xFezQbQ3GWjv{^NIHUb6U>5DTg?U zu-pJXh(76Z^@nfnxZzF^qWkfXem|n>A5Lm?zjuJ4|DC>>WwYMPWIb_aLLc#!Sh6!D zsMdsSFr#0Z8FRYOP%*!qND%PsPIOn9?Z2tEyQB;QY{L)K3QX~OEa!|!7EX!2dX2d* z+0wwNo`rb+9=keXNz_QTTxdp>RqQI_5OEo~t(b5AxL+P`B;-|2!%{bD)XgNm%g-67 zRZkIC%ZA5WWD@5xy*MXRWFEU2*506S9_d0D*Ucaau@JcW#X7u{38NbJvwa;vFIfRA zKe|Y@WZHB_^ygMrVg^KJ2F;MXkh0IabqL3-5LviYc zh>;Y4qweL2(c8rg;VL{$Ueo<(8^nN1(>BU%&?h^4juE%=0T;&&jQDzl+Zz9G>(t&9 zS?W~{i3zkk0T~5d7S+-PTlWE9=1sUkhDsi^u5fK*Q)QnsABB~4DDDr*hcCr+h+s)JY^TsINll{!4^u+2zK_~!T4d3@^F=z!XE1thdK0v z;gi(HXElF}xhhNE{fpg3owK2utlvvY&6wk!>4fL6l|o6ew21BGpC`H5#kMx01P;WL z-186rVhcjGjGfWQ)0{m#NMY$f3u3??QXdFf*N;Hd22Mf|+=tv^p2_(}ab^$whdyi4@Ldio(!+ z?ml>)XhTBftM|CKvzP!uH-a=_XBN%Baz7KK&JNKJ(i=S4D+bD*mrloJp_R>Dz;^kx zF)Dg1O|Ipt?S$3`X9+;&C;>g-9|*nRkr)>vY)#*EzGxl`2-_zXnTGmfRK{oT1;N&i zxP@8k7~gu9-e63o2;R&INQ+JmGVuJI9=*0S>;th|)r22{G;e3@EAl7{rwGcMovjqZ zsmO83@#@e($HSZ$jfCH>RM6b+$g!kBZ`mom#DS_--5Bxj3N}YDN!RoMd@rP{>kM`l zgDDbfxZ1_3!f*({K^glqqCcf^CXX6?&^_ff+ifn`C$1m<_$z}vMZvR8JZZt>cQ;X@ zLq}7N@;cg~Mrz1%BXdX~kMUp;4&ZP&uc=2zh6hG;*MFsBDM1a!9FriX9S+OD&y#}2 zB#$rV;SO)3W=yw>j;y6g1WUi)r1+xqb%7f>WY+UPi5ni$OI+r8?; zfS4j$wqR-^+8`&nJ)Cy?YqdVr;Zd_~r#?i!QNKyXqwmOkvH)ID9vAg*7Hoafb z3@N)$*bi@OhISfZh*>{s=T2KHgO=R`8xH^+mYqA+Uk1t`m!Ek|jUL zK;qMY9PbY|+p3NA{0MRBZ96}fF@m?*O&ug(pISqe1QgkJQt`&E0z?~z^I&{W)b+pbw;tied2;Ott)t!rz~KkL~;gF6={@_ zu3VQRR{l3biPa*gURcA^#3v9Rv|xuRjAUa|lJbDFTR?$BUN_{Tn;I&WQy|@4UivA!Bx-XpLOwAbiEX-lm3&+kPgxG!f+Fxn4 z6ji!~k&XzzMTQL`T}y0ItdI*5C>sRhu$O68<&{Py^yXhswI%?9=|}SWDyHf5me+n( z_4;jW*s?-iO8gr28?YY(cT?Cr-4?d5H0hjJL)BevYAA+`hJa1R9ep2fPW-hE*vc(v z*^9nh4eu>>CMt8W>d)kh|9sYoMj!PXz0wh#PsC-PLjn%)!VD!H)1o&`_q5_aHWx?& zd~!Em3qo=(+#xu;+HZCfohjKt;28Cva-kn0W+Er8zM(x3AAL|EgM<@3&F06OEDq4= z{E`sZUQEBn>m9hP}L5rP~cmX~hKWvgW{SenZy4_g)pfjm&L+Ds)^4!N{9G zFhb<_vu^Wb^DK+MjKr!SYRGrBifQj((lE2E&mm~h(;=yT-~<&d!AYjoiy3NeWM$HS zpgBkAPpkGA3=$oM<7MaKHpmttN_~pr_X){B+KXCuG&J{7PhFaw6qe7~cEXr8h}_@L zh>;3sXVs39?`&6P8CvA~+G1##{5jKx+{;-maMEb4WD)&`7*-Dzb&dwJI2ZZtbSLw9$GGBZl-)JsWde$<)I#uf^r6B$n?Q4!~1s{*+M+ zyW2H%P(XQQR}UbHY|Hr>;~k=Mj<8ti$5DX!iUpOF@0b{J;`vyd<#CChoMc04i>J%4 zMtxYCjCM^jK7Pzii{Mf{4hI6M0L=NL@#`b0RYtCIR0vll2~<3v*msj@F#LX-{)6j_ z+)bB788$wY#Y}LK{XERS#p^8d%Wd*P7Pf1qdhG{K3LPOETGu*~xN$Fs@!1y$&d>xR-alr+KUs&5}9D(pv+^T;F~JNC1Jf zs5{>Q>aGIK)AyYKef3_7E_OEuj;o=fm=ODjD1^AKI{f5hCbZ~sRG7B-9VqgqOkua7 zp`02B(yxk7M}5*w{YYh4T#2%{qUd*_ z0RpKHTEw{a6YEG$NgqfXL$sUnGaS~s Date: Fri, 10 Jan 2025 16:08:29 -0600 Subject: [PATCH 54/97] Renamed the splatting wasm module --- gulpfile.js | 4 +- package.json | 2 +- .../Source/Scene/GaussianSplatSorter.js | 2 +- .../Model/GaussianSplatTextureGenerator.js | 4 +- .../ThirdParty}/cesiumjs_gsplat_utils_bg.wasm | Bin .../Source/ThirdParty/wasm_splats_bg.wasm | Bin 0 -> 43378 bytes .../Source/Workers/gaussianSplatSorter.js | 2 +- .../Workers/gaussianSplatTextureGenerator.js | 2 +- temp_wasm/cesiumjs-gsplat-utils/.appveyor.yml | 11 - temp_wasm/cesiumjs-gsplat-utils/.gitignore | 6 - temp_wasm/cesiumjs-gsplat-utils/.travis.yml | 69 -- temp_wasm/cesiumjs-gsplat-utils/Cargo.toml | 32 - .../cesiumjs-gsplat-utils/LICENSE_APACHE | 201 ----- temp_wasm/cesiumjs-gsplat-utils/LICENSE_MIT | 25 - temp_wasm/cesiumjs-gsplat-utils/README.md | 84 -- temp_wasm/cesiumjs-gsplat-utils/pkg/README.md | 84 -- .../pkg/cesiumjs_gsplat_utils.d.ts | 164 ---- .../pkg/cesiumjs_gsplat_utils.js | 578 ------------- .../pkg/cesiumjs_gsplat_utils_bg.js | 380 -------- .../pkg/cesiumjs_gsplat_utils_bg.wasm.d.ts | 30 - .../cesiumjs-gsplat-utils/pkg/package.json | 18 - temp_wasm/cesiumjs-gsplat-utils/src/lib.rs | 45 - .../cesiumjs-gsplat-utils/src/perf_timer.rs | 76 -- temp_wasm/cesiumjs-gsplat-utils/src/radix.rs | 121 --- .../cesiumjs-gsplat-utils/src/radix_simd.rs | 808 ------------------ .../cesiumjs-gsplat-utils/src/textureGen.rs | 172 ---- .../src/textureGen_simd.rs | 202 ----- temp_wasm/cesiumjs-gsplat-utils/src/utils.rs | 10 - temp_wasm/cesiumjs-gsplat-utils/tests/web.rs | 21 - 29 files changed, 8 insertions(+), 3145 deletions(-) rename {temp_wasm/cesiumjs-gsplat-utils/pkg => packages/engine/Source/ThirdParty}/cesiumjs_gsplat_utils_bg.wasm (100%) create mode 100644 packages/engine/Source/ThirdParty/wasm_splats_bg.wasm delete mode 100644 temp_wasm/cesiumjs-gsplat-utils/.appveyor.yml delete mode 100644 temp_wasm/cesiumjs-gsplat-utils/.gitignore delete mode 100644 temp_wasm/cesiumjs-gsplat-utils/.travis.yml delete mode 100644 temp_wasm/cesiumjs-gsplat-utils/Cargo.toml delete mode 100644 temp_wasm/cesiumjs-gsplat-utils/LICENSE_APACHE delete mode 100644 temp_wasm/cesiumjs-gsplat-utils/LICENSE_MIT delete mode 100644 temp_wasm/cesiumjs-gsplat-utils/README.md delete mode 100644 temp_wasm/cesiumjs-gsplat-utils/pkg/README.md delete mode 100644 temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils.d.ts delete mode 100644 temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils.js delete mode 100644 temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils_bg.js delete mode 100644 temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils_bg.wasm.d.ts delete mode 100644 temp_wasm/cesiumjs-gsplat-utils/pkg/package.json delete mode 100644 temp_wasm/cesiumjs-gsplat-utils/src/lib.rs delete mode 100644 temp_wasm/cesiumjs-gsplat-utils/src/perf_timer.rs delete mode 100644 temp_wasm/cesiumjs-gsplat-utils/src/radix.rs delete mode 100644 temp_wasm/cesiumjs-gsplat-utils/src/radix_simd.rs delete mode 100644 temp_wasm/cesiumjs-gsplat-utils/src/textureGen.rs delete mode 100644 temp_wasm/cesiumjs-gsplat-utils/src/textureGen_simd.rs delete mode 100644 temp_wasm/cesiumjs-gsplat-utils/src/utils.rs delete mode 100644 temp_wasm/cesiumjs-gsplat-utils/tests/web.rs diff --git a/gulpfile.js b/gulpfile.js index e17cad1415cb..a601134a5010 100644 --- a/gulpfile.js +++ b/gulpfile.js @@ -374,8 +374,8 @@ export async function prepare() { ); copyFileSync( - "node_modules/cesiumjs-gsplat-utils/cesiumjs_gsplat_utils_bg.wasm", - "packages/engine/Source/ThirdParty/cesiumjs_gsplat_utils_bg.wasm", + "node_modules/@cesium/wasm-splats/wasm_splats_bg.wasm", + "packages/engine/Source/ThirdParty/wasm_splats_bg.wasm", ); // Copy jasmine runner files into Specs diff --git a/package.json b/package.json index ede68c586c48..896f1b278493 100644 --- a/package.json +++ b/package.json @@ -53,7 +53,7 @@ "dependencies": { "@cesium/engine": "^13.1.0", "@cesium/widgets": "^10.1.0", - "cesiumjs-gsplat-utils": "file:./temp_wasm/cesiumjs-gsplat-utils/pkg" + "@cesium/wasm-splats": "file:./temp_wasm/pkg" }, "devDependencies": { "@playwright/test": "^1.41.1", diff --git a/packages/engine/Source/Scene/GaussianSplatSorter.js b/packages/engine/Source/Scene/GaussianSplatSorter.js index e80f48f6f93b..6c33cfb902f8 100644 --- a/packages/engine/Source/Scene/GaussianSplatSorter.js +++ b/packages/engine/Source/Scene/GaussianSplatSorter.js @@ -21,7 +21,7 @@ GaussianSplatSorter._getSorterTaskProcessor = function () { ); processor .initWebAssemblyModule({ - wasmBinaryFile: "ThirdParty/cesiumjs_gsplat_utils_bg.wasm", + wasmBinaryFile: "ThirdParty/wasm_splats_bg.wasm", }) .then(function (result) { if (result) { diff --git a/packages/engine/Source/Scene/Model/GaussianSplatTextureGenerator.js b/packages/engine/Source/Scene/Model/GaussianSplatTextureGenerator.js index fb4c72a26842..5dde5af1ba82 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatTextureGenerator.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatTextureGenerator.js @@ -1,7 +1,7 @@ import __wbg_init, { initSync, generate_splat_texture_from_attrs, -} from "cesiumjs-gsplat-utils"; +} from "@cesium/wasm-splats"; import buildModuleUrl from "../../Core/buildModuleUrl.js"; //TODO: move to TaskProcessor @@ -16,7 +16,7 @@ GaussianSplatTextureGenerator.initWasmModule = function () { (async () => { if (!this.initPromise) { this.initPromise = await __wbg_init( - buildModuleUrl("ThirdParty/cesiumjs_gsplat_utils_bg.wasm"), + buildModuleUrl("ThirdParty/wasm_splats_bg.wasm"), ) .then((wasm) => { this.wasmInitialized = true; diff --git a/temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils_bg.wasm b/packages/engine/Source/ThirdParty/cesiumjs_gsplat_utils_bg.wasm similarity index 100% rename from temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils_bg.wasm rename to packages/engine/Source/ThirdParty/cesiumjs_gsplat_utils_bg.wasm diff --git a/packages/engine/Source/ThirdParty/wasm_splats_bg.wasm b/packages/engine/Source/ThirdParty/wasm_splats_bg.wasm new file mode 100644 index 0000000000000000000000000000000000000000..ade947342f4942ce2770813e2bb7907c1956206e GIT binary patch literal 43378 zcmeIbdyrn|ecyM^d9SB7~{BX~MgOrR)UEx7)45IkKX!g3Y$44w`s`g9PkKOL^~Q&=ZWk98GUrv{%t zc-pcPE~}UoRA62IUA0C^-PiD{Diusr`w4!cbyY>JdenRk_Dirx1-^!~YT{`0Q);8= z9|S+?gZ3c!2_Lja^vO?#pHw?nqdzSV6`q+pxBKkbXXcjH7S6TS&Th6hme$UlU28oP z+>y3+`NCXl!_w|ixqT{UdC!hbPAtxzY|YM(j-DJJn{5U4Z5gI!W@aX)7RRS2#wSPT zPmcKv!@h=#mp9L!ooX%2E{rTJPRvbCOwEnW2m5kWY_{5GCt6bz(<5V(lar$pGvjj$ z!LTwM@KwxRUR(sWsnNOV`PRtj_{8YK^y17+(5F139YCL1YM-BbuH9N+T%^j`wT0Ez z+PU`mvvafKlQSo$PEO5CPmV5}91Z$&jf0G{F-}w)Jeg zwXwF*T0GmHom*`M)xz3^;;yhKDn~&u7zT)?{XwA|1Uq(BdxJuuP!1LBEf^TtZ-Ft6&N6*3dzH)C=zP}I_cNU@tip63$7@BAc!$P6Lf52A=gKCw?Vv+xg zJ<-Lm*<25GhbY+N@!baO%Ny`xd-m+&MynMZ zY2>36A3U`qAN9=ALi>F1-ktfl^R1YxZMV**Nwl(l;p}X? zy|EdbHgRhM@tXxULOC*KumE-NOfAJhJ*w$J(R;`dURj~mN^^{0zjr4F2TnBrlxh%|z#J<2Ku2jzs?cvc`T5JC(4JH2TFY#>xtl14J4H`ATUc9%o2>gib(_cqMoK*=S^&r(K>I-;*xH!%a8Si zkVdE5!P|BlPNduAZnsOWY~2pjZH-I~YV^d4Xh^$l6pRqbC|JmX0XrkJjT^MgHh>9er{_`=I)(7J@MsLd6CKuI7K&!H2}-<>JKd}d$32Q} zn84KAU`&4^*MmSQoo>QuqGAN1t03D%$D!D|MjnkqM{G2Mr^rLZ%9%@^{WGqQBnwim zk33(RZ1Bt(cDbHx)h0~fxgLsF4u=y#ap=^Fa$`B>!Gpj zTp#7RzJTt4n7j}GObNpr*Q+wm^%1O`{mWq_d=g?TC10kPBz7R$EG7T-wc;|?+9xhG z>C}}|K^(YhQj`*;p(-QIKv_C0arJRThvc?m5pitv1`GNp!lkF7+Zlx)D zcCvk2fp4WLdUmqi&Zm4=0mZ0u-^mC5SOLW-<<)%P`wA#VDc{QnUROXdN_j0Gxb|gI z6r+?kl#&HLs}#j3<$6BwIRzA>l;`t-FDjrIrF>a|8)-n#PRdsl_)?mpXD8d&6nHUB z(X*57>k7P-rs&zpc2j|u(-b{B*}kd3H_{Y6JK0`Q;8vQVXD8dY75G+~qGu=DcNMsu zrs&zp_Qwi*Cr#0_lkNKoyqc!y*~#|00^dth^z3B2CT@5wP0_QH?XyaGBTdn>lkIa# zxz3LbRz90J7Kt#hEVC88iJ@V`mM=QETce78b}Ldrk^V;Cx;O)$;&Ew z?bDD(J28e!Bvp>1TYgx%gxGZ)S6X=SsvDECdam7#q66d zB6M}Uzxqmqhz*CT5{?L{*0;Ui%5*v-j`zq90kj4EeY}To=vp*@vE7hkOuZq8%GhGa(Ogy21~0{VNKr8E zURfDw8eyXFycJsU^r}cfFDqyzEUfc;OAaU`LhS0WX@5Z82~GY&%|zsidwA@tUNV zfeQwOmr~7?KCzft;wkH7)>Fon-iu|yL)e8iGYY*SoS`BPqEqTIOr8upg_0GDY%XJ_ zyS=ITth6S0HoZxWUYV4d*=hU`uzIuh#T=rcPWvHNmf}eDbuqXC#ReJcPeZ${AE^k! zI88;6m@Tt+G&*3%g`VYvhAsWQtL#Cpm~`{nCSMyUvnte88{UqsY`6LBI~_oq^!Ta1Xy2c8%rF+y$qSYt_Ov9O+GH*%?qu>p{Gg0BA(@21EFprt z{nQ1>y*YalW}nGs1c;zDF~l#QIio3^93Zj1qGQRw1VJ zSl@0+6|Ptd;iY(=tD_%%dza#2*K;^J8t>BMaJ*lSBCZJ@)p$sc{qX@kTs)vhBfeLU z!FVtU;vM`IQ3pjgcm~tE*9|}3+>M0X>Gmu)``r$AFC_kO6wJU>jDqI(WGuSfeI?hA z?tn>(%bj$_tD^KW=@rteO0Q<=y>8%4UzIlYJ>CradPv{DOy~eI3fw#r1g@7Bl&KeY zR*m!nnvjLdoz%!u>!kLPdaqKWZfaq;H8z?-p)Z!{0R&~(nd@Ocoj-y@{?;Em>eu0YpGp+J)Y zb@jA)SOxBXq4|J&-~~sn2X45gyZ`g#Xugo4tN9Qme6!Shqj}WP!XbV>??^dx;{|tE zl^!FJ%8tL#yx-lg!XBiDsoxz`$_esO+h*kRG7IP}y`%-$=_YVuZisaZ+>)TWS?a3;mm83zAOA( zyBVCujkJ+mdp+EUL!=xQ%pV1($w5XLc*PConOBnouDa63%P2aym-i-A8(t!GMa7UQ zmE`{lR$LW;j-Xtj4JTnZZJY!BEROSxGxt zrbyPwDD7lLovc|Wt7#{z*2!AhN!&CZ62S4DjM7d<>SQ(Tr1JPqM%Kw%+R2Dc)=*Kt zlM$Va)XA!K64xLg0N=wP**aPEofInYf^6V}p#lj;-$|<4-8Tqj-bz9bDAys&bx^r7j{5gv77#7>* zhNhGl3p*3H9Pe}uG)&xZJ5Xg9Dj0Rk_+fidj7&bUtX7&OX489_O*fMAGDgVl&)y6g zGZ?$FYOeov0v{%TF_GL*Kq(Efy_f}LLXh}!7H%k9Pi|%5BKn;4+gZ4wa6Nf73u8hg zuVsOqvL9&nZ=@}G>mj+3g?Gw+=u2MA!o8|&AbB|p@0116m)y$2y>yrK+gW(0EQr43 z)hul3L&+PR1aMrOqri8Idvl zd*!fdtEes{i8&K~V`f$8e=Syq(%t2xXbYE2m9=In(*ei|k2ia;c<^7S~#STL~&r($J#Lq%ybkTeUlkCVcR=FuQDpe!=#L`G?(*7Kq(sT05~Wx6JV zJW6gdS*FpO;hAAArGXRWZO2u5FKCK#kjUr${mP|fEq-X_QtM3&f)-vYS3q?6%0}|( z&s?vf$>B|TBJPrADR{E(EM+MS^<7ecK}(p-(R-dSq_B=8M@YeN1JbHjlD9tnMnyJ+ zJ(rVDUn{O+GclnSHb7mCiA;fBy@78hYBL!V@Yr<*eAoq^Mm$FMF*KU5-7S+qVd)$c zvl?%nxjUg|_FSW^fwupWgq0x7LpFfPr>Rw-7h%1?Ff$1`T|DK@!B4Ql51z#|Oh>{F zX)&AckQN1UL`OB96gpl4A&_0S<66jwR|6I`99Y2eJnBSs;G|@-$(XA1XfjCv05uxg^&y5%BBbAqVy3S zgt?$2dI6I`3{opRZJ&aPA;;v5PW&ZCC}f1nkPjn)V|Pcyh()LLk62<@?J)+qTWO}o zR=k>2FKL=g_C|~}Oh!yb9t&KIum?Kal8*$=gJ8>xL(N(S0+u`+xgz*W<{I`2O!f67 zBd$iBJ3~kk7d1?cTm9j?)B<1q+V)n9&CdcNVMjbhu9;4xl@XA#!!y7qOH@> z2ir{|R-j27n+CpQq#E2>n?&b|E1w1nrP}i%Uw>4$ z9c3~BL#l(o!(P8j7Z zgQOFdp9&)GgwdzPq|^;#pcJuEI_VXKD_OWGOGn_bT!BE(L?kmi;FR(s*+dJ}-lSde zE8yb5!yRn00PceWq?hFbiO~jPDFiHC(^edxTW%A!)2{EQoyC* z-PJaNwqlO}vPM-8aL}YG%{=Z}y^cD~;YMRj1Z!lA2@DJ> zwBu)m-c#z4vmE%gfJCDz?3Wt45YZ=JOiuG_k@vW;29pHrq_MSd{ZN_4*QyWLDeMW-9+Oad`e691KC0s@8+=XxZBg!eln+h$vVtdCd7<6$knn% z+p>XR)ykhhD6s7-Lst`@QUS=j9`a)H5MA<%av`8%!>CZnuQeIR6_-!<`Q33tGM;203qbe(MqBMB3Y|Sb1*@h^xhnrrJba>tQn3Kj?cE z>}5E|Jx@nKl@X+HuC01qoC9ZubBROv*RPUZ1%&RJ%Ft!J%|GX zzGxfgN)mzik)5FzNymJ|>&tXR1faawFbAp?`q#ov41y$Ok(=UbGis#trFzLwYR4K3 z-}X;+o35g#?tlPf<{*G8yAa@>!?bn1G=$j;=!PMh-tR>YSR*jxQx5Z5*K1sa{(AV! z6u3Yo9(ekrAVP{ud~2bnPAo{{QH+b24AS3>mkbh2WE*YF&5uGy9y|bROuZKrk zPfuFU5cTwEu?8~7JtH6(n5GzDD_W6C9aK$? z2!Kv77_5X)z0CptO(DeKXSg!asxnO&A}?Px_FC(gv<5JwOve9rm#@&KScRd?<=MasnV?dyl}OKJHT~>tD?6E*Dg5fxycc8E87A$QH}eh0Nz1b zpaogS4ZG{xjDY!MbJ7Cv#GY)s(xXm)ZJC?uy|j3n#YnBO3V%5mGi+xaG6~z2wMZVn z_DZp>;_xnYb^2TTzoW2lm0oHZ5}ks;Xw!^6P29b)R}k;{DR5$|H86>oJ7-EjDjm~~ zDzv1-K7-}#t=xGlb>7&cmgd9fkiJEoH!S5ePPQN~R&0vs?N_JaWp@Ws2fG8MoC@Z&0qaXd2bxh@@-Mb8SRepcyH&v4M!-ATWeM{Y zzfg#vZk(tk-zEgRA*A${*p9Z_X&f7c6bCi2F({2I*qe|0ZW>of^ycGUP2)<5-YhO+ zP+bMq#VGbsF&cZf~^8~wf zc*S0(5Zii8nG{$LWxQ}7;3V-2q%x9RP`t`7J+hs(BqOeqB6FphcI8G0xJ0`_wJEbP zB8k8>+RQ5y4$3Ojj#HWMxFz`2z=8-#(uyV~^6-!%+?5SadJFAM{1)-rdL1EEv zh!w{CPD0_yZ|#uk5#@MaT7=+5A2UB*9>4_oKO)T3=#)+yXx}{;Yk)9TwnCdGX#+av z4=@GwWM>alUtewv$pC_Wv2NoqqIyvuMxz;(p=~!Jv4~eqGFQ8YL7CVD-n6|!ppgLR zT~T}D1(ELx_PrcZ25`XyKO%f1i4aP1i@?(979TH#(X&o1bTUzmr0JGS#54|Stfh}) zQ3VKBZOSUtN5C(2A&yh8Y!?k9j50PHFeZro92l^vq$1rz#ipRqYN{$cXxI#mgAkSU zofLfSPoogRp`m(e{j>h(1+^y6h3J&PaFJAz-bO zFy*d=8;=A7@MM_mypnuhAsru)1N=bWwnLocs!rrk3k22? z+R-UCyji^gq>OlEp9d5x#n^6Y=NZzeB2n=qXK?TmR1pmj%lqXBh5j=^xSxOk0QX6_ zMG(r-yhRYA`y~<^F&%&v#2{b4kuJU{Le{*OT8KiiX=LJxeCB~>3j{ky1B?&kbp$AZXq*N?7^fl5 z(heX8w{@WQd=&PiEQ3;qKKN&DYda)CjdEuIO+u?S=tngkhXIF&^FF}+8bo+9JvJe) z9kAgo;!(tvgeU`ns@F)4N|B_V3Z+Ioa3+VZg<2CTgRNeM-|H_;<$Az_59v&f=+%dC zc_aE8m$l;|oumP8w`#LU4LQturOc(vCSlsf$2A%Mg_}Y0Q;na4s&FA{Y3X-lTf!}; za8;WC=}8(IyBf2OW)E|ik>xjoD0s702#8}sQ@43dE{Izr`ZG2&_3L%tGyW%=t1-zQoj|jY?y{gOTI(6o(q4Ea8EbvdZ(gK(D73%7!-KC zF(_P5<0PnL2VU5?8q~fLMFoG{5fnmDer8GI*Pay~p`^MfneAf89~=1SQKbT#7;yxF zW^6;<+BgiFqhv->uF(m*f~6cLW&d*W;umfz&*A09y@)6+8+*PJ$1>gzv8qv;F36~1 zhnEa5hlm0Zs4%LL&dl0ZHRfSeR-<{#ZBTYbj5?_gqZ5Mh_Kiud{E9 zey9TE-LKu`O>)kYqoJ>MJB*4RQ`pm{t;8Uz1;qJlGHY*yW?j-1HtL7SVi&x!{y_LPlZEImlZE2D zoGg^?GFb?_lLf)Wc6dD`5SUAWS%AXSHg#jukDLjuv9i)ONF77mPhGsxolbEK=CtqL zFJ!Vvw)H;=z4*ZXcz43o239*D-@S-*l8|2RnGpP;MBjVfUiAHLm~k#!RNsMf2k+!u z4l^?Pcj4T_cja8ond%+R9ZESD_T0v~b#X4^cL(R*g{90X^s5n0A#dxHFcDs7LS#RZ zC}m6=h8XoG1E}n6nj}naVTB$G@GbANS1r;7$xWH2`Z2|)a+qbd7Z0T*TbA0)gO7!T z6)v$hv?IVeNxny$zBw697EJ9JA4tCMGr*Qw+oIqg1$i$%5**-x&vd^`C@E?TFcT<^ ztPo0&Llv+28hpvDLG&nVXG};r$Rps*Q=kQ5d7Zx!hGDAX%cj*dL1c>rCKbZLmXTri z9Xr5|ld})W!lT{{*;}tS{F|~`Whb$aV-Zj+lWO(|Yn47i0h?9J43Oc%fQlL)Yij3# z%s3Mdsg1{GPNW3J|119)K#ocEQY1=l5|nINgMYR;2E6?3H~}(AVoyI>cXKnOyc*{u z%wt#xIw+co0obVai$V;Yj*{R5xD^nU^xpgnyl`lc+}1HhRC7W)`Y{;DL_Mh8m)8nm z<6NyNzmthY_&S|*8u+NmPPEXWN*N>G^psYp{a0aB;%1!alxPCuyz%oP^HUL#qJ-93EB z6j@3GB?agwEt}PycEIGJ&B?;#`!D!m`l9Ksb6>gDHf}=+q1s;EDiO zx<525Rt!M26#%m2V*nQKfTb)P0j)HNt-mWr!SoF96ofw=Hqp)-eyYoj$Aa45zB^1P#9e=vi0glM&>7+`{!oy8My%k+k->!bMaoD8<7cTH z0z+Bs{(S7AELJNO-Yh5YNESQ6wDq#NTvFp-HJKyU1OqEfz-e}W?Kv-|ynj*deTiRL zhtEppf^iD!r`mYh%Of3t23RqS{4O1f5i3xxku2=&5Ly8X8KG&y8V-P7?v+e4v*n+K zQ5o*@yjN*l53x=q?BKm(>Ki0$dT7FAh&U4Im*DY4By=PJaWZdZ)R%M^Pl1eUV1h!* zp#yQ%IJ9~vhl>3*+qu%XNZA~p7Sce$^QoZpe2R4^;}X*@s4Yd@@TJ>EP~u`taC@Gi?{9StO3SYfGT{uiRnRLZvg`6>&Lk+TiB!w3>embaK z@PkD=q0D@7yM#Ym0tqEp+RV6;=fC9J34J@E3%{B+Wvvia8{H^JMIl3?rlj|iSGDPo z))oWbrvSZv69tIM7J>TyaGu&sY^3g^q51;^nl*{Cj&7}9QNDT+O!5`Vsd{3~g7~eF z_0{aQmZJK_Xu#{twe>(N@Mx6KdbTM80T4(06{1tgtqiKC$rJHUxfiqgkmCWc4y zv%_jOJ2VCn#59?F(W7BgLAUL*<^X~$84L1!~%@)i?*4pp+DHW}G~ z+oz>kdruFG+yabDlqkth@>9GLYxN2Gy7o6AYH+FY*R%|V&%ZGY<_Vaw_ro;!2LSMA zY_T>IM5lmI`x>=N)=(q^HMOs^xgoILHE4HE4UWxoLFn{X^Pq~4?comfC zj1d9|L|n%Z@@u0Kh$e>9I0+y5WJQ9MFgc6QO@eB_paR>**^-bSA^xRbS51En$yJz+ z7AP#mgTU0e4Wj3h<7Jcj}xd0ak;f@ld)299$6`~o`)OR~gK~HyS>VtPS zz^wE&?zA;fc89)*AzEnQ8RS%BUXF6C&|YwI z^>dK2oZNx|DvCNB{dALaVNY;`v7CI3<$hw`PfX4QSx&x8e1+;d9>#L=Ig83UAj=vN z>ew%RV0}+swTQ#ri0@m(L?^m3y^%rkKVvUcW zXse!ZRI@oli#kgFO{MRCn{>9keC?ge7i!7hdZ+TtS}W$%d=29xdGPM77~KAfH4l0P z&~aVMcFy-kG1WU_ig(1wyZ7_{b{yGvWylucY1DYtw)%QBUOC82R#+t5S3%sso zU;uC==)2jBqhoId2Qkn??3 z#0Xw4T?yhHz}ip;9og3Pa#AB+d5*^Ydbqg!z96`EHJ)@mcCwm{_HmVqdXRCOT5F(cp^4?QuI& ztT-go1jc%`;asoLt-`K!EWl7@7p5nT6y)@*fF})H9h^K#Ud@K9Qx9+$EFzsUe-+Sa zm2&DwR36tETHS9*e-QG0irVG+Ksh9x#)A|2a^gNGi)>dXo*dmOTpuB;hmxQN6A6In zi*SjE?27~eQZ0i3ixHbomQAxyK78w;=Tmg99~P+M3>I3$2BFCgF^oeXbvv+|Tz|(Z z52bsmgr{{2oE#TpStuYKAxfCX-6=uI2g*R8*U z@PD_6_H6Y|m}cXQ&ci|F8oRBSF8qaHTI&<SpZ{c4AJ_oi4f*ivS zbQm5%Fd#utcLVSr44(>!Ob}QV5*(=@K&jp#2#~40CI`4*r6UVujR)L=v*#U<8RdwR z8DlC2q?Z+tb-#2Dcbr zbvju=MK~7oN`n|p(o{9;b_k?e{17~D#%UNI$Y-U#kZcFgFWS}rGOEsOWtqD-Er6}8 z02;-tWUdFYSqmnv>sg79@xVN|vJxM+x5BaoPWWga7-3gh^08R8yVzp28`V%!8&C_9cZ? zCh_-h`8E@uo&Mq=kJ{P-AbGzK`r??`BZHCK4cdFjy_B_R{ zL4B%g(8XCb`&4P4ZWU^{9(Uq#yE^Eg^&rav{2RbemYrl7dAx6z(KC0Y2>5QUXams6 zHAt?}$GOo-20pn2cQ;pI0~C>K54ny%-nW-r*gyyAWG`-05 z`gV`oCoJyHN7J``M1!$C`RKu?`i6ZYAZ*Q9Msgg33t-Ol zM0wTiY%EMg-(nt_d<*fy?NP*No0Y}CqWD*cuekkbd`i0fHdFnMa(sszJ0mhHEnU&!)1Rd&qr{B{*)^hY2y$y$t&JtvN^R4(GC13@F7uKm2C876PW)iV4Lhb-=3qxRdkHrvgI5Kn=5Tt*%Dv!mG%qko)zm(1^ zB;9VLfrM#$@?w?(=O-^KpcxMQpWMmBsf-}~(Mkp1puGK7<7 z2=%C+qJ9`1?-crPY}SZQ)ed)?>U#%TwWB)| zXa2LIyaYw>Kg+Kbxe}hv{p^<1N|H5mG@A`*9Z7mIWD_j)J6-W!7JTw5Q9ABZ|J@(sczq<1PM9Eyy4>b#|e)~-Aw=3a5iq>B9w1L(d zxod`2Ad*}XQgyFFbHI>V6H=L&7*bhg!l@3=uwh8b0w1_UJ-!y$%CUsY&3yDO-jN#s z;a9#se!y>!c3SobBOqDbXSZPLh$2vDrj=n^iG$L;dKqfsV$)3%yH+I*=CzI0Zb6e@ z3=(KJt6Q97S_PHWbBD2_t*u&Qw_DIEHO(&NRM(yC)y96rb)_-x>c|u61Cw}-f{Eyg z;mFA85M}=9=kP`p8z0efaY{mmL_$aP8Xu9B zdYFFh{CZ=y2_0;$@vyJ9@e`V6*ZV>`;A^Qi9#*x7bxL!~ZkMIzt$EkGsk!hj zYBuMgT6MS#Js8>`WCm-WJjv{8&<8Lyt_+L=w?K;81XUoY3r=po4 zs0>v~_y?B6tRJ6 z>7+sn|8iyPC_=CKw42BpG@=s|Bcycgol3}l<+PS@au38oG0(XD#JZih)@UH&*>xRU%U!`JEDg+*v7>-I1M^ z_T-7BE>AyutZ-NtFlSk>%2NC@^T1k8943)DtF^3E5jz(#*tLwrGPj)7+WX<>47|XU z*;HbqPuJ|EGl=L8rnLLFm>O_!fmPF5yj$A^IOYb!Ysw_Wk9S?9fjdtA=pOHuCgl)5 zI37cB$-AZZx`Lf`X7xMnFPsAJTo<8hzR-lc*$Du9gP!DhZkStIuB9|2x3s)$X|U^- z-jlketLQlOQB$rxUAOd}JKfUNVYjF2mfqv`;FgBHCC)KFwH2hGL+QF>lv&AMSCw0O zFP)S5DL2vH)Gb|fd!KJ+Z3#0p21D>UofzfQ9}QG`bD{n+3t=xw0^Fk|s3ffK*ygS- z4pTK<@a}2@3|*uVvuk(?0N{u55>sC3TKTNY5@Qjp2xIUt+-l>k^S5s6o)RfC0bjO> zEsGDj6RK`QcDL+EThmin1!gX9iGeh?<$(mZ#SGpNgV%an9wtcJV(?l+P3A@w-FB&y zEgD-Ut(x1~K?@9v8k56<9Kzc84vvf#3teY0`(5cL1M3G_N-lKI^nRL-)A& zY^X*dFCz>i3m;(ar0ZdPfVrHg*avV1`>jOuB6S9r86JPYg|s{vjEnN(4Bj$4DK`$# zeK}zJ>-{-bu%FQnJl5CSF?e9C29iiK{r*0tmb}08E2(g;p!dvwsfzf+bbt$sakbtj z-9Wp1T_b@YlJBU93}yoeA+2?S@1>E6)=b{$=3q%6;)ZdS1eA*}7g=FDN`EMxJfbw) zyuiJ$=2y>@iDQQ|K(Oh%0|@SQ&cw(lM!sb3b-SvbUCb#)*<-^x5Y9a9s^mnm>`pb* zk4=Q!p{!>IBk_*tq22)|u{vFbu-047y`GJqcdu_lwh-Z^f=M}F4PS-HYEfaMb5Zrb zORWANd}dDcA00lu6aD|M_{^N>KRSGRC;Fc|K6~!ude7ZG%%VMaXIw97fcj3IPyb77ZAo52nWDCt-8H-iUAF2sGA+>0<^UuN2U2LC- z-<>FZtoj}FT0a!fEMSM91^hqxt;RZU6(!+Mgc7D~`qVAsV5;c1(}b3aP}4qkq+zHu z_Q5&!@ugV;vfy6v=N9$tK8{&-gf>B}%OFHe7g#n3V@!AUBU_MmHJZwzo$I{&W6FV_ zz-zRqSwiucU35tTuyVLDlio(>R79z_v$ClBmzhB;S6A%>QNNZxUgv5Iy&u zETp@gn7DNJAA8b5i}<@`v_DXLa=9NN-G5A{y)A``5s-ebrXFUB0gt>9I!YYlP01!T zVaLfpM#dzr(r9|1sggGvv3)opYNjK*A+XgeD3K7A1Q-SgHiEjF!MV~j`nD~e1@vp< zJ!TmRF*dH+8>;P6nEjpI(vT2)XtVjPMnJtHqBO)2&s*hOaL(D`e)F zjj*0wq=g!zKuEKpU^eEF#=M?w+X8ooMwD5krz0Ms98G#{&kz_vNi&P&2$7+$rn98> zKBPC}>neUF5)UcD-bVCBOjWpH?Z=2L9oteKRLZAw%dW2n03>2CF0x$=M97&dqn$N< zNIizeU_A}8x&Lsw8H>2+VSFb?^%#ne=~0c3(*_p+7v0e}cg2U@F@7I%$N7C&z+a(e zc%X2K!Kh)2Xyw1)=%jA3lX3DSwu&8e;H~H$c9HFN{G-r4WP_51-h4H5I)t2VzWk$* zh&Ss&aP6`D-xz!XkxIgBn2I+(?m7yf$;If^?KwWrEG_3pYW(_7MuM#?psCB z^T&M*eDQ$)xbFsJ5e06zoIz_X9iJHmdtEyNp5$;r~tO0 zWMO#E*cmfF&^aNEu%Rl@=LGAkv=pl4RhllGf>BV(HtH}VgHH)H_nNBHghma9%er#o zxQHpZ!AcUl-Q`HYr2w6Ir$T3&+mZJLw#}`dx#y9tAK^&Oj}YUkw$aDmg5>SvFCF_v zI`*Q6<}M9-uRo;G>&KpluAaeJ$T{!qmLeknB8>p5B7jVuK>*C{AOOwqJOMNu)ovvK z-JA{yh3HBnfJTP^cx%9iJnAv)mVHdpZd?a1a=ck&2vL~pW0xcdbJh+4Umiu+d5(r4`*7q+7k2kL>_(LZycy zG$2xk4#7SUf-67i>o(a5o)H|Gv>E6KeXwm`lnKZHanj1bR>>2xh9b#>=%iX4vrvsc zc*0az4n;W+&^Sp|rycvz+sLvHx1x(!)KfZ@Emw` zr82nLxlJ!kpg zh}XAx&Hugmf#!p64qKmfAA;9=!gmSrGwdgacgD-8Lp$D)-y7>&;&nqK{ge>$XoD2` z=B!VSnevtn7Hr@QCUw3Rbq zro#-2dvt5WZfXJfyTzITMlKMmVk{WwigQbL?-=o-8O3wH7SWe|7;HC`=i?ba^5b9d zwhSdffUFV3X}ZmB5T2>V0SfFImI$UB746YT?vI^}5h2O=u^+`Hqq|O@<2?+gGo<4~ z+0*TsrUlKtuI~(b*C3OaVUIW$@!%j(XYiczIWl-$+sGBc4l+(<=}nvyW1i>{(L2a{ zw~@C49+_I0xqIQ!8^4eW78**fXMsA{i0f__J=AWYhGYS?^@GPgoVf>8-9f4|J`r}A z9aNjzY7vLNqupP&C!~f=CXfC1wNk@!+eTG0aAaEIhm(P`uNF5|`(SfOwX>R<)yBs# zsaId?vO6!uwM8kBJkJut;(^uHr=`rHT6~{sbIk+3wgY$87SXAlXf0W*l!u_U)yQaA zjgWf59jL{JRO8VmduiUU52Cw!R4|O=EyY3rxyN=0#bzIAC4c;V?PdZ z9*y{*9>v(<9Nd|r9n};Eg;Q9Zc4DQuTc)U%x!IJm?+lA1`_612hP1ZLW}rHsalnAv z=k7g?ur4@943wj5P;~%Gv?BGOfriXPF?;yD7PSmnEkh~?fN&fibIOWnZ8u-U_V(=I z?l%bcfR-Qj(|D6zEqdpB7=CArKNLd?CHDQWY;}*du;0bn%kseT;UJg_m>~-8oj_$q z5Vyz3p{rA0jQRak4BPIPK=)r6}<*Yd#pbd;N^e zcCjHNWrA_yj5Da3VFvz8uIHeycF*7*reKf@JB1O|nlVVzCaP9@4VnRbufe5MILz<#nGl66Z~|KSl{=!qu%6@8NAD34g{vlcpJo5C??(^k>{Nb-R+q}?*!f>Lqq zh}nf@;^9NnHDc3ys4Lvn=*=&(H6_`_8ci7s8vzn%mLd`Ar(q0+$tjq{=KhVz8oOtr zB-|7-bkKmiBh6~rk$l-CRyj90lqr&Pe-9+Db96}t85Z0?^4V-x%rFRd{HQ`ZkE8Jy zdv-6{U>GsIjnVIw4aWk@y6teXh`{~zM?=o{;rw{}>a4QfADm_956(P0t7M~2ZL)|j938GDLMbTkz56<@xXp2-h%+}E=jE-X8o4!GPfwI}3; z0;+7F_}Og6aI(cCi@rZ>lw+NbB!fl&ewn)v^UK6E!9EctBAiU;8aZb8NI9$*Y#Bad zA1=$3tpH`1JgA*G+%Sc{ITay?lOCl(JdI|vs*5S>x(GV?)>|Lv^3_C_0{9Ccxa|inDR%(MD&ztIz+sI$Tzx|?lJ9W;vp0}?r{UWg; zcnczW{D*t#c#7#K`&gnYa3idF<3h&q6UnoN8JMkb2~uKQ48`EOaC(@7o)dv-@kB^YeRn(A^0dl@I_vd z+ga!x((c}Ka4%}XiKwCXU%Qo6>A$$^J((H?CY>1NA*9K#M8_Vu8C#96An(ym=rSE3 z-xG!Fl01#jcoCl;ycpF6jdL42w=ifLiRqc++B9--Yos+M+)2i$DTL3UHPzT-yP8O$ zp|Ez3ZjT5(zY^DI8t@ISMx72K9UHn^nxD#m{}mJg_~($kQ(?zZ>{?9QkACjgzVO?> z@rD28-@L_r6*3(D(J#I5(jWZF-~Z+_nQD0S|NJLk{cr#IFWmmuf0*WY{WHJ*+h6!s zzxPl7@l|EnMJ3yBJZPl-vAz`>PuIRtVzZ#kQAka57P_iEWiHg*3J=w#$B=EBW^rAj z?lT|>=aHDwB#4sm^h)rQVp+0!6vzW_RqQ%u)y?$51xEuM-dy>l%R#X_bZ-7>9199C z;fRupX`sj#o`7;i6APFy+Yo{2V%G{#jopfBPE%Y3#>!q&79Te;Y3t%8`(o26T0?LO zEGpHtyfPDc(I$G?|C$;~5@IXc4Yi0HKCom$z+4ZhK)^4{z6Hysk1R<}@MqVzV@l$K z$YSWah!ZKuUvY8IK{xfXAT!Oq&J+ z@gsW%<4M+x-R;1ZF?@-(b&M1VY|_M-`jj>86~LEcMES6~u7&kYY^_1jE8Gcgt0UrY z)uQ%&MH)bXu`Rk*6FHkeAS=9cZ>^t}?uRG&iyA#!gN@oPe@~TNSH~(ynEC+&Nf_xx z9MGm}7z2~-nGYYj^%t)&K&dN8vYkZ%|DY znA=KG_{1Aye@+zjVO$(0D`c!n)_dz9ZyThhY6L6GYItxN13~0?Kz#oW7Jz9XsuWY& zqfy*fTlN>eFk|*kER;X&?)|p~KVvQ=-%f9_Dx|k%VeoFbwMy4z6~5Y?K|6)h8M8Sb z%#43mO}+l-($s$!Vf%YU8ZqHOz!bSRtJl5~7A2qi{XpV5GTB>@!r^jl+!?sSS7b#h z!&)=YV^v=mlW#kDl_+*ZwUf_q+t2ceAoz(uQa@Tj%=3)~a{7GRa)2q_@y~Y~fA^36q(a(@`e8cuZ=xR%fpC@5%BJB>zfTe+%ncAxZ8*e zjZDixMQQ&Nywv{QBAY<>00p+*ZX7W|a_#dsgJf9ORiefJmID7G@F768D~Llxe%*@L zwL1Z(n93)A%=}t(93-rg4(|wPwwDy5xGk~sdOfVEJ#!q?s=P6s>&;$v)N23zfe;|B z-3)5~_`r$t>la!lW-qL7Y%Fb_IKFXtvwivEiT3*X>iqfHrM1lyo9)@T)z-0RXQs|h zO&q(twz9VV%-XTlrM1h?9y_;o`NZnd+zFM^b8}9-e=(@XW5RuFo4lo2yInEg<+D?O&wbzr)`> zKf?AmW}i8Gr8RFjXfO%ipzR}35NJ%Fncci_Z1dvkEXXpH&MquHdvp|25u+a^YX+eJ~gP&v{q7S^gJ!-fK&4?PWJ^-rYX*><~i;bObB;M(i1J-gC!kYH+RY0 z()!x=_|5s*)zE~LT+v#XSY$*yl zQfe68sBbEpg*CRSI}49&OaB1j2XpCXct4a2e{fs+&k(*Zm;ST7i=VRk72cBzf0*~t zZQ*?SN4BLaoKF`&c!uj-tZRrZ<(_7op70#!h zBb%8PwRwDL{n*sv z==8$a*xcOg=;X-A=;8_EwK=jOq>qgpA3J{Xq!pZBU%S%UXrD0ky$SRE)oLSH1-?UJ z5cKn$UtQlsxVxpbE9)z0jE&a(<&DjyE3MV%-1>%_UBvisbFHPdb8cZ{{o=)zh>ZV= z>0ckuKL_}iH;-*Tw+ZCq$ET+Za!ex0iou>nAin%p3>Y8>YnNA7-Np5#HNkapc5~Bf zIayR4(B0)tRNkVSU32Sm%dPpgTWGa;kQIeDf8IT_etC5PLYg%Vd3kMfcCqD`y)%zsHgo&^41E_vGgv)A6Wh~D4Z2!5KnGkd7Pd!0Xxk@U$<{&w-_ZOw(& z#my57s}~GTqm^kxcoqe`LhFVUfLol!Kv;OhU6e$3Klxsh?CyxWu(Wn|9m+Zn4ufyd z{zKIN6&jSje7oZB=@y@Twp+YY{vT3a^mL(xdHoy%g7IleOM~g=o`d*PfP!EFpO19$ zb39dMv%O%wutIdfn*OWuCmg9B{g;I$Blq$r8F3GP`}k9N<&|8K ztU17+Y@qqsi?j1fZI}gYEUvCULtLZYFuXrxZ0puhh;(3X{qowv<|D3sz9kvvmNw}V zNecUn#01AE>!{-?o*K9JzBhg^N`L-*D>o`nueKK3&TU9|Je{JX*HP?EqgmHla(ELb_9VlGBz?kGBE<_k4%rujGP=D z866!R8yz2=7@ZuQ8l4`U89g~RGB!FkHa0#sF*Z3iH8wpqGj?)(WPEgdYysmbZdnaPt=BU7VO zV^ibs;pEiR)btcgH$5^vIz2W$K0Pr#IXyK!Jv}pha%N;^bY^U3d}ab(otl}RnVC6x z5)e<){7I@lNwJeeZLVM5m~T0gj2aM(C3pBCx3CVCt*y6ZY9T5XTAS^qHBW_Gvj)Nc z*ym~Rf8!~=c@$hpMs3WloulIR>_$5s*^CB!$|8c**9BRmEg$Ol<2}Vwy2$5jtu3TA zoF`smnWg*ko^GtAO*ackh@dEBf#9>05iS1)&%WT}Jr_6D7cS2;5s0d*fOvWKTq`VU zHW1WFnr}&%9-DivP?GqYKQMZHX5#pWJKT|gjv<{qHZpo_Wcs13spn@i``jxp;vGO*EFY;CmG=3B?w&s}V79_hVsd9}S{lb8Pww2sKN literal 0 HcmV?d00001 diff --git a/packages/engine/Source/Workers/gaussianSplatSorter.js b/packages/engine/Source/Workers/gaussianSplatSorter.js index 8205fcdc5cd7..ce25d2e1ab3b 100644 --- a/packages/engine/Source/Workers/gaussianSplatSorter.js +++ b/packages/engine/Source/Workers/gaussianSplatSorter.js @@ -7,7 +7,7 @@ import { initSync, radix_sort_gaussians_attrs, radix_sort_gaussians_indexes, -} from "cesiumjs-gsplat-utils"; +} from "@cesium/wasm-splats"; //load built wasm modules for sorting. Ensure we can load webassembly and we support SIMD. async function initWorker(parameters, transferableObjects) { diff --git a/packages/engine/Source/Workers/gaussianSplatTextureGenerator.js b/packages/engine/Source/Workers/gaussianSplatTextureGenerator.js index cf283730bddc..d9a6e1f491e7 100644 --- a/packages/engine/Source/Workers/gaussianSplatTextureGenerator.js +++ b/packages/engine/Source/Workers/gaussianSplatTextureGenerator.js @@ -3,7 +3,7 @@ import createTaskProcessorWorker from "./createTaskProcessorWorker.js"; import defined from "../Core/defined.js"; //import RuntimeError from "../Core/RuntimeError.js"; -import { initSync, generate_texture_from_attrs } from "cesiumjs-gsplat-utils"; +import { initSync, generate_texture_from_attrs } from "@cesium/wasm-splats"; //load built wasm modules for sorting. Ensure we can load webassembly and we support SIMD. async function initWorker(parameters, transferableObjects) { diff --git a/temp_wasm/cesiumjs-gsplat-utils/.appveyor.yml b/temp_wasm/cesiumjs-gsplat-utils/.appveyor.yml deleted file mode 100644 index 50910bd6f38b..000000000000 --- a/temp_wasm/cesiumjs-gsplat-utils/.appveyor.yml +++ /dev/null @@ -1,11 +0,0 @@ -install: - - appveyor-retry appveyor DownloadFile https://win.rustup.rs/ -FileName rustup-init.exe - - if not defined RUSTFLAGS rustup-init.exe -y --default-host x86_64-pc-windows-msvc --default-toolchain nightly - - set PATH=%PATH%;C:\Users\appveyor\.cargo\bin - - rustc -V - - cargo -V - -build: false - -test_script: - - cargo test --locked diff --git a/temp_wasm/cesiumjs-gsplat-utils/.gitignore b/temp_wasm/cesiumjs-gsplat-utils/.gitignore deleted file mode 100644 index 8d60eedca108..000000000000 --- a/temp_wasm/cesiumjs-gsplat-utils/.gitignore +++ /dev/null @@ -1,6 +0,0 @@ -/target -**/*.rs.bk -Cargo.lock -bin/ - -wasm-pack.log diff --git a/temp_wasm/cesiumjs-gsplat-utils/.travis.yml b/temp_wasm/cesiumjs-gsplat-utils/.travis.yml deleted file mode 100644 index 7a913256e853..000000000000 --- a/temp_wasm/cesiumjs-gsplat-utils/.travis.yml +++ /dev/null @@ -1,69 +0,0 @@ -language: rust -sudo: false - -cache: cargo - -matrix: - include: - - # Builds with wasm-pack. - - rust: beta - env: RUST_BACKTRACE=1 - addons: - firefox: latest - chrome: stable - before_script: - - (test -x $HOME/.cargo/bin/cargo-install-update || cargo install cargo-update) - - (test -x $HOME/.cargo/bin/cargo-generate || cargo install --vers "^0.2" cargo-generate) - - cargo install-update -a - - curl https://rustwasm.github.io/wasm-pack/installer/init.sh -sSf | sh -s -- -f - script: - - cargo generate --git . --name testing - # Having a broken Cargo.toml (in that it has curlies in fields) anywhere - # in any of our parent dirs is problematic. - - mv Cargo.toml Cargo.toml.tmpl - - cd testing - - wasm-pack build - - wasm-pack test --chrome --firefox --headless - - # Builds on nightly. - - rust: nightly - env: RUST_BACKTRACE=1 - before_script: - - (test -x $HOME/.cargo/bin/cargo-install-update || cargo install cargo-update) - - (test -x $HOME/.cargo/bin/cargo-generate || cargo install --vers "^0.2" cargo-generate) - - cargo install-update -a - - rustup target add wasm32-unknown-unknown - script: - - cargo generate --git . --name testing - - mv Cargo.toml Cargo.toml.tmpl - - cd testing - - cargo check - - cargo check --target wasm32-unknown-unknown - - cargo check --no-default-features - - cargo check --target wasm32-unknown-unknown --no-default-features - - cargo check --no-default-features --features console_error_panic_hook - - cargo check --target wasm32-unknown-unknown --no-default-features --features console_error_panic_hook - - cargo check --no-default-features --features "console_error_panic_hook wee_alloc" - - cargo check --target wasm32-unknown-unknown --no-default-features --features "console_error_panic_hook wee_alloc" - - # Builds on beta. - - rust: beta - env: RUST_BACKTRACE=1 - before_script: - - (test -x $HOME/.cargo/bin/cargo-install-update || cargo install cargo-update) - - (test -x $HOME/.cargo/bin/cargo-generate || cargo install --vers "^0.2" cargo-generate) - - cargo install-update -a - - rustup target add wasm32-unknown-unknown - script: - - cargo generate --git . --name testing - - mv Cargo.toml Cargo.toml.tmpl - - cd testing - - cargo check - - cargo check --target wasm32-unknown-unknown - - cargo check --no-default-features - - cargo check --target wasm32-unknown-unknown --no-default-features - - cargo check --no-default-features --features console_error_panic_hook - - cargo check --target wasm32-unknown-unknown --no-default-features --features console_error_panic_hook - # Note: no enabling the `wee_alloc` feature here because it requires - # nightly for now. diff --git a/temp_wasm/cesiumjs-gsplat-utils/Cargo.toml b/temp_wasm/cesiumjs-gsplat-utils/Cargo.toml deleted file mode 100644 index d78f113f37af..000000000000 --- a/temp_wasm/cesiumjs-gsplat-utils/Cargo.toml +++ /dev/null @@ -1,32 +0,0 @@ -[package] -name = "cesiumjs-gsplat-utils" -version = "0.1.0" -authors = ["Jason Sobotka "] -edition = "2021" - - -[lib] -crate-type = ["cdylib", "rlib"] - -[features] -default = ["console_error_panic_hook"] - -[dependencies] -wasm-bindgen = "0.2.84" -js-sys = "0.3.72" -web-sys = { version="0.3.72", features=["console"]} - -# The `console_error_panic_hook` crate provides better debugging of panics by -# logging them with `console.error`. This is great for development, but requires -# all the `std::fmt` and `std::panicking` infrastructure, so isn't great for -# code size when deploying. -console_error_panic_hook = { version = "0.1.7", optional = true } - -[dev-dependencies] -wasm-bindgen-test = "0.3.34" - -[profile.release] -# Tell `rustc` to optimize for small code size. -opt-level = 3 -lto = true -codegen-units = 1 diff --git a/temp_wasm/cesiumjs-gsplat-utils/LICENSE_APACHE b/temp_wasm/cesiumjs-gsplat-utils/LICENSE_APACHE deleted file mode 100644 index 11069edd7901..000000000000 --- a/temp_wasm/cesiumjs-gsplat-utils/LICENSE_APACHE +++ /dev/null @@ -1,201 +0,0 @@ - Apache License - Version 2.0, January 2004 - http://www.apache.org/licenses/ - -TERMS AND CONDITIONS FOR USE, REPRODUCTION, AND DISTRIBUTION - -1. Definitions. - - "License" shall mean the terms and conditions for use, reproduction, - and distribution as defined by Sections 1 through 9 of this document. - - "Licensor" shall mean the copyright owner or entity authorized by - the copyright owner that is granting the License. - - "Legal Entity" shall mean the union of the acting entity and all - other entities that control, are controlled by, or are under common - control with that entity. For the purposes of this definition, - "control" means (i) the power, direct or indirect, to cause the - direction or management of such entity, whether by contract or - otherwise, or (ii) ownership of fifty percent (50%) or more of the - outstanding shares, or (iii) beneficial ownership of such entity. - - "You" (or "Your") shall mean an individual or Legal Entity - exercising permissions granted by this License. - - "Source" form shall mean the preferred form for making modifications, - including but not limited to software source code, documentation - source, and configuration files. - - "Object" form shall mean any form resulting from mechanical - transformation or translation of a Source form, including but - not limited to compiled object code, generated documentation, - and conversions to other media types. - - "Work" shall mean the work of authorship, whether in Source or - Object form, made available under the License, as indicated by a - copyright notice that is included in or attached to the work - (an example is provided in the Appendix below). - - "Derivative Works" shall mean any work, whether in Source or Object - form, that is based on (or derived from) the Work and for which the - editorial revisions, annotations, elaborations, or other modifications - represent, as a whole, an original work of authorship. For the purposes - of this License, Derivative Works shall not include works that remain - separable from, or merely link (or bind by name) to the interfaces of, - the Work and Derivative Works thereof. - - "Contribution" shall mean any work of authorship, including - the original version of the Work and any modifications or additions - to that Work or Derivative Works thereof, that is intentionally - submitted to Licensor for inclusion in the Work by the copyright owner - or by an individual or Legal Entity authorized to submit on behalf of - the copyright owner. For the purposes of this definition, "submitted" - means any form of electronic, verbal, or written communication sent - to the Licensor or its representatives, including but not limited to - communication on electronic mailing lists, source code control systems, - and issue tracking systems that are managed by, or on behalf of, the - Licensor for the purpose of discussing and improving the Work, but - excluding communication that is conspicuously marked or otherwise - designated in writing by the copyright owner as "Not a Contribution." - - "Contributor" shall mean Licensor and any individual or Legal Entity - on behalf of whom a Contribution has been received by Licensor and - subsequently incorporated within the Work. - -2. Grant of Copyright License. Subject to the terms and conditions of - this License, each Contributor hereby grants to You a perpetual, - worldwide, non-exclusive, no-charge, royalty-free, irrevocable - copyright license to reproduce, prepare Derivative Works of, - publicly display, publicly perform, sublicense, and distribute the - Work and such Derivative Works in Source or Object form. - -3. Grant of Patent License. Subject to the terms and conditions of - this License, each Contributor hereby grants to You a perpetual, - worldwide, non-exclusive, no-charge, royalty-free, irrevocable - (except as stated in this section) patent license to make, have made, - use, offer to sell, sell, import, and otherwise transfer the Work, - where such license applies only to those patent claims licensable - by such Contributor that are necessarily infringed by their - Contribution(s) alone or by combination of their Contribution(s) - with the Work to which such Contribution(s) was submitted. If You - institute patent litigation against any entity (including a - cross-claim or counterclaim in a lawsuit) alleging that the Work - or a Contribution incorporated within the Work constitutes direct - or contributory patent infringement, then any patent licenses - granted to You under this License for that Work shall terminate - as of the date such litigation is filed. - -4. Redistribution. You may reproduce and distribute copies of the - Work or Derivative Works thereof in any medium, with or without - modifications, and in Source or Object form, provided that You - meet the following conditions: - - (a) You must give any other recipients of the Work or - Derivative Works a copy of this License; and - - (b) You must cause any modified files to carry prominent notices - stating that You changed the files; and - - (c) You must retain, in the Source form of any Derivative Works - that You distribute, all copyright, patent, trademark, and - attribution notices from the Source form of the Work, - excluding those notices that do not pertain to any part of - the Derivative Works; and - - (d) If the Work includes a "NOTICE" text file as part of its - distribution, then any Derivative Works that You distribute must - include a readable copy of the attribution notices contained - within such NOTICE file, excluding those notices that do not - pertain to any part of the Derivative Works, in at least one - of the following places: within a NOTICE text file distributed - as part of the Derivative Works; within the Source form or - documentation, if provided along with the Derivative Works; or, - within a display generated by the Derivative Works, if and - wherever such third-party notices normally appear. The contents - of the NOTICE file are for informational purposes only and - do not modify the License. You may add Your own attribution - notices within Derivative Works that You distribute, alongside - or as an addendum to the NOTICE text from the Work, provided - that such additional attribution notices cannot be construed - as modifying the License. - - You may add Your own copyright statement to Your modifications and - may provide additional or different license terms and conditions - for use, reproduction, or distribution of Your modifications, or - for any such Derivative Works as a whole, provided Your use, - reproduction, and distribution of the Work otherwise complies with - the conditions stated in this License. - -5. Submission of Contributions. Unless You explicitly state otherwise, - any Contribution intentionally submitted for inclusion in the Work - by You to the Licensor shall be under the terms and conditions of - this License, without any additional terms or conditions. - Notwithstanding the above, nothing herein shall supersede or modify - the terms of any separate license agreement you may have executed - with Licensor regarding such Contributions. - -6. Trademarks. This License does not grant permission to use the trade - names, trademarks, service marks, or product names of the Licensor, - except as required for reasonable and customary use in describing the - origin of the Work and reproducing the content of the NOTICE file. - -7. Disclaimer of Warranty. Unless required by applicable law or - agreed to in writing, Licensor provides the Work (and each - Contributor provides its Contributions) on an "AS IS" BASIS, - WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or - implied, including, without limitation, any warranties or conditions - of TITLE, NON-INFRINGEMENT, MERCHANTABILITY, or FITNESS FOR A - PARTICULAR PURPOSE. You are solely responsible for determining the - appropriateness of using or redistributing the Work and assume any - risks associated with Your exercise of permissions under this License. - -8. Limitation of Liability. In no event and under no legal theory, - whether in tort (including negligence), contract, or otherwise, - unless required by applicable law (such as deliberate and grossly - negligent acts) or agreed to in writing, shall any Contributor be - liable to You for damages, including any direct, indirect, special, - incidental, or consequential damages of any character arising as a - result of this License or out of the use or inability to use the - Work (including but not limited to damages for loss of goodwill, - work stoppage, computer failure or malfunction, or any and all - other commercial damages or losses), even if such Contributor - has been advised of the possibility of such damages. - -9. Accepting Warranty or Additional Liability. While redistributing - the Work or Derivative Works thereof, You may choose to offer, - and charge a fee for, acceptance of support, warranty, indemnity, - or other liability obligations and/or rights consistent with this - License. However, in accepting such obligations, You may act only - on Your own behalf and on Your sole responsibility, not on behalf - of any other Contributor, and only if You agree to indemnify, - defend, and hold each Contributor harmless for any liability - incurred by, or claims asserted against, such Contributor by reason - of your accepting any such warranty or additional liability. - -END OF TERMS AND CONDITIONS - -APPENDIX: How to apply the Apache License to your work. - - To apply the Apache License to your work, attach the following - boilerplate notice, with the fields enclosed by brackets "[]" - replaced with your own identifying information. (Don't include - the brackets!) The text should be enclosed in the appropriate - comment syntax for the file format. We also recommend that a - file or class name and description of purpose be included on the - same "printed page" as the copyright notice for easier - identification within third-party archives. - -Copyright [yyyy] [name of copyright owner] - -Licensed under the Apache License, Version 2.0 (the "License"); -you may not use this file except in compliance with the License. -You may obtain a copy of the License at - - http://www.apache.org/licenses/LICENSE-2.0 - -Unless required by applicable law or agreed to in writing, software -distributed under the License is distributed on an "AS IS" BASIS, -WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -See the License for the specific language governing permissions and -limitations under the License. diff --git a/temp_wasm/cesiumjs-gsplat-utils/LICENSE_MIT b/temp_wasm/cesiumjs-gsplat-utils/LICENSE_MIT deleted file mode 100644 index cb6579ff34ee..000000000000 --- a/temp_wasm/cesiumjs-gsplat-utils/LICENSE_MIT +++ /dev/null @@ -1,25 +0,0 @@ -Copyright (c) 2018 Jason Sobotka - -Permission is hereby granted, free of charge, to any -person obtaining a copy of this software and associated -documentation files (the "Software"), to deal in the -Software without restriction, including without -limitation the rights to use, copy, modify, merge, -publish, distribute, sublicense, and/or sell copies of -the Software, and to permit persons to whom the Software -is furnished to do so, subject to the following -conditions: - -The above copyright notice and this permission notice -shall be included in all copies or substantial portions -of the Software. - -THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF -ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED -TO THE WARRANTIES OF MERCHANTABILITY, FITNESS FOR A -PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT -SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY -CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION -OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR -IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER -DEALINGS IN THE SOFTWARE. diff --git a/temp_wasm/cesiumjs-gsplat-utils/README.md b/temp_wasm/cesiumjs-gsplat-utils/README.md deleted file mode 100644 index 6b684085003f..000000000000 --- a/temp_wasm/cesiumjs-gsplat-utils/README.md +++ /dev/null @@ -1,84 +0,0 @@ -

- -

wasm-pack-template

- - A template for kick starting a Rust and WebAssembly project using wasm-pack. - -

- Build Status -

- -

- Tutorial - | - Chat -

- - Built with πŸ¦€πŸ•Έ by The Rust and WebAssembly Working Group -
- -## About - -[**πŸ“š Read this template tutorial! πŸ“š**][template-docs] - -This template is designed for compiling Rust libraries into WebAssembly and -publishing the resulting package to NPM. - -Be sure to check out [other `wasm-pack` tutorials online][tutorials] for other -templates and usages of `wasm-pack`. - -[tutorials]: https://rustwasm.github.io/docs/wasm-pack/tutorials/index.html -[template-docs]: https://rustwasm.github.io/docs/wasm-pack/tutorials/npm-browser-packages/index.html - -## 🚴 Usage - -### πŸ‘ Use `cargo generate` to Clone this Template - -[Learn more about `cargo generate` here.](https://github.com/ashleygwilliams/cargo-generate) - -``` -cargo generate --git https://github.com/rustwasm/wasm-pack-template.git --name my-project -cd my-project -``` - -### πŸ› οΈ Build with `wasm-pack build` - -``` -wasm-pack build -``` - -### πŸ”¬ Test in Headless Browsers with `wasm-pack test` - -``` -wasm-pack test --headless --firefox -``` - -### 🎁 Publish to NPM with `wasm-pack publish` - -``` -wasm-pack publish -``` - -## πŸ”‹ Batteries Included - -* [`wasm-bindgen`](https://github.com/rustwasm/wasm-bindgen) for communicating - between WebAssembly and JavaScript. -* [`console_error_panic_hook`](https://github.com/rustwasm/console_error_panic_hook) - for logging panic messages to the developer console. -* `LICENSE-APACHE` and `LICENSE-MIT`: most Rust projects are licensed this way, so these are included for you - -## License - -Licensed under either of - -* Apache License, Version 2.0, ([LICENSE-APACHE](LICENSE-APACHE) or http://www.apache.org/licenses/LICENSE-2.0) -* MIT license ([LICENSE-MIT](LICENSE-MIT) or http://opensource.org/licenses/MIT) - -at your option. - -### Contribution - -Unless you explicitly state otherwise, any contribution intentionally -submitted for inclusion in the work by you, as defined in the Apache-2.0 -license, shall be dual licensed as above, without any additional terms or -conditions. diff --git a/temp_wasm/cesiumjs-gsplat-utils/pkg/README.md b/temp_wasm/cesiumjs-gsplat-utils/pkg/README.md deleted file mode 100644 index 6b684085003f..000000000000 --- a/temp_wasm/cesiumjs-gsplat-utils/pkg/README.md +++ /dev/null @@ -1,84 +0,0 @@ -
- -

wasm-pack-template

- - A template for kick starting a Rust and WebAssembly project using wasm-pack. - -

- Build Status -

- -

- Tutorial - | - Chat -

- - Built with πŸ¦€πŸ•Έ by The Rust and WebAssembly Working Group -
- -## About - -[**πŸ“š Read this template tutorial! πŸ“š**][template-docs] - -This template is designed for compiling Rust libraries into WebAssembly and -publishing the resulting package to NPM. - -Be sure to check out [other `wasm-pack` tutorials online][tutorials] for other -templates and usages of `wasm-pack`. - -[tutorials]: https://rustwasm.github.io/docs/wasm-pack/tutorials/index.html -[template-docs]: https://rustwasm.github.io/docs/wasm-pack/tutorials/npm-browser-packages/index.html - -## 🚴 Usage - -### πŸ‘ Use `cargo generate` to Clone this Template - -[Learn more about `cargo generate` here.](https://github.com/ashleygwilliams/cargo-generate) - -``` -cargo generate --git https://github.com/rustwasm/wasm-pack-template.git --name my-project -cd my-project -``` - -### πŸ› οΈ Build with `wasm-pack build` - -``` -wasm-pack build -``` - -### πŸ”¬ Test in Headless Browsers with `wasm-pack test` - -``` -wasm-pack test --headless --firefox -``` - -### 🎁 Publish to NPM with `wasm-pack publish` - -``` -wasm-pack publish -``` - -## πŸ”‹ Batteries Included - -* [`wasm-bindgen`](https://github.com/rustwasm/wasm-bindgen) for communicating - between WebAssembly and JavaScript. -* [`console_error_panic_hook`](https://github.com/rustwasm/console_error_panic_hook) - for logging panic messages to the developer console. -* `LICENSE-APACHE` and `LICENSE-MIT`: most Rust projects are licensed this way, so these are included for you - -## License - -Licensed under either of - -* Apache License, Version 2.0, ([LICENSE-APACHE](LICENSE-APACHE) or http://www.apache.org/licenses/LICENSE-2.0) -* MIT license ([LICENSE-MIT](LICENSE-MIT) or http://opensource.org/licenses/MIT) - -at your option. - -### Contribution - -Unless you explicitly state otherwise, any contribution intentionally -submitted for inclusion in the work by you, as defined in the Apache-2.0 -license, shall be dual licensed as above, without any additional terms or -conditions. diff --git a/temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils.d.ts b/temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils.d.ts deleted file mode 100644 index 8dc04217ec97..000000000000 --- a/temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils.d.ts +++ /dev/null @@ -1,164 +0,0 @@ -/* tslint:disable */ -/* eslint-disable */ -/** - * @param {Float32Array} positions - * @param {Float32Array} scales - * @param {Float32Array} rots - * @param {Uint8Array} colors - * @param {number} count - * @returns {TextureData} - */ -export function generate_texture_from_attrs(positions: Float32Array, scales: Float32Array, rots: Float32Array, colors: Uint8Array, count: number): TextureData; -/** - * @param {GSplatData} data - */ -export function radix_sort_simd(data: GSplatData): void; -/** - * @param {Float32Array} positions - * @param {Float32Array} scales - * @param {Float32Array} rotations - * @param {Uint8Array} colors - * @param {Float32Array} model_view - * @param {number} count - * @returns {Array} - */ -export function radix_sort_gaussians_attrs(positions: Float32Array, scales: Float32Array, rotations: Float32Array, colors: Uint8Array, model_view: Float32Array, count: number): Array; -/** - * @param {Float32Array} positions - * @param {Float32Array} model_view - * @param {number} texture_width - * @param {number} count - * @returns {Uint32Array} - */ -export function radix_sort_gaussians_indexes(positions: Float32Array, model_view: Float32Array, texture_width: number, count: number): Uint32Array; -/** - * @param {Float32Array} positions - * @param {Float32Array} scales - * @param {Float32Array} rotations - * @param {Uint8Array} colors - * @param {number} count - * @returns {object} - */ -export function generate_splat_texture_from_attrs(positions: Float32Array, scales: Float32Array, rotations: Float32Array, colors: Uint8Array, count: number): object; -export class GSplatData { - free(): void; - /** - * @param {Float32Array} positions - * @param {Float32Array} scales - * @param {Float32Array} rotations - * @param {Uint8Array} colors - * @param {Float32Array} model_view - * @param {number} count - */ - constructor(positions: Float32Array, scales: Float32Array, rotations: Float32Array, colors: Uint8Array, model_view: Float32Array, count: number); - /** - * @param {Float32Array} positions - * @param {Float32Array} scales - * @param {Float32Array} rotations - * @param {Uint8Array} colors - * @param {Float32Array} model_view - * @param {number} count - * @returns {GSplatData} - */ - static fromFloat32Arrays(positions: Float32Array, scales: Float32Array, rotations: Float32Array, colors: Uint8Array, model_view: Float32Array, count: number): GSplatData; - /** - * @returns {Float32Array} - */ - getPositions(): Float32Array; - /** - * @returns {Float32Array} - */ - getScales(): Float32Array; - /** - * @returns {Float32Array} - */ - getRotations(): Float32Array; - /** - * @returns {Uint8Array} - */ - getColors(): Uint8Array; -} -export class GaussianSorter { - free(): void; - /** - * @param {number} max_count - */ - constructor(max_count: number); - /** - * @param {Uint8Array} memory_buffer - * @param {number} positions_offset - * @param {number} scales_offset - * @param {number} rotations_offset - * @param {number} colors_offset - * @param {Float32Array} model_view - * @param {number} count - */ - sortGaussians(memory_buffer: Uint8Array, positions_offset: number, scales_offset: number, rotations_offset: number, colors_offset: number, model_view: Float32Array, count: number): void; -} -export class TextureData { - free(): void; - /** - * @param {Uint32Array} data - * @param {number} width - * @param {number} height - * @returns {TextureData} - */ - static new(data: Uint32Array, width: number, height: number): TextureData; - readonly data: Uint32Array; - readonly height: number; - readonly width: number; -} - -export type InitInput = RequestInfo | URL | Response | BufferSource | WebAssembly.Module; - -export interface InitOutput { - readonly memory: WebAssembly.Memory; - readonly __wbg_texturedata_free: (a: number, b: number) => void; - readonly texturedata_data: (a: number) => Array; - readonly texturedata_width: (a: number) => number; - readonly texturedata_height: (a: number) => number; - readonly texturedata_new: (a: number, b: number, c: number, d: number) => number; - readonly generate_texture_from_attrs: (a: number, b: number, c: number, d: number, e: number) => Array; - readonly __wbg_gsplatdata_free: (a: number, b: number) => void; - readonly gsplatdata_new: (a: number, b: number, c: number, d: number, e: number, f: number, g: number, h: number, i: number, j: number, k: number) => number; - readonly gsplatdata_fromFloat32Arrays: (a: number, b: number, c: number, d: number, e: number, f: number) => Array; - readonly gsplatdata_getPositions: (a: number) => number; - readonly gsplatdata_getScales: (a: number) => number; - readonly gsplatdata_getRotations: (a: number) => number; - readonly gsplatdata_getColors: (a: number) => number; - readonly radix_sort_simd: (a: number) => Array; - readonly radix_sort_gaussians_attrs: (a: number, b: number, c: number, d: number, e: number, f: number) => Array; - readonly radix_sort_gaussians_indexes: (a: number, b: number, c: number, d: number) => Array; - readonly __wbg_gaussiansorter_free: (a: number, b: number) => void; - readonly gaussiansorter_new: (a: number) => number; - readonly gaussiansorter_sortGaussians: (a: number, b: number, c: number, d: number, e: number, f: number, g: number, h: number, i: number, j: number) => Array; - readonly generate_splat_texture_from_attrs: (a: number, b: number, c: number, d: number, e: number) => Array; - readonly __wbindgen_export_0: WebAssembly.Table; - readonly __wbindgen_free: (a: number, b: number, c: number) => void; - readonly __wbindgen_malloc: (a: number, b: number) => number; - readonly __externref_table_dealloc: (a: number) => void; - readonly __wbindgen_exn_store: (a: number) => void; - readonly __externref_table_alloc: () => number; - readonly __wbindgen_start: () => void; -} - -export type SyncInitInput = BufferSource | WebAssembly.Module; -/** -* Instantiates the given `module`, which can either be bytes or -* a precompiled `WebAssembly.Module`. -* -* @param {{ module: SyncInitInput }} module - Passing `SyncInitInput` directly is deprecated. -* -* @returns {InitOutput} -*/ -export function initSync(module: { module: SyncInitInput } | SyncInitInput): InitOutput; - -/** -* If `module_or_path` is {RequestInfo} or {URL}, makes a request and -* for everything else, calls `WebAssembly.instantiate` directly. -* -* @param {{ module_or_path: InitInput | Promise }} module_or_path - Passing `InitInput` directly is deprecated. -* -* @returns {Promise} -*/ -export default function __wbg_init (module_or_path?: { module_or_path: InitInput | Promise } | InitInput | Promise): Promise; diff --git a/temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils.js b/temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils.js deleted file mode 100644 index e5c808bbbe4d..000000000000 --- a/temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils.js +++ /dev/null @@ -1,578 +0,0 @@ -let wasm; - -const cachedTextDecoder = (typeof TextDecoder !== 'undefined' ? new TextDecoder('utf-8', { ignoreBOM: true, fatal: true }) : { decode: () => { throw Error('TextDecoder not available') } } ); - -if (typeof TextDecoder !== 'undefined') { cachedTextDecoder.decode(); }; - -let cachedUint8ArrayMemory0 = null; - -function getUint8ArrayMemory0() { - if (cachedUint8ArrayMemory0 === null || cachedUint8ArrayMemory0.byteLength === 0) { - cachedUint8ArrayMemory0 = new Uint8Array(wasm.memory.buffer); - } - return cachedUint8ArrayMemory0; -} - -function getStringFromWasm0(ptr, len) { - ptr = ptr >>> 0; - return cachedTextDecoder.decode(getUint8ArrayMemory0().subarray(ptr, ptr + len)); -} - -let cachedUint32ArrayMemory0 = null; - -function getUint32ArrayMemory0() { - if (cachedUint32ArrayMemory0 === null || cachedUint32ArrayMemory0.byteLength === 0) { - cachedUint32ArrayMemory0 = new Uint32Array(wasm.memory.buffer); - } - return cachedUint32ArrayMemory0; -} - -function getArrayU32FromWasm0(ptr, len) { - ptr = ptr >>> 0; - return getUint32ArrayMemory0().subarray(ptr / 4, ptr / 4 + len); -} - -let WASM_VECTOR_LEN = 0; - -function passArray32ToWasm0(arg, malloc) { - const ptr = malloc(arg.length * 4, 4) >>> 0; - getUint32ArrayMemory0().set(arg, ptr / 4); - WASM_VECTOR_LEN = arg.length; - return ptr; -} - -function takeFromExternrefTable0(idx) { - const value = wasm.__wbindgen_export_0.get(idx); - wasm.__externref_table_dealloc(idx); - return value; -} -/** - * @param {Float32Array} positions - * @param {Float32Array} scales - * @param {Float32Array} rots - * @param {Uint8Array} colors - * @param {number} count - * @returns {TextureData} - */ -export function generate_texture_from_attrs(positions, scales, rots, colors, count) { - const ret = wasm.generate_texture_from_attrs(positions, scales, rots, colors, count); - if (ret[2]) { - throw takeFromExternrefTable0(ret[1]); - } - return TextureData.__wrap(ret[0]); -} - -let cachedFloat32ArrayMemory0 = null; - -function getFloat32ArrayMemory0() { - if (cachedFloat32ArrayMemory0 === null || cachedFloat32ArrayMemory0.byteLength === 0) { - cachedFloat32ArrayMemory0 = new Float32Array(wasm.memory.buffer); - } - return cachedFloat32ArrayMemory0; -} - -function passArrayF32ToWasm0(arg, malloc) { - const ptr = malloc(arg.length * 4, 4) >>> 0; - getFloat32ArrayMemory0().set(arg, ptr / 4); - WASM_VECTOR_LEN = arg.length; - return ptr; -} - -function passArray8ToWasm0(arg, malloc) { - const ptr = malloc(arg.length * 1, 1) >>> 0; - getUint8ArrayMemory0().set(arg, ptr / 1); - WASM_VECTOR_LEN = arg.length; - return ptr; -} - -function _assertClass(instance, klass) { - if (!(instance instanceof klass)) { - throw new Error(`expected instance of ${klass.name}`); - } - return instance.ptr; -} -/** - * @param {GSplatData} data - */ -export function radix_sort_simd(data) { - _assertClass(data, GSplatData); - const ret = wasm.radix_sort_simd(data.__wbg_ptr); - if (ret[1]) { - throw takeFromExternrefTable0(ret[0]); - } -} - -/** - * @param {Float32Array} positions - * @param {Float32Array} scales - * @param {Float32Array} rotations - * @param {Uint8Array} colors - * @param {Float32Array} model_view - * @param {number} count - * @returns {Array} - */ -export function radix_sort_gaussians_attrs(positions, scales, rotations, colors, model_view, count) { - const ret = wasm.radix_sort_gaussians_attrs(positions, scales, rotations, colors, model_view, count); - if (ret[2]) { - throw takeFromExternrefTable0(ret[1]); - } - return takeFromExternrefTable0(ret[0]); -} - -/** - * @param {Float32Array} positions - * @param {Float32Array} model_view - * @param {number} texture_width - * @param {number} count - * @returns {Uint32Array} - */ -export function radix_sort_gaussians_indexes(positions, model_view, texture_width, count) { - const ret = wasm.radix_sort_gaussians_indexes(positions, model_view, texture_width, count); - if (ret[2]) { - throw takeFromExternrefTable0(ret[1]); - } - return takeFromExternrefTable0(ret[0]); -} - -/** - * @param {Float32Array} positions - * @param {Float32Array} scales - * @param {Float32Array} rotations - * @param {Uint8Array} colors - * @param {number} count - * @returns {object} - */ -export function generate_splat_texture_from_attrs(positions, scales, rotations, colors, count) { - const ret = wasm.generate_splat_texture_from_attrs(positions, scales, rotations, colors, count); - if (ret[2]) { - throw takeFromExternrefTable0(ret[1]); - } - return takeFromExternrefTable0(ret[0]); -} - -function addToExternrefTable0(obj) { - const idx = wasm.__externref_table_alloc(); - wasm.__wbindgen_export_0.set(idx, obj); - return idx; -} - -function handleError(f, args) { - try { - return f.apply(this, args); - } catch (e) { - const idx = addToExternrefTable0(e); - wasm.__wbindgen_exn_store(idx); - } -} - -const GSplatDataFinalization = (typeof FinalizationRegistry === 'undefined') - ? { register: () => {}, unregister: () => {} } - : new FinalizationRegistry(ptr => wasm.__wbg_gsplatdata_free(ptr >>> 0, 1)); - -export class GSplatData { - - static __wrap(ptr) { - ptr = ptr >>> 0; - const obj = Object.create(GSplatData.prototype); - obj.__wbg_ptr = ptr; - GSplatDataFinalization.register(obj, obj.__wbg_ptr, obj); - return obj; - } - - __destroy_into_raw() { - const ptr = this.__wbg_ptr; - this.__wbg_ptr = 0; - GSplatDataFinalization.unregister(this); - return ptr; - } - - free() { - const ptr = this.__destroy_into_raw(); - wasm.__wbg_gsplatdata_free(ptr, 0); - } - /** - * @param {Float32Array} positions - * @param {Float32Array} scales - * @param {Float32Array} rotations - * @param {Uint8Array} colors - * @param {Float32Array} model_view - * @param {number} count - */ - constructor(positions, scales, rotations, colors, model_view, count) { - const ptr0 = passArrayF32ToWasm0(positions, wasm.__wbindgen_malloc); - const len0 = WASM_VECTOR_LEN; - const ptr1 = passArrayF32ToWasm0(scales, wasm.__wbindgen_malloc); - const len1 = WASM_VECTOR_LEN; - const ptr2 = passArrayF32ToWasm0(rotations, wasm.__wbindgen_malloc); - const len2 = WASM_VECTOR_LEN; - const ptr3 = passArray8ToWasm0(colors, wasm.__wbindgen_malloc); - const len3 = WASM_VECTOR_LEN; - const ptr4 = passArrayF32ToWasm0(model_view, wasm.__wbindgen_malloc); - const len4 = WASM_VECTOR_LEN; - const ret = wasm.gsplatdata_new(ptr0, len0, ptr1, len1, ptr2, len2, ptr3, len3, ptr4, len4, count); - this.__wbg_ptr = ret >>> 0; - GSplatDataFinalization.register(this, this.__wbg_ptr, this); - return this; - } - /** - * @param {Float32Array} positions - * @param {Float32Array} scales - * @param {Float32Array} rotations - * @param {Uint8Array} colors - * @param {Float32Array} model_view - * @param {number} count - * @returns {GSplatData} - */ - static fromFloat32Arrays(positions, scales, rotations, colors, model_view, count) { - const ret = wasm.gsplatdata_fromFloat32Arrays(positions, scales, rotations, colors, model_view, count); - if (ret[2]) { - throw takeFromExternrefTable0(ret[1]); - } - return GSplatData.__wrap(ret[0]); - } - /** - * @returns {Float32Array} - */ - getPositions() { - const ret = wasm.gsplatdata_getPositions(this.__wbg_ptr); - return ret; - } - /** - * @returns {Float32Array} - */ - getScales() { - const ret = wasm.gsplatdata_getScales(this.__wbg_ptr); - return ret; - } - /** - * @returns {Float32Array} - */ - getRotations() { - const ret = wasm.gsplatdata_getRotations(this.__wbg_ptr); - return ret; - } - /** - * @returns {Uint8Array} - */ - getColors() { - const ret = wasm.gsplatdata_getColors(this.__wbg_ptr); - return ret; - } -} - -const GaussianSorterFinalization = (typeof FinalizationRegistry === 'undefined') - ? { register: () => {}, unregister: () => {} } - : new FinalizationRegistry(ptr => wasm.__wbg_gaussiansorter_free(ptr >>> 0, 1)); - -export class GaussianSorter { - - __destroy_into_raw() { - const ptr = this.__wbg_ptr; - this.__wbg_ptr = 0; - GaussianSorterFinalization.unregister(this); - return ptr; - } - - free() { - const ptr = this.__destroy_into_raw(); - wasm.__wbg_gaussiansorter_free(ptr, 0); - } - /** - * @param {number} max_count - */ - constructor(max_count) { - const ret = wasm.gaussiansorter_new(max_count); - this.__wbg_ptr = ret >>> 0; - GaussianSorterFinalization.register(this, this.__wbg_ptr, this); - return this; - } - /** - * @param {Uint8Array} memory_buffer - * @param {number} positions_offset - * @param {number} scales_offset - * @param {number} rotations_offset - * @param {number} colors_offset - * @param {Float32Array} model_view - * @param {number} count - */ - sortGaussians(memory_buffer, positions_offset, scales_offset, rotations_offset, colors_offset, model_view, count) { - const ptr0 = passArray8ToWasm0(memory_buffer, wasm.__wbindgen_malloc); - const len0 = WASM_VECTOR_LEN; - const ptr1 = passArrayF32ToWasm0(model_view, wasm.__wbindgen_malloc); - const len1 = WASM_VECTOR_LEN; - const ret = wasm.gaussiansorter_sortGaussians(this.__wbg_ptr, ptr0, len0, positions_offset, scales_offset, rotations_offset, colors_offset, ptr1, len1, count); - if (ret[1]) { - throw takeFromExternrefTable0(ret[0]); - } - } -} - -const TextureDataFinalization = (typeof FinalizationRegistry === 'undefined') - ? { register: () => {}, unregister: () => {} } - : new FinalizationRegistry(ptr => wasm.__wbg_texturedata_free(ptr >>> 0, 1)); - -export class TextureData { - - static __wrap(ptr) { - ptr = ptr >>> 0; - const obj = Object.create(TextureData.prototype); - obj.__wbg_ptr = ptr; - TextureDataFinalization.register(obj, obj.__wbg_ptr, obj); - return obj; - } - - __destroy_into_raw() { - const ptr = this.__wbg_ptr; - this.__wbg_ptr = 0; - TextureDataFinalization.unregister(this); - return ptr; - } - - free() { - const ptr = this.__destroy_into_raw(); - wasm.__wbg_texturedata_free(ptr, 0); - } - /** - * @returns {Uint32Array} - */ - get data() { - const ret = wasm.texturedata_data(this.__wbg_ptr); - var v1 = getArrayU32FromWasm0(ret[0], ret[1]).slice(); - wasm.__wbindgen_free(ret[0], ret[1] * 4, 4); - return v1; - } - /** - * @returns {number} - */ - get width() { - const ret = wasm.texturedata_width(this.__wbg_ptr); - return ret >>> 0; - } - /** - * @returns {number} - */ - get height() { - const ret = wasm.texturedata_height(this.__wbg_ptr); - return ret >>> 0; - } - /** - * @param {Uint32Array} data - * @param {number} width - * @param {number} height - * @returns {TextureData} - */ - static new(data, width, height) { - const ptr0 = passArray32ToWasm0(data, wasm.__wbindgen_malloc); - const len0 = WASM_VECTOR_LEN; - const ret = wasm.texturedata_new(ptr0, len0, width, height); - return TextureData.__wrap(ret); - } -} - -async function __wbg_load(module, imports) { - if (typeof Response === 'function' && module instanceof Response) { - if (typeof WebAssembly.instantiateStreaming === 'function') { - try { - return await WebAssembly.instantiateStreaming(module, imports); - - } catch (e) { - if (module.headers.get('Content-Type') != 'application/wasm') { - console.warn("`WebAssembly.instantiateStreaming` failed because your server does not serve Wasm with `application/wasm` MIME type. Falling back to `WebAssembly.instantiate` which is slower. Original error:\n", e); - - } else { - throw e; - } - } - } - - const bytes = await module.arrayBuffer(); - return await WebAssembly.instantiate(bytes, imports); - - } else { - const instance = await WebAssembly.instantiate(module, imports); - - if (instance instanceof WebAssembly.Instance) { - return { instance, module }; - - } else { - return instance; - } - } -} - -function __wbg_get_imports() { - const imports = {}; - imports.wbg = {}; - imports.wbg.__wbindgen_string_new = function(arg0, arg1) { - const ret = getStringFromWasm0(arg0, arg1); - return ret; - }; - imports.wbg.__wbindgen_number_new = function(arg0) { - const ret = arg0; - return ret; - }; - imports.wbg.__wbg_new_034f913e7636e987 = function() { - const ret = new Array(); - return ret; - }; - imports.wbg.__wbg_new_e69b5f66fda8f13c = function() { - const ret = new Object(); - return ret; - }; - imports.wbg.__wbg_push_36cf4d81d7da33d1 = function(arg0, arg1) { - const ret = arg0.push(arg1); - return ret; - }; - imports.wbg.__wbg_set_e864d25d9b399c9f = function() { return handleError(function (arg0, arg1, arg2) { - const ret = Reflect.set(arg0, arg1, arg2); - return ret; - }, arguments) }; - imports.wbg.__wbg_buffer_ccaed51a635d8a2d = function(arg0) { - const ret = arg0.buffer; - return ret; - }; - imports.wbg.__wbg_newwithbyteoffsetandlength_7e3eb787208af730 = function(arg0, arg1, arg2) { - const ret = new Uint8Array(arg0, arg1 >>> 0, arg2 >>> 0); - return ret; - }; - imports.wbg.__wbg_new_fec2611eb9180f95 = function(arg0) { - const ret = new Uint8Array(arg0); - return ret; - }; - imports.wbg.__wbg_set_ec2fcf81bc573fd9 = function(arg0, arg1, arg2) { - arg0.set(arg1, arg2 >>> 0); - }; - imports.wbg.__wbg_length_9254c4bd3b9f23c4 = function(arg0) { - const ret = arg0.length; - return ret; - }; - imports.wbg.__wbg_newwithbyteoffsetandlength_5f67057565ba35bf = function(arg0, arg1, arg2) { - const ret = new Uint32Array(arg0, arg1 >>> 0, arg2 >>> 0); - return ret; - }; - imports.wbg.__wbg_set_ee2653838c0bd646 = function(arg0, arg1, arg2) { - arg0.set(arg1, arg2 >>> 0); - }; - imports.wbg.__wbg_length_4fffde3ebafcc274 = function(arg0) { - const ret = arg0.length; - return ret; - }; - imports.wbg.__wbg_newwithbyteoffsetandlength_fc445c2d308275d0 = function(arg0, arg1, arg2) { - const ret = new Float32Array(arg0, arg1 >>> 0, arg2 >>> 0); - return ret; - }; - imports.wbg.__wbg_new_1da7377de0530afc = function(arg0) { - const ret = new Float32Array(arg0); - return ret; - }; - imports.wbg.__wbg_set_7d71c7d52113586f = function(arg0, arg1, arg2) { - arg0.set(arg1, arg2 >>> 0); - }; - imports.wbg.__wbg_length_366f583a1aad1dab = function(arg0) { - const ret = arg0.length; - return ret; - }; - imports.wbg.__wbg_newwithlength_76462a666eca145f = function(arg0) { - const ret = new Uint8Array(arg0 >>> 0); - return ret; - }; - imports.wbg.__wbg_newwithlength_45683f0f95fd0b21 = function(arg0) { - const ret = new Uint32Array(arg0 >>> 0); - return ret; - }; - imports.wbg.__wbg_newwithlength_fb5c9bf9e513fa46 = function(arg0) { - const ret = new Float32Array(arg0 >>> 0); - return ret; - }; - imports.wbg.__wbindgen_throw = function(arg0, arg1) { - throw new Error(getStringFromWasm0(arg0, arg1)); - }; - imports.wbg.__wbindgen_memory = function() { - const ret = wasm.memory; - return ret; - }; - imports.wbg.__wbindgen_init_externref_table = function() { - const table = wasm.__wbindgen_export_0; - const offset = table.grow(4); - table.set(0, undefined); - table.set(offset + 0, undefined); - table.set(offset + 1, null); - table.set(offset + 2, true); - table.set(offset + 3, false); - ; - }; - - return imports; -} - -function __wbg_init_memory(imports, memory) { - -} - -function __wbg_finalize_init(instance, module) { - wasm = instance.exports; - __wbg_init.__wbindgen_wasm_module = module; - cachedFloat32ArrayMemory0 = null; - cachedUint32ArrayMemory0 = null; - cachedUint8ArrayMemory0 = null; - - - wasm.__wbindgen_start(); - return wasm; -} - -function initSync(module) { - if (wasm !== undefined) return wasm; - - - if (typeof module !== 'undefined') { - if (Object.getPrototypeOf(module) === Object.prototype) { - ({module} = module) - } else { - console.warn('using deprecated parameters for `initSync()`; pass a single object instead') - } - } - - const imports = __wbg_get_imports(); - - __wbg_init_memory(imports); - - if (!(module instanceof WebAssembly.Module)) { - module = new WebAssembly.Module(module); - } - - const instance = new WebAssembly.Instance(module, imports); - - return __wbg_finalize_init(instance, module); -} - -async function __wbg_init(module_or_path) { - if (wasm !== undefined) return wasm; - - - if (typeof module_or_path !== 'undefined') { - if (Object.getPrototypeOf(module_or_path) === Object.prototype) { - ({module_or_path} = module_or_path) - } else { - console.warn('using deprecated parameters for the initialization function; pass a single object instead') - } - } - - if (typeof module_or_path === 'undefined') { - module_or_path = new URL('cesiumjs_gsplat_utils_bg.wasm', import.meta.url); - } - const imports = __wbg_get_imports(); - - if (typeof module_or_path === 'string' || (typeof Request === 'function' && module_or_path instanceof Request) || (typeof URL === 'function' && module_or_path instanceof URL)) { - module_or_path = fetch(module_or_path); - } - - __wbg_init_memory(imports); - - const { instance, module } = await __wbg_load(await module_or_path, imports); - - return __wbg_finalize_init(instance, module); -} - -export { initSync }; -export default __wbg_init; diff --git a/temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils_bg.js b/temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils_bg.js deleted file mode 100644 index 65a175501152..000000000000 --- a/temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils_bg.js +++ /dev/null @@ -1,380 +0,0 @@ -let wasm; -export function __wbg_set_wasm(val) { - wasm = val; -} - - -const lTextDecoder = typeof TextDecoder === 'undefined' ? (0, module.require)('util').TextDecoder : TextDecoder; - -let cachedTextDecoder = new lTextDecoder('utf-8', { ignoreBOM: true, fatal: true }); - -cachedTextDecoder.decode(); - -let cachedUint8ArrayMemory0 = null; - -function getUint8ArrayMemory0() { - if (cachedUint8ArrayMemory0 === null || cachedUint8ArrayMemory0.byteLength === 0) { - cachedUint8ArrayMemory0 = new Uint8Array(wasm.memory.buffer); - } - return cachedUint8ArrayMemory0; -} - -function getStringFromWasm0(ptr, len) { - ptr = ptr >>> 0; - return cachedTextDecoder.decode(getUint8ArrayMemory0().subarray(ptr, ptr + len)); -} - -let cachedUint32ArrayMemory0 = null; - -function getUint32ArrayMemory0() { - if (cachedUint32ArrayMemory0 === null || cachedUint32ArrayMemory0.byteLength === 0) { - cachedUint32ArrayMemory0 = new Uint32Array(wasm.memory.buffer); - } - return cachedUint32ArrayMemory0; -} - -function getArrayU32FromWasm0(ptr, len) { - ptr = ptr >>> 0; - return getUint32ArrayMemory0().subarray(ptr / 4, ptr / 4 + len); -} - -let WASM_VECTOR_LEN = 0; - -function passArray32ToWasm0(arg, malloc) { - const ptr = malloc(arg.length * 4, 4) >>> 0; - getUint32ArrayMemory0().set(arg, ptr / 4); - WASM_VECTOR_LEN = arg.length; - return ptr; -} - -let cachedFloat32ArrayMemory0 = null; - -function getFloat32ArrayMemory0() { - if (cachedFloat32ArrayMemory0 === null || cachedFloat32ArrayMemory0.byteLength === 0) { - cachedFloat32ArrayMemory0 = new Float32Array(wasm.memory.buffer); - } - return cachedFloat32ArrayMemory0; -} - -function passArrayF32ToWasm0(arg, malloc) { - const ptr = malloc(arg.length * 4, 4) >>> 0; - getFloat32ArrayMemory0().set(arg, ptr / 4); - WASM_VECTOR_LEN = arg.length; - return ptr; -} - -function passArray8ToWasm0(arg, malloc) { - const ptr = malloc(arg.length * 1, 1) >>> 0; - getUint8ArrayMemory0().set(arg, ptr / 1); - WASM_VECTOR_LEN = arg.length; - return ptr; -} - -function takeFromExternrefTable0(idx) { - const value = wasm.__wbindgen_export_0.get(idx); - wasm.__externref_table_dealloc(idx); - return value; -} -/** - * @param {Float32Array} pos - * @param {Uint8Array} rgba - * @param {Float32Array} scale - * @param {Float32Array} rot - * @param {number} vertex_count - * @returns {TextureData} - */ -export function generate_texture_from_attrs(pos, rgba, scale, rot, vertex_count) { - const ptr0 = passArrayF32ToWasm0(pos, wasm.__wbindgen_malloc); - const len0 = WASM_VECTOR_LEN; - const ptr1 = passArray8ToWasm0(rgba, wasm.__wbindgen_malloc); - const len1 = WASM_VECTOR_LEN; - const ptr2 = passArrayF32ToWasm0(scale, wasm.__wbindgen_malloc); - const len2 = WASM_VECTOR_LEN; - const ptr3 = passArrayF32ToWasm0(rot, wasm.__wbindgen_malloc); - const len3 = WASM_VECTOR_LEN; - const ret = wasm.generate_texture_from_attrs(ptr0, len0, ptr1, len1, ptr2, len2, ptr3, len3, vertex_count); - if (ret[2]) { - throw takeFromExternrefTable0(ret[1]); - } - return TextureData.__wrap(ret[0]); -} - -/** - * @param {Uint8Array} buffer - * @param {number} vertex_count - * @returns {TextureData} - */ -export function generate_texture(buffer, vertex_count) { - const ptr0 = passArray8ToWasm0(buffer, wasm.__wbindgen_malloc); - const len0 = WASM_VECTOR_LEN; - const ret = wasm.generate_texture(ptr0, len0, vertex_count); - if (ret[2]) { - throw takeFromExternrefTable0(ret[1]); - } - return TextureData.__wrap(ret[0]); -} - -function _assertClass(instance, klass) { - if (!(instance instanceof klass)) { - throw new Error(`expected instance of ${klass.name}`); - } - return instance.ptr; -} -/** - * @param {GSplatData} data - */ -export function radix_sort_simd(data) { - _assertClass(data, GSplatData); - wasm.radix_sort_simd(data.__wbg_ptr); -} - -/** - * @param {Float32Array} positions - * @param {Float32Array} scales - * @param {Float32Array} rotations - * @param {Float32Array} colors - * @param {Float32Array} model_view - * @param {number} count - * @returns {GSplatData} - */ -export function sort_graphics_data(positions, scales, rotations, colors, model_view, count) { - const ptr0 = passArrayF32ToWasm0(positions, wasm.__wbindgen_malloc); - const len0 = WASM_VECTOR_LEN; - const ptr1 = passArrayF32ToWasm0(scales, wasm.__wbindgen_malloc); - const len1 = WASM_VECTOR_LEN; - const ptr2 = passArrayF32ToWasm0(rotations, wasm.__wbindgen_malloc); - const len2 = WASM_VECTOR_LEN; - const ptr3 = passArrayF32ToWasm0(colors, wasm.__wbindgen_malloc); - const len3 = WASM_VECTOR_LEN; - const ptr4 = passArrayF32ToWasm0(model_view, wasm.__wbindgen_malloc); - const len4 = WASM_VECTOR_LEN; - const ret = wasm.sort_graphics_data(ptr0, len0, ptr1, len1, ptr2, len2, ptr3, len3, ptr4, len4, count); - return GSplatData.__wrap(ret); -} - -export function greet() { - wasm.greet(); -} - -/** - * @param {SortParameters} params - */ -export function count_sort(params) { - _assertClass(params, SortParameters); - wasm.count_sort(params.__wbg_ptr); -} - -/** - * @param {Uint8Array} buffer - * @param {number} vertex_count - * @returns {TextureData} - */ -export function generate_splat_texture(buffer, vertex_count) { - const ptr0 = passArray8ToWasm0(buffer, wasm.__wbindgen_malloc); - const len0 = WASM_VECTOR_LEN; - const ret = wasm.generate_splat_texture(ptr0, len0, vertex_count); - if (ret[2]) { - throw takeFromExternrefTable0(ret[1]); - } - return TextureData.__wrap(ret[0]); -} - -/** - * @param {Float32Array} pos - * @param {Uint8Array} rgba - * @param {Float32Array} scale - * @param {Float32Array} rot - * @param {number} vertex_cnt - * @returns {TextureData} - */ -export function generate_splat_texture_from_attrs(pos, rgba, scale, rot, vertex_cnt) { - const ptr0 = passArrayF32ToWasm0(pos, wasm.__wbindgen_malloc); - const len0 = WASM_VECTOR_LEN; - const ptr1 = passArray8ToWasm0(rgba, wasm.__wbindgen_malloc); - const len1 = WASM_VECTOR_LEN; - const ptr2 = passArrayF32ToWasm0(scale, wasm.__wbindgen_malloc); - const len2 = WASM_VECTOR_LEN; - const ptr3 = passArrayF32ToWasm0(rot, wasm.__wbindgen_malloc); - const len3 = WASM_VECTOR_LEN; - const ret = wasm.generate_splat_texture_from_attrs(ptr0, len0, ptr1, len1, ptr2, len2, ptr3, len3, vertex_cnt); - if (ret[2]) { - throw takeFromExternrefTable0(ret[1]); - } - return TextureData.__wrap(ret[0]); -} - -const GSplatDataFinalization = (typeof FinalizationRegistry === 'undefined') - ? { register: () => {}, unregister: () => {} } - : new FinalizationRegistry(ptr => wasm.__wbg_gsplatdata_free(ptr >>> 0, 1)); - -export class GSplatData { - - static __wrap(ptr) { - ptr = ptr >>> 0; - const obj = Object.create(GSplatData.prototype); - obj.__wbg_ptr = ptr; - GSplatDataFinalization.register(obj, obj.__wbg_ptr, obj); - return obj; - } - - __destroy_into_raw() { - const ptr = this.__wbg_ptr; - this.__wbg_ptr = 0; - GSplatDataFinalization.unregister(this); - return ptr; - } - - free() { - const ptr = this.__destroy_into_raw(); - wasm.__wbg_gsplatdata_free(ptr, 0); - } - /** - * @param {Float32Array} positions - * @param {Float32Array} scales - * @param {Float32Array} rotations - * @param {Float32Array} colors - * @param {Float32Array} model_view - * @param {number} count - */ - constructor(positions, scales, rotations, colors, model_view, count) { - const ptr0 = passArrayF32ToWasm0(positions, wasm.__wbindgen_malloc); - const len0 = WASM_VECTOR_LEN; - const ptr1 = passArrayF32ToWasm0(scales, wasm.__wbindgen_malloc); - const len1 = WASM_VECTOR_LEN; - const ptr2 = passArrayF32ToWasm0(rotations, wasm.__wbindgen_malloc); - const len2 = WASM_VECTOR_LEN; - const ptr3 = passArrayF32ToWasm0(colors, wasm.__wbindgen_malloc); - const len3 = WASM_VECTOR_LEN; - const ptr4 = passArrayF32ToWasm0(model_view, wasm.__wbindgen_malloc); - const len4 = WASM_VECTOR_LEN; - const ret = wasm.gsplatdata_new(ptr0, len0, ptr1, len1, ptr2, len2, ptr3, len3, ptr4, len4, count); - this.__wbg_ptr = ret >>> 0; - GSplatDataFinalization.register(this, this.__wbg_ptr, this); - return this; - } -} - -const SortParametersFinalization = (typeof FinalizationRegistry === 'undefined') - ? { register: () => {}, unregister: () => {} } - : new FinalizationRegistry(ptr => wasm.__wbg_sortparameters_free(ptr >>> 0, 1)); - -export class SortParameters { - - __destroy_into_raw() { - const ptr = this.__wbg_ptr; - this.__wbg_ptr = 0; - SortParametersFinalization.unregister(this); - return ptr; - } - - free() { - const ptr = this.__destroy_into_raw(); - wasm.__wbg_sortparameters_free(ptr, 0); - } - /** - * @param {Float32Array} positions - * @param {Float32Array} scales - * @param {Float32Array} rotations - * @param {Float32Array} colors - * @param {Float32Array} model_view - * @param {number} count - */ - constructor(positions, scales, rotations, colors, model_view, count) { - const ptr0 = passArrayF32ToWasm0(positions, wasm.__wbindgen_malloc); - const len0 = WASM_VECTOR_LEN; - const ptr1 = passArrayF32ToWasm0(scales, wasm.__wbindgen_malloc); - const len1 = WASM_VECTOR_LEN; - const ptr2 = passArrayF32ToWasm0(rotations, wasm.__wbindgen_malloc); - const len2 = WASM_VECTOR_LEN; - const ptr3 = passArrayF32ToWasm0(colors, wasm.__wbindgen_malloc); - const len3 = WASM_VECTOR_LEN; - const ptr4 = passArrayF32ToWasm0(model_view, wasm.__wbindgen_malloc); - const len4 = WASM_VECTOR_LEN; - const ret = wasm.sortparameters_new(ptr0, len0, ptr1, len1, ptr2, len2, ptr3, len3, ptr4, len4, count); - this.__wbg_ptr = ret >>> 0; - SortParametersFinalization.register(this, this.__wbg_ptr, this); - return this; - } -} - -const TextureDataFinalization = (typeof FinalizationRegistry === 'undefined') - ? { register: () => {}, unregister: () => {} } - : new FinalizationRegistry(ptr => wasm.__wbg_texturedata_free(ptr >>> 0, 1)); - -export class TextureData { - - static __wrap(ptr) { - ptr = ptr >>> 0; - const obj = Object.create(TextureData.prototype); - obj.__wbg_ptr = ptr; - TextureDataFinalization.register(obj, obj.__wbg_ptr, obj); - return obj; - } - - __destroy_into_raw() { - const ptr = this.__wbg_ptr; - this.__wbg_ptr = 0; - TextureDataFinalization.unregister(this); - return ptr; - } - - free() { - const ptr = this.__destroy_into_raw(); - wasm.__wbg_texturedata_free(ptr, 0); - } - /** - * @returns {Uint32Array} - */ - get data() { - const ret = wasm.texturedata_data(this.__wbg_ptr); - var v1 = getArrayU32FromWasm0(ret[0], ret[1]).slice(); - wasm.__wbindgen_free(ret[0], ret[1] * 4, 4); - return v1; - } - /** - * @returns {number} - */ - get width() { - const ret = wasm.texturedata_width(this.__wbg_ptr); - return ret >>> 0; - } - /** - * @returns {number} - */ - get height() { - const ret = wasm.texturedata_height(this.__wbg_ptr); - return ret >>> 0; - } - /** - * @param {Uint32Array} data - * @param {number} width - * @param {number} height - * @returns {TextureData} - */ - static new(data, width, height) { - const ptr0 = passArray32ToWasm0(data, wasm.__wbindgen_malloc); - const len0 = WASM_VECTOR_LEN; - const ret = wasm.texturedata_new(ptr0, len0, width, height); - return TextureData.__wrap(ret); - } -} - -export function __wbg_alert_abe635d522c06aef(arg0, arg1) { - alert(getStringFromWasm0(arg0, arg1)); -}; - -export function __wbindgen_throw(arg0, arg1) { - throw new Error(getStringFromWasm0(arg0, arg1)); -}; - -export function __wbindgen_init_externref_table() { - const table = wasm.__wbindgen_export_0; - const offset = table.grow(4); - table.set(0, undefined); - table.set(offset + 0, undefined); - table.set(offset + 1, null); - table.set(offset + 2, true); - table.set(offset + 3, false); - ; -}; - diff --git a/temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils_bg.wasm.d.ts b/temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils_bg.wasm.d.ts deleted file mode 100644 index bcf54bed2cde..000000000000 --- a/temp_wasm/cesiumjs-gsplat-utils/pkg/cesiumjs_gsplat_utils_bg.wasm.d.ts +++ /dev/null @@ -1,30 +0,0 @@ -/* tslint:disable */ -/* eslint-disable */ -export const memory: WebAssembly.Memory; -export function __wbg_texturedata_free(a: number, b: number): void; -export function texturedata_data(a: number): Array; -export function texturedata_width(a: number): number; -export function texturedata_height(a: number): number; -export function texturedata_new(a: number, b: number, c: number, d: number): number; -export function generate_texture_from_attrs(a: number, b: number, c: number, d: number, e: number): Array; -export function __wbg_gsplatdata_free(a: number, b: number): void; -export function gsplatdata_new(a: number, b: number, c: number, d: number, e: number, f: number, g: number, h: number, i: number, j: number, k: number): number; -export function gsplatdata_fromFloat32Arrays(a: number, b: number, c: number, d: number, e: number, f: number): Array; -export function gsplatdata_getPositions(a: number): number; -export function gsplatdata_getScales(a: number): number; -export function gsplatdata_getRotations(a: number): number; -export function gsplatdata_getColors(a: number): number; -export function radix_sort_simd(a: number): Array; -export function radix_sort_gaussians_attrs(a: number, b: number, c: number, d: number, e: number, f: number): Array; -export function radix_sort_gaussians_indexes(a: number, b: number, c: number, d: number): Array; -export function __wbg_gaussiansorter_free(a: number, b: number): void; -export function gaussiansorter_new(a: number): number; -export function gaussiansorter_sortGaussians(a: number, b: number, c: number, d: number, e: number, f: number, g: number, h: number, i: number, j: number): Array; -export function generate_splat_texture_from_attrs(a: number, b: number, c: number, d: number, e: number): Array; -export const __wbindgen_export_0: WebAssembly.Table; -export function __wbindgen_free(a: number, b: number, c: number): void; -export function __wbindgen_malloc(a: number, b: number): number; -export function __externref_table_dealloc(a: number): void; -export function __wbindgen_exn_store(a: number): void; -export function __externref_table_alloc(): number; -export function __wbindgen_start(): void; diff --git a/temp_wasm/cesiumjs-gsplat-utils/pkg/package.json b/temp_wasm/cesiumjs-gsplat-utils/pkg/package.json deleted file mode 100644 index 451a533fe2fa..000000000000 --- a/temp_wasm/cesiumjs-gsplat-utils/pkg/package.json +++ /dev/null @@ -1,18 +0,0 @@ -{ - "name": "cesiumjs-gsplat-utils", - "type": "module", - "collaborators": [ - "Jason Sobotka " - ], - "version": "0.1.0", - "files": [ - "cesiumjs_gsplat_utils_bg.wasm", - "cesiumjs_gsplat_utils.js", - "cesiumjs_gsplat_utils.d.ts" - ], - "main": "cesiumjs_gsplat_utils.js", - "types": "cesiumjs_gsplat_utils.d.ts", - "sideEffects": [ - "./snippets/*" - ] -} \ No newline at end of file diff --git a/temp_wasm/cesiumjs-gsplat-utils/src/lib.rs b/temp_wasm/cesiumjs-gsplat-utils/src/lib.rs deleted file mode 100644 index f5d022df39d9..000000000000 --- a/temp_wasm/cesiumjs-gsplat-utils/src/lib.rs +++ /dev/null @@ -1,45 +0,0 @@ -mod utils; -mod perf_timer; -mod textureGen; -//mod textureGen_simd; -mod radix_simd; - -use wasm_bindgen::prelude::*; -use js_sys::{Float32Array, Uint8Array, Uint32Array, Object}; - -#[wasm_bindgen] -extern "C" { - fn alert(s: &str); -} - -//reimplementation of our javascript count sort -#[derive(Clone, Copy)] -struct Matrix4([f32; 16]); - -#[wasm_bindgen] -pub fn generate_splat_texture_from_attrs( - positions: &Float32Array, - scales: &Float32Array, - rotations: &Float32Array, - colors: &Uint8Array, - count: usize -) -> Result { - let texture_data = textureGen::generate_texture_from_attrs( - positions, - scales, - rotations, - colors, - count - )?; - - let js_data = Uint32Array::new_with_length((texture_data.width() * texture_data.height() * 4) as u32); - js_data.copy_from(&texture_data.data()); - - // Create a JavaScript object to hold both the data and dimensions - let result = Object::new(); - js_sys::Reflect::set(&result, &"data".into(), &js_data)?; - js_sys::Reflect::set(&result, &"width".into(), &(texture_data.width() as f64).into())?; - js_sys::Reflect::set(&result, &"height".into(), &(texture_data.height() as f64).into())?; - - Ok(result) -} \ No newline at end of file diff --git a/temp_wasm/cesiumjs-gsplat-utils/src/perf_timer.rs b/temp_wasm/cesiumjs-gsplat-utils/src/perf_timer.rs deleted file mode 100644 index 4fa170ff285c..000000000000 --- a/temp_wasm/cesiumjs-gsplat-utils/src/perf_timer.rs +++ /dev/null @@ -1,76 +0,0 @@ -use std::time::{Duration, Instant}; -use std::collections::HashMap; -use std::fmt; - -#[derive(Debug)] -pub struct Timer { - start: Instant, - splits: HashMap, - last_split: Instant, -} - -impl Timer { - pub fn new() -> Self { - let now = Instant::now(); - Timer { - start: now, - splits: HashMap::new(), - last_split: now, - } - } - - pub fn split(&mut self, name: &str) { - let now = Instant::now(); - let duration = now.duration_since(self.last_split); - self.splits.insert(name.to_string(), duration); - self.last_split = now; - } - - pub fn elapsed(&self) -> Duration { - Instant::now().duration_since(self.start) - } - - pub fn get_split(&self, name: &str) -> Option { - self.splits.get(name).copied() - } - - pub fn reset(&mut self) { - let now = Instant::now(); - self.start = now; - self.last_split = now; - self.splits.clear(); - } -} - -impl fmt::Display for Timer { - fn fmt(&self, f: &mut fmt::Formatter<'_>) -> fmt::Result { - writeln!(f, "Total time: {:?}", self.elapsed())?; - writeln!(f, "\nSplits:")?; - for (name, duration) in &self.splits { - writeln!(f, "{}: {:?}", name, duration)?; - } - Ok(()) - } -} - -#[cfg(test)] -mod tests { - use super::*; - use std::thread::sleep; - - #[test] - fn test_basic_timing() { - let mut timer = Timer::new(); - - // Simulate some work - sleep(Duration::from_millis(100)); - timer.split("first_operation"); - - sleep(Duration::from_millis(50)); - timer.split("second_operation"); - - assert!(timer.get_split("first_operation").unwrap().as_millis() >= 100); - assert!(timer.get_split("second_operation").unwrap().as_millis() >= 50); - assert!(timer.elapsed().as_millis() >= 150); - } -} \ No newline at end of file diff --git a/temp_wasm/cesiumjs-gsplat-utils/src/radix.rs b/temp_wasm/cesiumjs-gsplat-utils/src/radix.rs deleted file mode 100644 index a61912e5e840..000000000000 --- a/temp_wasm/cesiumjs-gsplat-utils/src/radix.rs +++ /dev/null @@ -1,121 +0,0 @@ -use wasm_bindgen::prelude::*; -mod radix_simd; - -#[wasm_bindgen] -impl radix_simd::GSplatData { - pub fn radix_sort(&mut self) { - // Calculate depths and store as integers - let mut depth_values: Vec = Vec::with_capacity(self.count); - let mut max_depth = f32::NEG_INFINITY; - let mut min_depth = f32::INFINITY; - - // Helper closure to calculate depth - let calc_depth = |i: usize| -> f32 { - let pos_idx = i * 3; - self.positions[pos_idx] * self.model_view[2] + - self.positions[pos_idx + 1] * self.model_view[6] + - self.positions[pos_idx + 2] * self.model_view[10] - }; - - // Calculate initial depths - for i in 0..self.count { - let depth = (calc_depth(i) * 4096.0) as i32; - depth_values.push(depth); - max_depth = max_depth.max(depth as f32); - min_depth = min_depth.min(depth as f32); - } - - // Normalize depths to positive values - let depth_offset = (-min_depth as i32); - for depth in depth_values.iter_mut() { - *depth += depth_offset; - } - - // Create index array to track original positions - let mut indices: Vec = (0..self.count as u32).collect(); - - // Temporary arrays for radix sort - let mut temp_depths = vec![0i32; self.count]; - let mut temp_indices = vec![0u32; self.count]; - - // Sort for each byte (4 bytes for 32-bit integer) - for shift in (0..32).step_by(8) { - let mut counts = [0u32; 256]; - - // Count frequencies - for &depth in depth_values.iter() { - let byte = ((depth >> shift) & 0xFF) as usize; - counts[byte] += 1; - } - - // Calculate starting positions - let mut total = 0; - for count in counts.iter_mut() { - let current = *count; - *count = total; - total += current; - } - - // Move items to correct position - for i in 0..self.count { - let byte = ((depth_values[i] >> shift) & 0xFF) as usize; - let pos = counts[byte] as usize; - counts[byte] += 1; - - temp_depths[pos] = depth_values[i]; - temp_indices[pos] = indices[i]; - } - - // Copy back - depth_values.copy_from_slice(&temp_depths); - indices.copy_from_slice(&temp_indices); - } - - // Create new arrays for sorted data - let mut new_positions = vec![0.0f32; self.positions.len()]; - let mut new_scales = vec![0.0f32; self.scales.len()]; - let mut new_rotations = vec![0.0f32; self.rotations.len()]; - let mut new_colors = vec![0.0f32; self.colors.len()]; - - // Rearrange attribute arrays based on sorted indices - for (i, &idx) in indices.iter().enumerate() { - let j = idx as usize; - - // Copy positions (3 components) - let pos_i = i * 3; - let pos_j = j * 3; - new_positions[pos_i] = self.positions[pos_j]; - new_positions[pos_i + 1] = self.positions[pos_j + 1]; - new_positions[pos_i + 2] = self.positions[pos_j + 2]; - - // Copy scales (3 components) - let scale_i = i * 3; - let scale_j = j * 3; - new_scales[scale_i] = self.scales[scale_j]; - new_scales[scale_i + 1] = self.scales[scale_j + 1]; - new_scales[scale_i + 2] = self.scales[scale_j + 2]; - - // Copy rotations (4 components) - let rot_i = i * 4; - let rot_j = j * 4; - new_rotations[rot_i] = self.rotations[rot_j]; - new_rotations[rot_i + 1] = self.rotations[rot_j + 1]; - new_rotations[rot_i + 2] = self.rotations[rot_j + 2]; - new_rotations[rot_i + 3] = self.rotations[rot_j + 3]; - - // Copy colors (4 components) - let color_i = i * 4; - let color_j = j * 4; - new_colors[color_i] = self.colors[color_j]; - new_colors[color_i + 1] = self.colors[color_j + 1]; - new_colors[color_i + 2] = self.colors[color_j + 2]; - new_colors[color_i + 3] = self.colors[color_j + 3]; - } - - // Update the original arrays with sorted data - self.positions = new_positions; - self.scales = new_scales; - self.rotations = new_rotations; - self.colors = new_colors; - } -} \ No newline at end of file diff --git a/temp_wasm/cesiumjs-gsplat-utils/src/radix_simd.rs b/temp_wasm/cesiumjs-gsplat-utils/src/radix_simd.rs deleted file mode 100644 index 71d7ecfdfbf4..000000000000 --- a/temp_wasm/cesiumjs-gsplat-utils/src/radix_simd.rs +++ /dev/null @@ -1,808 +0,0 @@ -use std::arch::wasm32::*; -use wasm_bindgen::prelude::*; -use js_sys::{Float32Array, Uint8Array, Uint32Array, WebAssembly}; -use wasm_bindgen::JsCast; -use web_sys::console; - -use crate::perf_timer; - -#[wasm_bindgen] -pub struct GSplatData { - positions: Vec, - scales: Vec, - rotations: Vec, - colors: Vec, - model_view: [f32; 16], - count: usize, -} - -#[wasm_bindgen] -impl GSplatData { - #[wasm_bindgen(constructor)] - pub fn new( - positions: Vec, - scales: Vec, - rotations: Vec, - colors: Vec, - model_view: Vec, - count: usize, - ) -> Self { - let mut model_view_array = [0.0; 16]; - model_view_array.copy_from_slice(&model_view); - - Self { - positions, - scales, - rotations, - colors, - model_view: model_view_array, - count, - } - } - - #[wasm_bindgen(js_name = fromFloat32Arrays)] - pub fn from_float32_arrays( - positions: Float32Array, - scales: Float32Array, - rotations: Float32Array, - colors: Uint8Array, - model_view: Float32Array, - count: usize, - ) -> Result { - if positions.length() as usize != count * 3 { - return Err(JsValue::from_str("Invalid positions length")); - } - if scales.length() as usize != count * 3 { - return Err(JsValue::from_str("Invalid scales length")); - } - if rotations.length() as usize != count * 4 { - return Err(JsValue::from_str("Invalid rotations length")); - } - if colors.length() as usize != count * 4 { - return Err(JsValue::from_str("Invalid colors length")); - } - if model_view.length() != 16 { - return Err(JsValue::from_str("Model view matrix must have 16 elements")); - } - - let positions: Vec = positions.to_vec(); - let scales: Vec = scales.to_vec(); - let rotations: Vec = rotations.to_vec(); - let colors: Vec = colors.to_vec(); - let model_view: Vec = model_view.to_vec(); - - Ok(GSplatData::new( - positions, - scales, - rotations, - colors, - model_view, - count, - )) - } - - #[wasm_bindgen(js_name = getPositions)] - pub fn get_positions(&self) -> Float32Array { - let result = Float32Array::new_with_length(self.positions.len() as u32); - result.copy_from(&self.positions[..]); - result - } - - #[wasm_bindgen(js_name = getScales)] - pub fn get_scales(&self) -> Float32Array { - let result = Float32Array::new_with_length(self.scales.len() as u32); - result.copy_from(&self.scales[..]); - result - } - - #[wasm_bindgen(js_name = getRotations)] - pub fn get_rotations(&self) -> Float32Array { - let result = Float32Array::new_with_length(self.rotations.len() as u32); - result.copy_from(&self.rotations[..]); - result - } - - #[wasm_bindgen(js_name = getColors)] - pub fn get_colors(&self) -> Uint8Array { - let result = Uint8Array::new_with_length(self.colors.len() as u32); - result.copy_from(&self.colors[..]); - result - } -} - -#[target_feature(enable = "simd128")] -unsafe fn compute_depths_simd(positions: &[f32], model_view: &[f32], count: usize) -> Vec { - let mut depths = Vec::with_capacity(count); - let simd_count = count - (count % 4); - - let scale = f32x4(4096.0, 4096.0, 4096.0, 4096.0); - let mv2 = f32x4_splat(model_view[2]); - let mv6 = f32x4_splat(model_view[6]); - let mv10 = f32x4_splat(model_view[10]); - - for chunk_idx in (0..simd_count).step_by(4) { - let base_idx = chunk_idx * 3; - if base_idx + 11 >= positions.len() { - break; - } - - let pos = v128_load(positions[base_idx..].as_ptr() as *const v128); - let mut depth = f32x4_mul(pos, mv2); - - let pos_y = v128_load(positions[base_idx + 4..].as_ptr() as *const v128); - depth = f32x4_add(depth, f32x4_mul(pos_y, mv6)); - - let pos_z = v128_load(positions[base_idx + 8..].as_ptr() as *const v128); - depth = f32x4_add(depth, f32x4_mul(pos_z, mv10)); - - let depth_scaled = f32x4_mul(depth, scale); - let depth_int = i32x4_trunc_sat_f32x4(depth_scaled); - - let mut result = [0i32; 4]; - v128_store(result.as_mut_ptr() as *mut v128, depth_int); - depths.extend_from_slice(&result); - } - - let remainder_start = (count / 4) * 4; - for i in remainder_start..count { - let idx = i * 3; - if idx + 2 < positions.len() { - let depth = positions[idx] * model_view[2] + - positions[idx + 1] * model_view[6] + - positions[idx + 2] * model_view[10]; - depths.push((depth * 4096.0) as i32); - } - } - - depths.truncate(count); - depths -} - -#[target_feature(enable = "simd128")] -unsafe fn reorder_attributes_simd(data: &mut GSplatData, indices: &[u32]) { - let mut new_positions = vec![0.0; data.positions.len()]; - let mut new_scales = vec![0.0; data.scales.len()]; - let mut new_rotations = vec![0.0; data.rotations.len()]; - let mut new_colors = vec![0; data.colors.len()]; - - for (new_idx, &old_idx) in indices.iter().enumerate() { - let old_idx = old_idx as usize; - - if old_idx * 3 + 2 >= data.positions.len() || - new_idx * 3 + 2 >= new_positions.len() { - break; - } - - let pos_idx = new_idx * 3; - let old_pos_idx = old_idx * 3; - new_positions[pos_idx..pos_idx + 3] - .copy_from_slice(&data.positions[old_pos_idx..old_pos_idx + 3]); - - if old_idx * 3 + 2 >= data.scales.len() || - new_idx * 3 + 2 >= new_scales.len() { - break; - } - - let scale_idx = new_idx * 3; - let old_scale_idx = old_idx * 3; - new_scales[scale_idx..scale_idx + 3] - .copy_from_slice(&data.scales[old_scale_idx..old_scale_idx + 3]); - - if old_idx * 4 + 3 >= data.rotations.len() || - new_idx * 4 + 3 >= new_rotations.len() { - break; - } - - let rot_idx = new_idx * 4; - let old_rot_idx = old_idx * 4; - new_rotations[rot_idx..rot_idx + 4] - .copy_from_slice(&data.rotations[old_rot_idx..old_rot_idx + 4]); - - if old_idx * 4 + 3 >= data.colors.len() || - new_idx * 4 + 3 >= new_colors.len() { - break; - } - - let color_idx = new_idx * 4; - let old_color_idx = old_idx * 4; - new_colors[color_idx..color_idx + 4] - .copy_from_slice(&data.colors[old_color_idx..old_color_idx + 4]); - } - - data.positions = new_positions; - data.scales = new_scales; - data.rotations = new_rotations; - data.colors = new_colors; -} - -#[wasm_bindgen] -pub fn radix_sort_simd(data: &mut GSplatData) -> Result<(), JsValue> { - let count = data.count; - - if count * 3 > data.positions.len() || - count * 3 > data.scales.len() || - count * 4 > data.rotations.len() || - count * 4 > data.colors.len() { - return Err(JsValue::from_str("Invalid input sizes")); - } - - let mut depths = unsafe { - compute_depths_simd(&data.positions, &data.model_view, count) - }; - let mut indices: Vec = (0..count as u32).collect(); - - let mut temp_depths = vec![0i32; count]; - let mut temp_indices = vec![0u32; count]; - - for shift in (0..32).step_by(8) { - let mut counts = [0u32; 256]; - - unsafe { count_frequencies_simd(&depths, shift, &mut counts) }; - - let mut total = 0u32; - for count in counts.iter_mut() { - let current = *count; - *count = total; - total += current; - } - - unsafe { - scatter_elements_simd( - &depths, - &indices, - shift, - &counts, - &mut temp_depths, - &mut temp_indices - ) - }; - std::mem::swap(&mut depths, &mut temp_depths); - std::mem::swap(&mut indices, &mut temp_indices); - } - - unsafe { reorder_attributes_simd(data, &indices) }; - Ok(()) -} - -#[target_feature(enable = "simd128")] -unsafe fn count_frequencies_simd(depths: &[i32], shift: u32, counts: &mut [u32; 256]) { - unsafe { - let mask = i32x4_splat(0xFF); - - for chunk in depths.chunks_exact(4) { - let values = v128_load(chunk.as_ptr() as *const v128); - let shifted = i32x4_shr(values, shift); - let bytes = v128_and(shifted as v128, mask); - - let mut result = [0i32; 4]; - v128_store(result.as_mut_ptr() as *mut v128, bytes); - - for &value in &result { - counts[value as usize] += 1; - } - } - } - - for &depth in depths.chunks_exact(4).remainder() { - let byte = ((depth >> shift) & 0xFF) as usize; - counts[byte] += 1; - } -} - -#[target_feature(enable = "simd128")] -unsafe fn scatter_elements_simd( - depths: &[i32], - indices: &[u32], - shift: u32, - counts: &[u32; 256], - temp_depths: &mut [i32], - temp_indices: &mut [u32], -) { - let mut offsets = counts.to_owned(); - - for (&depth, &index) in depths.iter().zip(indices.iter()) { - let byte = ((depth >> shift) & 0xFF) as usize; - let pos = offsets[byte] as usize; - - temp_depths[pos] = depth; - temp_indices[pos] = index; - - offsets[byte] += 1; - } -} - -#[wasm_bindgen] -pub fn radix_sort_gaussians_attrs( - positions: &Float32Array, - scales: &Float32Array, - rotations: &Float32Array, - colors: &Uint8Array, - model_view: &Float32Array, - count: usize, -) -> Result { - if positions.length() as usize != count * 3 - || scales.length() as usize != count * 3 - || rotations.length() as usize != count * 4 - || colors.length() as usize != count * 4 - || model_view.length() != 16 { - return Err(JsValue::from_str("Invalid array lengths")); - } - - //set capacity first - let positions_vec = positions.to_vec(); - let model_view_vec = model_view.to_vec(); - - let mut depth_values = vec![0i32; count]; - let mut max_depth = f32::NEG_INFINITY; - let mut min_depth = f32::INFINITY; - - for i in 0..count { - let depth = positions_vec[i * 3] * model_view_vec[2] + - positions_vec[i * 3 + 1] * model_view_vec[6] + - positions_vec[i * 3 + 2] * model_view_vec[10]; - - let depth_int = (depth * 4096.0) as i32; - depth_values[i] = depth_int; - max_depth = max_depth.max(depth_int as f32); - min_depth = min_depth.min(depth_int as f32); - } - - let depth_offset = (-min_depth) as i32; - for depth in depth_values.iter_mut() { - *depth += depth_offset; - } - - let mut indices: Vec = (0..count as u32).collect(); - let mut temp_depths = vec![0i32; count]; - let mut temp_indices = vec![0u32; count]; - - for shift in (0..32).step_by(8) { - let mut counts = [0u32; 256]; - - for &depth in depth_values.iter() { - let byte = ((depth >> shift) & 0xFF) as usize; - counts[byte] += 1; - } - - let mut total = 0; - for count in counts.iter_mut() { - let current = *count; - *count = total; - total += current; - } - - for i in 0..count { - let byte = ((depth_values[i] >> shift) & 0xFF) as usize; - let pos = counts[byte] as usize; - counts[byte] += 1; - - temp_depths[pos] = depth_values[i]; - temp_indices[pos] = indices[i]; - } - - depth_values.copy_from_slice(&temp_depths); - indices.copy_from_slice(&temp_indices); - } - - let mut new_positions: Vec = vec![0.0; count * 3]; - let mut new_scales: Vec = vec![0.0; count * 3]; - let mut new_rotations: Vec = vec![0.0; count * 4]; - let mut new_colors: Vec = vec![0; count * 4]; - - let scales_vec = scales.to_vec(); - let rotations_vec = rotations.to_vec(); - let colors_vec = colors.to_vec(); - - for i in 0..count { - let j = indices[i] as usize; - - new_positions[i * 3] = positions_vec[j * 3]; - new_positions[i * 3 + 1] = positions_vec[j * 3 + 1]; - new_positions[i * 3 + 2] = positions_vec[j * 3 + 2]; - - new_scales[i * 3] = scales_vec[j * 3]; - new_scales[i * 3 + 1] = scales_vec[j * 3 + 1]; - new_scales[i * 3 + 2] = scales_vec[j * 3 + 2]; - - new_rotations[i * 4] = rotations_vec[j * 4]; - new_rotations[i * 4 + 1] = rotations_vec[j * 4 + 1]; - new_rotations[i * 4 + 2] = rotations_vec[j * 4 + 2]; - new_rotations[i * 4 + 3] = rotations_vec[j * 4 + 3]; - - new_colors[i * 4] = colors_vec[j * 4]; - new_colors[i * 4 + 1] = colors_vec[j * 4 + 1]; - new_colors[i * 4 + 2] = colors_vec[j * 4 + 2]; - new_colors[i * 4 + 3] = colors_vec[j * 4 + 3]; - } - - let new_positions_array = Float32Array::new_with_length(count as u32 * 3); - new_positions_array.copy_from(&new_positions[..]); - - let new_scales_array = Float32Array::new_with_length(count as u32 * 3); - new_scales_array.copy_from(&new_scales[..]); - - let new_rotations_array = Float32Array::new_with_length(count as u32 * 4); - new_rotations_array.copy_from(&new_rotations[..]); - - let new_colors_array = Uint8Array::new_with_length(count as u32 * 4); - new_colors_array.copy_from(&new_colors[..]); - - let result = js_sys::Array::new(); - result.push(&new_positions_array); - result.push(&new_scales_array); - result.push(&new_rotations_array); - result.push(&new_colors_array); - - Ok(result) -} - - -#[wasm_bindgen] -pub fn radix_sort_gaussians_indexes( - positions: &Float32Array, - model_view: &Float32Array, - texture_width: u32, - count: usize, -) -> Result { - if positions.length() as usize != count * 3 { - return Err(JsValue::from_str("Invalid positions length")); - } - if model_view.length() != 16 { - return Err(JsValue::from_str("Invalid model_view length")); - } - - let positions_vec = positions.to_vec(); - let model_view_vec = model_view.to_vec(); - let mut depth_values = vec![0i32; count]; - let mut max_depth = f32::NEG_INFINITY; - let mut min_depth = f32::INFINITY; - - for i in 0..count { - let depth = positions_vec[i * 3] * model_view_vec[2] + - positions_vec[i * 3 + 1] * model_view_vec[6] + - positions_vec[i * 3 + 2] * model_view_vec[10]; - - let depth_int = (depth * 4096.0) as i32; - depth_values[i] = depth_int; - max_depth = max_depth.max(depth_int as f32); - min_depth = min_depth.min(depth_int as f32); - } - - let depth_offset = (-min_depth) as i32; - for depth in depth_values.iter_mut() { - *depth += depth_offset; - } - - let mut indices: Vec = (0..count as u32).collect(); - let mut temp_depths = vec![0i32; count]; - let mut temp_indices = vec![0u32; count]; - - for shift in (0..32).step_by(8) { - let mut counts = [0u32; 256]; - - for &depth in depth_values.iter() { - let byte = ((depth >> shift) & 0xFF) as usize; - counts[byte] += 1; - } - - let mut total = 0; - for count in counts.iter_mut() { - let current = *count; - *count = total; - total += current; - } - - for i in 0..count { - let byte = ((depth_values[i] >> shift) & 0xFF) as usize; - let pos = counts[byte] as usize; - counts[byte] += 1; - - temp_depths[pos] = depth_values[i]; - temp_indices[pos] = indices[i]; - } - - depth_values.copy_from_slice(&temp_depths); - indices.copy_from_slice(&temp_indices); - } - - let indices_array = Uint32Array::new_with_length(count as u32); - indices_array.copy_from(&indices); - - Ok(indices_array) -} - -#[wasm_bindgen] -pub fn radix_sort_gaussians_indexes_simd( - positions: &Float32Array, - model_view: &Float32Array, - texture_width: u32, - count: usize, -) -> Result { - if positions.length() as usize != count * 3 || model_view.length() != 16 { - return Err(JsValue::from_str("Invalid input lengths")); - } - - let positions_vec = positions.to_vec(); - let mv = model_view.to_vec(); - - // Convert positions to SIMD vectors - let mv_row = v128_load(&[mv[2], mv[6], mv[10], 0.0]); - let mut depth_values = vec![0i32; count]; - let mut max_depth = f32::NEG_INFINITY; - let mut min_depth = f32::INFINITY; - - // Process 4 points at a time - for chunk in (0..count).step_by(4) { - let remaining = count - chunk; - if remaining >= 4 { - let pos0 = v128_load(&positions_vec[chunk * 3..]); - let pos1 = v128_load(&positions_vec[chunk * 3 + 4..]); - let pos2 = v128_load(&positions_vec[chunk * 3 + 8..]); - - // Compute depths using SIMD dot product - let depths = f32x4_dot_product( - v128_shuffle::<0, 1, 2, 2>(pos0, pos1), - mv_row - ); - - // Convert to fixed point and store - let depth_ints = f32x4_convert_to_i32x4(f32x4_mul(depths, f32x4_splat(4096.0))); - depth_values[chunk..chunk + 4].copy_from_slice(&i32x4_extract_values(depth_ints)); - - // Update min/max using SIMD - max_depth = f32x4_extract_lane::<0>(f32x4_max(f32x4_splat(max_depth), depths)); - min_depth = f32x4_extract_lane::<0>(f32x4_min(f32x4_splat(min_depth), depths)); - } else { - // Handle remaining points sequentially - for i in chunk..count { - let depth = positions_vec[i * 3] * mv[2] + - positions_vec[i * 3 + 1] * mv[6] + - positions_vec[i * 3 + 2] * mv[10]; - depth_values[i] = (depth * 4096.0) as i32; - max_depth = max_depth.max(depth); - min_depth = min_depth.min(depth); - } - } - } - - let depth_offset = (-min_depth * 4096.0) as i32; - for depth in depth_values.iter_mut() { - *depth += depth_offset; - } - - let mut indices: Vec = (0..count as u32).collect(); - let mut temp_depths = vec![0i32; count]; - let mut temp_indices = vec![0u32; count]; - - for shift in (0..32).step_by(8) { - let mut counts = [0u32; 256]; - - for chunk in depth_values.chunks(4) { - let depths = if chunk.len() == 4 { - i32x4_load(chunk) - } else { - let mut padded = [0i32; 4]; - padded[..chunk.len()].copy_from_slice(chunk); - i32x4_load(&padded) - }; - - // let bytes = i32x4_shr(depths, i32x4_splat(shift)); - // let masked = v128_and(bytes, i32x4_splat(0xFF)); - - // i8x16_extract_lane::<0>() - // let b1 = i32x4_extract_lane::<0>(masked) & 0xFF; - // let b2 = i32x4_extract_lane::<0>(masked) & 0xFF; - // let b3 = i32x4_extract_lane::<0>(masked) & 0xFF; - // let b4 = i32x4_extract_lane::<0>(masked) & 0xFF; - // counts[b1] += 1; - // counts[b2] += 1; - // counts[b3] += 1; - // counts[b4] += 1; - // for i in 0..chunk.len() { - // let byte = i32x4_extract_lane::<0>(i32x4_shuffle::(masked, masked)) as usize; - // counts[byte] += 1; - // } - } - - let mut total = 0; - for count in counts.iter_mut() { - let current = *count; - *count = total; - total += current; - } - - for i in 0..count { - let byte = ((depth_values[i] >> shift) & 0xFF) as usize; - let pos = counts[byte] as usize; - counts[byte] += 1; - - temp_depths[pos] = depth_values[i]; - temp_indices[pos] = indices[i]; - } - - depth_values.copy_from_slice(&temp_depths); - indices.copy_from_slice(&temp_indices); - } - - let indices_array = Uint32Array::new_with_length(count as u32); - indices_array.copy_from(&indices); - Ok(indices_array) -} - -#[inline] -fn v128_load(slice: &[f32]) -> v128 { - unsafe { v128_load(slice.as_ptr() as *const v128) } -} - -#[inline] -fn i32x4_load(slice: &[i32]) -> v128 { - unsafe { v128_load(slice.as_ptr() as *const v128) } -} - -#[inline] -fn f32x4_dot_product(a: v128, b: v128) -> v128 { - unsafe { - let mul = f32x4_mul(a, b); - f32x4_add( - f32x4_add( - f32x4_extract_lane::<0>(mul), - f32x4_extract_lane::<1>(mul) - ), - f32x4_add( - f32x4_extract_lane::<2>(mul), - f32x4_extract_lane::<3>(mul) - ) - ) - } -} - -#[inline] -fn i32x4_extract_values(v: v128) -> [i32; 4] { - unsafe { - [ - i32x4_extract_lane::<0>(v), - i32x4_extract_lane::<1>(v), - i32x4_extract_lane::<2>(v), - i32x4_extract_lane::<3>(v) - ] - } -} - -#[wasm_bindgen(js_name = "GaussianSorter")] -pub struct GaussianSorter { - indices: Vec, - temp_indices: Vec, - depth_values: Vec, - counts: [u32; 256], - temp_positions: Vec, - temp_scales: Vec, - temp_rotations: Vec, - temp_colors: Vec, -} - -#[wasm_bindgen(js_class = "GaussianSorter")] -impl GaussianSorter { - #[wasm_bindgen(constructor)] - pub fn new(max_count: usize) -> Self { - Self { - indices: Vec::with_capacity(max_count), - temp_indices: vec![0u32; max_count], - depth_values: vec![0i32; max_count], - counts: [0u32; 256], - temp_positions: vec![0.0; max_count * 3], - temp_scales: vec![0.0; max_count * 3], - temp_rotations: vec![0.0; max_count * 4], - temp_colors: vec![0; max_count * 4], - } - } - - //"in-place" attempt, memory buffer is in the wrong context - #[wasm_bindgen(js_name = "sortGaussians")] - pub fn sort_gaussians( - &mut self, - memory_buffer: &[u8], - positions_offset: u32, - scales_offset: u32, - rotations_offset: u32, - colors_offset: u32, - model_view: &[f32], - count: usize, - ) -> Result<(), JsValue> { - let positions = unsafe { std::slice::from_raw_parts( - memory_buffer.as_ptr().add(positions_offset as usize) as *const f32, - count * 3 - )}; - let scales = unsafe { std::slice::from_raw_parts( - memory_buffer.as_ptr().add(scales_offset as usize) as *const f32, - count * 3 - )}; - let rotations = unsafe { std::slice::from_raw_parts( - memory_buffer.as_ptr().add(rotations_offset as usize) as *const f32, - count * 4 - )}; - let colors = unsafe { std::slice::from_raw_parts( - memory_buffer.as_ptr().add(colors_offset as usize) as *const u8, - count * 4 - )}; - - let mv2 = model_view[2]; - let mv6 = model_view[6]; - let mv10 = model_view[10]; - let mv14 = model_view[14]; - - let mut max_depth = f32::NEG_INFINITY; - let mut min_depth = f32::INFINITY; - - for i in 0..count { - let x = positions[i * 3]; - let y = positions[i * 3 + 1]; - let z = positions[i * 3 + 2]; - - let depth = x * mv2 + y * mv6 + z * mv10 + mv14; - let depth_int = (depth * 4096.0) as i32; - self.depth_values[i] = depth_int; - max_depth = max_depth.max(depth_int as f32); - min_depth = min_depth.min(depth_int as f32); - } - - self.indices.clear(); - self.indices.extend(0..count as u32); - - for shift in (0..32).step_by(8) { - self.counts.fill(0); - - for &depth in self.depth_values.iter().take(count) { - let byte = ((depth >> shift) & 0xFF) as usize; - self.counts[byte] += 1; - } - - let mut total = 0; - for count in self.counts.iter_mut() { - let current = *count; - *count = total; - total += current; - } - - for i in 0..count { - let byte = ((self.depth_values[i] >> shift) & 0xFF) as usize; - let pos = self.counts[byte] as usize; - self.counts[byte] += 1; - self.temp_indices[pos] = self.indices[i]; - } - - self.indices[..count].copy_from_slice(&self.temp_indices[..count]); - } - - for i in 0..count { - let j = self.indices[i] as usize; - self.temp_positions[i * 3..(i + 1) * 3].copy_from_slice(&positions[j * 3..(j + 1) * 3]); - self.temp_scales[i * 3..(i + 1) * 3].copy_from_slice(&scales[j * 3..(j + 1) * 3]); - self.temp_rotations[i * 4..(i + 1) * 4].copy_from_slice(&rotations[j * 4..(j + 1) * 4]); - self.temp_colors[i * 4..(i + 1) * 4].copy_from_slice(&colors[j * 4..(j + 1) * 4]); - } - - let positions_out = unsafe { std::slice::from_raw_parts_mut( - memory_buffer.as_ptr().add(positions_offset as usize) as *mut f32, - count * 3 - )}; - let scales_out = unsafe { std::slice::from_raw_parts_mut( - memory_buffer.as_ptr().add(scales_offset as usize) as *mut f32, - count * 3 - )}; - let rotations_out = unsafe { std::slice::from_raw_parts_mut( - memory_buffer.as_ptr().add(rotations_offset as usize) as *mut f32, - count * 4 - )}; - let colors_out = unsafe { std::slice::from_raw_parts_mut( - memory_buffer.as_ptr().add(colors_offset as usize) as *mut u8, - count * 4 - )}; - - positions_out.copy_from_slice(&self.temp_positions[..count * 3]); - scales_out.copy_from_slice(&self.temp_scales[..count * 3]); - rotations_out.copy_from_slice(&self.temp_rotations[..count * 4]); - colors_out.copy_from_slice(&self.temp_colors[..count * 4]); - - Ok(()) - } -} \ No newline at end of file diff --git a/temp_wasm/cesiumjs-gsplat-utils/src/textureGen.rs b/temp_wasm/cesiumjs-gsplat-utils/src/textureGen.rs deleted file mode 100644 index e1ab9920f2bc..000000000000 --- a/temp_wasm/cesiumjs-gsplat-utils/src/textureGen.rs +++ /dev/null @@ -1,172 +0,0 @@ -use wasm_bindgen::prelude::*; -use std::mem; -use js_sys::{Float32Array, Uint8Array, Uint32Array, Array}; -use web_sys::console::*; - -#[wasm_bindgen] -pub struct TextureData { - - data: Vec, - width: u32, - height: u32, -} - -#[wasm_bindgen] -impl TextureData { - #[wasm_bindgen(getter)] - pub fn data(&self) -> Vec { - self.data.clone() - } - - #[wasm_bindgen(getter)] - pub fn width(&self) -> u32 { - self.width - } - - #[wasm_bindgen(getter)] - pub fn height(&self) -> u32 { - self.height - } - - pub fn new(data: Vec, width: u32, height: u32) -> Self { - TextureData { - data, - width, - height - } - } -} - -//Algorithm from ILM -//https://github.com/mitsuba-renderer/openexr/blob/master/IlmBase/Half/half.cpp -fn float_to_half(f: f32) -> i16 { - let f_int = f.to_bits() as i32; - let sign = (f_int >> 16) & 0x00008000; - let mut exp = ((f_int >> 23) & 0x000000ff) - (127 - 15); - let mut frac = f_int & 0x007fffff; - - if exp <= 0 { - if exp < -10 { - return sign as i16; - } - - frac = frac | 0x00800000; - - let t = 14 - exp; - let a = (1 << (t - 1)) - 1; - let b = (frac >> t) & 1; - - frac = (frac + a + b) >> t; - return (sign | frac) as i16; - } else if exp == 0xff - (127 - 15) { - if frac == 0 { - return (sign | 0x7c00) as i16; - } else { - frac >>= 13; - return (sign | 0x7c00 | frac | ((frac == 0) as i32)) as i16; - } - } - - frac = frac + 0x00000fff + ((frac >> 13) & 1); - - if frac & 0x00800000 != 0 { - frac = 0; - exp += 1; - } - - if exp > 30 { - //the original algo sets cpu overflow here - return (sign | 0x7c00) as i16; - } - (sign | (exp << 10) | (frac >> 13)) as i16 -} - -#[wasm_bindgen] -pub fn generate_texture_from_attrs( - positions: &Float32Array, - scales: &Float32Array, - rots: &Float32Array, - colors: &Uint8Array, - count: usize -) -> Result { - let tex_width = 2048; - let tex_height = ((2 * count) as f32 / tex_width as f32).ceil() as u32; - let mut tex_data = vec![0u32; (tex_width * tex_height * 4) as usize]; - - let tex_data_c = unsafe { - std::slice::from_raw_parts_mut( - tex_data.as_mut_ptr() as *mut u8, - tex_data.len() * 4, - ) - }; - - let tex_data_f = unsafe { - std::slice::from_raw_parts_mut( - tex_data.as_mut_ptr() as *mut f32, - tex_data.len(), - ) - }; - - let rotv: Vec = rots.to_vec(); - let posv: Vec = positions.to_vec(); - let clrv: Vec = colors.to_vec(); - let sclv: Vec = scales.to_vec(); - - for i in 0..count { - tex_data_f[8 * i + 0] = posv[3 * i + 0]; - tex_data_f[8 * i + 1] = posv[3 * i + 1]; - tex_data_f[8 * i + 2] = posv[3 * i + 2]; - - //u8 offsets - tex_data_c[4 * (8 * i + 7) + 0] = clrv[4 * i + 0]; - tex_data_c[4 * (8 * i + 7) + 1] = clrv[4 * i + 1]; - tex_data_c[4 * (8 * i + 7) + 2] = clrv[4 * i + 2]; - tex_data_c[4 * (8 * i + 7) + 3] = clrv[4 * i + 3]; - - let r = rotv[4*i+3]; - let x = rotv[4*i+0]; - let y = rotv[4*i+1]; - let z = rotv[4*i+2]; - let r_matrix = [ - 1.0 - 2.0 * (y * y + z * z), - 2.0 * (x * y + r * z), - 2.0 * (x * z - r * y), - - 2.0 * (x * y - r * z), - 1.0 - 2.0 * (x * x + z * z), - 2.0 * (y * z + r * x), - - 2.0 * (x * z + r * y), - 2.0 * (y * z - r * x), - 1.0 - 2.0 * (x * x + y * y), - ]; - - // S * R multiplication - let s0 = 3 * i + 0; - let s1 = 3 * i + 1; - let s2 = 3 * i + 2; - - let m = [ - r_matrix[0] * sclv[s0], r_matrix[1] * sclv[s0], r_matrix[2] * sclv[s0], - r_matrix[3] * sclv[s1], r_matrix[4] * sclv[s1], r_matrix[5] * sclv[s1], - r_matrix[6] * sclv[s2], r_matrix[7] * sclv[s2], r_matrix[8] * sclv[s2], - ]; - let sigma = [ - m[0] * m[0] + m[3] * m[3] + m[6] * m[6], - m[0] * m[1] + m[3] * m[4] + m[6] * m[7], - m[0] * m[2] + m[3] * m[5] + m[6] * m[8], - m[1] * m[1] + m[4] * m[4] + m[7] * m[7], - m[1] * m[2] + m[4] * m[5] + m[7] * m[8], - m[2] * m[2] + m[5] * m[5] + m[8] * m[8], - ]; - tex_data[8 * i + 4] = ( float_to_half(4.0 * sigma[0]) as u32 & 0xFFFF) | ((float_to_half(4.0 * sigma[1]) as u32 & 0xFFFF) << 16); - tex_data[8 * i + 5] = (float_to_half(4.0 * sigma[2]) as u32 & 0xFFFF) | ((float_to_half(4.0 * sigma[3]) as u32 & 0xFFFF) << 16); - tex_data[8 * i + 6] = (float_to_half(4.0 * sigma[4]) as u32 & 0xFFFF) | ((float_to_half(4.0 * sigma[5]) as u32 & 0xFFFF) << 16); - } - - Ok(TextureData { - data: tex_data, - width: tex_width, - height: tex_height, - }) -} \ No newline at end of file diff --git a/temp_wasm/cesiumjs-gsplat-utils/src/textureGen_simd.rs b/temp_wasm/cesiumjs-gsplat-utils/src/textureGen_simd.rs deleted file mode 100644 index 845430f2652b..000000000000 --- a/temp_wasm/cesiumjs-gsplat-utils/src/textureGen_simd.rs +++ /dev/null @@ -1,202 +0,0 @@ -#![feature(stdsimd)] - -use wasm_bindgen::prelude::*; -use core::arch::wasm32::*; -use std::mem; - -use crate::textureGen::TextureData; - -// Enable SIMD at the crate level -#[cfg(target_arch = "wasm32")] -#[cfg(target_feature = "simd128")] - -// #[wasm_bindgen] -// pub struct TextureData { -// data: Vec, -// width: u32, -// height: u32, -// } - -#[wasm_bindgen] -impl TextureData { - #[wasm_bindgen(getter)] - pub fn data(&self) -> Vec { - self.data.clone() - } - - #[wasm_bindgen(getter)] - pub fn width(&self) -> u32 { - self.width - } - - #[wasm_bindgen(getter)] - pub fn height(&self) -> u32 { - self.height - } -} - -// SIMD optimized version of pack_half_2x16 -#[inline] -unsafe fn pack_half_2x16_simd(a: v128, b: v128) -> v128 { - // Convert f32x4 to i32x4 with rounding - let a_int = i32x4_trunc_sat_f32x4(a); - let b_int = i32x4_trunc_sat_f32x4(b); - - // Shift and pack - let packed = v128_and( - v128_or( - i32x4_shl(a_int, 16), - v128_and(b_int as v128, u32x4_splat(0xFFFF)) - ), - u32x4_splat(0xFFFFFFFF) - ); - - packed -} - - -#[inline] -unsafe fn matrix_multiply_simd(rot: &[f32; 4], scale: &[f32; 3]) -> [v128; 3] { - let quat = v128_load(rot.as_ptr() as *const v128); - let scale_vec = v128_load(scale.as_ptr() as *const v128); - - let q_squared = f32x4_mul(quat, quat); - let two = f32x4_splat(2.0); - let one = f32x4_splat(1.0); - - // First row of rotation matrix - let sum_yz0 = f32x4_add( - f32x4_replace_lane::<0>(f32x4_splat(0.0), f32x4_extract_lane::<1>(q_squared)), // yΒ² - f32x4_replace_lane::<0>(f32x4_splat(0.0), f32x4_extract_lane::<2>(q_squared)) // zΒ² - ); - let row0 = f32x4_sub(one, f32x4_mul(two, sum_yz0)); - - // Second row - 2(xy + wz) - let xy = f32x4_mul( - f32x4_replace_lane::<0>(f32x4_splat(0.0), f32x4_extract_lane::<0>(quat)), // x - f32x4_replace_lane::<0>(f32x4_splat(0.0), f32x4_extract_lane::<1>(quat)) // y - ); - let wz = f32x4_mul( - f32x4_replace_lane::<0>(f32x4_splat(0.0), f32x4_extract_lane::<3>(quat)), // w - f32x4_replace_lane::<0>(f32x4_splat(0.0), f32x4_extract_lane::<2>(quat)) // z - ); - let row1 = f32x4_mul( - two, - f32x4_add(xy, wz) - ); - - // Third row - 2(xz - wy) - let xz = f32x4_mul( - f32x4_replace_lane::<0>(f32x4_splat(0.0), f32x4_extract_lane::<0>(quat)), // x - f32x4_replace_lane::<0>(f32x4_splat(0.0), f32x4_extract_lane::<2>(quat)) // z - ); - let wy = f32x4_mul( - f32x4_replace_lane::<0>(f32x4_splat(0.0), f32x4_extract_lane::<3>(quat)), // w - f32x4_replace_lane::<0>(f32x4_splat(0.0), f32x4_extract_lane::<1>(quat)) // y - ); - let row2 = f32x4_mul( - two, - f32x4_sub(xz, wy) - ); - - // Scale the row - let scaled_row0 = f32x4_mul(row0, f32x4_splat(f32x4_extract_lane::<0>(scale_vec))); - let scaled_row1 = f32x4_mul(row1, f32x4_splat(f32x4_extract_lane::<1>(scale_vec))); - let scaled_row2 = f32x4_mul(row2, f32x4_splat(f32x4_extract_lane::<2>(scale_vec))); - [scaled_row0, scaled_row1, scaled_row2] -} - -#[wasm_bindgen] -#[target_feature(enable = "simd128")] -pub unsafe fn generate_texture_simd( - buffer: &[u8], - vertex_count: usize, -) -> Result { - let f_buffer = std::slice::from_raw_parts( - buffer.as_ptr() as *const f32, - buffer.len() / 4, - ); - - let tex_width = 1024 * 2; - let tex_height = ((2 * vertex_count) as f32 / tex_width as f32).ceil() as u32; - let mut tex_data = vec![0u32; (tex_width * tex_height * 4) as usize]; - - let tex_data_c = std::slice::from_raw_parts_mut( - tex_data.as_mut_ptr() as *mut u8, - tex_data.len() * 4, - ); - - let tex_data_f = std::slice::from_raw_parts_mut( - tex_data.as_mut_ptr() as *mut f32, - tex_data.len(), - ); - - // Process vertices in SIMD-friendly chunks where possible - for i in 0..vertex_count { - let pos = v128_load(&f_buffer[8 * i] as *const f32 as *const v128); - v128_store( - &mut tex_data_f[8 * i] as *mut f32 as *mut v128, - pos - ); - - let color_offset = 32 * i + 24; - let color = v128_load32_zero(buffer[color_offset..].as_ptr() as *const u32); - v128_store( - tex_data_c[4 * (8 * i + 7)..].as_ptr() as *mut v128, - color - ); - - let scale = [ - f_buffer[8 * i + 3], - f_buffer[8 * i + 4], - f_buffer[8 * i + 5], - ]; - - let rot = [ - (buffer[32 * i + 28] as f32 - 128.0) / 128.0, - (buffer[32 * i + 29] as f32 - 128.0) / 128.0, - (buffer[32 * i + 30] as f32 - 128.0) / 128.0, - (buffer[32 * i + 31] as f32 - 128.0) / 128.0, - ]; - - let m_rows = matrix_multiply_simd(&rot, &scale); - let sigma0 = f32x4_add( - f32x4_add( - f32x4_mul(m_rows[0], m_rows[0]), - f32x4_mul(m_rows[1], m_rows[1]) - ), - f32x4_mul(m_rows[2], m_rows[2]) - ); - - let sigma1 = f32x4_add( - f32x4_add( - f32x4_mul(m_rows[0], i8x16_shuffle::<4,8,12,0,4,8,12,0,4,8,12,0,4,8,12,0>( - m_rows[0], m_rows[0] - )), - f32x4_mul(m_rows[1], i8x16_shuffle::<4,8,12,0,4,8,12,0,4,8,12,0,4,8,12,0>( - m_rows[1], m_rows[1] - )) - ), - f32x4_mul(m_rows[2], i8x16_shuffle::<4,8,12,0,4,8,12,0,4,8,12,0,4,8,12,0>( - m_rows[2], m_rows[2] - )) - ); - - // Pack results - let four = f32x4_splat(4.0); - let sigma0_scaled = f32x4_mul(sigma0, four); - let sigma1_scaled = f32x4_mul(sigma1, four); - - let packed = pack_half_2x16_simd(sigma0_scaled, sigma1_scaled); - v128_store( - &mut tex_data[8 * i + 4] as *mut u32 as *mut v128, - packed - ); - } - - Ok(TextureData::new( - tex_data, - tex_width, - tex_height, - )) -} \ No newline at end of file diff --git a/temp_wasm/cesiumjs-gsplat-utils/src/utils.rs b/temp_wasm/cesiumjs-gsplat-utils/src/utils.rs deleted file mode 100644 index b1d7929dc9c4..000000000000 --- a/temp_wasm/cesiumjs-gsplat-utils/src/utils.rs +++ /dev/null @@ -1,10 +0,0 @@ -pub fn set_panic_hook() { - // When the `console_error_panic_hook` feature is enabled, we can call the - // `set_panic_hook` function at least once during initialization, and then - // we will get better error messages if our code ever panics. - // - // For more details see - // https://github.com/rustwasm/console_error_panic_hook#readme - #[cfg(feature = "console_error_panic_hook")] - console_error_panic_hook::set_once(); -} diff --git a/temp_wasm/cesiumjs-gsplat-utils/tests/web.rs b/temp_wasm/cesiumjs-gsplat-utils/tests/web.rs deleted file mode 100644 index 578bbb7ce5e4..000000000000 --- a/temp_wasm/cesiumjs-gsplat-utils/tests/web.rs +++ /dev/null @@ -1,21 +0,0 @@ -//! Test suite for the Web and headless browsers. - -#![cfg(target_arch = "wasm32")] - -extern crate wasm_bindgen_test; -use wasm_bindgen_test::*; - -wasm_bindgen_test_configure!(run_in_browser); - -#[wasm_bindgen_test] -fn pass() { - assert_eq!(1 + 1, 2); -} - -#[wasm_bindgen_test] -fn testTexture() { - let buffer: u8[]; - generate_splat_texture( - - ) -} \ No newline at end of file From 635659ebb25796f3d65196b7b8765edb835310ad Mon Sep 17 00:00:00 2001 From: "Adam N. Morris" Date: Fri, 10 Jan 2025 16:27:23 -0600 Subject: [PATCH 55/97] Committing the wasm package temporarily --- temp_wasm/pkg/README.md | 84 ++++ temp_wasm/pkg/package.json | 19 + temp_wasm/pkg/wasm_splats.d.ts | 75 ++++ temp_wasm/pkg/wasm_splats.js | 530 +++++++++++++++++++++++++ temp_wasm/pkg/wasm_splats_bg.js | 451 +++++++++++++++++++++ temp_wasm/pkg/wasm_splats_bg.wasm | Bin 0 -> 43378 bytes temp_wasm/pkg/wasm_splats_bg.wasm.d.ts | 27 ++ 7 files changed, 1186 insertions(+) create mode 100644 temp_wasm/pkg/README.md create mode 100644 temp_wasm/pkg/package.json create mode 100644 temp_wasm/pkg/wasm_splats.d.ts create mode 100644 temp_wasm/pkg/wasm_splats.js create mode 100644 temp_wasm/pkg/wasm_splats_bg.js create mode 100644 temp_wasm/pkg/wasm_splats_bg.wasm create mode 100644 temp_wasm/pkg/wasm_splats_bg.wasm.d.ts diff --git a/temp_wasm/pkg/README.md b/temp_wasm/pkg/README.md new file mode 100644 index 000000000000..6b684085003f --- /dev/null +++ b/temp_wasm/pkg/README.md @@ -0,0 +1,84 @@ +
+ +

wasm-pack-template

+ + A template for kick starting a Rust and WebAssembly project using wasm-pack. + +

+ Build Status +

+ +

+ Tutorial + | + Chat +

+ + Built with πŸ¦€πŸ•Έ by The Rust and WebAssembly Working Group +
+ +## About + +[**πŸ“š Read this template tutorial! πŸ“š**][template-docs] + +This template is designed for compiling Rust libraries into WebAssembly and +publishing the resulting package to NPM. + +Be sure to check out [other `wasm-pack` tutorials online][tutorials] for other +templates and usages of `wasm-pack`. + +[tutorials]: https://rustwasm.github.io/docs/wasm-pack/tutorials/index.html +[template-docs]: https://rustwasm.github.io/docs/wasm-pack/tutorials/npm-browser-packages/index.html + +## 🚴 Usage + +### πŸ‘ Use `cargo generate` to Clone this Template + +[Learn more about `cargo generate` here.](https://github.com/ashleygwilliams/cargo-generate) + +``` +cargo generate --git https://github.com/rustwasm/wasm-pack-template.git --name my-project +cd my-project +``` + +### πŸ› οΈ Build with `wasm-pack build` + +``` +wasm-pack build +``` + +### πŸ”¬ Test in Headless Browsers with `wasm-pack test` + +``` +wasm-pack test --headless --firefox +``` + +### 🎁 Publish to NPM with `wasm-pack publish` + +``` +wasm-pack publish +``` + +## πŸ”‹ Batteries Included + +* [`wasm-bindgen`](https://github.com/rustwasm/wasm-bindgen) for communicating + between WebAssembly and JavaScript. +* [`console_error_panic_hook`](https://github.com/rustwasm/console_error_panic_hook) + for logging panic messages to the developer console. +* `LICENSE-APACHE` and `LICENSE-MIT`: most Rust projects are licensed this way, so these are included for you + +## License + +Licensed under either of + +* Apache License, Version 2.0, ([LICENSE-APACHE](LICENSE-APACHE) or http://www.apache.org/licenses/LICENSE-2.0) +* MIT license ([LICENSE-MIT](LICENSE-MIT) or http://opensource.org/licenses/MIT) + +at your option. + +### Contribution + +Unless you explicitly state otherwise, any contribution intentionally +submitted for inclusion in the work by you, as defined in the Apache-2.0 +license, shall be dual licensed as above, without any additional terms or +conditions. diff --git a/temp_wasm/pkg/package.json b/temp_wasm/pkg/package.json new file mode 100644 index 000000000000..5205fe4dfab5 --- /dev/null +++ b/temp_wasm/pkg/package.json @@ -0,0 +1,19 @@ +{ + "name": "@cesium/wasm-splats", + "type": "module", + "collaborators": [ + "Jason Sobotka ", + "Adam Morris " + ], + "version": "0.1.0", + "files": [ + "wasm_splats_bg.wasm", + "wasm_splats.js", + "wasm_splats.d.ts" + ], + "main": "wasm_splats.js", + "types": "wasm_splats.d.ts", + "sideEffects": [ + "./snippets/*" + ] +} \ No newline at end of file diff --git a/temp_wasm/pkg/wasm_splats.d.ts b/temp_wasm/pkg/wasm_splats.d.ts new file mode 100644 index 000000000000..8ed0745c2246 --- /dev/null +++ b/temp_wasm/pkg/wasm_splats.d.ts @@ -0,0 +1,75 @@ +/* tslint:disable */ +/* eslint-disable */ +export function generate_texture_from_attrs(positions: Float32Array, scales: Float32Array, rots: Float32Array, colors: Uint8Array, count: number): TextureData; +export function radix_sort_simd(data: GSplatData): void; +export function radix_sort_gaussians_attrs(positions: Float32Array, scales: Float32Array, rotations: Float32Array, colors: Uint8Array, model_view: Float32Array, count: number): Array; +export function radix_sort_gaussians_indexes(positions: Float32Array, model_view: Float32Array, texture_width: number, count: number): Uint32Array; +export function generate_splat_texture_from_attrs(positions: Float32Array, scales: Float32Array, rotations: Float32Array, colors: Uint8Array, count: number): object; +export class GSplatData { + free(): void; + constructor(positions: Float32Array, scales: Float32Array, rotations: Float32Array, colors: Uint8Array, model_view: Float32Array, count: number); + static fromFloat32Arrays(positions: Float32Array, scales: Float32Array, rotations: Float32Array, colors: Uint8Array, model_view: Float32Array, count: number): GSplatData; + getPositions(): Float32Array; + getScales(): Float32Array; + getRotations(): Float32Array; + getColors(): Uint8Array; +} +export class TextureData { + private constructor(); + free(): void; + static new(data: Uint32Array, width: number, height: number): TextureData; + readonly data: Uint32Array; + readonly width: number; + readonly height: number; +} + +export type InitInput = RequestInfo | URL | Response | BufferSource | WebAssembly.Module; + +export interface InitOutput { + readonly memory: WebAssembly.Memory; + readonly __wbg_texturedata_free: (a: number, b: number) => void; + readonly texturedata_data: (a: number) => [number, number]; + readonly texturedata_width: (a: number) => number; + readonly texturedata_height: (a: number) => number; + readonly texturedata_new: (a: number, b: number, c: number, d: number) => number; + readonly generate_texture_from_attrs: (a: any, b: any, c: any, d: any, e: number) => [number, number, number]; + readonly __wbg_gsplatdata_free: (a: number, b: number) => void; + readonly gsplatdata_new: (a: number, b: number, c: number, d: number, e: number, f: number, g: number, h: number, i: number, j: number, k: number) => number; + readonly gsplatdata_fromFloat32Arrays: (a: any, b: any, c: any, d: any, e: any, f: number) => [number, number, number]; + readonly gsplatdata_getPositions: (a: number) => any; + readonly gsplatdata_getScales: (a: number) => any; + readonly gsplatdata_getRotations: (a: number) => any; + readonly gsplatdata_getColors: (a: number) => any; + readonly radix_sort_simd: (a: number) => [number, number]; + readonly radix_sort_gaussians_attrs: (a: any, b: any, c: any, d: any, e: any, f: number) => [number, number, number]; + readonly radix_sort_gaussians_indexes: (a: any, b: any, c: number, d: number) => [number, number, number]; + readonly generate_splat_texture_from_attrs: (a: any, b: any, c: any, d: any, e: number) => [number, number, number]; + readonly __wbindgen_exn_store: (a: number) => void; + readonly __externref_table_alloc: () => number; + readonly __wbindgen_export_2: WebAssembly.Table; + readonly __wbindgen_free: (a: number, b: number, c: number) => void; + readonly __wbindgen_malloc: (a: number, b: number) => number; + readonly __externref_table_dealloc: (a: number) => void; + readonly __wbindgen_start: () => void; +} + +export type SyncInitInput = BufferSource | WebAssembly.Module; +/** +* Instantiates the given `module`, which can either be bytes or +* a precompiled `WebAssembly.Module`. +* +* @param {{ module: SyncInitInput }} module - Passing `SyncInitInput` directly is deprecated. +* +* @returns {InitOutput} +*/ +export function initSync(module: { module: SyncInitInput } | SyncInitInput): InitOutput; + +/** +* If `module_or_path` is {RequestInfo} or {URL}, makes a request and +* for everything else, calls `WebAssembly.instantiate` directly. +* +* @param {{ module_or_path: InitInput | Promise }} module_or_path - Passing `InitInput` directly is deprecated. +* +* @returns {Promise} +*/ +export default function __wbg_init (module_or_path?: { module_or_path: InitInput | Promise } | InitInput | Promise): Promise; diff --git a/temp_wasm/pkg/wasm_splats.js b/temp_wasm/pkg/wasm_splats.js new file mode 100644 index 000000000000..3ffc97fbdbe3 --- /dev/null +++ b/temp_wasm/pkg/wasm_splats.js @@ -0,0 +1,530 @@ +let wasm; + +function addToExternrefTable0(obj) { + const idx = wasm.__externref_table_alloc(); + wasm.__wbindgen_export_2.set(idx, obj); + return idx; +} + +function handleError(f, args) { + try { + return f.apply(this, args); + } catch (e) { + const idx = addToExternrefTable0(e); + wasm.__wbindgen_exn_store(idx); + } +} + +const cachedTextDecoder = (typeof TextDecoder !== 'undefined' ? new TextDecoder('utf-8', { ignoreBOM: true, fatal: true }) : { decode: () => { throw Error('TextDecoder not available') } } ); + +if (typeof TextDecoder !== 'undefined') { cachedTextDecoder.decode(); }; + +let cachedUint8ArrayMemory0 = null; + +function getUint8ArrayMemory0() { + if (cachedUint8ArrayMemory0 === null || cachedUint8ArrayMemory0.byteLength === 0) { + cachedUint8ArrayMemory0 = new Uint8Array(wasm.memory.buffer); + } + return cachedUint8ArrayMemory0; +} + +function getStringFromWasm0(ptr, len) { + ptr = ptr >>> 0; + return cachedTextDecoder.decode(getUint8ArrayMemory0().subarray(ptr, ptr + len)); +} + +let cachedUint32ArrayMemory0 = null; + +function getUint32ArrayMemory0() { + if (cachedUint32ArrayMemory0 === null || cachedUint32ArrayMemory0.byteLength === 0) { + cachedUint32ArrayMemory0 = new Uint32Array(wasm.memory.buffer); + } + return cachedUint32ArrayMemory0; +} + +function getArrayU32FromWasm0(ptr, len) { + ptr = ptr >>> 0; + return getUint32ArrayMemory0().subarray(ptr / 4, ptr / 4 + len); +} + +let WASM_VECTOR_LEN = 0; + +function passArray32ToWasm0(arg, malloc) { + const ptr = malloc(arg.length * 4, 4) >>> 0; + getUint32ArrayMemory0().set(arg, ptr / 4); + WASM_VECTOR_LEN = arg.length; + return ptr; +} + +function takeFromExternrefTable0(idx) { + const value = wasm.__wbindgen_export_2.get(idx); + wasm.__externref_table_dealloc(idx); + return value; +} +/** + * @param {Float32Array} positions + * @param {Float32Array} scales + * @param {Float32Array} rots + * @param {Uint8Array} colors + * @param {number} count + * @returns {TextureData} + */ +export function generate_texture_from_attrs(positions, scales, rots, colors, count) { + const ret = wasm.generate_texture_from_attrs(positions, scales, rots, colors, count); + if (ret[2]) { + throw takeFromExternrefTable0(ret[1]); + } + return TextureData.__wrap(ret[0]); +} + +let cachedFloat32ArrayMemory0 = null; + +function getFloat32ArrayMemory0() { + if (cachedFloat32ArrayMemory0 === null || cachedFloat32ArrayMemory0.byteLength === 0) { + cachedFloat32ArrayMemory0 = new Float32Array(wasm.memory.buffer); + } + return cachedFloat32ArrayMemory0; +} + +function passArrayF32ToWasm0(arg, malloc) { + const ptr = malloc(arg.length * 4, 4) >>> 0; + getFloat32ArrayMemory0().set(arg, ptr / 4); + WASM_VECTOR_LEN = arg.length; + return ptr; +} + +function passArray8ToWasm0(arg, malloc) { + const ptr = malloc(arg.length * 1, 1) >>> 0; + getUint8ArrayMemory0().set(arg, ptr / 1); + WASM_VECTOR_LEN = arg.length; + return ptr; +} + +function _assertClass(instance, klass) { + if (!(instance instanceof klass)) { + throw new Error(`expected instance of ${klass.name}`); + } +} +/** + * @param {GSplatData} data + */ +export function radix_sort_simd(data) { + _assertClass(data, GSplatData); + const ret = wasm.radix_sort_simd(data.__wbg_ptr); + if (ret[1]) { + throw takeFromExternrefTable0(ret[0]); + } +} + +/** + * @param {Float32Array} positions + * @param {Float32Array} scales + * @param {Float32Array} rotations + * @param {Uint8Array} colors + * @param {Float32Array} model_view + * @param {number} count + * @returns {Array} + */ +export function radix_sort_gaussians_attrs(positions, scales, rotations, colors, model_view, count) { + const ret = wasm.radix_sort_gaussians_attrs(positions, scales, rotations, colors, model_view, count); + if (ret[2]) { + throw takeFromExternrefTable0(ret[1]); + } + return takeFromExternrefTable0(ret[0]); +} + +/** + * @param {Float32Array} positions + * @param {Float32Array} model_view + * @param {number} texture_width + * @param {number} count + * @returns {Uint32Array} + */ +export function radix_sort_gaussians_indexes(positions, model_view, texture_width, count) { + const ret = wasm.radix_sort_gaussians_indexes(positions, model_view, texture_width, count); + if (ret[2]) { + throw takeFromExternrefTable0(ret[1]); + } + return takeFromExternrefTable0(ret[0]); +} + +/** + * @param {Float32Array} positions + * @param {Float32Array} scales + * @param {Float32Array} rotations + * @param {Uint8Array} colors + * @param {number} count + * @returns {object} + */ +export function generate_splat_texture_from_attrs(positions, scales, rotations, colors, count) { + const ret = wasm.generate_splat_texture_from_attrs(positions, scales, rotations, colors, count); + if (ret[2]) { + throw takeFromExternrefTable0(ret[1]); + } + return takeFromExternrefTable0(ret[0]); +} + +const GSplatDataFinalization = (typeof FinalizationRegistry === 'undefined') + ? { register: () => {}, unregister: () => {} } + : new FinalizationRegistry(ptr => wasm.__wbg_gsplatdata_free(ptr >>> 0, 1)); + +export class GSplatData { + + static __wrap(ptr) { + ptr = ptr >>> 0; + const obj = Object.create(GSplatData.prototype); + obj.__wbg_ptr = ptr; + GSplatDataFinalization.register(obj, obj.__wbg_ptr, obj); + return obj; + } + + __destroy_into_raw() { + const ptr = this.__wbg_ptr; + this.__wbg_ptr = 0; + GSplatDataFinalization.unregister(this); + return ptr; + } + + free() { + const ptr = this.__destroy_into_raw(); + wasm.__wbg_gsplatdata_free(ptr, 0); + } + /** + * @param {Float32Array} positions + * @param {Float32Array} scales + * @param {Float32Array} rotations + * @param {Uint8Array} colors + * @param {Float32Array} model_view + * @param {number} count + */ + constructor(positions, scales, rotations, colors, model_view, count) { + const ptr0 = passArrayF32ToWasm0(positions, wasm.__wbindgen_malloc); + const len0 = WASM_VECTOR_LEN; + const ptr1 = passArrayF32ToWasm0(scales, wasm.__wbindgen_malloc); + const len1 = WASM_VECTOR_LEN; + const ptr2 = passArrayF32ToWasm0(rotations, wasm.__wbindgen_malloc); + const len2 = WASM_VECTOR_LEN; + const ptr3 = passArray8ToWasm0(colors, wasm.__wbindgen_malloc); + const len3 = WASM_VECTOR_LEN; + const ptr4 = passArrayF32ToWasm0(model_view, wasm.__wbindgen_malloc); + const len4 = WASM_VECTOR_LEN; + const ret = wasm.gsplatdata_new(ptr0, len0, ptr1, len1, ptr2, len2, ptr3, len3, ptr4, len4, count); + this.__wbg_ptr = ret >>> 0; + GSplatDataFinalization.register(this, this.__wbg_ptr, this); + return this; + } + /** + * @param {Float32Array} positions + * @param {Float32Array} scales + * @param {Float32Array} rotations + * @param {Uint8Array} colors + * @param {Float32Array} model_view + * @param {number} count + * @returns {GSplatData} + */ + static fromFloat32Arrays(positions, scales, rotations, colors, model_view, count) { + const ret = wasm.gsplatdata_fromFloat32Arrays(positions, scales, rotations, colors, model_view, count); + if (ret[2]) { + throw takeFromExternrefTable0(ret[1]); + } + return GSplatData.__wrap(ret[0]); + } + /** + * @returns {Float32Array} + */ + getPositions() { + const ret = wasm.gsplatdata_getPositions(this.__wbg_ptr); + return ret; + } + /** + * @returns {Float32Array} + */ + getScales() { + const ret = wasm.gsplatdata_getScales(this.__wbg_ptr); + return ret; + } + /** + * @returns {Float32Array} + */ + getRotations() { + const ret = wasm.gsplatdata_getRotations(this.__wbg_ptr); + return ret; + } + /** + * @returns {Uint8Array} + */ + getColors() { + const ret = wasm.gsplatdata_getColors(this.__wbg_ptr); + return ret; + } +} + +const TextureDataFinalization = (typeof FinalizationRegistry === 'undefined') + ? { register: () => {}, unregister: () => {} } + : new FinalizationRegistry(ptr => wasm.__wbg_texturedata_free(ptr >>> 0, 1)); + +export class TextureData { + + static __wrap(ptr) { + ptr = ptr >>> 0; + const obj = Object.create(TextureData.prototype); + obj.__wbg_ptr = ptr; + TextureDataFinalization.register(obj, obj.__wbg_ptr, obj); + return obj; + } + + __destroy_into_raw() { + const ptr = this.__wbg_ptr; + this.__wbg_ptr = 0; + TextureDataFinalization.unregister(this); + return ptr; + } + + free() { + const ptr = this.__destroy_into_raw(); + wasm.__wbg_texturedata_free(ptr, 0); + } + /** + * @returns {Uint32Array} + */ + get data() { + const ret = wasm.texturedata_data(this.__wbg_ptr); + var v1 = getArrayU32FromWasm0(ret[0], ret[1]).slice(); + wasm.__wbindgen_free(ret[0], ret[1] * 4, 4); + return v1; + } + /** + * @returns {number} + */ + get width() { + const ret = wasm.texturedata_width(this.__wbg_ptr); + return ret >>> 0; + } + /** + * @returns {number} + */ + get height() { + const ret = wasm.texturedata_height(this.__wbg_ptr); + return ret >>> 0; + } + /** + * @param {Uint32Array} data + * @param {number} width + * @param {number} height + * @returns {TextureData} + */ + static new(data, width, height) { + const ptr0 = passArray32ToWasm0(data, wasm.__wbindgen_malloc); + const len0 = WASM_VECTOR_LEN; + const ret = wasm.texturedata_new(ptr0, len0, width, height); + return TextureData.__wrap(ret); + } +} + +async function __wbg_load(module, imports) { + if (typeof Response === 'function' && module instanceof Response) { + if (typeof WebAssembly.instantiateStreaming === 'function') { + try { + return await WebAssembly.instantiateStreaming(module, imports); + + } catch (e) { + if (module.headers.get('Content-Type') != 'application/wasm') { + console.warn("`WebAssembly.instantiateStreaming` failed because your server does not serve Wasm with `application/wasm` MIME type. Falling back to `WebAssembly.instantiate` which is slower. Original error:\n", e); + + } else { + throw e; + } + } + } + + const bytes = await module.arrayBuffer(); + return await WebAssembly.instantiate(bytes, imports); + + } else { + const instance = await WebAssembly.instantiate(module, imports); + + if (instance instanceof WebAssembly.Instance) { + return { instance, module }; + + } else { + return instance; + } + } +} + +function __wbg_get_imports() { + const imports = {}; + imports.wbg = {}; + imports.wbg.__wbg_buffer_61b7ce01341d7f88 = function(arg0) { + const ret = arg0.buffer; + return ret; + }; + imports.wbg.__wbg_length_65d1cd11729ced11 = function(arg0) { + const ret = arg0.length; + return ret; + }; + imports.wbg.__wbg_length_81a294bd2038fd26 = function(arg0) { + const ret = arg0.length; + return ret; + }; + imports.wbg.__wbg_length_9d7c41656543fe86 = function(arg0) { + const ret = arg0.length; + return ret; + }; + imports.wbg.__wbg_new_254fa9eac11932ae = function() { + const ret = new Array(); + return ret; + }; + imports.wbg.__wbg_new_3ff5b33b1ce712df = function(arg0) { + const ret = new Uint8Array(arg0); + return ret; + }; + imports.wbg.__wbg_new_688846f374351c92 = function() { + const ret = new Object(); + return ret; + }; + imports.wbg.__wbg_new_b9ea1588c9985b80 = function(arg0) { + const ret = new Float32Array(arg0); + return ret; + }; + imports.wbg.__wbg_newwithbyteoffsetandlength_5910bdf845a168eb = function(arg0, arg1, arg2) { + const ret = new Uint32Array(arg0, arg1 >>> 0, arg2 >>> 0); + return ret; + }; + imports.wbg.__wbg_newwithbyteoffsetandlength_ba35896968751d91 = function(arg0, arg1, arg2) { + const ret = new Uint8Array(arg0, arg1 >>> 0, arg2 >>> 0); + return ret; + }; + imports.wbg.__wbg_newwithbyteoffsetandlength_f113a96374814bb2 = function(arg0, arg1, arg2) { + const ret = new Float32Array(arg0, arg1 >>> 0, arg2 >>> 0); + return ret; + }; + imports.wbg.__wbg_newwithlength_1761a9eb039ca429 = function(arg0) { + const ret = new Uint32Array(arg0 >>> 0); + return ret; + }; + imports.wbg.__wbg_newwithlength_34ce8f1051e74449 = function(arg0) { + const ret = new Uint8Array(arg0 >>> 0); + return ret; + }; + imports.wbg.__wbg_newwithlength_ed665315b76ec334 = function(arg0) { + const ret = new Float32Array(arg0 >>> 0); + return ret; + }; + imports.wbg.__wbg_push_6edad0df4b546b2c = function(arg0, arg1) { + const ret = arg0.push(arg1); + return ret; + }; + imports.wbg.__wbg_set_23d69db4e5c66a6e = function(arg0, arg1, arg2) { + arg0.set(arg1, arg2 >>> 0); + }; + imports.wbg.__wbg_set_4474fae9281eafb1 = function(arg0, arg1, arg2) { + arg0.set(arg1, arg2 >>> 0); + }; + imports.wbg.__wbg_set_4e647025551483bd = function() { return handleError(function (arg0, arg1, arg2) { + const ret = Reflect.set(arg0, arg1, arg2); + return ret; + }, arguments) }; + imports.wbg.__wbg_set_d2ca640bc040b031 = function(arg0, arg1, arg2) { + arg0.set(arg1, arg2 >>> 0); + }; + imports.wbg.__wbindgen_init_externref_table = function() { + const table = wasm.__wbindgen_export_2; + const offset = table.grow(4); + table.set(0, undefined); + table.set(offset + 0, undefined); + table.set(offset + 1, null); + table.set(offset + 2, true); + table.set(offset + 3, false); + ; + }; + imports.wbg.__wbindgen_memory = function() { + const ret = wasm.memory; + return ret; + }; + imports.wbg.__wbindgen_number_new = function(arg0) { + const ret = arg0; + return ret; + }; + imports.wbg.__wbindgen_string_new = function(arg0, arg1) { + const ret = getStringFromWasm0(arg0, arg1); + return ret; + }; + imports.wbg.__wbindgen_throw = function(arg0, arg1) { + throw new Error(getStringFromWasm0(arg0, arg1)); + }; + + return imports; +} + +function __wbg_init_memory(imports, memory) { + +} + +function __wbg_finalize_init(instance, module) { + wasm = instance.exports; + __wbg_init.__wbindgen_wasm_module = module; + cachedFloat32ArrayMemory0 = null; + cachedUint32ArrayMemory0 = null; + cachedUint8ArrayMemory0 = null; + + + wasm.__wbindgen_start(); + return wasm; +} + +function initSync(module) { + if (wasm !== undefined) return wasm; + + + if (typeof module !== 'undefined') { + if (Object.getPrototypeOf(module) === Object.prototype) { + ({module} = module) + } else { + console.warn('using deprecated parameters for `initSync()`; pass a single object instead') + } + } + + const imports = __wbg_get_imports(); + + __wbg_init_memory(imports); + + if (!(module instanceof WebAssembly.Module)) { + module = new WebAssembly.Module(module); + } + + const instance = new WebAssembly.Instance(module, imports); + + return __wbg_finalize_init(instance, module); +} + +async function __wbg_init(module_or_path) { + if (wasm !== undefined) return wasm; + + + if (typeof module_or_path !== 'undefined') { + if (Object.getPrototypeOf(module_or_path) === Object.prototype) { + ({module_or_path} = module_or_path) + } else { + console.warn('using deprecated parameters for the initialization function; pass a single object instead') + } + } + + if (typeof module_or_path === 'undefined') { + module_or_path = new URL('wasm_splats_bg.wasm', import.meta.url); + } + const imports = __wbg_get_imports(); + + if (typeof module_or_path === 'string' || (typeof Request === 'function' && module_or_path instanceof Request) || (typeof URL === 'function' && module_or_path instanceof URL)) { + module_or_path = fetch(module_or_path); + } + + __wbg_init_memory(imports); + + const { instance, module } = await __wbg_load(await module_or_path, imports); + + return __wbg_finalize_init(instance, module); +} + +export { initSync }; +export default __wbg_init; diff --git a/temp_wasm/pkg/wasm_splats_bg.js b/temp_wasm/pkg/wasm_splats_bg.js new file mode 100644 index 000000000000..5837290825bc --- /dev/null +++ b/temp_wasm/pkg/wasm_splats_bg.js @@ -0,0 +1,451 @@ +let wasm; +export function __wbg_set_wasm(val) { + wasm = val; +} + + +function addToExternrefTable0(obj) { + const idx = wasm.__externref_table_alloc(); + wasm.__wbindgen_export_2.set(idx, obj); + return idx; +} + +function handleError(f, args) { + try { + return f.apply(this, args); + } catch (e) { + const idx = addToExternrefTable0(e); + wasm.__wbindgen_exn_store(idx); + } +} + +const lTextDecoder = typeof TextDecoder === 'undefined' ? (0, module.require)('util').TextDecoder : TextDecoder; + +let cachedTextDecoder = new lTextDecoder('utf-8', { ignoreBOM: true, fatal: true }); + +cachedTextDecoder.decode(); + +let cachedUint8ArrayMemory0 = null; + +function getUint8ArrayMemory0() { + if (cachedUint8ArrayMemory0 === null || cachedUint8ArrayMemory0.byteLength === 0) { + cachedUint8ArrayMemory0 = new Uint8Array(wasm.memory.buffer); + } + return cachedUint8ArrayMemory0; +} + +function getStringFromWasm0(ptr, len) { + ptr = ptr >>> 0; + return cachedTextDecoder.decode(getUint8ArrayMemory0().subarray(ptr, ptr + len)); +} + +let cachedUint32ArrayMemory0 = null; + +function getUint32ArrayMemory0() { + if (cachedUint32ArrayMemory0 === null || cachedUint32ArrayMemory0.byteLength === 0) { + cachedUint32ArrayMemory0 = new Uint32Array(wasm.memory.buffer); + } + return cachedUint32ArrayMemory0; +} + +function getArrayU32FromWasm0(ptr, len) { + ptr = ptr >>> 0; + return getUint32ArrayMemory0().subarray(ptr / 4, ptr / 4 + len); +} + +let WASM_VECTOR_LEN = 0; + +function passArray32ToWasm0(arg, malloc) { + const ptr = malloc(arg.length * 4, 4) >>> 0; + getUint32ArrayMemory0().set(arg, ptr / 4); + WASM_VECTOR_LEN = arg.length; + return ptr; +} + +function takeFromExternrefTable0(idx) { + const value = wasm.__wbindgen_export_2.get(idx); + wasm.__externref_table_dealloc(idx); + return value; +} +/** + * @param {Float32Array} positions + * @param {Float32Array} scales + * @param {Float32Array} rots + * @param {Uint8Array} colors + * @param {number} count + * @returns {TextureData} + */ +export function generate_texture_from_attrs(positions, scales, rots, colors, count) { + const ret = wasm.generate_texture_from_attrs(positions, scales, rots, colors, count); + if (ret[2]) { + throw takeFromExternrefTable0(ret[1]); + } + return TextureData.__wrap(ret[0]); +} + +let cachedFloat32ArrayMemory0 = null; + +function getFloat32ArrayMemory0() { + if (cachedFloat32ArrayMemory0 === null || cachedFloat32ArrayMemory0.byteLength === 0) { + cachedFloat32ArrayMemory0 = new Float32Array(wasm.memory.buffer); + } + return cachedFloat32ArrayMemory0; +} + +function passArrayF32ToWasm0(arg, malloc) { + const ptr = malloc(arg.length * 4, 4) >>> 0; + getFloat32ArrayMemory0().set(arg, ptr / 4); + WASM_VECTOR_LEN = arg.length; + return ptr; +} + +function passArray8ToWasm0(arg, malloc) { + const ptr = malloc(arg.length * 1, 1) >>> 0; + getUint8ArrayMemory0().set(arg, ptr / 1); + WASM_VECTOR_LEN = arg.length; + return ptr; +} + +function _assertClass(instance, klass) { + if (!(instance instanceof klass)) { + throw new Error(`expected instance of ${klass.name}`); + } +} +/** + * @param {GSplatData} data + */ +export function radix_sort_simd(data) { + _assertClass(data, GSplatData); + const ret = wasm.radix_sort_simd(data.__wbg_ptr); + if (ret[1]) { + throw takeFromExternrefTable0(ret[0]); + } +} + +/** + * @param {Float32Array} positions + * @param {Float32Array} scales + * @param {Float32Array} rotations + * @param {Uint8Array} colors + * @param {Float32Array} model_view + * @param {number} count + * @returns {Array} + */ +export function radix_sort_gaussians_attrs(positions, scales, rotations, colors, model_view, count) { + const ret = wasm.radix_sort_gaussians_attrs(positions, scales, rotations, colors, model_view, count); + if (ret[2]) { + throw takeFromExternrefTable0(ret[1]); + } + return takeFromExternrefTable0(ret[0]); +} + +/** + * @param {Float32Array} positions + * @param {Float32Array} model_view + * @param {number} texture_width + * @param {number} count + * @returns {Uint32Array} + */ +export function radix_sort_gaussians_indexes(positions, model_view, texture_width, count) { + const ret = wasm.radix_sort_gaussians_indexes(positions, model_view, texture_width, count); + if (ret[2]) { + throw takeFromExternrefTable0(ret[1]); + } + return takeFromExternrefTable0(ret[0]); +} + +/** + * @param {Float32Array} positions + * @param {Float32Array} scales + * @param {Float32Array} rotations + * @param {Uint8Array} colors + * @param {number} count + * @returns {object} + */ +export function generate_splat_texture_from_attrs(positions, scales, rotations, colors, count) { + const ret = wasm.generate_splat_texture_from_attrs(positions, scales, rotations, colors, count); + if (ret[2]) { + throw takeFromExternrefTable0(ret[1]); + } + return takeFromExternrefTable0(ret[0]); +} + +const GSplatDataFinalization = (typeof FinalizationRegistry === 'undefined') + ? { register: () => {}, unregister: () => {} } + : new FinalizationRegistry(ptr => wasm.__wbg_gsplatdata_free(ptr >>> 0, 1)); + +export class GSplatData { + + static __wrap(ptr) { + ptr = ptr >>> 0; + const obj = Object.create(GSplatData.prototype); + obj.__wbg_ptr = ptr; + GSplatDataFinalization.register(obj, obj.__wbg_ptr, obj); + return obj; + } + + __destroy_into_raw() { + const ptr = this.__wbg_ptr; + this.__wbg_ptr = 0; + GSplatDataFinalization.unregister(this); + return ptr; + } + + free() { + const ptr = this.__destroy_into_raw(); + wasm.__wbg_gsplatdata_free(ptr, 0); + } + /** + * @param {Float32Array} positions + * @param {Float32Array} scales + * @param {Float32Array} rotations + * @param {Uint8Array} colors + * @param {Float32Array} model_view + * @param {number} count + */ + constructor(positions, scales, rotations, colors, model_view, count) { + const ptr0 = passArrayF32ToWasm0(positions, wasm.__wbindgen_malloc); + const len0 = WASM_VECTOR_LEN; + const ptr1 = passArrayF32ToWasm0(scales, wasm.__wbindgen_malloc); + const len1 = WASM_VECTOR_LEN; + const ptr2 = passArrayF32ToWasm0(rotations, wasm.__wbindgen_malloc); + const len2 = WASM_VECTOR_LEN; + const ptr3 = passArray8ToWasm0(colors, wasm.__wbindgen_malloc); + const len3 = WASM_VECTOR_LEN; + const ptr4 = passArrayF32ToWasm0(model_view, wasm.__wbindgen_malloc); + const len4 = WASM_VECTOR_LEN; + const ret = wasm.gsplatdata_new(ptr0, len0, ptr1, len1, ptr2, len2, ptr3, len3, ptr4, len4, count); + this.__wbg_ptr = ret >>> 0; + GSplatDataFinalization.register(this, this.__wbg_ptr, this); + return this; + } + /** + * @param {Float32Array} positions + * @param {Float32Array} scales + * @param {Float32Array} rotations + * @param {Uint8Array} colors + * @param {Float32Array} model_view + * @param {number} count + * @returns {GSplatData} + */ + static fromFloat32Arrays(positions, scales, rotations, colors, model_view, count) { + const ret = wasm.gsplatdata_fromFloat32Arrays(positions, scales, rotations, colors, model_view, count); + if (ret[2]) { + throw takeFromExternrefTable0(ret[1]); + } + return GSplatData.__wrap(ret[0]); + } + /** + * @returns {Float32Array} + */ + getPositions() { + const ret = wasm.gsplatdata_getPositions(this.__wbg_ptr); + return ret; + } + /** + * @returns {Float32Array} + */ + getScales() { + const ret = wasm.gsplatdata_getScales(this.__wbg_ptr); + return ret; + } + /** + * @returns {Float32Array} + */ + getRotations() { + const ret = wasm.gsplatdata_getRotations(this.__wbg_ptr); + return ret; + } + /** + * @returns {Uint8Array} + */ + getColors() { + const ret = wasm.gsplatdata_getColors(this.__wbg_ptr); + return ret; + } +} + +const TextureDataFinalization = (typeof FinalizationRegistry === 'undefined') + ? { register: () => {}, unregister: () => {} } + : new FinalizationRegistry(ptr => wasm.__wbg_texturedata_free(ptr >>> 0, 1)); + +export class TextureData { + + static __wrap(ptr) { + ptr = ptr >>> 0; + const obj = Object.create(TextureData.prototype); + obj.__wbg_ptr = ptr; + TextureDataFinalization.register(obj, obj.__wbg_ptr, obj); + return obj; + } + + __destroy_into_raw() { + const ptr = this.__wbg_ptr; + this.__wbg_ptr = 0; + TextureDataFinalization.unregister(this); + return ptr; + } + + free() { + const ptr = this.__destroy_into_raw(); + wasm.__wbg_texturedata_free(ptr, 0); + } + /** + * @returns {Uint32Array} + */ + get data() { + const ret = wasm.texturedata_data(this.__wbg_ptr); + var v1 = getArrayU32FromWasm0(ret[0], ret[1]).slice(); + wasm.__wbindgen_free(ret[0], ret[1] * 4, 4); + return v1; + } + /** + * @returns {number} + */ + get width() { + const ret = wasm.texturedata_width(this.__wbg_ptr); + return ret >>> 0; + } + /** + * @returns {number} + */ + get height() { + const ret = wasm.texturedata_height(this.__wbg_ptr); + return ret >>> 0; + } + /** + * @param {Uint32Array} data + * @param {number} width + * @param {number} height + * @returns {TextureData} + */ + static new(data, width, height) { + const ptr0 = passArray32ToWasm0(data, wasm.__wbindgen_malloc); + const len0 = WASM_VECTOR_LEN; + const ret = wasm.texturedata_new(ptr0, len0, width, height); + return TextureData.__wrap(ret); + } +} + +export function __wbg_buffer_61b7ce01341d7f88(arg0) { + const ret = arg0.buffer; + return ret; +}; + +export function __wbg_length_65d1cd11729ced11(arg0) { + const ret = arg0.length; + return ret; +}; + +export function __wbg_length_81a294bd2038fd26(arg0) { + const ret = arg0.length; + return ret; +}; + +export function __wbg_length_9d7c41656543fe86(arg0) { + const ret = arg0.length; + return ret; +}; + +export function __wbg_new_254fa9eac11932ae() { + const ret = new Array(); + return ret; +}; + +export function __wbg_new_3ff5b33b1ce712df(arg0) { + const ret = new Uint8Array(arg0); + return ret; +}; + +export function __wbg_new_688846f374351c92() { + const ret = new Object(); + return ret; +}; + +export function __wbg_new_b9ea1588c9985b80(arg0) { + const ret = new Float32Array(arg0); + return ret; +}; + +export function __wbg_newwithbyteoffsetandlength_5910bdf845a168eb(arg0, arg1, arg2) { + const ret = new Uint32Array(arg0, arg1 >>> 0, arg2 >>> 0); + return ret; +}; + +export function __wbg_newwithbyteoffsetandlength_ba35896968751d91(arg0, arg1, arg2) { + const ret = new Uint8Array(arg0, arg1 >>> 0, arg2 >>> 0); + return ret; +}; + +export function __wbg_newwithbyteoffsetandlength_f113a96374814bb2(arg0, arg1, arg2) { + const ret = new Float32Array(arg0, arg1 >>> 0, arg2 >>> 0); + return ret; +}; + +export function __wbg_newwithlength_1761a9eb039ca429(arg0) { + const ret = new Uint32Array(arg0 >>> 0); + return ret; +}; + +export function __wbg_newwithlength_34ce8f1051e74449(arg0) { + const ret = new Uint8Array(arg0 >>> 0); + return ret; +}; + +export function __wbg_newwithlength_ed665315b76ec334(arg0) { + const ret = new Float32Array(arg0 >>> 0); + return ret; +}; + +export function __wbg_push_6edad0df4b546b2c(arg0, arg1) { + const ret = arg0.push(arg1); + return ret; +}; + +export function __wbg_set_23d69db4e5c66a6e(arg0, arg1, arg2) { + arg0.set(arg1, arg2 >>> 0); +}; + +export function __wbg_set_4474fae9281eafb1(arg0, arg1, arg2) { + arg0.set(arg1, arg2 >>> 0); +}; + +export function __wbg_set_4e647025551483bd() { return handleError(function (arg0, arg1, arg2) { + const ret = Reflect.set(arg0, arg1, arg2); + return ret; +}, arguments) }; + +export function __wbg_set_d2ca640bc040b031(arg0, arg1, arg2) { + arg0.set(arg1, arg2 >>> 0); +}; + +export function __wbindgen_init_externref_table() { + const table = wasm.__wbindgen_export_2; + const offset = table.grow(4); + table.set(0, undefined); + table.set(offset + 0, undefined); + table.set(offset + 1, null); + table.set(offset + 2, true); + table.set(offset + 3, false); + ; +}; + +export function __wbindgen_memory() { + const ret = wasm.memory; + return ret; +}; + +export function __wbindgen_number_new(arg0) { + const ret = arg0; + return ret; +}; + +export function __wbindgen_string_new(arg0, arg1) { + const ret = getStringFromWasm0(arg0, arg1); + return ret; +}; + +export function __wbindgen_throw(arg0, arg1) { + throw new Error(getStringFromWasm0(arg0, arg1)); +}; + diff --git a/temp_wasm/pkg/wasm_splats_bg.wasm b/temp_wasm/pkg/wasm_splats_bg.wasm new file mode 100644 index 0000000000000000000000000000000000000000..ade947342f4942ce2770813e2bb7907c1956206e GIT binary patch literal 43378 zcmeIbdyrn|ecyM^d9SB7~{BX~MgOrR)UEx7)45IkKX!g3Y$44w`s`g9PkKOL^~Q&=ZWk98GUrv{%t zc-pcPE~}UoRA62IUA0C^-PiD{Diusr`w4!cbyY>JdenRk_Dirx1-^!~YT{`0Q);8= z9|S+?gZ3c!2_Lja^vO?#pHw?nqdzSV6`q+pxBKkbXXcjH7S6TS&Th6hme$UlU28oP z+>y3+`NCXl!_w|ixqT{UdC!hbPAtxzY|YM(j-DJJn{5U4Z5gI!W@aX)7RRS2#wSPT zPmcKv!@h=#mp9L!ooX%2E{rTJPRvbCOwEnW2m5kWY_{5GCt6bz(<5V(lar$pGvjj$ z!LTwM@KwxRUR(sWsnNOV`PRtj_{8YK^y17+(5F139YCL1YM-BbuH9N+T%^j`wT0Ez z+PU`mvvafKlQSo$PEO5CPmV5}91Z$&jf0G{F-}w)Jeg zwXwF*T0GmHom*`M)xz3^;;yhKDn~&u7zT)?{XwA|1Uq(BdxJuuP!1LBEf^TtZ-Ft6&N6*3dzH)C=zP}I_cNU@tip63$7@BAc!$P6Lf52A=gKCw?Vv+xg zJ<-Lm*<25GhbY+N@!baO%Ny`xd-m+&MynMZ zY2>36A3U`qAN9=ALi>F1-ktfl^R1YxZMV**Nwl(l;p}X? zy|EdbHgRhM@tXxULOC*KumE-NOfAJhJ*w$J(R;`dURj~mN^^{0zjr4F2TnBrlxh%|z#J<2Ku2jzs?cvc`T5JC(4JH2TFY#>xtl14J4H`ATUc9%o2>gib(_cqMoK*=S^&r(K>I-;*xH!%a8Si zkVdE5!P|BlPNduAZnsOWY~2pjZH-I~YV^d4Xh^$l6pRqbC|JmX0XrkJjT^MgHh>9er{_`=I)(7J@MsLd6CKuI7K&!H2}-<>JKd}d$32Q} zn84KAU`&4^*MmSQoo>QuqGAN1t03D%$D!D|MjnkqM{G2Mr^rLZ%9%@^{WGqQBnwim zk33(RZ1Bt(cDbHx)h0~fxgLsF4u=y#ap=^Fa$`B>!Gpj zTp#7RzJTt4n7j}GObNpr*Q+wm^%1O`{mWq_d=g?TC10kPBz7R$EG7T-wc;|?+9xhG z>C}}|K^(YhQj`*;p(-QIKv_C0arJRThvc?m5pitv1`GNp!lkF7+Zlx)D zcCvk2fp4WLdUmqi&Zm4=0mZ0u-^mC5SOLW-<<)%P`wA#VDc{QnUROXdN_j0Gxb|gI z6r+?kl#&HLs}#j3<$6BwIRzA>l;`t-FDjrIrF>a|8)-n#PRdsl_)?mpXD8d&6nHUB z(X*57>k7P-rs&zpc2j|u(-b{B*}kd3H_{Y6JK0`Q;8vQVXD8dY75G+~qGu=DcNMsu zrs&zp_Qwi*Cr#0_lkNKoyqc!y*~#|00^dth^z3B2CT@5wP0_QH?XyaGBTdn>lkIa# zxz3LbRz90J7Kt#hEVC88iJ@V`mM=QETce78b}Ldrk^V;Cx;O)$;&Ew z?bDD(J28e!Bvp>1TYgx%gxGZ)S6X=SsvDECdam7#q66d zB6M}Uzxqmqhz*CT5{?L{*0;Ui%5*v-j`zq90kj4EeY}To=vp*@vE7hkOuZq8%GhGa(Ogy21~0{VNKr8E zURfDw8eyXFycJsU^r}cfFDqyzEUfc;OAaU`LhS0WX@5Z82~GY&%|zsidwA@tUNV zfeQwOmr~7?KCzft;wkH7)>Fon-iu|yL)e8iGYY*SoS`BPqEqTIOr8upg_0GDY%XJ_ zyS=ITth6S0HoZxWUYV4d*=hU`uzIuh#T=rcPWvHNmf}eDbuqXC#ReJcPeZ${AE^k! zI88;6m@Tt+G&*3%g`VYvhAsWQtL#Cpm~`{nCSMyUvnte88{UqsY`6LBI~_oq^!Ta1Xy2c8%rF+y$qSYt_Ov9O+GH*%?qu>p{Gg0BA(@21EFprt z{nQ1>y*YalW}nGs1c;zDF~l#QIio3^93Zj1qGQRw1VJ zSl@0+6|Ptd;iY(=tD_%%dza#2*K;^J8t>BMaJ*lSBCZJ@)p$sc{qX@kTs)vhBfeLU z!FVtU;vM`IQ3pjgcm~tE*9|}3+>M0X>Gmu)``r$AFC_kO6wJU>jDqI(WGuSfeI?hA z?tn>(%bj$_tD^KW=@rteO0Q<=y>8%4UzIlYJ>CradPv{DOy~eI3fw#r1g@7Bl&KeY zR*m!nnvjLdoz%!u>!kLPdaqKWZfaq;H8z?-p)Z!{0R&~(nd@Ocoj-y@{?;Em>eu0YpGp+J)Y zb@jA)SOxBXq4|J&-~~sn2X45gyZ`g#Xugo4tN9Qme6!Shqj}WP!XbV>??^dx;{|tE zl^!FJ%8tL#yx-lg!XBiDsoxz`$_esO+h*kRG7IP}y`%-$=_YVuZisaZ+>)TWS?a3;mm83zAOA( zyBVCujkJ+mdp+EUL!=xQ%pV1($w5XLc*PConOBnouDa63%P2aym-i-A8(t!GMa7UQ zmE`{lR$LW;j-Xtj4JTnZZJY!BEROSxGxt zrbyPwDD7lLovc|Wt7#{z*2!AhN!&CZ62S4DjM7d<>SQ(Tr1JPqM%Kw%+R2Dc)=*Kt zlM$Va)XA!K64xLg0N=wP**aPEofInYf^6V}p#lj;-$|<4-8Tqj-bz9bDAys&bx^r7j{5gv77#7>* zhNhGl3p*3H9Pe}uG)&xZJ5Xg9Dj0Rk_+fidj7&bUtX7&OX489_O*fMAGDgVl&)y6g zGZ?$FYOeov0v{%TF_GL*Kq(Efy_f}LLXh}!7H%k9Pi|%5BKn;4+gZ4wa6Nf73u8hg zuVsOqvL9&nZ=@}G>mj+3g?Gw+=u2MA!o8|&AbB|p@0116m)y$2y>yrK+gW(0EQr43 z)hul3L&+PR1aMrOqri8Idvl zd*!fdtEes{i8&K~V`f$8e=Syq(%t2xXbYE2m9=In(*ei|k2ia;c<^7S~#STL~&r($J#Lq%ybkTeUlkCVcR=FuQDpe!=#L`G?(*7Kq(sT05~Wx6JV zJW6gdS*FpO;hAAArGXRWZO2u5FKCK#kjUr${mP|fEq-X_QtM3&f)-vYS3q?6%0}|( z&s?vf$>B|TBJPrADR{E(EM+MS^<7ecK}(p-(R-dSq_B=8M@YeN1JbHjlD9tnMnyJ+ zJ(rVDUn{O+GclnSHb7mCiA;fBy@78hYBL!V@Yr<*eAoq^Mm$FMF*KU5-7S+qVd)$c zvl?%nxjUg|_FSW^fwupWgq0x7LpFfPr>Rw-7h%1?Ff$1`T|DK@!B4Ql51z#|Oh>{F zX)&AckQN1UL`OB96gpl4A&_0S<66jwR|6I`99Y2eJnBSs;G|@-$(XA1XfjCv05uxg^&y5%BBbAqVy3S zgt?$2dI6I`3{opRZJ&aPA;;v5PW&ZCC}f1nkPjn)V|Pcyh()LLk62<@?J)+qTWO}o zR=k>2FKL=g_C|~}Oh!yb9t&KIum?Kal8*$=gJ8>xL(N(S0+u`+xgz*W<{I`2O!f67 zBd$iBJ3~kk7d1?cTm9j?)B<1q+V)n9&CdcNVMjbhu9;4xl@XA#!!y7qOH@> z2ir{|R-j27n+CpQq#E2>n?&b|E1w1nrP}i%Uw>4$ z9c3~BL#l(o!(P8j7Z zgQOFdp9&)GgwdzPq|^;#pcJuEI_VXKD_OWGOGn_bT!BE(L?kmi;FR(s*+dJ}-lSde zE8yb5!yRn00PceWq?hFbiO~jPDFiHC(^edxTW%A!)2{EQoyC* z-PJaNwqlO}vPM-8aL}YG%{=Z}y^cD~;YMRj1Z!lA2@DJ> zwBu)m-c#z4vmE%gfJCDz?3Wt45YZ=JOiuG_k@vW;29pHrq_MSd{ZN_4*QyWLDeMW-9+Oad`e691KC0s@8+=XxZBg!eln+h$vVtdCd7<6$knn% z+p>XR)ykhhD6s7-Lst`@QUS=j9`a)H5MA<%av`8%!>CZnuQeIR6_-!<`Q33tGM;203qbe(MqBMB3Y|Sb1*@h^xhnrrJba>tQn3Kj?cE z>}5E|Jx@nKl@X+HuC01qoC9ZubBROv*RPUZ1%&RJ%Ft!J%|GX zzGxfgN)mzik)5FzNymJ|>&tXR1faawFbAp?`q#ov41y$Ok(=UbGis#trFzLwYR4K3 z-}X;+o35g#?tlPf<{*G8yAa@>!?bn1G=$j;=!PMh-tR>YSR*jxQx5Z5*K1sa{(AV! z6u3Yo9(ekrAVP{ud~2bnPAo{{QH+b24AS3>mkbh2WE*YF&5uGy9y|bROuZKrk zPfuFU5cTwEu?8~7JtH6(n5GzDD_W6C9aK$? z2!Kv77_5X)z0CptO(DeKXSg!asxnO&A}?Px_FC(gv<5JwOve9rm#@&KScRd?<=MasnV?dyl}OKJHT~>tD?6E*Dg5fxycc8E87A$QH}eh0Nz1b zpaogS4ZG{xjDY!MbJ7Cv#GY)s(xXm)ZJC?uy|j3n#YnBO3V%5mGi+xaG6~z2wMZVn z_DZp>;_xnYb^2TTzoW2lm0oHZ5}ks;Xw!^6P29b)R}k;{DR5$|H86>oJ7-EjDjm~~ zDzv1-K7-}#t=xGlb>7&cmgd9fkiJEoH!S5ePPQN~R&0vs?N_JaWp@Ws2fG8MoC@Z&0qaXd2bxh@@-Mb8SRepcyH&v4M!-ATWeM{Y zzfg#vZk(tk-zEgRA*A${*p9Z_X&f7c6bCi2F({2I*qe|0ZW>of^ycGUP2)<5-YhO+ zP+bMq#VGbsF&cZf~^8~wf zc*S0(5Zii8nG{$LWxQ}7;3V-2q%x9RP`t`7J+hs(BqOeqB6FphcI8G0xJ0`_wJEbP zB8k8>+RQ5y4$3Ojj#HWMxFz`2z=8-#(uyV~^6-!%+?5SadJFAM{1)-rdL1EEv zh!w{CPD0_yZ|#uk5#@MaT7=+5A2UB*9>4_oKO)T3=#)+yXx}{;Yk)9TwnCdGX#+av z4=@GwWM>alUtewv$pC_Wv2NoqqIyvuMxz;(p=~!Jv4~eqGFQ8YL7CVD-n6|!ppgLR zT~T}D1(ELx_PrcZ25`XyKO%f1i4aP1i@?(979TH#(X&o1bTUzmr0JGS#54|Stfh}) zQ3VKBZOSUtN5C(2A&yh8Y!?k9j50PHFeZro92l^vq$1rz#ipRqYN{$cXxI#mgAkSU zofLfSPoogRp`m(e{j>h(1+^y6h3J&PaFJAz-bO zFy*d=8;=A7@MM_mypnuhAsru)1N=bWwnLocs!rrk3k22? z+R-UCyji^gq>OlEp9d5x#n^6Y=NZzeB2n=qXK?TmR1pmj%lqXBh5j=^xSxOk0QX6_ zMG(r-yhRYA`y~<^F&%&v#2{b4kuJU{Le{*OT8KiiX=LJxeCB~>3j{ky1B?&kbp$AZXq*N?7^fl5 z(heX8w{@WQd=&PiEQ3;qKKN&DYda)CjdEuIO+u?S=tngkhXIF&^FF}+8bo+9JvJe) z9kAgo;!(tvgeU`ns@F)4N|B_V3Z+Ioa3+VZg<2CTgRNeM-|H_;<$Az_59v&f=+%dC zc_aE8m$l;|oumP8w`#LU4LQturOc(vCSlsf$2A%Mg_}Y0Q;na4s&FA{Y3X-lTf!}; za8;WC=}8(IyBf2OW)E|ik>xjoD0s702#8}sQ@43dE{Izr`ZG2&_3L%tGyW%=t1-zQoj|jY?y{gOTI(6o(q4Ea8EbvdZ(gK(D73%7!-KC zF(_P5<0PnL2VU5?8q~fLMFoG{5fnmDer8GI*Pay~p`^MfneAf89~=1SQKbT#7;yxF zW^6;<+BgiFqhv->uF(m*f~6cLW&d*W;umfz&*A09y@)6+8+*PJ$1>gzv8qv;F36~1 zhnEa5hlm0Zs4%LL&dl0ZHRfSeR-<{#ZBTYbj5?_gqZ5Mh_Kiud{E9 zey9TE-LKu`O>)kYqoJ>MJB*4RQ`pm{t;8Uz1;qJlGHY*yW?j-1HtL7SVi&x!{y_LPlZEImlZE2D zoGg^?GFb?_lLf)Wc6dD`5SUAWS%AXSHg#jukDLjuv9i)ONF77mPhGsxolbEK=CtqL zFJ!Vvw)H;=z4*ZXcz43o239*D-@S-*l8|2RnGpP;MBjVfUiAHLm~k#!RNsMf2k+!u z4l^?Pcj4T_cja8ond%+R9ZESD_T0v~b#X4^cL(R*g{90X^s5n0A#dxHFcDs7LS#RZ zC}m6=h8XoG1E}n6nj}naVTB$G@GbANS1r;7$xWH2`Z2|)a+qbd7Z0T*TbA0)gO7!T z6)v$hv?IVeNxny$zBw697EJ9JA4tCMGr*Qw+oIqg1$i$%5**-x&vd^`C@E?TFcT<^ ztPo0&Llv+28hpvDLG&nVXG};r$Rps*Q=kQ5d7Zx!hGDAX%cj*dL1c>rCKbZLmXTri z9Xr5|ld})W!lT{{*;}tS{F|~`Whb$aV-Zj+lWO(|Yn47i0h?9J43Oc%fQlL)Yij3# z%s3Mdsg1{GPNW3J|119)K#ocEQY1=l5|nINgMYR;2E6?3H~}(AVoyI>cXKnOyc*{u z%wt#xIw+co0obVai$V;Yj*{R5xD^nU^xpgnyl`lc+}1HhRC7W)`Y{;DL_Mh8m)8nm z<6NyNzmthY_&S|*8u+NmPPEXWN*N>G^psYp{a0aB;%1!alxPCuyz%oP^HUL#qJ-93EB z6j@3GB?agwEt}PycEIGJ&B?;#`!D!m`l9Ksb6>gDHf}=+q1s;EDiO zx<525Rt!M26#%m2V*nQKfTb)P0j)HNt-mWr!SoF96ofw=Hqp)-eyYoj$Aa45zB^1P#9e=vi0glM&>7+`{!oy8My%k+k->!bMaoD8<7cTH z0z+Bs{(S7AELJNO-Yh5YNESQ6wDq#NTvFp-HJKyU1OqEfz-e}W?Kv-|ynj*deTiRL zhtEppf^iD!r`mYh%Of3t23RqS{4O1f5i3xxku2=&5Ly8X8KG&y8V-P7?v+e4v*n+K zQ5o*@yjN*l53x=q?BKm(>Ki0$dT7FAh&U4Im*DY4By=PJaWZdZ)R%M^Pl1eUV1h!* zp#yQ%IJ9~vhl>3*+qu%XNZA~p7Sce$^QoZpe2R4^;}X*@s4Yd@@TJ>EP~u`taC@Gi?{9StO3SYfGT{uiRnRLZvg`6>&Lk+TiB!w3>embaK z@PkD=q0D@7yM#Ym0tqEp+RV6;=fC9J34J@E3%{B+Wvvia8{H^JMIl3?rlj|iSGDPo z))oWbrvSZv69tIM7J>TyaGu&sY^3g^q51;^nl*{Cj&7}9QNDT+O!5`Vsd{3~g7~eF z_0{aQmZJK_Xu#{twe>(N@Mx6KdbTM80T4(06{1tgtqiKC$rJHUxfiqgkmCWc4y zv%_jOJ2VCn#59?F(W7BgLAUL*<^X~$84L1!~%@)i?*4pp+DHW}G~ z+oz>kdruFG+yabDlqkth@>9GLYxN2Gy7o6AYH+FY*R%|V&%ZGY<_Vaw_ro;!2LSMA zY_T>IM5lmI`x>=N)=(q^HMOs^xgoILHE4HE4UWxoLFn{X^Pq~4?comfC zj1d9|L|n%Z@@u0Kh$e>9I0+y5WJQ9MFgc6QO@eB_paR>**^-bSA^xRbS51En$yJz+ z7AP#mgTU0e4Wj3h<7Jcj}xd0ak;f@ld)299$6`~o`)OR~gK~HyS>VtPS zz^wE&?zA;fc89)*AzEnQ8RS%BUXF6C&|YwI z^>dK2oZNx|DvCNB{dALaVNY;`v7CI3<$hw`PfX4QSx&x8e1+;d9>#L=Ig83UAj=vN z>ew%RV0}+swTQ#ri0@m(L?^m3y^%rkKVvUcW zXse!ZRI@oli#kgFO{MRCn{>9keC?ge7i!7hdZ+TtS}W$%d=29xdGPM77~KAfH4l0P z&~aVMcFy-kG1WU_ig(1wyZ7_{b{yGvWylucY1DYtw)%QBUOC82R#+t5S3%sso zU;uC==)2jBqhoId2Qkn??3 z#0Xw4T?yhHz}ip;9og3Pa#AB+d5*^Ydbqg!z96`EHJ)@mcCwm{_HmVqdXRCOT5F(cp^4?QuI& ztT-go1jc%`;asoLt-`K!EWl7@7p5nT6y)@*fF})H9h^K#Ud@K9Qx9+$EFzsUe-+Sa zm2&DwR36tETHS9*e-QG0irVG+Ksh9x#)A|2a^gNGi)>dXo*dmOTpuB;hmxQN6A6In zi*SjE?27~eQZ0i3ixHbomQAxyK78w;=Tmg99~P+M3>I3$2BFCgF^oeXbvv+|Tz|(Z z52bsmgr{{2oE#TpStuYKAxfCX-6=uI2g*R8*U z@PD_6_H6Y|m}cXQ&ci|F8oRBSF8qaHTI&<SpZ{c4AJ_oi4f*ivS zbQm5%Fd#utcLVSr44(>!Ob}QV5*(=@K&jp#2#~40CI`4*r6UVujR)L=v*#U<8RdwR z8DlC2q?Z+tb-#2Dcbr zbvju=MK~7oN`n|p(o{9;b_k?e{17~D#%UNI$Y-U#kZcFgFWS}rGOEsOWtqD-Er6}8 z02;-tWUdFYSqmnv>sg79@xVN|vJxM+x5BaoPWWga7-3gh^08R8yVzp28`V%!8&C_9cZ? zCh_-h`8E@uo&Mq=kJ{P-AbGzK`r??`BZHCK4cdFjy_B_R{ zL4B%g(8XCb`&4P4ZWU^{9(Uq#yE^Eg^&rav{2RbemYrl7dAx6z(KC0Y2>5QUXams6 zHAt?}$GOo-20pn2cQ;pI0~C>K54ny%-nW-r*gyyAWG`-05 z`gV`oCoJyHN7J``M1!$C`RKu?`i6ZYAZ*Q9Msgg33t-Ol zM0wTiY%EMg-(nt_d<*fy?NP*No0Y}CqWD*cuekkbd`i0fHdFnMa(sszJ0mhHEnU&!)1Rd&qr{B{*)^hY2y$y$t&JtvN^R4(GC13@F7uKm2C876PW)iV4Lhb-=3qxRdkHrvgI5Kn=5Tt*%Dv!mG%qko)zm(1^ zB;9VLfrM#$@?w?(=O-^KpcxMQpWMmBsf-}~(Mkp1puGK7<7 z2=%C+qJ9`1?-crPY}SZQ)ed)?>U#%TwWB)| zXa2LIyaYw>Kg+Kbxe}hv{p^<1N|H5mG@A`*9Z7mIWD_j)J6-W!7JTw5Q9ABZ|J@(sczq<1PM9Eyy4>b#|e)~-Aw=3a5iq>B9w1L(d zxod`2Ad*}XQgyFFbHI>V6H=L&7*bhg!l@3=uwh8b0w1_UJ-!y$%CUsY&3yDO-jN#s z;a9#se!y>!c3SobBOqDbXSZPLh$2vDrj=n^iG$L;dKqfsV$)3%yH+I*=CzI0Zb6e@ z3=(KJt6Q97S_PHWbBD2_t*u&Qw_DIEHO(&NRM(yC)y96rb)_-x>c|u61Cw}-f{Eyg z;mFA85M}=9=kP`p8z0efaY{mmL_$aP8Xu9B zdYFFh{CZ=y2_0;$@vyJ9@e`V6*ZV>`;A^Qi9#*x7bxL!~ZkMIzt$EkGsk!hj zYBuMgT6MS#Js8>`WCm-WJjv{8&<8Lyt_+L=w?K;81XUoY3r=po4 zs0>v~_y?B6tRJ6 z>7+sn|8iyPC_=CKw42BpG@=s|Bcycgol3}l<+PS@au38oG0(XD#JZih)@UH&*>xRU%U!`JEDg+*v7>-I1M^ z_T-7BE>AyutZ-NtFlSk>%2NC@^T1k8943)DtF^3E5jz(#*tLwrGPj)7+WX<>47|XU z*;HbqPuJ|EGl=L8rnLLFm>O_!fmPF5yj$A^IOYb!Ysw_Wk9S?9fjdtA=pOHuCgl)5 zI37cB$-AZZx`Lf`X7xMnFPsAJTo<8hzR-lc*$Du9gP!DhZkStIuB9|2x3s)$X|U^- z-jlketLQlOQB$rxUAOd}JKfUNVYjF2mfqv`;FgBHCC)KFwH2hGL+QF>lv&AMSCw0O zFP)S5DL2vH)Gb|fd!KJ+Z3#0p21D>UofzfQ9}QG`bD{n+3t=xw0^Fk|s3ffK*ygS- z4pTK<@a}2@3|*uVvuk(?0N{u55>sC3TKTNY5@Qjp2xIUt+-l>k^S5s6o)RfC0bjO> zEsGDj6RK`QcDL+EThmin1!gX9iGeh?<$(mZ#SGpNgV%an9wtcJV(?l+P3A@w-FB&y zEgD-Ut(x1~K?@9v8k56<9Kzc84vvf#3teY0`(5cL1M3G_N-lKI^nRL-)A& zY^X*dFCz>i3m;(ar0ZdPfVrHg*avV1`>jOuB6S9r86JPYg|s{vjEnN(4Bj$4DK`$# zeK}zJ>-{-bu%FQnJl5CSF?e9C29iiK{r*0tmb}08E2(g;p!dvwsfzf+bbt$sakbtj z-9Wp1T_b@YlJBU93}yoeA+2?S@1>E6)=b{$=3q%6;)ZdS1eA*}7g=FDN`EMxJfbw) zyuiJ$=2y>@iDQQ|K(Oh%0|@SQ&cw(lM!sb3b-SvbUCb#)*<-^x5Y9a9s^mnm>`pb* zk4=Q!p{!>IBk_*tq22)|u{vFbu-047y`GJqcdu_lwh-Z^f=M}F4PS-HYEfaMb5Zrb zORWANd}dDcA00lu6aD|M_{^N>KRSGRC;Fc|K6~!ude7ZG%%VMaXIw97fcj3IPyb77ZAo52nWDCt-8H-iUAF2sGA+>0<^UuN2U2LC- z-<>FZtoj}FT0a!fEMSM91^hqxt;RZU6(!+Mgc7D~`qVAsV5;c1(}b3aP}4qkq+zHu z_Q5&!@ugV;vfy6v=N9$tK8{&-gf>B}%OFHe7g#n3V@!AUBU_MmHJZwzo$I{&W6FV_ zz-zRqSwiucU35tTuyVLDlio(>R79z_v$ClBmzhB;S6A%>QNNZxUgv5Iy&u zETp@gn7DNJAA8b5i}<@`v_DXLa=9NN-G5A{y)A``5s-ebrXFUB0gt>9I!YYlP01!T zVaLfpM#dzr(r9|1sggGvv3)opYNjK*A+XgeD3K7A1Q-SgHiEjF!MV~j`nD~e1@vp< zJ!TmRF*dH+8>;P6nEjpI(vT2)XtVjPMnJtHqBO)2&s*hOaL(D`e)F zjj*0wq=g!zKuEKpU^eEF#=M?w+X8ooMwD5krz0Ms98G#{&kz_vNi&P&2$7+$rn98> zKBPC}>neUF5)UcD-bVCBOjWpH?Z=2L9oteKRLZAw%dW2n03>2CF0x$=M97&dqn$N< zNIizeU_A}8x&Lsw8H>2+VSFb?^%#ne=~0c3(*_p+7v0e}cg2U@F@7I%$N7C&z+a(e zc%X2K!Kh)2Xyw1)=%jA3lX3DSwu&8e;H~H$c9HFN{G-r4WP_51-h4H5I)t2VzWk$* zh&Ss&aP6`D-xz!XkxIgBn2I+(?m7yf$;If^?KwWrEG_3pYW(_7MuM#?psCB z^T&M*eDQ$)xbFsJ5e06zoIz_X9iJHmdtEyNp5$;r~tO0 zWMO#E*cmfF&^aNEu%Rl@=LGAkv=pl4RhllGf>BV(HtH}VgHH)H_nNBHghma9%er#o zxQHpZ!AcUl-Q`HYr2w6Ir$T3&+mZJLw#}`dx#y9tAK^&Oj}YUkw$aDmg5>SvFCF_v zI`*Q6<}M9-uRo;G>&KpluAaeJ$T{!qmLeknB8>p5B7jVuK>*C{AOOwqJOMNu)ovvK z-JA{yh3HBnfJTP^cx%9iJnAv)mVHdpZd?a1a=ck&2vL~pW0xcdbJh+4Umiu+d5(r4`*7q+7k2kL>_(LZycy zG$2xk4#7SUf-67i>o(a5o)H|Gv>E6KeXwm`lnKZHanj1bR>>2xh9b#>=%iX4vrvsc zc*0az4n;W+&^Sp|rycvz+sLvHx1x(!)KfZ@Emw` zr82nLxlJ!kpg zh}XAx&Hugmf#!p64qKmfAA;9=!gmSrGwdgacgD-8Lp$D)-y7>&;&nqK{ge>$XoD2` z=B!VSnevtn7Hr@QCUw3Rbq zro#-2dvt5WZfXJfyTzITMlKMmVk{WwigQbL?-=o-8O3wH7SWe|7;HC`=i?ba^5b9d zwhSdffUFV3X}ZmB5T2>V0SfFImI$UB746YT?vI^}5h2O=u^+`Hqq|O@<2?+gGo<4~ z+0*TsrUlKtuI~(b*C3OaVUIW$@!%j(XYiczIWl-$+sGBc4l+(<=}nvyW1i>{(L2a{ zw~@C49+_I0xqIQ!8^4eW78**fXMsA{i0f__J=AWYhGYS?^@GPgoVf>8-9f4|J`r}A z9aNjzY7vLNqupP&C!~f=CXfC1wNk@!+eTG0aAaEIhm(P`uNF5|`(SfOwX>R<)yBs# zsaId?vO6!uwM8kBJkJut;(^uHr=`rHT6~{sbIk+3wgY$87SXAlXf0W*l!u_U)yQaA zjgWf59jL{JRO8VmduiUU52Cw!R4|O=EyY3rxyN=0#bzIAC4c;V?PdZ z9*y{*9>v(<9Nd|r9n};Eg;Q9Zc4DQuTc)U%x!IJm?+lA1`_612hP1ZLW}rHsalnAv z=k7g?ur4@943wj5P;~%Gv?BGOfriXPF?;yD7PSmnEkh~?fN&fibIOWnZ8u-U_V(=I z?l%bcfR-Qj(|D6zEqdpB7=CArKNLd?CHDQWY;}*du;0bn%kseT;UJg_m>~-8oj_$q z5Vyz3p{rA0jQRak4BPIPK=)r6}<*Yd#pbd;N^e zcCjHNWrA_yj5Da3VFvz8uIHeycF*7*reKf@JB1O|nlVVzCaP9@4VnRbufe5MILz<#nGl66Z~|KSl{=!qu%6@8NAD34g{vlcpJo5C??(^k>{Nb-R+q}?*!f>Lqq zh}nf@;^9NnHDc3ys4Lvn=*=&(H6_`_8ci7s8vzn%mLd`Ar(q0+$tjq{=KhVz8oOtr zB-|7-bkKmiBh6~rk$l-CRyj90lqr&Pe-9+Db96}t85Z0?^4V-x%rFRd{HQ`ZkE8Jy zdv-6{U>GsIjnVIw4aWk@y6teXh`{~zM?=o{;rw{}>a4QfADm_956(P0t7M~2ZL)|j938GDLMbTkz56<@xXp2-h%+}E=jE-X8o4!GPfwI}3; z0;+7F_}Og6aI(cCi@rZ>lw+NbB!fl&ewn)v^UK6E!9EctBAiU;8aZb8NI9$*Y#Bad zA1=$3tpH`1JgA*G+%Sc{ITay?lOCl(JdI|vs*5S>x(GV?)>|Lv^3_C_0{9Ccxa|inDR%(MD&ztIz+sI$Tzx|?lJ9W;vp0}?r{UWg; zcnczW{D*t#c#7#K`&gnYa3idF<3h&q6UnoN8JMkb2~uKQ48`EOaC(@7o)dv-@kB^YeRn(A^0dl@I_vd z+ga!x((c}Ka4%}XiKwCXU%Qo6>A$$^J((H?CY>1NA*9K#M8_Vu8C#96An(ym=rSE3 z-xG!Fl01#jcoCl;ycpF6jdL42w=ifLiRqc++B9--Yos+M+)2i$DTL3UHPzT-yP8O$ zp|Ez3ZjT5(zY^DI8t@ISMx72K9UHn^nxD#m{}mJg_~($kQ(?zZ>{?9QkACjgzVO?> z@rD28-@L_r6*3(D(J#I5(jWZF-~Z+_nQD0S|NJLk{cr#IFWmmuf0*WY{WHJ*+h6!s zzxPl7@l|EnMJ3yBJZPl-vAz`>PuIRtVzZ#kQAka57P_iEWiHg*3J=w#$B=EBW^rAj z?lT|>=aHDwB#4sm^h)rQVp+0!6vzW_RqQ%u)y?$51xEuM-dy>l%R#X_bZ-7>9199C z;fRupX`sj#o`7;i6APFy+Yo{2V%G{#jopfBPE%Y3#>!q&79Te;Y3t%8`(o26T0?LO zEGpHtyfPDc(I$G?|C$;~5@IXc4Yi0HKCom$z+4ZhK)^4{z6Hysk1R<}@MqVzV@l$K z$YSWah!ZKuUvY8IK{xfXAT!Oq&J+ z@gsW%<4M+x-R;1ZF?@-(b&M1VY|_M-`jj>86~LEcMES6~u7&kYY^_1jE8Gcgt0UrY z)uQ%&MH)bXu`Rk*6FHkeAS=9cZ>^t}?uRG&iyA#!gN@oPe@~TNSH~(ynEC+&Nf_xx z9MGm}7z2~-nGYYj^%t)&K&dN8vYkZ%|DY znA=KG_{1Aye@+zjVO$(0D`c!n)_dz9ZyThhY6L6GYItxN13~0?Kz#oW7Jz9XsuWY& zqfy*fTlN>eFk|*kER;X&?)|p~KVvQ=-%f9_Dx|k%VeoFbwMy4z6~5Y?K|6)h8M8Sb z%#43mO}+l-($s$!Vf%YU8ZqHOz!bSRtJl5~7A2qi{XpV5GTB>@!r^jl+!?sSS7b#h z!&)=YV^v=mlW#kDl_+*ZwUf_q+t2ceAoz(uQa@Tj%=3)~a{7GRa)2q_@y~Y~fA^36q(a(@`e8cuZ=xR%fpC@5%BJB>zfTe+%ncAxZ8*e zjZDixMQQ&Nywv{QBAY<>00p+*ZX7W|a_#dsgJf9ORiefJmID7G@F768D~Llxe%*@L zwL1Z(n93)A%=}t(93-rg4(|wPwwDy5xGk~sdOfVEJ#!q?s=P6s>&;$v)N23zfe;|B z-3)5~_`r$t>la!lW-qL7Y%Fb_IKFXtvwivEiT3*X>iqfHrM1lyo9)@T)z-0RXQs|h zO&q(twz9VV%-XTlrM1h?9y_;o`NZnd+zFM^b8}9-e=(@XW5RuFo4lo2yInEg<+D?O&wbzr)`> zKf?AmW}i8Gr8RFjXfO%ipzR}35NJ%Fncci_Z1dvkEXXpH&MquHdvp|25u+a^YX+eJ~gP&v{q7S^gJ!-fK&4?PWJ^-rYX*><~i;bObB;M(i1J-gC!kYH+RY0 z()!x=_|5s*)zE~LT+v#XSY$*yl zQfe68sBbEpg*CRSI}49&OaB1j2XpCXct4a2e{fs+&k(*Zm;ST7i=VRk72cBzf0*~t zZQ*?SN4BLaoKF`&c!uj-tZRrZ<(_7op70#!h zBb%8PwRwDL{n*sv z==8$a*xcOg=;X-A=;8_EwK=jOq>qgpA3J{Xq!pZBU%S%UXrD0ky$SRE)oLSH1-?UJ z5cKn$UtQlsxVxpbE9)z0jE&a(<&DjyE3MV%-1>%_UBvisbFHPdb8cZ{{o=)zh>ZV= z>0ckuKL_}iH;-*Tw+ZCq$ET+Za!ex0iou>nAin%p3>Y8>YnNA7-Np5#HNkapc5~Bf zIayR4(B0)tRNkVSU32Sm%dPpgTWGa;kQIeDf8IT_etC5PLYg%Vd3kMfcCqD`y)%zsHgo&^41E_vGgv)A6Wh~D4Z2!5KnGkd7Pd!0Xxk@U$<{&w-_ZOw(& z#my57s}~GTqm^kxcoqe`LhFVUfLol!Kv;OhU6e$3Klxsh?CyxWu(Wn|9m+Zn4ufyd z{zKIN6&jSje7oZB=@y@Twp+YY{vT3a^mL(xdHoy%g7IleOM~g=o`d*PfP!EFpO19$ zb39dMv%O%wutIdfn*OWuCmg9B{g;I$Blq$r8F3GP`}k9N<&|8K ztU17+Y@qqsi?j1fZI}gYEUvCULtLZYFuXrxZ0puhh;(3X{qowv<|D3sz9kvvmNw}V zNecUn#01AE>!{-?o*K9JzBhg^N`L-*D>o`nueKK3&TU9|Je{JX*HP?EqgmHla(ELb_9VlGBz?kGBE<_k4%rujGP=D z866!R8yz2=7@ZuQ8l4`U89g~RGB!FkHa0#sF*Z3iH8wpqGj?)(WPEgdYysmbZdnaPt=BU7VO zV^ibs;pEiR)btcgH$5^vIz2W$K0Pr#IXyK!Jv}pha%N;^bY^U3d}ab(otl}RnVC6x z5)e<){7I@lNwJeeZLVM5m~T0gj2aM(C3pBCx3CVCt*y6ZY9T5XTAS^qHBW_Gvj)Nc z*ym~Rf8!~=c@$hpMs3WloulIR>_$5s*^CB!$|8c**9BRmEg$Ol<2}Vwy2$5jtu3TA zoF`smnWg*ko^GtAO*ackh@dEBf#9>05iS1)&%WT}Jr_6D7cS2;5s0d*fOvWKTq`VU zHW1WFnr}&%9-DivP?GqYKQMZHX5#pWJKT|gjv<{qHZpo_Wcs13spn@i``jxp;vGO*EFY;CmG=3B?w&s}V79_hVsd9}S{lb8Pww2sKN literal 0 HcmV?d00001 diff --git a/temp_wasm/pkg/wasm_splats_bg.wasm.d.ts b/temp_wasm/pkg/wasm_splats_bg.wasm.d.ts new file mode 100644 index 000000000000..291076313f5d --- /dev/null +++ b/temp_wasm/pkg/wasm_splats_bg.wasm.d.ts @@ -0,0 +1,27 @@ +/* tslint:disable */ +/* eslint-disable */ +export const memory: WebAssembly.Memory; +export const __wbg_texturedata_free: (a: number, b: number) => void; +export const texturedata_data: (a: number) => [number, number]; +export const texturedata_width: (a: number) => number; +export const texturedata_height: (a: number) => number; +export const texturedata_new: (a: number, b: number, c: number, d: number) => number; +export const generate_texture_from_attrs: (a: any, b: any, c: any, d: any, e: number) => [number, number, number]; +export const __wbg_gsplatdata_free: (a: number, b: number) => void; +export const gsplatdata_new: (a: number, b: number, c: number, d: number, e: number, f: number, g: number, h: number, i: number, j: number, k: number) => number; +export const gsplatdata_fromFloat32Arrays: (a: any, b: any, c: any, d: any, e: any, f: number) => [number, number, number]; +export const gsplatdata_getPositions: (a: number) => any; +export const gsplatdata_getScales: (a: number) => any; +export const gsplatdata_getRotations: (a: number) => any; +export const gsplatdata_getColors: (a: number) => any; +export const radix_sort_simd: (a: number) => [number, number]; +export const radix_sort_gaussians_attrs: (a: any, b: any, c: any, d: any, e: any, f: number) => [number, number, number]; +export const radix_sort_gaussians_indexes: (a: any, b: any, c: number, d: number) => [number, number, number]; +export const generate_splat_texture_from_attrs: (a: any, b: any, c: any, d: any, e: number) => [number, number, number]; +export const __wbindgen_exn_store: (a: number) => void; +export const __externref_table_alloc: () => number; +export const __wbindgen_export_2: WebAssembly.Table; +export const __wbindgen_free: (a: number, b: number, c: number) => void; +export const __wbindgen_malloc: (a: number, b: number) => number; +export const __externref_table_dealloc: (a: number) => void; +export const __wbindgen_start: () => void; From edca8a87dd604f8e1ef479ef10c9dac91ec8f251 Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Wed, 15 Jan 2025 14:42:33 -0600 Subject: [PATCH 56/97] Add orientedBoundingBox to gsplat commands Sort commands by OBBs in camera space --- .../Source/Scene/Model/ModelDrawCommands.js | 2 ++ packages/engine/Source/Scene/Scene.js | 19 ++++++++++++++++++- 2 files changed, 20 insertions(+), 1 deletion(-) diff --git a/packages/engine/Source/Scene/Model/ModelDrawCommands.js b/packages/engine/Source/Scene/Model/ModelDrawCommands.js index 2ad173e9d38e..55365bb4d804 100644 --- a/packages/engine/Source/Scene/Model/ModelDrawCommands.js +++ b/packages/engine/Source/Scene/Model/ModelDrawCommands.js @@ -388,6 +388,8 @@ function buildDrawCommandForGaussianSplatPrimitive( debugShowBoundingVolume: model.debugShowBoundingVolume, castShadows: castShadows, receiveShadows: receiveShadows, + orientedBoundingBox: + primitiveRenderResources.model.content.tile.boundingVolume.boundingVolume, }); return command; } diff --git a/packages/engine/Source/Scene/Scene.js b/packages/engine/Source/Scene/Scene.js index cdb21598849b..2f4c55516793 100644 --- a/packages/engine/Source/Scene/Scene.js +++ b/packages/engine/Source/Scene/Scene.js @@ -2321,6 +2321,23 @@ function backToFront(a, b, position) { ); } +function backToFrontSplats(a, b, scene) { + const boxA = a.orientedBoundingBox; + const boxB = b.orientedBoundingBox; + const camera = scene.camera; + const cameraSpaceA = Matrix4.multiplyByPoint( + camera.viewMatrix, + boxA.center, + new Cartesian3(), //use scratch + ); + const cameraSpaceB = Matrix4.multiplyByPoint( + camera.viewMatrix, + boxB.center, + new Cartesian3(), //use scratch + ); + return cameraSpaceA.z * cameraSpaceA.z - (cameraSpaceB.z - cameraSpaceB.z); +} + function frontToBack(a, b, position) { // When distances are equal equal favor sorting b before a. This gives render priority to commands later in the list. return ( @@ -2394,7 +2411,7 @@ function performGaussianSplatPass(scene, passState, frustumCommands) { commands.length = frustumCommands.indices[Pass.GAUSSIAN_SPLATS]; //still necessary? - mergeSort(commands, backToFront, scene.camera.positionWC); + mergeSort(commands, backToFrontSplats, scene); for (let i = 0; i < commands.length; ++i) { executeCommand(commands[i], scene, passState); From a327c883577b9fdef79b6bcefc686837d31b31f7 Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Thu, 16 Jan 2025 08:54:02 -0600 Subject: [PATCH 57/97] typo on final sort calc --- packages/engine/Source/Scene/Scene.js | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/packages/engine/Source/Scene/Scene.js b/packages/engine/Source/Scene/Scene.js index 2f4c55516793..77e07fbf0a8d 100644 --- a/packages/engine/Source/Scene/Scene.js +++ b/packages/engine/Source/Scene/Scene.js @@ -2335,7 +2335,7 @@ function backToFrontSplats(a, b, scene) { boxB.center, new Cartesian3(), //use scratch ); - return cameraSpaceA.z * cameraSpaceA.z - (cameraSpaceB.z - cameraSpaceB.z); + return cameraSpaceB.z * cameraSpaceB.z - cameraSpaceA.z * cameraSpaceA.z; } function frontToBack(a, b, position) { From ef2ec34338226fff091ea59c49e9ed57dc9372f4 Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Thu, 16 Jan 2025 11:00:43 -0600 Subject: [PATCH 58/97] add weighted distance from center to sort --- packages/engine/Source/Scene/Scene.js | 22 +++++++++++++++++++--- 1 file changed, 19 insertions(+), 3 deletions(-) diff --git a/packages/engine/Source/Scene/Scene.js b/packages/engine/Source/Scene/Scene.js index 77e07fbf0a8d..555846d2c712 100644 --- a/packages/engine/Source/Scene/Scene.js +++ b/packages/engine/Source/Scene/Scene.js @@ -2321,21 +2321,37 @@ function backToFront(a, b, position) { ); } +const scratchCartA = new Cartesian3(); +const scratchCartB = new Cartesian3(); + function backToFrontSplats(a, b, scene) { const boxA = a.orientedBoundingBox; const boxB = b.orientedBoundingBox; const camera = scene.camera; + const cameraSpaceA = Matrix4.multiplyByPoint( camera.viewMatrix, boxA.center, - new Cartesian3(), //use scratch + scratchCartA, ); const cameraSpaceB = Matrix4.multiplyByPoint( camera.viewMatrix, boxB.center, - new Cartesian3(), //use scratch + scratchCartB, ); - return cameraSpaceB.z * cameraSpaceB.z - cameraSpaceA.z * cameraSpaceA.z; + + const sqrDistA = cameraSpaceA.z * cameraSpaceA.z; + const sqrDistB = cameraSpaceB.z * cameraSpaceB.z; + + const viewOffsetA = Math.sqrt( + cameraSpaceA.x * cameraSpaceA.x + cameraSpaceA.y * cameraSpaceA.y, + ); + const viewOffsetB = Math.sqrt( + cameraSpaceB.x * cameraSpaceB.x + cameraSpaceB.y * cameraSpaceB.y, + ); + + const weight = 10; + return sqrDistB + viewOffsetB * weight - (sqrDistA + viewOffsetA * weight); } function frontToBack(a, b, position) { From e749c9274ac56fcc6b6e92b2497f9d3a0370dab2 Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Fri, 17 Jan 2025 14:13:24 -0600 Subject: [PATCH 59/97] Formatting --- .../engine/Source/Shaders/Model/ModelVS.glsl | 18 +++++++++--------- 1 file changed, 9 insertions(+), 9 deletions(-) diff --git a/packages/engine/Source/Shaders/Model/ModelVS.glsl b/packages/engine/Source/Shaders/Model/ModelVS.glsl index 833490fe5a7c..114d9e7a2e68 100644 --- a/packages/engine/Source/Shaders/Model/ModelVS.glsl +++ b/packages/engine/Source/Shaders/Model/ModelVS.glsl @@ -134,15 +134,15 @@ void main() #endif #ifdef PRIMITIVE_TYPE_POINTS - - #ifdef HAS_CUSTOM_VERTEX_SHADER - gl_PointSize = vsOutput.pointSize; - #elif defined(HAS_POINT_CLOUD_POINT_SIZE_STYLE) || defined(HAS_POINT_CLOUD_ATTENUATION) - gl_PointSize = pointCloudPointSizeStylingStage(attributes, metadata); - #else - gl_PointSize = 1.0; - #endif - gl_PointSize *= show; + #ifdef HAS_CUSTOM_VERTEX_SHADER + gl_PointSize = vsOutput.pointSize; + #elif defined(HAS_POINT_CLOUD_POINT_SIZE_STYLE) || defined(HAS_POINT_CLOUD_ATTENUATION) + gl_PointSize = pointCloudPointSizeStylingStage(attributes, metadata); + #else + gl_PointSize = 1.0; + #endif + + gl_PointSize *= show; #endif #ifdef HAS_GAUSSIAN_SPLATS From afcc22a1c11fd07bae93fbf4ec424d1b8294b565 Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Fri, 17 Jan 2025 14:16:42 -0600 Subject: [PATCH 60/97] move copyFileSync for gaussian splat utilities --- gulpfile.js | 11 +++++------ 1 file changed, 5 insertions(+), 6 deletions(-) diff --git a/gulpfile.js b/gulpfile.js index a601134a5010..9f0eada27512 100644 --- a/gulpfile.js +++ b/gulpfile.js @@ -348,7 +348,11 @@ export async function prepare() { "node_modules/draco3d/draco_decoder.wasm", "packages/engine/Source/ThirdParty/draco_decoder.wasm", ); - + // Copy Gaussian Splatting utilities into Source + copyFileSync( + "node_modules/@cesium/wasm-splats/wasm_splats_bg.wasm", + "packages/engine/Source/ThirdParty/wasm_splats_bg.wasm", + ); // Copy pako and zip.js worker files to Source/ThirdParty copyFileSync( "node_modules/pako/dist/pako_inflate.min.js", @@ -373,11 +377,6 @@ export async function prepare() { "Tools/jsdoc/cesium_template/static/styles/prism.css", ); - copyFileSync( - "node_modules/@cesium/wasm-splats/wasm_splats_bg.wasm", - "packages/engine/Source/ThirdParty/wasm_splats_bg.wasm", - ); - // Copy jasmine runner files into Specs const files = await globby([ "node_modules/jasmine-core/lib/jasmine-core", From 78ea71acdfc1d0b3dea4c785d68314a1a1cf052b Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Fri, 17 Jan 2025 14:19:45 -0600 Subject: [PATCH 61/97] merge scripts/build.js from main --- scripts/build.js | 9 ++------- 1 file changed, 2 insertions(+), 7 deletions(-) diff --git a/scripts/build.js b/scripts/build.js index 25c247c7210c..a28de85995a0 100644 --- a/scripts/build.js +++ b/scripts/build.js @@ -14,7 +14,6 @@ import { rimraf } from "rimraf"; import { mkdirp } from "mkdirp"; - // Determines the scope of the workspace packages. If the scope is set to cesium, the workspaces should be @cesium/engine. // This should match the scope of the dependencies of the root level package.json. const scope = "cesium"; @@ -81,7 +80,6 @@ const stripPragmaPlugin = { }, }; - // Print an esbuild warning function printBuildWarning({ location, text }) { const { column, file, line, lineText, suggestion } = location; @@ -113,7 +111,7 @@ export const defaultESBuildOptions = () => { color: true, legalComments: `inline`, logLimit: 0, - target: `es2020` + target: `es2020`, }; }; @@ -202,6 +200,7 @@ export async function bundleCesiumJs(options) { incremental: incremental, write: options.write, }); + const iife = await build({ ...buildConfig, format: "iife", @@ -331,9 +330,6 @@ export async function createCombinedSpecList() { return contents; } - - - /** * @param {object} options * @param {string} options.path output directory @@ -1137,7 +1133,6 @@ export async function buildCesium(options) { outbase: "packages/widgets/Source", }); - const workersContext = await bundleWorkers({ iife: false, minify: minify, From 36fd99e56a49d3f30ba63eb1b9be801339b4eb76 Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Fri, 17 Jan 2025 14:27:59 -0600 Subject: [PATCH 62/97] revert unneeded default value change --- packages/engine/Source/Renderer/Context.js | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/packages/engine/Source/Renderer/Context.js b/packages/engine/Source/Renderer/Context.js index 6cbfb90c1e41..78463aa0f384 100644 --- a/packages/engine/Source/Renderer/Context.js +++ b/packages/engine/Source/Renderer/Context.js @@ -51,7 +51,7 @@ function Context(canvas, options) { } = defaultValue(options, {}); // Override select WebGL defaults - webglOptions.alpha = defaultValue(webglOptions.alpha, true); // WebGL default is true + webglOptions.alpha = defaultValue(webglOptions.alpha, false); // WebGL default is true webglOptions.stencil = defaultValue(webglOptions.stencil, true); // WebGL default is false webglOptions.powerPreference = defaultValue( webglOptions.powerPreference, From 0fa8fe46a411847c712176953c4fe769fcf36de7 Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Fri, 17 Jan 2025 15:02:24 -0600 Subject: [PATCH 63/97] remove unneeded error checking --- packages/engine/Source/Renderer/Texture.js | 13 ------------- 1 file changed, 13 deletions(-) diff --git a/packages/engine/Source/Renderer/Texture.js b/packages/engine/Source/Renderer/Texture.js index d6224cdc2149..9f1c5ae69a82 100644 --- a/packages/engine/Source/Renderer/Texture.js +++ b/packages/engine/Source/Renderer/Texture.js @@ -341,16 +341,9 @@ function loadBufferSource(texture, source) { width, ); - let glErr; gl.pixelStorei(gl.UNPACK_ALIGNMENT, unpackAlignment); gl.pixelStorei(gl.UNPACK_PREMULTIPLY_ALPHA_WEBGL, false); gl.pixelStorei(gl.UNPACK_FLIP_Y_WEBGL, false); - glErr = gl.getError(); - if (glErr !== 0) { - console.log( - `pixelStorei err ${glErr}, format ${pixelFormat} internalFormat ${internalFormat}`, - ); - } let { arrayBufferView } = source; if (flipY) { @@ -373,12 +366,6 @@ function loadBufferSource(texture, source) { PixelDatatype.toWebGLConstant(pixelDatatype, context), arrayBufferView, ); - glErr = gl.getError(); - if (glErr !== 0) { - console.log( - `texImage2D err ${glErr}, format ${pixelFormat} internalFormat ${internalFormat}`, - ); - } if (defined(source.mipLevels)) { let mipWidth = width; From 703da40e4f0f16a10bdf3a232044c9cdf0326ec4 Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Fri, 17 Jan 2025 15:03:14 -0600 Subject: [PATCH 64/97] dead code cleanup --- packages/engine/Source/Renderer/VertexArray.js | 9 --------- 1 file changed, 9 deletions(-) diff --git a/packages/engine/Source/Renderer/VertexArray.js b/packages/engine/Source/Renderer/VertexArray.js index d51ae591451d..81d516fc6aeb 100644 --- a/packages/engine/Source/Renderer/VertexArray.js +++ b/packages/engine/Source/Renderer/VertexArray.js @@ -693,15 +693,6 @@ VertexArray.fromGeometry = function (options) { } vaAttributes.push(attrProps); - - // vaAttributes.push({ - // index: attributeLocations[name], - // vertexBuffer: vertexBuffer, - // value: attribute.value, - // componentDatatype: componentDatatype, - // componentsPerAttribute: attribute.componentsPerAttribute, - // normalize: attribute.normalize, - // }); } } } From ede218fa8d37b352f0368e5707b01d62182fb7fc Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Fri, 17 Jan 2025 15:07:04 -0600 Subject: [PATCH 65/97] dead code removal --- .../Scene/Model/GaussianSplatPipelineStage.js | 31 ------------------- 1 file changed, 31 deletions(-) diff --git a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js index 3d3e6a97b403..f1e060aead9c 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js @@ -6,37 +6,6 @@ import PrimitiveType from "../../Core/PrimitiveType.js"; import BlendingState from "../BlendingState.js"; import Matrix4 from "../../Core/Matrix4.js"; -// class CesiumPerformanceTimer { -// constructor() { -// this.startTime = null; -// this.endTime = null; -// } - -// start() { -// this.startTime = performance.now(); -// } - -// end() { -// this.endTime = performance.now(); -// } - -// getExecutionTime() { -// if (!this.startTime || !this.endTime) { -// throw new Error( -// "Timer must be started and ended before getting execution time", -// ); -// } -// return { -// milliseconds: this.endTime - this.startTime, -// }; -// } - -// reset() { -// this.startTime = null; -// this.endTime = null; -// } -// } - const GaussianSplatPipelineStage = { name: "GaussianSplatPipelineStage", }; From 40c527187571c94072b44aa369a8e0b3e3a453bb Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Fri, 17 Jan 2025 15:22:38 -0600 Subject: [PATCH 66/97] descriptive naming --- .../Scene/Model/GaussianSplatPipelineStage.js | 78 ++++++++++--------- 1 file changed, 41 insertions(+), 37 deletions(-) diff --git a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js index f1e060aead9c..9505635870f9 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js @@ -57,12 +57,12 @@ GaussianSplatPipelineStage.process = function ( shaderBuilder.addUniform("mat4", "u_scalingMatrix", ShaderDestination.VERTEX); const uniformMap = renderResources.uniformMap; - const cam = frameState.camera; + const camera = frameState.camera; const width = frameState.context.drawingBufferWidth; const height = frameState.context.drawingBufferHeight; - const tan_fovx = Math.tan(cam.frustum.fov * 0.5); - const tan_fovy = Math.tan(cam.frustum.fovy * 0.5); + const tan_fovx = Math.tan(camera.frustum.fov * 0.5); + const tan_fovy = Math.tan(camera.frustum.fovy * 0.5); const focal_x = width / (tan_fovx * 2); const focal_y = height / (tan_fovy * 2); @@ -94,27 +94,31 @@ GaussianSplatPipelineStage.process = function ( const attributes = primitive.attributes; const modelView = new Matrix4(); const modelMat = renderResources.model.modelMatrix; - Matrix4.multiply(cam.viewMatrix, modelMat, modelView); + Matrix4.multiply(camera.viewMatrix, modelMat, modelView); - const posAttr = attributes.find((a) => a.name === "POSITION"); - const scaleAttr = attributes.find((a) => a.name === "_SCALE"); - const rotAttr = attributes.find((a) => a.name === "_ROTATION"); - const clrAttr = attributes.find((a) => a.name === "COLOR_0"); + const positions = attributes.find((a) => a.name === "POSITION"); + const scales = attributes.find((a) => a.name === "_SCALE"); + const rotations = attributes.find((a) => a.name === "_ROTATION"); + const colors = attributes.find((a) => a.name === "COLOR_0"); - const posArray = posAttr.typedArray; - const scaleArray = scaleAttr.typedArray; - const rotArray = rotAttr.typedArray; - const clrArray = clrAttr.typedArray; + const positionsArray = positions.typedArray; + const scalesArray = scales.typedArray; + const rotationsArray = rotations.typedArray; + const colorsArray = colors.typedArray; - const newPosArray = new posArray.constructor(posArray.length); - const newScaleArray = new scaleArray.constructor(scaleArray.length); - const newRotArray = new rotArray.constructor(rotArray.length); - const newClrArray = new clrArray.constructor(clrArray.length); + const newPositionsArray = new positionsArray.constructor( + positionsArray.length, + ); + const newScalesArray = new scalesArray.constructor(scalesArray.length); + const newRotationsArray = new rotationsArray.constructor( + rotationsArray.length, + ); + const newColorsArray = new colorsArray.constructor(colorsArray.length); const calcDepth = (i) => - posArray[i * 3] * modelView[2] + - posArray[i * 3 + 1] * modelView[6] + - posArray[i * 3 + 2] * modelView[10]; + positionsArray[i * 3] * modelView[2] + + positionsArray[i * 3 + 1] * modelView[6] + + positionsArray[i * 3 + 2] * modelView[10]; const depthValues = new Int32Array(renderResources.count); let maxDepth = -Infinity; @@ -170,29 +174,29 @@ GaussianSplatPipelineStage.process = function ( for (let i = 0; i < renderResources.count; i++) { const j = indices[i]; - newPosArray[i * 3] = posArray[j * 3]; - newPosArray[i * 3 + 1] = posArray[j * 3 + 1]; - newPosArray[i * 3 + 2] = posArray[j * 3 + 2]; + newPositionsArray[i * 3] = positionsArray[j * 3]; + newPositionsArray[i * 3 + 1] = positionsArray[j * 3 + 1]; + newPositionsArray[i * 3 + 2] = positionsArray[j * 3 + 2]; - newScaleArray[i * 3] = scaleArray[j * 3]; - newScaleArray[i * 3 + 1] = scaleArray[j * 3 + 1]; - newScaleArray[i * 3 + 2] = scaleArray[j * 3 + 2]; + newScalesArray[i * 3] = scalesArray[j * 3]; + newScalesArray[i * 3 + 1] = scalesArray[j * 3 + 1]; + newScalesArray[i * 3 + 2] = scalesArray[j * 3 + 2]; - newRotArray[i * 4] = rotArray[j * 4]; - newRotArray[i * 4 + 1] = rotArray[j * 4 + 1]; - newRotArray[i * 4 + 2] = rotArray[j * 4 + 2]; - newRotArray[i * 4 + 3] = rotArray[j * 4 + 3]; + newRotationsArray[i * 4] = rotationsArray[j * 4]; + newRotationsArray[i * 4 + 1] = rotationsArray[j * 4 + 1]; + newRotationsArray[i * 4 + 2] = rotationsArray[j * 4 + 2]; + newRotationsArray[i * 4 + 3] = rotationsArray[j * 4 + 3]; - newClrArray[i * 4] = clrArray[j * 4]; - newClrArray[i * 4 + 1] = clrArray[j * 4 + 1]; - newClrArray[i * 4 + 2] = clrArray[j * 4 + 2]; - newClrArray[i * 4 + 3] = clrArray[j * 4 + 3]; + newColorsArray[i * 4] = colorsArray[j * 4]; + newColorsArray[i * 4 + 1] = colorsArray[j * 4 + 1]; + newColorsArray[i * 4 + 2] = colorsArray[j * 4 + 2]; + newColorsArray[i * 4 + 3] = colorsArray[j * 4 + 3]; } - posAttr.typedArray = newPosArray; - scaleAttr.typedArray = newScaleArray; - rotAttr.typedArray = newRotArray; - clrAttr.typedArray = newClrArray; + positions.typedArray = newPositionsArray; + scales.typedArray = newScalesArray; + rotations.typedArray = newRotationsArray; + colors.typedArray = newColorsArray; }; radixSort(); From 95fa1ff8da887bb10af9b21c169eedf21ec02e6f Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Fri, 17 Jan 2025 15:26:35 -0600 Subject: [PATCH 67/97] remove unused imports --- packages/engine/Source/Scene/Model/Model.js | 4 +--- 1 file changed, 1 insertion(+), 3 deletions(-) diff --git a/packages/engine/Source/Scene/Model/Model.js b/packages/engine/Source/Scene/Model/Model.js index d7dda14bf21a..16c6ed9c38b0 100644 --- a/packages/engine/Source/Scene/Model/Model.js +++ b/packages/engine/Source/Scene/Model/Model.js @@ -44,10 +44,8 @@ import oneTimeWarning from "../../Core/oneTimeWarning.js"; import PntsLoader from "./PntsLoader.js"; import StyleCommandsNeeded from "./StyleCommandsNeeded.js"; import pickModel from "./pickModel.js"; - import GaussianSplatSorter from "../GaussianSplatSorter.js"; -//import Buffer from "../../Renderer/Buffer.js"; -//import BufferUsage from "../../Renderer/BufferUsage.js"; + /** *
* To construct a Model, call {@link Model.fromGltfAsync}. Do not call the constructor directly. From fbf6e823484e5b728eb1210e9280c579ebc9fe6c Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Fri, 17 Jan 2025 16:14:29 -0600 Subject: [PATCH 68/97] legibility --- .../Source/Scene/Model/GeometryPipelineStage.js | 15 ++++++++------- 1 file changed, 8 insertions(+), 7 deletions(-) diff --git a/packages/engine/Source/Scene/Model/GeometryPipelineStage.js b/packages/engine/Source/Scene/Model/GeometryPipelineStage.js index 44c51c673bd3..300b1c4669a4 100644 --- a/packages/engine/Source/Scene/Model/GeometryPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GeometryPipelineStage.js @@ -139,9 +139,10 @@ GeometryPipelineStage.process = function ( const gaussianSplatsEnabled = (primitive?.isGaussianSplatPrimitive ?? false) && model.enableShowGaussianSplatting; - if (gaussianSplatsEnabled) { - const showSplats = - model?.style?.showGaussianSplatting ?? model.showGaussianSplatting; + const showSplats = + model?.style?.showGaussianSplatting ?? model.showGaussianSplatting; + + if (gaussianSplatsEnabled === true) { primitive.attributes.find((a) => a.name === "POSITION").instanceDivisor = showSplats ? 1 : 0; primitive.attributes.find((a) => a.name === "_SCALE").instanceDivisor = @@ -157,9 +158,7 @@ GeometryPipelineStage.process = function ( ).instanceDivisor = showSplats ? 1 : 0; } - if (!showSplats) { - shaderBuilder.addDefine("PRIMITIVE_TYPE_POINTS"); - + if (showSplats === false) { for (const name in primitive.attributes) { if ( primitive.attributes.hasOwnProperty(name) && @@ -177,7 +176,9 @@ GeometryPipelineStage.process = function ( } } } - } else { + } + + if (gaussianSplatsEnabled === false || showSplats === false) { shaderBuilder.addDefine("PRIMITIVE_TYPE_POINTS"); } } From b6af598ea297facbca179c96df1a6acc2c919b8e Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Fri, 17 Jan 2025 16:17:56 -0600 Subject: [PATCH 69/97] added TILE_GLTF check for gaussian splatting validity check --- packages/engine/Source/Scene/Model/Model.js | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/packages/engine/Source/Scene/Model/Model.js b/packages/engine/Source/Scene/Model/Model.js index 16c6ed9c38b0..3f035692aa77 100644 --- a/packages/engine/Source/Scene/Model/Model.js +++ b/packages/engine/Source/Scene/Model/Model.js @@ -850,7 +850,7 @@ Object.defineProperties(Model.prototype, { // Warning for improper setup of gaussian splatting if ( this._enableShowGaussianSplatting === true || - this.type !== ModelType.GLTF + (this.type !== ModelType.GLTF && this.type !== ModelType.TILE_GLTF) ) { oneTimeWarning( "model-enable-show-gaussian-splatting-ignored", From 1c52cd08c977acf687f84cbf814d5164f68bd723 Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Fri, 17 Jan 2025 16:20:35 -0600 Subject: [PATCH 70/97] logic cleanup on enableShowGaussianSplatting --- packages/engine/Source/Scene/Model/Model.js | 5 +++-- 1 file changed, 3 insertions(+), 2 deletions(-) diff --git a/packages/engine/Source/Scene/Model/Model.js b/packages/engine/Source/Scene/Model/Model.js index 3f035692aa77..ee0e65833b59 100644 --- a/packages/engine/Source/Scene/Model/Model.js +++ b/packages/engine/Source/Scene/Model/Model.js @@ -849,8 +849,9 @@ Object.defineProperties(Model.prototype, { this._enableShowGaussianSplatting = value; // Warning for improper setup of gaussian splatting if ( - this._enableShowGaussianSplatting === true || - (this.type !== ModelType.GLTF && this.type !== ModelType.TILE_GLTF) + value && + this.type !== ModelType.GLTF && + this.type !== ModelType.TILE_GLTF ) { oneTimeWarning( "model-enable-show-gaussian-splatting-ignored", From 0bb27f2e757355c58d0d02d93919a39d319c4117 Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Fri, 17 Jan 2025 16:31:36 -0600 Subject: [PATCH 71/97] scratch matrices for updateGaussianSplatting --- packages/engine/Source/Scene/Model/Model.js | 21 +++++++++++---------- 1 file changed, 11 insertions(+), 10 deletions(-) diff --git a/packages/engine/Source/Scene/Model/Model.js b/packages/engine/Source/Scene/Model/Model.js index ee0e65833b59..4029caa6d53e 100644 --- a/packages/engine/Source/Scene/Model/Model.js +++ b/packages/engine/Source/Scene/Model/Model.js @@ -2178,17 +2178,19 @@ function updatePointCloudShading(model) { } } +const scratchViewMatrix = new Matrix4(); +const scratchModelMatrix = new Matrix4(); + function updateGaussianSplatting(model, frameState) { //if the camera has rotated enough, update commands - const viewProj = new Matrix4(); Matrix4.multiply( frameState.camera.frustum.projectionMatrix, frameState.camera.viewMatrix, - viewProj, + scratchViewMatrix, ); if (model._previousViewProj === undefined) { - model._previousViewProj = viewProj; + model._previousViewProj = scratchViewMatrix; return; } @@ -2202,19 +2204,18 @@ function updateGaussianSplatting(model, frameState) { } const dot = - model._previousViewProj[2] * viewProj[2] + - model._previousViewProj[6] * viewProj[6] + - model._previousViewProj[10] * viewProj[10]; + model._previousViewProj[2] * scratchViewMatrix[2] + + model._previousViewProj[6] * scratchViewMatrix[6] + + model._previousViewProj[10] * scratchViewMatrix[10]; if (Math.abs(dot - 1) > CesiumMath.EPSILON2) { if (prim?.isGaussianSplatPrimitive ?? false) { - const modelView = new Matrix4(); Matrix4.multiply( frameState.camera.viewMatrix, model.modelMatrix, - modelView, + scratchModelMatrix, ); - model._previousViewProj = viewProj; + model._previousViewProj = scratchViewMatrix; if (!prim?.hasGaussianSplatTexture) { model.resetDrawCommands(); @@ -2228,7 +2229,7 @@ function updateGaussianSplatting(model, frameState) { const promise = GaussianSplatSorter.radixSortIndexes({ primitive: { positions: new Float32Array(posAttr.typedArray), - modelView: Float32Array.from(modelView), + modelView: Float32Array.from(scratchModelMatrix), count: idxAttr.count, }, sortType: "Index", From 84d1890bc7e94edb41b1fba754adf311850f449e Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Fri, 17 Jan 2025 16:37:25 -0600 Subject: [PATCH 72/97] use defined() --- packages/engine/Source/Scene/Model/Model.js | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/packages/engine/Source/Scene/Model/Model.js b/packages/engine/Source/Scene/Model/Model.js index 4029caa6d53e..8fc753e910b6 100644 --- a/packages/engine/Source/Scene/Model/Model.js +++ b/packages/engine/Source/Scene/Model/Model.js @@ -2189,7 +2189,7 @@ function updateGaussianSplatting(model, frameState) { scratchViewMatrix, ); - if (model._previousViewProj === undefined) { + if (defined(model._previousViewProj)) { model._previousViewProj = scratchViewMatrix; return; } From 3e0e4ccffd7694a9e70e097b5911119144ca91c8 Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Fri, 17 Jan 2025 16:37:49 -0600 Subject: [PATCH 73/97] remove superfluous ?? false --- packages/engine/Source/Scene/Model/Model.js | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/packages/engine/Source/Scene/Model/Model.js b/packages/engine/Source/Scene/Model/Model.js index 8fc753e910b6..fc32b8f0b907 100644 --- a/packages/engine/Source/Scene/Model/Model.js +++ b/packages/engine/Source/Scene/Model/Model.js @@ -2209,7 +2209,7 @@ function updateGaussianSplatting(model, frameState) { model._previousViewProj[10] * scratchViewMatrix[10]; if (Math.abs(dot - 1) > CesiumMath.EPSILON2) { - if (prim?.isGaussianSplatPrimitive ?? false) { + if (prim?.isGaussianSplatPrimitive) { Matrix4.multiply( frameState.camera.viewMatrix, model.modelMatrix, From 76364db0785dcf8a79d8c1caf979a285dad7b1e6 Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Fri, 17 Jan 2025 16:39:30 -0600 Subject: [PATCH 74/97] remove unused imports --- packages/engine/Source/Workers/gaussianSplatTextureGenerator.js | 2 -- 1 file changed, 2 deletions(-) diff --git a/packages/engine/Source/Workers/gaussianSplatTextureGenerator.js b/packages/engine/Source/Workers/gaussianSplatTextureGenerator.js index d9a6e1f491e7..f1102d99fae8 100644 --- a/packages/engine/Source/Workers/gaussianSplatTextureGenerator.js +++ b/packages/engine/Source/Workers/gaussianSplatTextureGenerator.js @@ -1,7 +1,5 @@ import createTaskProcessorWorker from "./createTaskProcessorWorker.js"; -//import defaultValue from "../Core/defaultValue.js"; import defined from "../Core/defined.js"; -//import RuntimeError from "../Core/RuntimeError.js"; import { initSync, generate_texture_from_attrs } from "@cesium/wasm-splats"; From dbf21c262484f9f56f03f609f2f9cc725b68177c Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Fri, 17 Jan 2025 16:39:57 -0600 Subject: [PATCH 75/97] remove unused imports --- packages/engine/Source/Workers/gaussianSplatSorter.js | 2 -- 1 file changed, 2 deletions(-) diff --git a/packages/engine/Source/Workers/gaussianSplatSorter.js b/packages/engine/Source/Workers/gaussianSplatSorter.js index ce25d2e1ab3b..8806489d9e62 100644 --- a/packages/engine/Source/Workers/gaussianSplatSorter.js +++ b/packages/engine/Source/Workers/gaussianSplatSorter.js @@ -1,7 +1,5 @@ import createTaskProcessorWorker from "./createTaskProcessorWorker.js"; -//import defaultValue from "../Core/defaultValue.js"; import defined from "../Core/defined.js"; -//import RuntimeError from "../Core/RuntimeError.js"; import { initSync, From 76ea60a66a1b31667e20523be00005a520dd4349 Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Fri, 17 Jan 2025 16:53:05 -0600 Subject: [PATCH 76/97] update description --- Apps/Sandcastle/gallery/3D Tiles Gaussian Splatting.html | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Apps/Sandcastle/gallery/3D Tiles Gaussian Splatting.html b/Apps/Sandcastle/gallery/3D Tiles Gaussian Splatting.html index 20df0d40093a..c96316e0f7fb 100644 --- a/Apps/Sandcastle/gallery/3D Tiles Gaussian Splatting.html +++ b/Apps/Sandcastle/gallery/3D Tiles Gaussian Splatting.html @@ -9,7 +9,7 @@ /> Cesium Demo From f98cbbf5e9c666d07a70c230637051cdc4c0df95 Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Fri, 17 Jan 2025 17:22:44 -0600 Subject: [PATCH 77/97] reverting scratch matrices. Async sorting clobbers them across tiles --- packages/engine/Source/Scene/Model/Model.js | 23 ++++++++++----------- 1 file changed, 11 insertions(+), 12 deletions(-) diff --git a/packages/engine/Source/Scene/Model/Model.js b/packages/engine/Source/Scene/Model/Model.js index fc32b8f0b907..9fedbda59297 100644 --- a/packages/engine/Source/Scene/Model/Model.js +++ b/packages/engine/Source/Scene/Model/Model.js @@ -2178,19 +2178,17 @@ function updatePointCloudShading(model) { } } -const scratchViewMatrix = new Matrix4(); -const scratchModelMatrix = new Matrix4(); - function updateGaussianSplatting(model, frameState) { //if the camera has rotated enough, update commands + const viewMatrix = new Matrix4(); Matrix4.multiply( frameState.camera.frustum.projectionMatrix, frameState.camera.viewMatrix, - scratchViewMatrix, + viewMatrix, ); - if (defined(model._previousViewProj)) { - model._previousViewProj = scratchViewMatrix; + if (!defined(model._previousViewProj)) { + model._previousViewProj = viewMatrix; return; } @@ -2204,18 +2202,19 @@ function updateGaussianSplatting(model, frameState) { } const dot = - model._previousViewProj[2] * scratchViewMatrix[2] + - model._previousViewProj[6] * scratchViewMatrix[6] + - model._previousViewProj[10] * scratchViewMatrix[10]; + model._previousViewProj[2] * viewMatrix[2] + + model._previousViewProj[6] * viewMatrix[6] + + model._previousViewProj[10] * viewMatrix[10]; if (Math.abs(dot - 1) > CesiumMath.EPSILON2) { if (prim?.isGaussianSplatPrimitive) { + const modelViewMatrix = new Matrix4(); Matrix4.multiply( frameState.camera.viewMatrix, model.modelMatrix, - scratchModelMatrix, + modelViewMatrix, ); - model._previousViewProj = scratchViewMatrix; + model._previousViewProj = viewMatrix; if (!prim?.hasGaussianSplatTexture) { model.resetDrawCommands(); @@ -2229,7 +2228,7 @@ function updateGaussianSplatting(model, frameState) { const promise = GaussianSplatSorter.radixSortIndexes({ primitive: { positions: new Float32Array(posAttr.typedArray), - modelView: Float32Array.from(scratchModelMatrix), + modelView: Float32Array.from(modelViewMatrix), count: idxAttr.count, }, sortType: "Index", From be481c3dc855d3e92a96339dfdb888bf49fcf2b5 Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Fri, 24 Jan 2025 11:01:25 -0600 Subject: [PATCH 78/97] switch to VertexAttributeSemantics --- .../Scene/Model/GaussianSplatPipelineStage.js | 24 +++++++++++++++---- 1 file changed, 19 insertions(+), 5 deletions(-) diff --git a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js index 9505635870f9..5dacbaba5907 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatPipelineStage.js @@ -5,6 +5,8 @@ import Pass from "../../Renderer/Pass.js"; import PrimitiveType from "../../Core/PrimitiveType.js"; import BlendingState from "../BlendingState.js"; import Matrix4 from "../../Core/Matrix4.js"; +import ModelUtility from "./ModelUtility.js"; +import VertexAttributeSemantic from "../VertexAttributeSemantic.js"; const GaussianSplatPipelineStage = { name: "GaussianSplatPipelineStage", @@ -91,15 +93,27 @@ GaussianSplatPipelineStage.process = function ( }; const radixSort = () => { - const attributes = primitive.attributes; + //const attributes = primitive.attributes; const modelView = new Matrix4(); const modelMat = renderResources.model.modelMatrix; Matrix4.multiply(camera.viewMatrix, modelMat, modelView); - const positions = attributes.find((a) => a.name === "POSITION"); - const scales = attributes.find((a) => a.name === "_SCALE"); - const rotations = attributes.find((a) => a.name === "_ROTATION"); - const colors = attributes.find((a) => a.name === "COLOR_0"); + const positions = ModelUtility.getAttributeBySemantic( + primitive, + VertexAttributeSemantic.POSITION, + ); + const scales = ModelUtility.getAttributeBySemantic( + primitive, + VertexAttributeSemantic.SCALE, + ); + const rotations = ModelUtility.getAttributeBySemantic( + primitive, + VertexAttributeSemantic.ROTATION, + ); + const colors = ModelUtility.getAttributeBySemantic( + primitive, + VertexAttributeSemantic.COLOR, + ); const positionsArray = positions.typedArray; const scalesArray = scales.typedArray; From 8183132dd00cb8cd50d532b8409748266e198471 Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Fri, 24 Jan 2025 11:38:58 -0600 Subject: [PATCH 79/97] texture width constant --- packages/engine/Source/Workers/gaussianSplatSorter.js | 6 ++++-- 1 file changed, 4 insertions(+), 2 deletions(-) diff --git a/packages/engine/Source/Workers/gaussianSplatSorter.js b/packages/engine/Source/Workers/gaussianSplatSorter.js index 8806489d9e62..1e8525b41c0d 100644 --- a/packages/engine/Source/Workers/gaussianSplatSorter.js +++ b/packages/engine/Source/Workers/gaussianSplatSorter.js @@ -17,6 +17,8 @@ async function initWorker(parameters, transferableObjects) { } } +const TEXTURE_WIDTH = 2048; + function generateGaussianSortWorker(parameters, transferableObjects) { // Handle initialization const wasmConfig = parameters.webAssemblyConfig; @@ -36,14 +38,14 @@ function generateGaussianSortWorker(parameters, transferableObjects) { return radix_sort_gaussians_indexes( primitive.positions, primitive.modelView, - 2048, + TEXTURE_WIDTH, primitive.count, ); } else if (sortType === "SIMD Index") { return radix_sort_gaussians_indexes( primitive.positions, primitive.modelView, - 2048, + TEXTURE_WIDTH, primitive.count, ); } From 933c3e387172f69474551d8d27cf3c27fd5e807a Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Fri, 24 Jan 2025 12:05:48 -0600 Subject: [PATCH 80/97] added splat indexes to vertex attributes updates geometry pipeline stage to use modelutility to find attributes --- .../Scene/Model/GeometryPipelineStage.js | 29 ++++++++++++------- .../Source/Scene/VertexAttributeSemantic.js | 14 +++++++++ 2 files changed, 33 insertions(+), 10 deletions(-) diff --git a/packages/engine/Source/Scene/Model/GeometryPipelineStage.js b/packages/engine/Source/Scene/Model/GeometryPipelineStage.js index 300b1c4669a4..fd503f71dcad 100644 --- a/packages/engine/Source/Scene/Model/GeometryPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GeometryPipelineStage.js @@ -143,18 +143,27 @@ GeometryPipelineStage.process = function ( model?.style?.showGaussianSplatting ?? model.showGaussianSplatting; if (gaussianSplatsEnabled === true) { - primitive.attributes.find((a) => a.name === "POSITION").instanceDivisor = - showSplats ? 1 : 0; - primitive.attributes.find((a) => a.name === "_SCALE").instanceDivisor = - showSplats ? 1 : 0; - primitive.attributes.find((a) => a.name === "_ROTATION").instanceDivisor = - showSplats ? 1 : 0; - primitive.attributes.find((a) => a.name === "COLOR_0").instanceDivisor = - showSplats ? 1 : 0; + ModelUtility.getAttributeBySemantic( + primitive, + VertexAttributeSemantic.POSITION, + ).instanceDivisor = showSplats ? 1 : 0; + ModelUtility.getAttributeBySemantic( + primitive, + VertexAttributeSemantic.SCALE, + ).instanceDivisor = showSplats ? 1 : 0; + ModelUtility.getAttributeBySemantic( + primitive, + VertexAttributeSemantic.ROTATION, + ).instanceDivisor = showSplats ? 1 : 0; + ModelUtility.getAttributeBySemantic( + primitive, + VertexAttributeSemantic.COLOR, + ).instanceDivisor = showSplats ? 1 : 0; if (primitive.hasGaussianSplatTexture) { - primitive.attributes.find( - (a) => a.name === "_SPLAT_INDEXES", + ModelUtility.getAttributeBySemantic( + primitive, + VertexAttributeSemantic.SPLAT_INDEXES, ).instanceDivisor = showSplats ? 1 : 0; } diff --git a/packages/engine/Source/Scene/VertexAttributeSemantic.js b/packages/engine/Source/Scene/VertexAttributeSemantic.js index 0225bf5d3fba..db3cb3e39252 100644 --- a/packages/engine/Source/Scene/VertexAttributeSemantic.js +++ b/packages/engine/Source/Scene/VertexAttributeSemantic.js @@ -87,6 +87,13 @@ const VertexAttributeSemantic = { * @constant */ ROTATION: "_ROTATION", + /** + * Gaussian Splat Attribute Texture Index + * + * @type {string} + * @constant + */ + SPLAT_INDEXES: "_SPLAT_INDEXES", }; function semanticToVariableName(semantic) { @@ -111,6 +118,8 @@ function semanticToVariableName(semantic) { return "scale"; case VertexAttributeSemantic.ROTATION: return "rotation"; + case VertexAttributeSemantic.SPLAT_INDEXES: + return "splatIndex"; //>>includeStart('debug', pragmas.debug); default: throw new DeveloperError("semantic is not a valid value."); @@ -144,6 +153,7 @@ VertexAttributeSemantic.hasSetIndex = function (semantic) { case VertexAttributeSemantic.FEATURE_ID: case VertexAttributeSemantic.SCALE: case VertexAttributeSemantic.ROTATION: + case VertexAttributeSemantic.SPLAT_INDEXES: return true; //>>includeStart('debug', pragmas.debug); default: @@ -196,6 +206,8 @@ VertexAttributeSemantic.fromGltfSemantic = function (gltfSemantic) { return VertexAttributeSemantic.SCALE; case "_ROTATION": return VertexAttributeSemantic.ROTATION; + case "_SPLAT_INDEXES": + return VertexAttributeSemantic.SPLAT_INDEXES; } return undefined; @@ -269,6 +281,8 @@ VertexAttributeSemantic.getGlslType = function (semantic) { return "vec3"; case VertexAttributeSemantic.ROTATION: return "vec4"; + case VertexAttributeSemantic.SPLAT_INDEXES: + return "int"; //>>includeStart('debug', pragmas.debug); default: throw new DeveloperError("semantic is not a valid value."); From 6d2b04852611d0530434a3c32b5b4649340412fd Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Fri, 24 Jan 2025 14:39:19 -0600 Subject: [PATCH 81/97] removed splat indexes from vertex attribute semantics.. doesn't belong as it's only used on the instanced quad Simplified updateGaussianSplatting in Model.js, camera check caused more issues than it solved. Index sorting is fast enough, and resolves some edge cases where fast rotations with lots of tiles would orphan some and leave them unsorted --- .../Scene/Model/GeometryPipelineStage.js | 5 +- packages/engine/Source/Scene/Model/Model.js | 110 ++++++++---------- .../Source/Scene/VertexAttributeSemantic.js | 14 --- 3 files changed, 52 insertions(+), 77 deletions(-) diff --git a/packages/engine/Source/Scene/Model/GeometryPipelineStage.js b/packages/engine/Source/Scene/Model/GeometryPipelineStage.js index fd503f71dcad..7b5f47bd12fa 100644 --- a/packages/engine/Source/Scene/Model/GeometryPipelineStage.js +++ b/packages/engine/Source/Scene/Model/GeometryPipelineStage.js @@ -161,9 +161,8 @@ GeometryPipelineStage.process = function ( ).instanceDivisor = showSplats ? 1 : 0; if (primitive.hasGaussianSplatTexture) { - ModelUtility.getAttributeBySemantic( - primitive, - VertexAttributeSemantic.SPLAT_INDEXES, + primitive.attributes.find( + (a) => a.name === "_SPLAT_INDEXES", ).instanceDivisor = showSplats ? 1 : 0; } diff --git a/packages/engine/Source/Scene/Model/Model.js b/packages/engine/Source/Scene/Model/Model.js index 9fedbda59297..c37538d6b2c6 100644 --- a/packages/engine/Source/Scene/Model/Model.js +++ b/packages/engine/Source/Scene/Model/Model.js @@ -15,7 +15,6 @@ import Matrix3 from "../../Core/Matrix3.js"; import Matrix4 from "../../Core/Matrix4.js"; import Resource from "../../Core/Resource.js"; import RuntimeError from "../../Core/RuntimeError.js"; -import CesiumMath from "../../Core/Math.js"; import Pass from "../../Renderer/Pass.js"; import ClippingPlaneCollection from "../ClippingPlaneCollection.js"; import ClippingPolygonCollection from "../ClippingPolygonCollection.js"; @@ -45,6 +44,7 @@ import PntsLoader from "./PntsLoader.js"; import StyleCommandsNeeded from "./StyleCommandsNeeded.js"; import pickModel from "./pickModel.js"; import GaussianSplatSorter from "../GaussianSplatSorter.js"; +import VertexAttributeSemantic from "../VertexAttributeSemantic.js"; /** *
@@ -496,9 +496,6 @@ function Model(options) { true, ); - //track last camera view to determine if gaussian splats need to be re-sorted - this._previousViewProj = undefined; - /** * The color to use when rendering outlines. * @@ -2178,22 +2175,28 @@ function updatePointCloudShading(model) { } } +const scratchSplatMatrix = new Matrix4(); + function updateGaussianSplatting(model, frameState) { - //if the camera has rotated enough, update commands - const viewMatrix = new Matrix4(); - Matrix4.multiply( - frameState.camera.frustum.projectionMatrix, - frameState.camera.viewMatrix, - viewMatrix, - ); + let prim; + for (let i = 0; i < model.sceneGraph.components.nodes.length; i++) { + for ( + let j = 0; + j < model.sceneGraph.components.nodes[i].primitives.length; + j++ + ) { + const primitive = model.sceneGraph.components.nodes[i].primitives[j]; + if (primitive.isGaussianSplatPrimitive) { + prim = primitive; + break; + } + } + } - if (!defined(model._previousViewProj)) { - model._previousViewProj = viewMatrix; + if (!defined(prim)) { return; } - const sg = model._sceneGraph; - const prim = sg._components.nodes[0].primitives[0]; //walk more primitives //texture generation is done and we have one ready to use //rebuild our draw commands this one time if (prim.gaussianSplatTexturePending && prim.hasGaussianSplatTexture) { @@ -2201,56 +2204,43 @@ function updateGaussianSplatting(model, frameState) { model.resetDrawCommands(); } - const dot = - model._previousViewProj[2] * viewMatrix[2] + - model._previousViewProj[6] * viewMatrix[6] + - model._previousViewProj[10] * viewMatrix[10]; + Matrix4.multiply( + frameState.camera.viewMatrix, + model.modelMatrix, + scratchSplatMatrix, + ); - if (Math.abs(dot - 1) > CesiumMath.EPSILON2) { - if (prim?.isGaussianSplatPrimitive) { - const modelViewMatrix = new Matrix4(); - Matrix4.multiply( - frameState.camera.viewMatrix, - model.modelMatrix, - modelViewMatrix, - ); - model._previousViewProj = viewMatrix; + if (!prim?.hasGaussianSplatTexture) { + model.resetDrawCommands(); + return; + } - if (!prim?.hasGaussianSplatTexture) { - model.resetDrawCommands(); - return; - } + const idxAttr = prim.attributes.find((a) => a.name === "_SPLAT_INDEXES"); + const posAttr = ModelUtility.getAttributeBySemantic( + prim, + VertexAttributeSemantic.POSITION, + ); - const idxAttr = prim.attributes.find((a) => a.name === "_SPLAT_INDEXES"); - const posAttr = prim.attributes.find((a) => a.name === "POSITION"); - - try { - const promise = GaussianSplatSorter.radixSortIndexes({ - primitive: { - positions: new Float32Array(posAttr.typedArray), - modelView: Float32Array.from(modelViewMatrix), - count: idxAttr.count, - }, - sortType: "Index", - }); - - if (promise === undefined) { - return; - } + const promise = GaussianSplatSorter.radixSortIndexes({ + primitive: { + positions: new Float32Array(posAttr.typedArray), + modelView: Float32Array.from(scratchSplatMatrix), + count: idxAttr.count, + }, + sortType: "Index", + }); - promise.catch((err) => { - console.error(`${err}`); - }); - promise.then((sortedData) => { - idxAttr.typedArray = sortedData; - model.resetDrawCommands(); - }); - } catch (e) { - console.log(`${e}`); - } - } - //model.resetDrawCommands(); + if (promise === undefined) { + return; } + + promise.catch((err) => { + throw err; + }); + promise.then((sortedData) => { + idxAttr.typedArray = sortedData; + model.resetDrawCommands(); + }); } function updateSilhouette(model, frameState) { diff --git a/packages/engine/Source/Scene/VertexAttributeSemantic.js b/packages/engine/Source/Scene/VertexAttributeSemantic.js index db3cb3e39252..0225bf5d3fba 100644 --- a/packages/engine/Source/Scene/VertexAttributeSemantic.js +++ b/packages/engine/Source/Scene/VertexAttributeSemantic.js @@ -87,13 +87,6 @@ const VertexAttributeSemantic = { * @constant */ ROTATION: "_ROTATION", - /** - * Gaussian Splat Attribute Texture Index - * - * @type {string} - * @constant - */ - SPLAT_INDEXES: "_SPLAT_INDEXES", }; function semanticToVariableName(semantic) { @@ -118,8 +111,6 @@ function semanticToVariableName(semantic) { return "scale"; case VertexAttributeSemantic.ROTATION: return "rotation"; - case VertexAttributeSemantic.SPLAT_INDEXES: - return "splatIndex"; //>>includeStart('debug', pragmas.debug); default: throw new DeveloperError("semantic is not a valid value."); @@ -153,7 +144,6 @@ VertexAttributeSemantic.hasSetIndex = function (semantic) { case VertexAttributeSemantic.FEATURE_ID: case VertexAttributeSemantic.SCALE: case VertexAttributeSemantic.ROTATION: - case VertexAttributeSemantic.SPLAT_INDEXES: return true; //>>includeStart('debug', pragmas.debug); default: @@ -206,8 +196,6 @@ VertexAttributeSemantic.fromGltfSemantic = function (gltfSemantic) { return VertexAttributeSemantic.SCALE; case "_ROTATION": return VertexAttributeSemantic.ROTATION; - case "_SPLAT_INDEXES": - return VertexAttributeSemantic.SPLAT_INDEXES; } return undefined; @@ -281,8 +269,6 @@ VertexAttributeSemantic.getGlslType = function (semantic) { return "vec3"; case VertexAttributeSemantic.ROTATION: return "vec4"; - case VertexAttributeSemantic.SPLAT_INDEXES: - return "int"; //>>includeStart('debug', pragmas.debug); default: throw new DeveloperError("semantic is not a valid value."); From e9b04ba14b9374d462673054ccd584bf69ecf8d4 Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Thu, 30 Jan 2025 13:36:17 -0600 Subject: [PATCH 82/97] Removed need for transform matrix in primitive load plan dequantizing for splats vertex shader tweaked to account for transform scale when calculating 2d covariance --- packages/engine/Source/Scene/GltfLoader.js | 5 ----- .../engine/Source/Scene/PrimitiveLoadPlan.js | 17 +++++++++++------ .../Source/Shaders/Model/GaussianSplatVS.glsl | 16 ++++++++++++++-- 3 files changed, 25 insertions(+), 13 deletions(-) diff --git a/packages/engine/Source/Scene/GltfLoader.js b/packages/engine/Source/Scene/GltfLoader.js index 58df9851271f..5d1f2ed5270d 100644 --- a/packages/engine/Source/Scene/GltfLoader.js +++ b/packages/engine/Source/Scene/GltfLoader.js @@ -516,10 +516,6 @@ function postProcessGeometry(loader, context) { // finished. This way they can be destroyed when the loader is destroyed. gatherPostProcessBuffers(loader, loadPlan); } - - if (loadPlan.needsGaussianSplatting) { - loader.gltfJson.nodes[0].matrix = loadPlan.gaussianSplatScalingMatrix; - } } } @@ -1967,7 +1963,6 @@ function loadPrimitive(loader, gltfPrimitive, hasInstances, frameState) { if (loader._loadGaussianSplatting && defined(gaussianSplattingExtension)) { needsPostProcessing = true; primitivePlan.needsGaussianSplats = true; - primitivePlan.gaussianSplatScalingMatrix = loader.gltfJson.nodes[0].matrix; } const loadForClassification = loader._loadForClassification; diff --git a/packages/engine/Source/Scene/PrimitiveLoadPlan.js b/packages/engine/Source/Scene/PrimitiveLoadPlan.js index dfafc55334da..fc7454c7fb93 100644 --- a/packages/engine/Source/Scene/PrimitiveLoadPlan.js +++ b/packages/engine/Source/Scene/PrimitiveLoadPlan.js @@ -263,7 +263,7 @@ function makeOutlineCoordinatesAttribute(outlineCoordinatesTypedArray) { * Do our dequantizing here. When using meshopt, our positions are quantized, * as well as our quaternions. decodeFilterQuat returns quantized shorts */ -function dequantizeSplatMeshopt(attribute, matrix) { +function dequantizeSplatMeshopt(attribute) { if ( attribute.name === "_ROTATION" && attribute.componentDatatype === ComponentDatatype.SHORT @@ -279,10 +279,11 @@ function dequantizeSplatMeshopt(attribute, matrix) { if ( attribute.name === "POSITION" && - attribute.componentDatatype === ComponentDatatype.SHORT + attribute.componentDatatype === ComponentDatatype.UNSIGNED_SHORT ) { - const fa = new Float32Array(attribute.typedArray).map( - (n, i) => (n / 32767.0) * matrix[0], + const fa = Float32Array.from( + attribute.typedArray, + (n) => n / attribute.max.x, ); attribute.typedArray = fa; attribute.componentDatatype = ComponentDatatype.FLOAT; @@ -297,7 +298,6 @@ function dequantizeSplatMeshopt(attribute, matrix) { let minZ = Infinity; let maxZ = -Infinity; - // Step through array 3 values at a time for (let i = 0; i < flatArray.length; i += 3) { const x = flatArray[i]; const y = flatArray[i + 1]; @@ -330,13 +330,18 @@ function setupGaussianSplatBuffers(loadPlan, context) { attributePlan.loadTypedArray = true; const attribute = attributePlan.attribute; - dequantizeSplatMeshopt(attribute, loadPlan.gaussianSplatScalingMatrix); + dequantizeSplatMeshopt(attribute); } } function generateSplatTexture(loadPlan, context) { loadPlan.primitive.gaussianSplatTexturePending = true; + const attributePlans = loadPlan.attributePlans; + for (let i = 0; i < attributePlans.length; i++) { + dequantizeSplatMeshopt(attributePlans[i].attribute); + } + GaussianSplatTextureGenerator.generateFromAttrs( loadPlan.primitive.attributes, loadPlan.primitive.attributes[0].count, diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl index d8b9461670b5..20e67afb1996 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl @@ -79,6 +79,8 @@ void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) vec4 clipPosition = czm_modelViewProjection * vec4(a_splatPosition,1.0); positionClip = clipPosition; + //positionClip *= u_scalingMatrix; + float[6] cov3D; calcCov3D(attributes.scale, attributes.rotation, cov3D); vec3 cov = calcCov2D(a_splatPosition, u_focalX, u_focalY, u_tan_fovX, u_tan_fovY, cov3D, viewMatrix); @@ -113,8 +115,11 @@ vec4 calcCovVectors(vec3 worldPos, mat3 Vrk, mat3 viewmatrix) { 0.0, 0.0, 0.0 ); + //assuming a uniform scale, should get us close enough + float scale = length(viewmatrix[0]); + mat3 T = viewmatrix * J; - mat3 cov = transpose(T) * Vrk * T; + mat3 cov = transpose(T) * Vrk * T / (scale*scale); float diagonal1 = cov[0][0] + .3; float offDiagonal = cov[0][1]; @@ -139,7 +144,7 @@ void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) uint texIdx = uint(a_splatIndex); ivec2 posCoord = ivec2((texIdx & 0x3ffu) << 1, texIdx >> 10); vec4 splatPosition = vec4( uintBitsToFloat(uvec4(texelFetch(u_splatAttributeTexture, posCoord, 0))) ); - + vec4 splatViewPos = czm_modelView * vec4(splatPosition.xyz, 1.0); vec4 clipPosition = czm_projection * splatViewPos; @@ -180,7 +185,14 @@ void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) positionClip.z = clamp(positionClip.z, -abs(positionClip.w), abs(positionClip.w)); v_vertPos = corner ; + v_depth = positionClip.z; + v_splatColor = vec4(covariance.w & 0xffu, (covariance.w >> 8) & 0xffu, (covariance.w >> 16) & 0xffu, (covariance.w >> 24) & 0xffu) / 255.0; + + //if tile bounding volumes are shown, increase transparency so we can see the entire box + #ifdef DEBUG_BOUNDING_VOLUMES + v_splatColor.a *= 0.08; + #endif } From 7512ef42c7359be354a4ec40889a534a806ef7bc Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Thu, 30 Jan 2025 13:37:32 -0600 Subject: [PATCH 83/97] added define for debug volumes --- .../GaussianSplatTexturePipelineStage.js | 19 +++++++++---------- 1 file changed, 9 insertions(+), 10 deletions(-) diff --git a/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js index 55dfa1535a91..8e96434abf8e 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js @@ -36,10 +36,19 @@ GaussianSplatTexturePipelineStage.process = function ( ShaderDestination.BOTH, ); + if (renderResources.model.content.tileset.debugShowBoundingVolume) { + shaderBuilder.addDefine( + "DEBUG_BOUNDING_VOLUMES", + undefined, + ShaderDestination.BOTH, + ); + } + shaderBuilder.addAttribute("float", "a_splatIndex"); shaderBuilder.addVarying("vec4", "v_splatColor"); shaderBuilder.addVarying("vec2", "v_vertPos"); + shaderBuilder.addVarying("float", "v_depth"); shaderBuilder.addUniform( "highp usampler2D", @@ -47,12 +56,6 @@ GaussianSplatTexturePipelineStage.process = function ( ShaderDestination.VERTEX, ); - shaderBuilder.addUniform( - "mat4", - "u_transformMatrix", - ShaderDestination.VERTEX, - ); - shaderBuilder.addUniform("float", "u_splatScale", ShaderDestination.VERTEX); const uniformMap = renderResources.uniformMap; @@ -65,10 +68,6 @@ GaussianSplatTexturePipelineStage.process = function ( return primitive.gaussianSplatTexture; }; - uniformMap.u_transformMatrix = function () { - return renderResources.model.sceneGraph.components.nodes[0].matrix; - }; - renderResources.instanceCount = renderResources.count; renderResources.count = 4; renderResources.primitiveType = PrimitiveType.TRIANGLE_STRIP; From d684417bb83c7480376fbf38d6ef0c9cf4039384 Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Thu, 30 Jan 2025 17:53:58 -0600 Subject: [PATCH 84/97] Gaussian Splat Texture Generator now uses TaskProcessor. Async creation moved to Model from PrimitiveLoadPlan (where is was synchronous).. Reworked logic in runtime primitive. No longer auto fallback to other pipeline. --- packages/engine/Source/Scene/GltfLoader.js | 4 + .../Model/GaussianSplatTextureGenerator.js | 168 ++++++------------ packages/engine/Source/Scene/Model/Model.js | 93 +++++++++- .../Scene/Model/ModelRuntimePrimitive.js | 11 +- .../engine/Source/Scene/PrimitiveLoadPlan.js | 57 +----- .../Workers/gaussianSplatTextureGenerator.js | 9 +- 6 files changed, 165 insertions(+), 177 deletions(-) diff --git a/packages/engine/Source/Scene/GltfLoader.js b/packages/engine/Source/Scene/GltfLoader.js index 5d1f2ed5270d..1b76c5dd885e 100644 --- a/packages/engine/Source/Scene/GltfLoader.js +++ b/packages/engine/Source/Scene/GltfLoader.js @@ -212,6 +212,7 @@ function GltfLoader(options) { loadForClassification = false, renameBatchIdSemantic = false, loadGaussianSplatting = true, + generateGaussianSplatTexture = true, } = options; //>>includeStart('debug', pragmas.debug); @@ -237,6 +238,7 @@ function GltfLoader(options) { this._loadForClassification = loadForClassification; this._renameBatchIdSemantic = renameBatchIdSemantic; this._loadGaussianSplatting = loadGaussianSplatting; + this._generateGaussianSplatTexture = generateGaussianSplatTexture; // When loading EXT_feature_metadata, the feature tables and textures // are now stored as arrays like the newer EXT_structural_metadata extension. @@ -1963,6 +1965,8 @@ function loadPrimitive(loader, gltfPrimitive, hasInstances, frameState) { if (loader._loadGaussianSplatting && defined(gaussianSplattingExtension)) { needsPostProcessing = true; primitivePlan.needsGaussianSplats = true; + primitivePlan.generateGaussianSplatTexture = + loader._generateGaussianSplatTexture; } const loadForClassification = loader._loadForClassification; diff --git a/packages/engine/Source/Scene/Model/GaussianSplatTextureGenerator.js b/packages/engine/Source/Scene/Model/GaussianSplatTextureGenerator.js index 5dde5af1ba82..6723935e060c 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatTextureGenerator.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatTextureGenerator.js @@ -1,126 +1,64 @@ -import __wbg_init, { - initSync, - generate_splat_texture_from_attrs, -} from "@cesium/wasm-splats"; -import buildModuleUrl from "../../Core/buildModuleUrl.js"; - -//TODO: move to TaskProcessor - -GaussianSplatTextureGenerator.wasmModule = undefined; -GaussianSplatTextureGenerator.wasmInitialized = false; -GaussianSplatTextureGenerator.initPromise = null; +import defined from "../../Core/defined.js"; +import FeatureDetection from "../../Core/FeatureDetection.js"; +import RuntimeError from "../../Core/RuntimeError.js"; +import TaskProcessor from "../../Core/TaskProcessor.js"; function GaussianSplatTextureGenerator() {} -GaussianSplatTextureGenerator.initWasmModule = function () { - (async () => { - if (!this.initPromise) { - this.initPromise = await __wbg_init( - buildModuleUrl("ThirdParty/wasm_splats_bg.wasm"), - ) - .then((wasm) => { - this.wasmInitialized = true; - initSync(wasm); - this.wasmModule = wasm; - }) - .catch((err) => { - console.error("Failed to initialize WASM module:", err); - throw err; - }); - } - })(); - return this.initPromise; -}; - -//Attributes -//Position (vec3) -//Scale (vec3) -//Rotation (vec4) -//RGBA (u8 * 4) -GaussianSplatTextureGenerator.generateFromAttrs = async function ( - attributes, - count, -) { - if (!this.wasmModule || !this.wasmInitialized) { - this.initWasmModule(); - - while (!this.wasmModule) { - await new Promise((r) => setTimeout(r, 100)); - } +GaussianSplatTextureGenerator._maxSortingConcurrency = Math.max( + FeatureDetection.hardwareConcurrency - 1, + 1, +); + +GaussianSplatTextureGenerator._textureTaskProcessor = undefined; +GaussianSplatTextureGenerator._taskProcessorReady = false; +GaussianSplatTextureGenerator._error = undefined; +GaussianSplatTextureGenerator._getTextureTaskProcessor = function () { + if (!defined(GaussianSplatTextureGenerator._textureTaskProcessor)) { + const processor = new TaskProcessor( + "gaussianSplatTextureGenerator", + GaussianSplatTextureGenerator._maxSortingConcurrency, + ); + processor + .initWebAssemblyModule({ + wasmBinaryFile: "ThirdParty/wasm_splats_bg.wasm", + }) + .then(function (result) { + if (result) { + GaussianSplatTextureGenerator._taskProcessorReady = true; + } else { + GaussianSplatTextureGenerator._error = new RuntimeError( + "Gaussian splat sorter could not be initialized.", + ); + } + }) + .catch((error) => { + GaussianSplatTextureGenerator._error = error; + }); + GaussianSplatTextureGenerator._textureTaskProcessor = processor; } - return generate_splat_texture_from_attrs( - attributes.find((a) => a.name === "POSITION").typedArray, - attributes.find((a) => a.name === "_SCALE").typedArray, - attributes.find((a) => a.name === "_ROTATION").typedArray, - attributes.find((a) => a.name === "COLOR_0").typedArray, - count, - ); + return GaussianSplatTextureGenerator._textureTaskProcessor; }; -//////////////////////////////////////////////////// -/////// - -// import defined from "../../Core/defined.js"; -// import FeatureDetection from "../../Core/FeatureDetection.js"; -// import RuntimeError from "../../Core/RuntimeError.js"; -// import TaskProcessor from "../../Core/TaskProcessor.js"; - -// function GaussianSplatTextureGenerator() {} - -// GaussianSplatTextureGenerator._maxSortingConcurrency = Math.max( -// FeatureDetection.hardwareConcurrency - 1, -// 1, -// ); - -// GaussianSplatTextureGenerator._textureTaskProcessor = undefined; -// GaussianSplatTextureGenerator._taskProcessorReady = false; -// GaussianSplatTextureGenerator._error = undefined; -// GaussianSplatTextureGenerator._getTextureTaskProcessor = function () { -// if (!defined(GaussianSplatTextureGenerator._textureTaskProcessor)) { -// const processor = new TaskProcessor( -// "gaussianSplatTextureGenerator", -// GaussianSplatTextureGenerator._maxSortingConcurrency, -// ); -// processor -// .initWebAssemblyModule({ -// wasmBinaryFile: "ThirdParty/cesium-gsplat/cesiumjs_gsplat_utils_bg.wasm", -// }) -// .then(function (result) { -// if (result) { -// GaussianSplatTextureGenerator._taskProcessorReady = true; -// } else { -// GaussianSplatTextureGenerator._error = new RuntimeError( -// "Gaussian splat sorter could not be initialized.", -// ); -// } -// }) -// .catch((error) => { -// GaussianSplatTextureGenerator._error = error; -// }); -// GaussianSplatTextureGenerator._textureTaskProcessor = processor; -// } - -// return GaussianSplatTextureGenerator._textureTaskProcessor; -// }; - -// GaussianSplatTextureGenerator.generateFromAttrs = function (parameters) { -// const textureTaskProcessor = GaussianSplatTextureGenerator._getTextureTaskProcessor(); -// if (defined(GaussianSplatTextureGenerator._error)) { -// throw GaussianSplatTextureGenerator._error; -// } +GaussianSplatTextureGenerator.generateFromAttrs = function (parameters) { + const textureTaskProcessor = + GaussianSplatTextureGenerator._getTextureTaskProcessor(); + if (defined(GaussianSplatTextureGenerator._error)) { + throw GaussianSplatTextureGenerator._error; + } -// if (!GaussianSplatTextureGenerator._taskProcessorReady) { -// return; -// } + if (!GaussianSplatTextureGenerator._taskProcessorReady) { + return; + } -// const { attributes } = parameters; -// return textureTaskProcessor.scheduleTask(parameters,[ -// attributes.positions.typedArray, -// attributes.scales.typedArray, -// attributes.rotations.typedArray, -// attributes.colors.typedArray -// ]); -// }; + const { attributes } = parameters; + return textureTaskProcessor.scheduleTask(parameters, [ + attributes.positions.buffer, + attributes.scales.buffer, + attributes.rotations.buffer, + attributes.colors.buffer, + ]); +}; export default GaussianSplatTextureGenerator; diff --git a/packages/engine/Source/Scene/Model/Model.js b/packages/engine/Source/Scene/Model/Model.js index c37538d6b2c6..f86f850902b4 100644 --- a/packages/engine/Source/Scene/Model/Model.js +++ b/packages/engine/Source/Scene/Model/Model.js @@ -46,6 +46,16 @@ import pickModel from "./pickModel.js"; import GaussianSplatSorter from "../GaussianSplatSorter.js"; import VertexAttributeSemantic from "../VertexAttributeSemantic.js"; +import GaussianSplatTextureGenerator from "./GaussianSplatTextureGenerator.js"; + +import Texture from "../../Renderer/Texture.js"; +import PixelFormat from "../../Core/PixelFormat.js"; +import PixelDatatype from "../../Renderer/PixelDatatype.js"; +import Sampler from "../../Renderer/Sampler.js"; +import AttributeType from "../AttributeType.js"; +import ModelComponents from "../ModelComponents.js"; +import ComponentDatatype from "../../Core/ComponentDatatype.js"; + /** *
* To construct a Model, call {@link Model.fromGltfAsync}. Do not call the constructor directly. @@ -2177,6 +2187,79 @@ function updatePointCloudShading(model) { const scratchSplatMatrix = new Matrix4(); +function generateSplatTexture(primitive, frameState) { + primitive.gaussianSplatTexturePending = true; + const promise = GaussianSplatTextureGenerator.generateFromAttrs({ + attributes: { + positions: new Float32Array( + ModelUtility.getAttributeBySemantic( + primitive, + VertexAttributeSemantic.POSITION, + ).typedArray, + ), + scales: new Float32Array( + ModelUtility.getAttributeBySemantic( + primitive, + VertexAttributeSemantic.SCALE, + ).typedArray, + ), + rotations: new Float32Array( + ModelUtility.getAttributeBySemantic( + primitive, + VertexAttributeSemantic.ROTATION, + ).typedArray, + ), + colors: new Uint8Array( + ModelUtility.getAttributeBySemantic( + primitive, + VertexAttributeSemantic.COLOR, + ).typedArray, + ), + }, + count: primitive.attributes[0].count, + }); + + if (promise === undefined) { + primitive.gaussianSplatTexturePending = false; + return; + } + + promise.then((splatTextureData) => { + const splatTex = new Texture({ + context: frameState.context, + source: { + width: splatTextureData.width, + height: splatTextureData.height, + arrayBufferView: splatTextureData.data, + }, + preMultiplyAlpha: false, + skipColorSpaceConversion: true, + pixelFormat: PixelFormat.RGBA_INTEGER, + pixelDatatype: PixelDatatype.UNSIGNED_INT, + flipY: false, + sampler: Sampler.NEAREST, + }); + const count = primitive.attributes[0].count; + const attribute = new ModelComponents.Attribute(); + + //index attribute for indexing into attribute texture + attribute.name = "_SPLAT_INDEXES"; + attribute.typedArray = new Uint32Array([...Array(count).keys()]); + attribute.componentDatatype = ComponentDatatype.UNSIGNED_INT; + attribute.type = AttributeType.SCALAR; + attribute.normalized = false; + attribute.count = count; + attribute.constant = 0; + attribute.instanceDivisor = 1; + + primitive.attributes.push(attribute); + primitive.gaussianSplatTexture = splatTex; + primitive.hasGaussianSplatTexture = true; + primitive.needsGaussianSplatTexture = false; + primitive.gaussianSplatTexturePending = false; + }); +} + function updateGaussianSplatting(model, frameState) { let prim; for (let i = 0; i < model.sceneGraph.components.nodes.length; i++) { @@ -2197,11 +2280,11 @@ function updateGaussianSplatting(model, frameState) { return; } - //texture generation is done and we have one ready to use - //rebuild our draw commands this one time - if (prim.gaussianSplatTexturePending && prim.hasGaussianSplatTexture) { - prim.gaussianSplatTexturePending = false; - model.resetDrawCommands(); + if (prim.needsGaussianSplatTexture) { + if (!prim.gaussianSplatTexturePending) { + generateSplatTexture(prim, frameState); + } + return; } Matrix4.multiply( diff --git a/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js b/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js index 1464e7b2bbd3..9a0261c2d931 100644 --- a/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js +++ b/packages/engine/Source/Scene/Model/ModelRuntimePrimitive.js @@ -314,11 +314,14 @@ ModelRuntimePrimitive.prototype.configurePipeline = function (frameState) { pipelineStages.push(PrimitiveStatisticsPipelineStage); - if (hasGaussianSplats && !(primitive?.gaussianSplatTexturePending ?? false)) { - if (primitive?.hasGaussianSplatTexture ?? false) { - pipelineStages.push(GaussianSplatTexturePipelineStage); - } else { + if (hasGaussianSplats) { + if (!defined(primitive.needsGaussianSplatTexture)) { pipelineStages.push(GaussianSplatPipelineStage); + } else if ( + primitive.needsGaussianSplatTexture === false && + (primitive?.hasGaussianSplatTexture ?? false) + ) { + pipelineStages.push(GaussianSplatTexturePipelineStage); } } diff --git a/packages/engine/Source/Scene/PrimitiveLoadPlan.js b/packages/engine/Source/Scene/PrimitiveLoadPlan.js index fc7454c7fb93..c201d742f1f1 100644 --- a/packages/engine/Source/Scene/PrimitiveLoadPlan.js +++ b/packages/engine/Source/Scene/PrimitiveLoadPlan.js @@ -7,11 +7,6 @@ import BufferUsage from "../Renderer/BufferUsage.js"; import AttributeType from "./AttributeType.js"; import ModelComponents from "./ModelComponents.js"; import PrimitiveOutlineGenerator from "./Model/PrimitiveOutlineGenerator.js"; -import GaussianSplatTextureGenerator from "./Model/GaussianSplatTextureGenerator.js"; -import Texture from "../Renderer/Texture.js"; -import PixelFormat from "../Core/PixelFormat.js"; -import PixelDatatype from "../Renderer/PixelDatatype.js"; -import Sampler from "../Renderer/Sampler.js"; import AttributeCompression from "../Core/AttributeCompression.js"; import Cartesian3 from "../Core/Cartesian3.js"; @@ -180,7 +175,7 @@ function PrimitiveLoadPlan(primitive) { * @type {boolean} * @private */ - this.generateGaussianSplatTexture = true; + this.generateGaussianSplatTexture = false; } /** @@ -204,7 +199,10 @@ PrimitiveLoadPlan.prototype.postProcess = function (context) { this.primitive.isGaussianSplatPrimitive = true; setupGaussianSplatBuffers(this, context); if (this.generateGaussianSplatTexture) { - generateSplatTexture(this, context); + this.attributePlans.forEach((attr) => { + dequantizeSplatMeshopt(attr); + this.primitive.needsGaussianSplatTexture = true; + }); } } }; @@ -334,51 +332,6 @@ function setupGaussianSplatBuffers(loadPlan, context) { } } -function generateSplatTexture(loadPlan, context) { - loadPlan.primitive.gaussianSplatTexturePending = true; - - const attributePlans = loadPlan.attributePlans; - for (let i = 0; i < attributePlans.length; i++) { - dequantizeSplatMeshopt(attributePlans[i].attribute); - } - - GaussianSplatTextureGenerator.generateFromAttrs( - loadPlan.primitive.attributes, - loadPlan.primitive.attributes[0].count, - ).then((splatTextureData) => { - const splatTex = new Texture({ - context, - source: { - width: splatTextureData.width, - height: splatTextureData.height, - arrayBufferView: splatTextureData.data, - }, - preMultiplyAlpha: false, - skipColorSpaceConversion: true, - pixelFormat: PixelFormat.RGBA_INTEGER, - pixelDatatype: PixelDatatype.UNSIGNED_INT, - flipY: false, - sampler: Sampler.NEAREST, - }); - const count = loadPlan.primitive.attributes[0].count; - const attribute = new ModelComponents.Attribute(); - - //index attribute for indexing into attribute texture - attribute.name = "_SPLAT_INDEXES"; - attribute.typedArray = new Uint32Array([...Array(count).keys()]); - attribute.componentDatatype = ComponentDatatype.UNSIGNED_INT; - attribute.type = AttributeType.SCALAR; - attribute.normalized = false; - attribute.count = count; - attribute.constant = 0; - attribute.instanceDivisor = 1; - - loadPlan.primitive.attributes.push(attribute); - loadPlan.primitive.gaussianSplatTexture = splatTex; - loadPlan.primitive.hasGaussianSplatTexture = true; - }); -} - function generateBuffers(loadPlan, context) { generateAttributeBuffers(loadPlan.attributePlans, context); diff --git a/packages/engine/Source/Workers/gaussianSplatTextureGenerator.js b/packages/engine/Source/Workers/gaussianSplatTextureGenerator.js index f1102d99fae8..08d23b985825 100644 --- a/packages/engine/Source/Workers/gaussianSplatTextureGenerator.js +++ b/packages/engine/Source/Workers/gaussianSplatTextureGenerator.js @@ -11,6 +11,7 @@ async function initWorker(parameters, transferableObjects) { initSync(wasmConfig.wasmBinary); return true; } + return false; } async function generateSplatTextureWorker(parameters, transferableObjects) { @@ -20,13 +21,19 @@ async function generateSplatTextureWorker(parameters, transferableObjects) { } const { attributes, count } = parameters; - return generate_texture_from_attrs( + const result = generate_texture_from_attrs( attributes.positions, attributes.scales, attributes.rotations, attributes.colors, count, ); + + return { + data: result.data, + width: result.width, + height: result.height, + }; } export default createTaskProcessorWorker(generateSplatTextureWorker); From a2cefeb6acfeeb526c5c48a897b5a2d80727a8af Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Fri, 31 Jan 2025 09:04:48 -0600 Subject: [PATCH 85/97] remove unused v_depth --- .../Source/Scene/Model/GaussianSplatTexturePipelineStage.js | 1 - packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl | 2 -- 2 files changed, 3 deletions(-) diff --git a/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js b/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js index 8e96434abf8e..4505ba28e92f 100644 --- a/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js +++ b/packages/engine/Source/Scene/Model/GaussianSplatTexturePipelineStage.js @@ -48,7 +48,6 @@ GaussianSplatTexturePipelineStage.process = function ( shaderBuilder.addVarying("vec4", "v_splatColor"); shaderBuilder.addVarying("vec2", "v_vertPos"); - shaderBuilder.addVarying("float", "v_depth"); shaderBuilder.addUniform( "highp usampler2D", diff --git a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl index 20e67afb1996..d620a3deed5a 100644 --- a/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl +++ b/packages/engine/Source/Shaders/Model/GaussianSplatVS.glsl @@ -185,8 +185,6 @@ void gaussianSplatStage(ProcessedAttributes attributes, inout vec4 positionClip) positionClip.z = clamp(positionClip.z, -abs(positionClip.w), abs(positionClip.w)); v_vertPos = corner ; - v_depth = positionClip.z; - v_splatColor = vec4(covariance.w & 0xffu, (covariance.w >> 8) & 0xffu, (covariance.w >> 16) & 0xffu, (covariance.w >> 24) & 0xffu) / 255.0; //if tile bounding volumes are shown, increase transparency so we can see the entire box From e4cb8e3a114fd77d2e4ea481f90d54f8fc100661 Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Fri, 31 Jan 2025 09:26:21 -0600 Subject: [PATCH 86/97] better splat sorting using bounding volume center --- packages/engine/Source/Scene/Scene.js | 42 +++++++++------------------ 1 file changed, 13 insertions(+), 29 deletions(-) diff --git a/packages/engine/Source/Scene/Scene.js b/packages/engine/Source/Scene/Scene.js index 555846d2c712..2cd696a8cf04 100644 --- a/packages/engine/Source/Scene/Scene.js +++ b/packages/engine/Source/Scene/Scene.js @@ -2321,37 +2321,21 @@ function backToFront(a, b, position) { ); } -const scratchCartA = new Cartesian3(); -const scratchCartB = new Cartesian3(); - -function backToFrontSplats(a, b, scene) { - const boxA = a.orientedBoundingBox; - const boxB = b.orientedBoundingBox; - const camera = scene.camera; - - const cameraSpaceA = Matrix4.multiplyByPoint( - camera.viewMatrix, - boxA.center, - scratchCartA, - ); - const cameraSpaceB = Matrix4.multiplyByPoint( - camera.viewMatrix, - boxB.center, - scratchCartB, - ); +const scratchCart3 = new Cartesian3(); +function distanceSquaredToCenter(center, position) { + const diff = Cartesian3.subtract(center, position, scratchCart3); + const distance = Math.max(0.0, Cartesian3.magnitude(diff)); + return distance * distance; +} - const sqrDistA = cameraSpaceA.z * cameraSpaceA.z; - const sqrDistB = cameraSpaceB.z * cameraSpaceB.z; +function backToFrontSplats(a, b, position) { + const boxA = a.boundingVolume; + const boxB = b.boundingVolume; - const viewOffsetA = Math.sqrt( - cameraSpaceA.x * cameraSpaceA.x + cameraSpaceA.y * cameraSpaceA.y, - ); - const viewOffsetB = Math.sqrt( - cameraSpaceB.x * cameraSpaceB.x + cameraSpaceB.y * cameraSpaceB.y, + return ( + distanceSquaredToCenter(boxB.center, position) - + distanceSquaredToCenter(boxA.center, position) ); - - const weight = 10; - return sqrDistB + viewOffsetB * weight - (sqrDistA + viewOffsetA * weight); } function frontToBack(a, b, position) { @@ -2427,7 +2411,7 @@ function performGaussianSplatPass(scene, passState, frustumCommands) { commands.length = frustumCommands.indices[Pass.GAUSSIAN_SPLATS]; //still necessary? - mergeSort(commands, backToFrontSplats, scene); + mergeSort(commands, backToFrontSplats, scene.camera.positionWC); for (let i = 0; i < commands.length; ++i) { executeCommand(commands[i], scene, passState); From ecd40fa601c7f686d380c230a57ab906cbc5bca1 Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Thu, 6 Feb 2025 08:29:23 -0600 Subject: [PATCH 87/97] Loading splats test --- .../Scene/Model/GeometryPipelineStageSpec.js | 133 ++++++++++++++++++ 1 file changed, 133 insertions(+) diff --git a/packages/engine/Specs/Scene/Model/GeometryPipelineStageSpec.js b/packages/engine/Specs/Scene/Model/GeometryPipelineStageSpec.js index 57d9e3794a1f..cc897099087c 100644 --- a/packages/engine/Specs/Scene/Model/GeometryPipelineStageSpec.js +++ b/packages/engine/Specs/Scene/Model/GeometryPipelineStageSpec.js @@ -77,6 +77,8 @@ describe( "./Data/Models/glTF-2.0/BoxWithTangents/glTF-Draco/BoxWithTangents.gltf"; const boxInstancedTranslationUrl = "./Data/Models/glTF-2.0/BoxInstancedTranslation/glTF/box-instanced-translation.gltf"; + const gaussianSplatUncompressed = + "./Data/Cesium3DTiles/GaussianSplats/synthetic/0/0.gltf"; let scene; let scene2D; @@ -1756,6 +1758,137 @@ describe( verifyFeatureStruct(shaderBuilder); }); }); + + it("process model with Gaussian splat attributes with texture", function () { + return loadGltf(gaussianSplatUncompressed, { + generateGaussianSplatTexture: false, + }).then(function (gltfLoader) { + const components = gltfLoader.components; + const node = components.nodes[0]; + const primitive = node.primitives[0]; + const renderResources = mockRenderResources(primitive); + + renderResources.runtimeNode.node = node; + renderResources.model._projectTo2D = true; + + GeometryPipelineStage.process( + renderResources, + primitive, + scene2D.frameState, + ); + + const shaderBuilder = renderResources.shaderBuilder; + const attributes = primitive.attributes; + + expect(attributes.length).toEqual(4); + + const colorAttribute = attributes[0]; + const positionAttribute = attributes[1]; + const scaleAttribute = attributes[2]; + const rotationAttribute = attributes[3]; + + console.log(JSON.parse(JSON.stringify(primitive))); + + expect(colorAttribute.typedArray).toBeDefined(); + expect(colorAttribute.type).toEqual("VEC4"); + expect(colorAttribute.componentDatatype).toEqual( + ComponentDatatype.UNSIGNED_BYTE, + ); + + expect(scaleAttribute.typedArray).toBeDefined(); + expect(scaleAttribute.type).toEqual("VEC3"); + expect(scaleAttribute.componentDatatype).toEqual( + ComponentDatatype.FLOAT, + ); + + expect(positionAttribute.typedArray).toBeDefined(); + expect(positionAttribute.type).toEqual("VEC3"); + expect(positionAttribute.componentDatatype).toEqual( + ComponentDatatype.FLOAT, + ); + + expect(rotationAttribute.typedArray).toBeDefined(); + expect(rotationAttribute.type).toEqual("VEC4"); + expect(rotationAttribute.componentDatatype).toEqual( + ComponentDatatype.FLOAT, + ); + + ShaderBuilderTester.expectHasVertexStruct( + shaderBuilder, + GeometryPipelineStage.STRUCT_ID_PROCESSED_ATTRIBUTES_VS, + GeometryPipelineStage.STRUCT_NAME_PROCESSED_ATTRIBUTES, + [ + " vec3 positionMC;", + " vec4 color_0;", + " vec3 position2D;", + " vec3 scale;", + " vec4 rotation;", + ], + ); + ShaderBuilderTester.expectHasFragmentStruct( + shaderBuilder, + GeometryPipelineStage.STRUCT_ID_PROCESSED_ATTRIBUTES_FS, + GeometryPipelineStage.STRUCT_NAME_PROCESSED_ATTRIBUTES, + [ + " vec3 positionMC;", + " vec3 positionWC;", + " vec3 positionEC;", + " vec4 color_0;", + " vec4 rotation;", + " vec3 scale;", + ], + ); + ShaderBuilderTester.expectHasVertexFunctionUnordered( + shaderBuilder, + GeometryPipelineStage.FUNCTION_ID_INITIALIZE_ATTRIBUTES, + GeometryPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_ATTRIBUTES, + [ + " attributes.positionMC = a_positionMC;", + " attributes.position2D = a_position2D;", + " attributes.color_0 = a_color_0;", + " attributes.rotation = a_rotation;", + " attributes.scale = a_scale;", + ], + ); + ShaderBuilderTester.expectHasVertexFunctionUnordered( + shaderBuilder, + GeometryPipelineStage.FUNCTION_ID_SET_DYNAMIC_VARYINGS_VS, + GeometryPipelineStage.FUNCTION_SIGNATURE_SET_DYNAMIC_VARYINGS, + [" v_color_0 = attributes.color_0;"], + ); + ShaderBuilderTester.expectHasFragmentFunctionUnordered( + shaderBuilder, + GeometryPipelineStage.FUNCTION_ID_SET_DYNAMIC_VARYINGS_FS, + GeometryPipelineStage.FUNCTION_SIGNATURE_SET_DYNAMIC_VARYINGS, + [" attributes.color_0 = v_color_0;"], + ); + ShaderBuilderTester.expectHasVaryings(shaderBuilder, [ + "vec4 v_color_0;", + "vec4 v_rotation;", + "vec3 v_scale;", + "vec3 v_positionEC;", + "vec3 v_positionMC;", + "vec3 v_positionWC;", + ]); + ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, [ + "HAS_COLOR_0", + ]); + ShaderBuilderTester.expectHasFragmentDefines(shaderBuilder, [ + "HAS_COLOR_0", + ]); + ShaderBuilderTester.expectHasAttributes( + shaderBuilder, + "in vec3 a_positionMC;", + [ + "in vec3 a_position2D;", + "in vec4 a_color_0;", + "in vec4 a_rotation;", + "in vec3 a_scale;", + ], + ); + verifyFeatureStruct(shaderBuilder); + }); + }); }, "WebGL", ); From a023aa2b9e08bd9685f084552e6ce87c5a65cfaf Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Thu, 6 Feb 2025 09:12:21 -0600 Subject: [PATCH 88/97] meshopt splat test --- .../Scene/Model/GeometryPipelineStageSpec.js | 133 +++++++++++++++++- 1 file changed, 131 insertions(+), 2 deletions(-) diff --git a/packages/engine/Specs/Scene/Model/GeometryPipelineStageSpec.js b/packages/engine/Specs/Scene/Model/GeometryPipelineStageSpec.js index cc897099087c..f86a884df83a 100644 --- a/packages/engine/Specs/Scene/Model/GeometryPipelineStageSpec.js +++ b/packages/engine/Specs/Scene/Model/GeometryPipelineStageSpec.js @@ -79,6 +79,8 @@ describe( "./Data/Models/glTF-2.0/BoxInstancedTranslation/glTF/box-instanced-translation.gltf"; const gaussianSplatUncompressed = "./Data/Cesium3DTiles/GaussianSplats/synthetic/0/0.gltf"; + const gaussianSplatMeshopt = + "./Data/Cesium3DTiles/GaussianSplats/penguin/meshopt_full/0/0.gltf"; let scene; let scene2D; @@ -1761,7 +1763,7 @@ describe( it("process model with Gaussian splat attributes with texture", function () { return loadGltf(gaussianSplatUncompressed, { - generateGaussianSplatTexture: false, + generateGaussianSplatTexture: true, }).then(function (gltfLoader) { const components = gltfLoader.components; const node = components.nodes[0]; @@ -1787,7 +1789,134 @@ describe( const scaleAttribute = attributes[2]; const rotationAttribute = attributes[3]; - console.log(JSON.parse(JSON.stringify(primitive))); + expect(colorAttribute.typedArray).toBeDefined(); + expect(colorAttribute.type).toEqual("VEC4"); + expect(colorAttribute.componentDatatype).toEqual( + ComponentDatatype.UNSIGNED_BYTE, + ); + + expect(scaleAttribute.typedArray).toBeDefined(); + expect(scaleAttribute.type).toEqual("VEC3"); + expect(scaleAttribute.componentDatatype).toEqual( + ComponentDatatype.FLOAT, + ); + + expect(positionAttribute.typedArray).toBeDefined(); + expect(positionAttribute.type).toEqual("VEC3"); + expect(positionAttribute.componentDatatype).toEqual( + ComponentDatatype.FLOAT, + ); + + expect(rotationAttribute.typedArray).toBeDefined(); + expect(rotationAttribute.type).toEqual("VEC4"); + expect(rotationAttribute.componentDatatype).toEqual( + ComponentDatatype.FLOAT, + ); + + ShaderBuilderTester.expectHasVertexStruct( + shaderBuilder, + GeometryPipelineStage.STRUCT_ID_PROCESSED_ATTRIBUTES_VS, + GeometryPipelineStage.STRUCT_NAME_PROCESSED_ATTRIBUTES, + [ + " vec3 positionMC;", + " vec4 color_0;", + " vec3 position2D;", + " vec3 scale;", + " vec4 rotation;", + ], + ); + ShaderBuilderTester.expectHasFragmentStruct( + shaderBuilder, + GeometryPipelineStage.STRUCT_ID_PROCESSED_ATTRIBUTES_FS, + GeometryPipelineStage.STRUCT_NAME_PROCESSED_ATTRIBUTES, + [ + " vec3 positionMC;", + " vec3 positionWC;", + " vec3 positionEC;", + " vec4 color_0;", + " vec4 rotation;", + " vec3 scale;", + ], + ); + ShaderBuilderTester.expectHasVertexFunctionUnordered( + shaderBuilder, + GeometryPipelineStage.FUNCTION_ID_INITIALIZE_ATTRIBUTES, + GeometryPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_ATTRIBUTES, + [ + " attributes.positionMC = a_positionMC;", + " attributes.position2D = a_position2D;", + " attributes.color_0 = a_color_0;", + " attributes.rotation = a_rotation;", + " attributes.scale = a_scale;", + ], + ); + ShaderBuilderTester.expectHasVertexFunctionUnordered( + shaderBuilder, + GeometryPipelineStage.FUNCTION_ID_SET_DYNAMIC_VARYINGS_VS, + GeometryPipelineStage.FUNCTION_SIGNATURE_SET_DYNAMIC_VARYINGS, + [" v_color_0 = attributes.color_0;"], + ); + ShaderBuilderTester.expectHasFragmentFunctionUnordered( + shaderBuilder, + GeometryPipelineStage.FUNCTION_ID_SET_DYNAMIC_VARYINGS_FS, + GeometryPipelineStage.FUNCTION_SIGNATURE_SET_DYNAMIC_VARYINGS, + [" attributes.color_0 = v_color_0;"], + ); + ShaderBuilderTester.expectHasVaryings(shaderBuilder, [ + "vec4 v_color_0;", + "vec4 v_rotation;", + "vec3 v_scale;", + "vec3 v_positionEC;", + "vec3 v_positionMC;", + "vec3 v_positionWC;", + ]); + ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, [ + "HAS_COLOR_0", + ]); + ShaderBuilderTester.expectHasFragmentDefines(shaderBuilder, [ + "HAS_COLOR_0", + ]); + ShaderBuilderTester.expectHasAttributes( + shaderBuilder, + "in vec3 a_positionMC;", + [ + "in vec3 a_position2D;", + "in vec4 a_color_0;", + "in vec4 a_rotation;", + "in vec3 a_scale;", + ], + ); + verifyFeatureStruct(shaderBuilder); + }); + }); + + it("process meshopt compressed model with Gaussian splat attributes with texture", function () { + return loadGltf(gaussianSplatMeshopt, { + generateGaussianSplatTexture: true, + }).then(function (gltfLoader) { + const components = gltfLoader.components; + const node = components.nodes[0]; + const primitive = node.primitives[0]; + const renderResources = mockRenderResources(primitive); + + renderResources.runtimeNode.node = node; + renderResources.model._projectTo2D = true; + + GeometryPipelineStage.process( + renderResources, + primitive, + scene2D.frameState, + ); + + const shaderBuilder = renderResources.shaderBuilder; + const attributes = primitive.attributes; + + expect(attributes.length).toEqual(4); + + const colorAttribute = attributes[2]; + const positionAttribute = attributes[3]; + const scaleAttribute = attributes[0]; + const rotationAttribute = attributes[1]; expect(colorAttribute.typedArray).toBeDefined(); expect(colorAttribute.type).toEqual("VEC4"); From f38154bcb3bf7547473615a09241a567613e4fad Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Thu, 6 Feb 2025 09:12:53 -0600 Subject: [PATCH 89/97] gaussian splat test data --- .../penguin/meshopt_full/0/0.gltf | 126 ++++++++++++++++++ .../penguin/meshopt_full/tileset.json | 35 +++++ .../penguin/moshopt_min/0/0.gltf | 126 ++++++++++++++++++ .../penguin/moshopt_min/tileset.json | 35 +++++ .../penguin/uncompressed/0/0.gltf | 78 +++++++++++ .../penguin/uncompressed/tileset.json | 35 +++++ .../GaussianSplats/synthetic/0/0.gltf | 78 +++++++++++ .../GaussianSplats/synthetic/tileset.json | 35 +++++ 8 files changed, 548 insertions(+) create mode 100644 Specs/Data/Cesium3DTiles/GaussianSplats/penguin/meshopt_full/0/0.gltf create mode 100644 Specs/Data/Cesium3DTiles/GaussianSplats/penguin/meshopt_full/tileset.json create mode 100644 Specs/Data/Cesium3DTiles/GaussianSplats/penguin/moshopt_min/0/0.gltf create mode 100644 Specs/Data/Cesium3DTiles/GaussianSplats/penguin/moshopt_min/tileset.json create mode 100644 Specs/Data/Cesium3DTiles/GaussianSplats/penguin/uncompressed/0/0.gltf create mode 100644 Specs/Data/Cesium3DTiles/GaussianSplats/penguin/uncompressed/tileset.json create mode 100644 Specs/Data/Cesium3DTiles/GaussianSplats/synthetic/0/0.gltf create mode 100644 Specs/Data/Cesium3DTiles/GaussianSplats/synthetic/tileset.json diff --git a/Specs/Data/Cesium3DTiles/GaussianSplats/penguin/meshopt_full/0/0.gltf b/Specs/Data/Cesium3DTiles/GaussianSplats/penguin/meshopt_full/0/0.gltf new file mode 100644 index 000000000000..cf8f424975f6 --- /dev/null +++ b/Specs/Data/Cesium3DTiles/GaussianSplats/penguin/meshopt_full/0/0.gltf @@ -0,0 +1,126 @@ +{ + "extensionsUsed": ["KHR_gaussian_splatting", "KHR_materials_unlit", "EXT_meshopt_compression", "KHR_mesh_quantization"], + "extensionsRequired": ["KHR_mesh_quantization", "EXT_meshopt_compression"], + "accessors": [{ + "bufferView": 0, + "componentType": 5123, + "count": 312112, + "type": "VEC3", + "max": [65535.0, 65535.0, 65535.0], + "min": [0.0, 0.0, 0.0] + }, { + "bufferView": 1, + "componentType": 5121, + "normalized": true, + "count": 312112, + "type": "VEC4" + }, { + "bufferView": 2, + "componentType": 5122, + "count": 312112, + "type": "VEC4" + }, { + "bufferView": 3, + "componentType": 5126, + "count": 312112, + "type": "VEC3" + }], + "asset": { + "version": "2.0" + }, + "buffers": [{ + "uri": "data:application/octet-stream;base64,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", + "byteLength": 7394700 + }, { + "byteLength": 9987584 + }], + "bufferViews": [{ + "buffer": 1, + "byteLength": 2496896, + "byteStride": 8, + "extensions": { + "EXT_meshopt_compression": { + "buffer": 0, + "byteLength": 1839325, + "byteStride": 8, + "count": 312112, + "mode": "ATTRIBUTES" + } + } + }, { + "buffer": 1, + "byteOffset": 2496896, + "byteLength": 1248448, + "byteStride": 4, + "extensions": { + "EXT_meshopt_compression": { + "buffer": 0, + "byteOffset": 1839328, + "byteLength": 1220098, + "byteStride": 4, + "count": 312112, + "mode": "ATTRIBUTES" + } + } + }, { + "buffer": 1, + "byteOffset": 3745344, + "byteLength": 2496896, + "byteStride": 8, + "extensions": { + "EXT_meshopt_compression": { + "buffer": 0, + "byteOffset": 3059428, + "byteLength": 2053394, + "byteStride": 8, + "count": 312112, + "mode": "ATTRIBUTES", + "filter": "QUATERNION" + } + } + }, { + "buffer": 1, + "byteOffset": 6242240, + "byteLength": 3745344, + "byteStride": 12, + "extensions": { + "EXT_meshopt_compression": { + "buffer": 0, + "byteOffset": 5112824, + "byteLength": 2281873, + "byteStride": 12, + "count": 312112, + "mode": "ATTRIBUTES", + "filter": "EXPONENTIAL" + } + } + }], + "materials": [{ + "extensions": { + "KHR_materials_unlit": {} + } + }], + "meshes": [{ + "primitives": [{ + "attributes": { + "_SCALE": 3, + "_ROTATION": 2, + "COLOR_0": 1, + "POSITION": 0 + }, + "material": 0, + "mode": 0, + "extensions": { + "KHR_gaussian_splatting": {} + } + }] + }], + "nodes": [{ + "matrix": [0.9736796875, 0.0, 0.0, 0.0, 0.0, 0.0, -0.9736796875, 0.0, 0.0, 0.9736796875, 0.0, 0.0, -0.35071094131469727, -0.48135240173339844, 0.11683799123764038, 1.0], + "mesh": 0 + }], + "scene": 0, + "scenes": [{ + "nodes": [0] + }] +} \ No newline at end of file diff --git a/Specs/Data/Cesium3DTiles/GaussianSplats/penguin/meshopt_full/tileset.json b/Specs/Data/Cesium3DTiles/GaussianSplats/penguin/meshopt_full/tileset.json new file mode 100644 index 000000000000..1afa13fc886f --- /dev/null +++ b/Specs/Data/Cesium3DTiles/GaussianSplats/penguin/meshopt_full/tileset.json @@ -0,0 +1,35 @@ +{ + "asset": { + "extras": { + "ion": { + "georeferenced": false, + "movable": true + } + }, + "version": "1.1" + }, + "geometricError": 1.686462689047787, + "root": { + "boundingVolume": { + "box": [ + 0.13612890243530273, + 0.3700018525123596, + 0.0054874420166015625, + 0.48683984375, + 0.0, + 0.0, + 0.0, + 0.48683984375, + 0.0, + 0.0, + 0.0, + 0.48683984375 + ] + }, + "content": { + "uri": "0/0.gltf" + }, + "geometricError": 0.0, + "refine": "REPLACE" + } +} diff --git a/Specs/Data/Cesium3DTiles/GaussianSplats/penguin/moshopt_min/0/0.gltf b/Specs/Data/Cesium3DTiles/GaussianSplats/penguin/moshopt_min/0/0.gltf new file mode 100644 index 000000000000..d1310acf801c --- /dev/null +++ b/Specs/Data/Cesium3DTiles/GaussianSplats/penguin/moshopt_min/0/0.gltf @@ -0,0 +1,126 @@ +{ + "extensionsUsed": ["KHR_gaussian_splatting", "KHR_materials_unlit", "EXT_meshopt_compression", "KHR_mesh_quantization"], + "extensionsRequired": ["KHR_mesh_quantization", "EXT_meshopt_compression"], + "accessors": [{ + "bufferView": 0, + "componentType": 5123, + "count": 312112, + "type": "VEC3", + "max": [2047.0, 2047.0, 2047.0], + "min": [0.0, 0.0, 0.0] + }, { + "bufferView": 1, + "componentType": 5121, + "normalized": true, + "count": 312112, + "type": "VEC4" + }, { + "bufferView": 2, + "componentType": 5122, + "count": 312112, + "type": "VEC4" + }, { + "bufferView": 3, + "componentType": 5126, + "count": 312112, + "type": "VEC3" + }], + "asset": { + "version": "2.0" + }, + "buffers": [{ + "uri": "data:application/octet-stream;base64,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", + "byteLength": 4255536 + }, { + "byteLength": 9987584 + }], + "bufferViews": [{ + "buffer": 1, + "byteLength": 2496896, + "byteStride": 8, + "extensions": { + "EXT_meshopt_compression": { + "buffer": 0, + "byteLength": 1195058, + "byteStride": 8, + "count": 312112, + "mode": "ATTRIBUTES" + } + } + }, { + "buffer": 1, + "byteOffset": 2496896, + "byteLength": 1248448, + "byteStride": 4, + "extensions": { + "EXT_meshopt_compression": { + "buffer": 0, + "byteOffset": 1195060, + "byteLength": 1220098, + "byteStride": 4, + "count": 312112, + "mode": "ATTRIBUTES" + } + } + }, { + "buffer": 1, + "byteOffset": 3745344, + "byteLength": 2496896, + "byteStride": 8, + "extensions": { + "EXT_meshopt_compression": { + "buffer": 0, + "byteOffset": 2415160, + "byteLength": 964510, + "byteStride": 8, + "count": 312112, + "mode": "ATTRIBUTES", + "filter": "QUATERNION" + } + } + }, { + "buffer": 1, + "byteOffset": 6242240, + "byteLength": 3745344, + "byteStride": 12, + "extensions": { + "EXT_meshopt_compression": { + "buffer": 0, + "byteOffset": 3379672, + "byteLength": 875861, + "byteStride": 12, + "count": 312112, + "mode": "ATTRIBUTES", + "filter": "EXPONENTIAL" + } + } + }], + "materials": [{ + "extensions": { + "KHR_materials_unlit": {} + } + }], + "meshes": [{ + "primitives": [{ + "attributes": { + "_SCALE": 3, + "_ROTATION": 2, + "COLOR_0": 1, + "POSITION": 0 + }, + "material": 0, + "mode": 0, + "extensions": { + "KHR_gaussian_splatting": {} + } + }] + }], + "nodes": [{ + "matrix": [0.9736796875, 0.0, 0.0, 0.0, 0.0, 0.0, -0.9736796875, 0.0, 0.0, 0.9736796875, 0.0, 0.0, -0.35071094131469727, -0.48135240173339844, 0.11683799123764038, 1.0], + "mesh": 0 + }], + "scene": 0, + "scenes": [{ + "nodes": [0] + }] +} \ No newline at end of file diff --git a/Specs/Data/Cesium3DTiles/GaussianSplats/penguin/moshopt_min/tileset.json b/Specs/Data/Cesium3DTiles/GaussianSplats/penguin/moshopt_min/tileset.json new file mode 100644 index 000000000000..1afa13fc886f --- /dev/null +++ b/Specs/Data/Cesium3DTiles/GaussianSplats/penguin/moshopt_min/tileset.json @@ -0,0 +1,35 @@ +{ + "asset": { + "extras": { + "ion": { + "georeferenced": false, + "movable": true + } + }, + "version": "1.1" + }, + "geometricError": 1.686462689047787, + "root": { + "boundingVolume": { + "box": [ + 0.13612890243530273, + 0.3700018525123596, + 0.0054874420166015625, + 0.48683984375, + 0.0, + 0.0, + 0.0, + 0.48683984375, + 0.0, + 0.0, + 0.0, + 0.48683984375 + ] + }, + "content": { + "uri": "0/0.gltf" + }, + "geometricError": 0.0, + "refine": "REPLACE" + } +} diff --git a/Specs/Data/Cesium3DTiles/GaussianSplats/penguin/uncompressed/0/0.gltf b/Specs/Data/Cesium3DTiles/GaussianSplats/penguin/uncompressed/0/0.gltf new file mode 100644 index 000000000000..dda446e86d7f --- /dev/null +++ b/Specs/Data/Cesium3DTiles/GaussianSplats/penguin/uncompressed/0/0.gltf @@ -0,0 +1,78 @@ +{ + "extensionsUsed": ["KHR_materials_unlit", "KHR_gaussian_splatting"], + "accessors": [{ + "bufferView": 0, + "componentType": 5126, + "count": 312112, + "type": "VEC4" + }, { + "bufferView": 1, + "componentType": 5126, + "count": 312112, + "type": "VEC3" + }, { + "bufferView": 2, + "componentType": 5126, + "count": 312112, + "type": "VEC3", + "max": [0.16455078125, -0.234130859375, 0.48583984375], + "min": [-0.48583984375, -0.48583984375, -0.48583984375] + }, { + "bufferView": 3, + "componentType": 5121, + "normalized": true, + "count": 312112, + "type": "VEC4" + }], + "asset": { + "version": "2.0" + }, + "buffers": [{ + "uri": "data:application/octet-stream;base64,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", + "byteLength": 13732928 + }], + "bufferViews": [{ + "buffer": 0, + "byteOffset": 8739136, + "byteLength": 4993792 + }, { + "buffer": 0, + "byteOffset": 4993792, + "byteLength": 3745344 + }, { + "buffer": 0, + "byteLength": 3745344 + }, { + "buffer": 0, + "byteOffset": 3745344, + "byteLength": 1248448 + }], + "materials": [{ + "extensions": { + "KHR_materials_unlit": {} + } + }], + "meshes": [{ + "primitives": [{ + "attributes": { + "COLOR_0": 3, + "POSITION": 2, + "_SCALE": 1, + "_ROTATION": 0 + }, + "material": 0, + "mode": 0, + "extensions": { + "KHR_gaussian_splatting": {} + } + }] + }], + "nodes": [{ + "matrix": [1.0, 0.0, 0.0, 0.0, 0.0, 0.0, -1.0, 0.0, 0.0, 1.0, 0.0, 0.0, 0.13612890243530273, 0.0054874420166015625, -0.3700018525123596, 1.0], + "mesh": 0 + }], + "scene": 0, + "scenes": [{ + "nodes": [0] + }] +} \ No newline at end of file diff --git a/Specs/Data/Cesium3DTiles/GaussianSplats/penguin/uncompressed/tileset.json b/Specs/Data/Cesium3DTiles/GaussianSplats/penguin/uncompressed/tileset.json new file mode 100644 index 000000000000..1afa13fc886f --- /dev/null +++ b/Specs/Data/Cesium3DTiles/GaussianSplats/penguin/uncompressed/tileset.json @@ -0,0 +1,35 @@ +{ + "asset": { + "extras": { + "ion": { + "georeferenced": false, + "movable": true + } + }, + "version": "1.1" + }, + "geometricError": 1.686462689047787, + "root": { + "boundingVolume": { + "box": [ + 0.13612890243530273, + 0.3700018525123596, + 0.0054874420166015625, + 0.48683984375, + 0.0, + 0.0, + 0.0, + 0.48683984375, + 0.0, + 0.0, + 0.0, + 0.48683984375 + ] + }, + "content": { + "uri": "0/0.gltf" + }, + "geometricError": 0.0, + "refine": "REPLACE" + } +} diff --git a/Specs/Data/Cesium3DTiles/GaussianSplats/synthetic/0/0.gltf b/Specs/Data/Cesium3DTiles/GaussianSplats/synthetic/0/0.gltf new file mode 100644 index 000000000000..5713cf47841b --- /dev/null +++ b/Specs/Data/Cesium3DTiles/GaussianSplats/synthetic/0/0.gltf @@ -0,0 +1,78 @@ +{ + "extensionsUsed": ["KHR_materials_unlit", "KHR_gaussian_splatting"], + "accessors": [{ + "bufferView": 0, + "componentType": 5126, + "count": 5, + "type": "VEC4" + }, { + "bufferView": 1, + "componentType": 5126, + "count": 5, + "type": "VEC3" + }, { + "bufferView": 2, + "componentType": 5126, + "count": 5, + "type": "VEC3", + "max": [2.0, 2.0, 2.0], + "min": [-2.0, -2.0, -2.0] + }, { + "bufferView": 3, + "componentType": 5121, + "normalized": true, + "count": 5, + "type": "VEC4" + }], + "asset": { + "version": "2.0" + }, + "buffers": [{ + "uri": "data:application/octet-stream;base64,AAAAwAAAAMAAAADAAACAvwAAgL8AAIC/AAAApgAAgCYAAACnAACAPwAAgD8AAIA/AAAAQAAAAEAAAABAf8f/jIbO/5iN1f+kld3/r5zk/7pU+C1AVPgtQFT4LUBCREBAQkRAQEJEQEDMfFRAzHxUQMx8VEDB1WpAwdVqQMHVakAzxIFAM8SBQDPEgUAAAAAAAAAAAAAAAAAAAIA/E9C/PRPQPz4O3I8+F8RvP6P4Iz6j+KM+9PT1Psv2TD+uWEw+rljMPoJCGT/mSSo/OI5jPjiO4z6qqio/4zgOPw==", + "byteLength": 220 + }], + "bufferViews": [{ + "buffer": 0, + "byteOffset": 140, + "byteLength": 80 + }, { + "buffer": 0, + "byteOffset": 80, + "byteLength": 60 + }, { + "buffer": 0, + "byteLength": 60 + }, { + "buffer": 0, + "byteOffset": 60, + "byteLength": 20 + }], + "materials": [{ + "extensions": { + "KHR_materials_unlit": {} + } + }], + "meshes": [{ + "primitives": [{ + "attributes": { + "COLOR_0": 3, + "POSITION": 2, + "_SCALE": 1, + "_ROTATION": 0 + }, + "material": 0, + "mode": 0, + "extensions": { + "KHR_gaussian_splatting": {} + } + }] + }], + "nodes": [{ + "matrix": [1.0, 0.0, 0.0, 0.0, 0.0, 0.0, -1.0, 0.0, 0.0, 1.0, 0.0, 0.0, 2.0000000000000004, 12.000000000000002, -6.999999999999999, 1.0], + "mesh": 0 + }], + "scene": 0, + "scenes": [{ + "nodes": [0] + }] +} \ No newline at end of file diff --git a/Specs/Data/Cesium3DTiles/GaussianSplats/synthetic/tileset.json b/Specs/Data/Cesium3DTiles/GaussianSplats/synthetic/tileset.json new file mode 100644 index 000000000000..6a793941d73f --- /dev/null +++ b/Specs/Data/Cesium3DTiles/GaussianSplats/synthetic/tileset.json @@ -0,0 +1,35 @@ +{ + "asset": { + "extras": { + "ion": { + "georeferenced": false, + "movable": true + } + }, + "version": "1.1" + }, + "geometricError": 6.931667678300809, + "root": { + "boundingVolume": { + "box": [ + 2.0, + 6.999999999999999, + 12.000000000000002, + 2.0010001, + 0.0, + 0.0, + 0.0, + 2.0010000999999997, + 0.0, + 0.0, + 0.0, + 2.0010001000000006 + ] + }, + "content": { + "uri": "0/0.gltf" + }, + "geometricError": 0.0, + "refine": "REPLACE" + } +} From 6aa94719e7927e80c8b9b6cad465ad892e07f924 Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Thu, 6 Feb 2025 11:23:15 -0600 Subject: [PATCH 90/97] gaussian splat stages --- .../Scene/Model/ModelRuntimePrimitiveSpec.js | 68 +++++++++++++++++++ 1 file changed, 68 insertions(+) diff --git a/packages/engine/Specs/Scene/Model/ModelRuntimePrimitiveSpec.js b/packages/engine/Specs/Scene/Model/ModelRuntimePrimitiveSpec.js index 985f7a5f5ad9..b78d4ce01834 100644 --- a/packages/engine/Specs/Scene/Model/ModelRuntimePrimitiveSpec.js +++ b/packages/engine/Specs/Scene/Model/ModelRuntimePrimitiveSpec.js @@ -31,6 +31,8 @@ import { WireframePipelineStage, ClassificationType, MetadataPickingPipelineStage, + GaussianSplatPipelineStage, + GaussianSplatTexturePipelineStage, } from "../../../index.js"; import createFrameState from "../../../../../Specs/createFrameState.js"; @@ -1049,4 +1051,70 @@ describe("Scene/Model/ModelRuntimePrimitive", function () { primitive.configurePipeline(frameState); verifyExpectedStages(primitive.pipelineStages, expectedStages); }); + + it("configures Gaussian splat stage for gltf using texture", function () { + const primitive = new ModelRuntimePrimitive({ + primitive: { + featureIds: [], + featureIdTextures: [], + attributes: [], + primitiveType: PrimitiveType.POINTS, + isGaussianSplatPrimitive: true, + hasGaussianSplatTexture: true, + needsGaussianSplatTexture: false, + }, + node: mockNode, + model: { + type: ModelType.TILE_GLTF, + showGaussianSplatting: true, + }, + }); + + const expectedStages = [ + GeometryPipelineStage, + MaterialPipelineStage, + FeatureIdPipelineStage, + MetadataPipelineStage, + MetadataPickingPipelineStage, + LightingPipelineStage, + AlphaPipelineStage, + PrimitiveStatisticsPipelineStage, + GaussianSplatTexturePipelineStage, + ]; + + primitive.configurePipeline(mockFrameState); + verifyExpectedStages(primitive.pipelineStages, expectedStages); + }); + + it("configures Gaussian splat stage for gltf without texture", function () { + const primitive = new ModelRuntimePrimitive({ + primitive: { + featureIds: [], + featureIdTextures: [], + attributes: [], + primitiveType: PrimitiveType.POINTS, + isGaussianSplatPrimitive: false, + }, + node: mockNode, + model: { + type: ModelType.TILE_GLTF, + showGaussianSplatting: true, + }, + }); + + const expectedStages = [ + GeometryPipelineStage, + MaterialPipelineStage, + FeatureIdPipelineStage, + MetadataPipelineStage, + MetadataPickingPipelineStage, + LightingPipelineStage, + AlphaPipelineStage, + PrimitiveStatisticsPipelineStage, + GaussianSplatPipelineStage, + ]; + + primitive.configurePipeline(mockFrameState); + verifyExpectedStages(primitive.pipelineStages, expectedStages); + }); }); From 2ee0a7acb69c1187302f3cb108c17623a774b569 Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Fri, 7 Feb 2025 15:37:40 -0600 Subject: [PATCH 91/97] gaussian splat pipeline test --- .../Model/GaussianSplatPipelineStageSpec.js | 169 ++++++++++++++++++ 1 file changed, 169 insertions(+) create mode 100644 packages/engine/Specs/Scene/Model/GaussianSplatPipelineStageSpec.js diff --git a/packages/engine/Specs/Scene/Model/GaussianSplatPipelineStageSpec.js b/packages/engine/Specs/Scene/Model/GaussianSplatPipelineStageSpec.js new file mode 100644 index 000000000000..9fab60995517 --- /dev/null +++ b/packages/engine/Specs/Scene/Model/GaussianSplatPipelineStageSpec.js @@ -0,0 +1,169 @@ +import { + GaussianSplatPipelineStage, + combine, + defined, + ShaderBuilder, + PrimitiveType, + ModelType, + ModelStatistics, + GltfLoader, + ResourceCache, + Resource, + BlendingState, + Pass, + Matrix4, +} from "../../../index.js"; + +import ShaderBuilderTester from "../../../../../Specs/ShaderBuilderTester.js"; +import waitForLoaderProcess from "../../../../../Specs/waitForLoaderProcess.js"; +import createContext from "../../../../../Specs/createContext.js"; +import createScene from "../../../../../Specs/createScene.js"; +import createFrameState from "../../../../../Specs/createFrameState.js"; + +describe( + "Scene/Model/GaussianSplatPipelineStage", + function () { + const gaussianSplatUncompressed = + "./Data/Cesium3DTiles/GaussianSplats/synthetic/0/0.gltf"; + + let scene; + let context; + const gltfLoaders = []; + + function getOptions(gltfPath, options) { + const resource = new Resource({ + url: gltfPath, + }); + + return combine(options, { + gltfResource: resource, + incrementallyLoadTextures: false, // Default to false if not supplied + }); + } + + async function loadGltf(gltfPath, options) { + const gltfLoader = new GltfLoader(getOptions(gltfPath, options)); + gltfLoaders.push(gltfLoader); + await gltfLoader.load(); + await waitForLoaderProcess(gltfLoader, scene); + return gltfLoader; + } + + function mockRenderResources(primitive) { + const count = defined(primitive.indices) + ? primitive.indices.count + : primitive.attributes[0].count; + + return { + attributes: [], + shaderBuilder: new ShaderBuilder(), + attributeIndex: 1, + count: count, + model: { + type: ModelType.TILE_GLTF, + statistics: new ModelStatistics(), + modelMatrix: new Matrix4(), + }, + runtimeNode: { + node: {}, + }, + alphaOptions: { + pass: Pass.GAUSSIAN_SPLATS, + }, + runtimePrimitive: {}, + renderStateOptions: { + cull: { + enabled: true, + }, + depthMask: false, + depthTest: { + enabled: false, + }, + blending: BlendingState.PRE_MULTIPLIED_ALPHA_BLEND, + }, + uniformMap: { + u_tan_fovX: {}, + u_tan_fovY: {}, + u_focalX: {}, + u_focalY: {}, + u_splatScale: 1.0, + }, + }; + } + + beforeAll(function () { + scene = createScene(); + context = createContext(); + }); + + afterAll(function () { + scene.destroyForSpecs(); + context.destroyForSpecs(); + }); + + afterEach(function () { + const gltfLoadersLength = gltfLoaders.length; + for (let i = 0; i < gltfLoadersLength; ++i) { + const gltfLoader = gltfLoaders[i]; + if (!gltfLoader.isDestroyed()) { + gltfLoader.destroy(); + } + } + gltfLoaders.length = 0; + ResourceCache.clearForSpecs(); + }); + + it("configures the render resources for Gaussian splats", function () { + return loadGltf(gaussianSplatUncompressed, { + generateGaussianSplatTexture: true, + }).then(function (gltfLoader) { + const components = gltfLoader.components; + const node = components.nodes[0]; + const primitive = node.primitives[0]; + const renderResources = mockRenderResources(primitive); + + const mockFrameState = createFrameState(context, scene.camera, 1); + + const shaderBuilder = renderResources.shaderBuilder; + + const originalCount = renderResources.count; + GaussianSplatPipelineStage.process( + renderResources, + primitive, + mockFrameState, + ); + + ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, [ + "HAS_GAUSSIAN_SPLATS", + ]); + + ShaderBuilderTester.expectHasFragmentDefines(shaderBuilder, [ + "HAS_GAUSSIAN_SPLATS", + ]); + + ShaderBuilderTester.expectHasVertexUniforms(shaderBuilder, [ + "uniform float u_tan_fovX;", + "uniform float u_tan_fovY;", + "uniform float u_focalX;", + "uniform float u_focalY;", + "uniform float u_splatScale;", + ]); + + ShaderBuilderTester.expectHasVaryings(shaderBuilder, [ + "vec4 v_splatColor;", + "vec2 v_vertPos;", + "float v_splatOpacity;", + "vec4 v_splatScale;", + "vec4 v_splatRot;", + ]); + + expect(renderResources.count).toEqual(4); + expect(renderResources.instanceCount).toEqual(originalCount); + expect(renderResources.primitiveType).toEqual( + PrimitiveType.TRIANGLE_STRIP, + ); + }); + }); + }, + "WebGL", +); From b6843bb860870a3241079f0d95316820d7f00267 Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Fri, 7 Feb 2025 15:38:30 -0600 Subject: [PATCH 92/97] remove 2D projection --- .../Scene/Model/GeometryPipelineStageSpec.js | 20 ++----------------- 1 file changed, 2 insertions(+), 18 deletions(-) diff --git a/packages/engine/Specs/Scene/Model/GeometryPipelineStageSpec.js b/packages/engine/Specs/Scene/Model/GeometryPipelineStageSpec.js index f86a884df83a..3af9406f4956 100644 --- a/packages/engine/Specs/Scene/Model/GeometryPipelineStageSpec.js +++ b/packages/engine/Specs/Scene/Model/GeometryPipelineStageSpec.js @@ -1771,7 +1771,6 @@ describe( const renderResources = mockRenderResources(primitive); renderResources.runtimeNode.node = node; - renderResources.model._projectTo2D = true; GeometryPipelineStage.process( renderResources, @@ -1820,7 +1819,6 @@ describe( [ " vec3 positionMC;", " vec4 color_0;", - " vec3 position2D;", " vec3 scale;", " vec4 rotation;", ], @@ -1844,7 +1842,6 @@ describe( GeometryPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_ATTRIBUTES, [ " attributes.positionMC = a_positionMC;", - " attributes.position2D = a_position2D;", " attributes.color_0 = a_color_0;", " attributes.rotation = a_rotation;", " attributes.scale = a_scale;", @@ -1879,12 +1876,7 @@ describe( ShaderBuilderTester.expectHasAttributes( shaderBuilder, "in vec3 a_positionMC;", - [ - "in vec3 a_position2D;", - "in vec4 a_color_0;", - "in vec4 a_rotation;", - "in vec3 a_scale;", - ], + ["in vec4 a_color_0;", "in vec4 a_rotation;", "in vec3 a_scale;"], ); verifyFeatureStruct(shaderBuilder); }); @@ -1900,7 +1892,6 @@ describe( const renderResources = mockRenderResources(primitive); renderResources.runtimeNode.node = node; - renderResources.model._projectTo2D = true; GeometryPipelineStage.process( renderResources, @@ -1949,7 +1940,6 @@ describe( [ " vec3 positionMC;", " vec4 color_0;", - " vec3 position2D;", " vec3 scale;", " vec4 rotation;", ], @@ -1973,7 +1963,6 @@ describe( GeometryPipelineStage.FUNCTION_SIGNATURE_INITIALIZE_ATTRIBUTES, [ " attributes.positionMC = a_positionMC;", - " attributes.position2D = a_position2D;", " attributes.color_0 = a_color_0;", " attributes.rotation = a_rotation;", " attributes.scale = a_scale;", @@ -2008,12 +1997,7 @@ describe( ShaderBuilderTester.expectHasAttributes( shaderBuilder, "in vec3 a_positionMC;", - [ - "in vec3 a_position2D;", - "in vec4 a_color_0;", - "in vec4 a_rotation;", - "in vec3 a_scale;", - ], + ["in vec4 a_color_0;", "in vec4 a_rotation;", "in vec3 a_scale;"], ); verifyFeatureStruct(shaderBuilder); }); From c207cd5bf591949adfa58c50d1ee9b90c5f4b168 Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Fri, 7 Feb 2025 15:44:48 -0600 Subject: [PATCH 93/97] splat texture pipeline test --- .../GaussianSplatTexturePipelineStageSpec.js | 169 ++++++++++++++++++ 1 file changed, 169 insertions(+) create mode 100644 packages/engine/Specs/Scene/Model/GaussianSplatTexturePipelineStageSpec.js diff --git a/packages/engine/Specs/Scene/Model/GaussianSplatTexturePipelineStageSpec.js b/packages/engine/Specs/Scene/Model/GaussianSplatTexturePipelineStageSpec.js new file mode 100644 index 000000000000..0fc48d2ec177 --- /dev/null +++ b/packages/engine/Specs/Scene/Model/GaussianSplatTexturePipelineStageSpec.js @@ -0,0 +1,169 @@ +import { + GaussianSplatTexturePipelineStage, + combine, + defined, + ShaderBuilder, + PrimitiveType, + ModelType, + ModelStatistics, + GltfLoader, + ResourceCache, + Resource, + BlendingState, + Pass, + Matrix4, +} from "../../../index.js"; + +import ShaderBuilderTester from "../../../../../Specs/ShaderBuilderTester.js"; +import waitForLoaderProcess from "../../../../../Specs/waitForLoaderProcess.js"; +import createContext from "../../../../../Specs/createContext.js"; +import createScene from "../../../../../Specs/createScene.js"; +import createFrameState from "../../../../../Specs/createFrameState.js"; + +describe( + "Scene/Model/GaussianSplatTexturePipelineStage", + function () { + const gaussianSplatUncompressed = + "./Data/Cesium3DTiles/GaussianSplats/synthetic/0/0.gltf"; + + let scene; + let context; + const gltfLoaders = []; + + function getOptions(gltfPath, options) { + const resource = new Resource({ + url: gltfPath, + }); + + return combine(options, { + gltfResource: resource, + incrementallyLoadTextures: false, // Default to false if not supplied + }); + } + + async function loadGltf(gltfPath, options) { + const gltfLoader = new GltfLoader(getOptions(gltfPath, options)); + gltfLoaders.push(gltfLoader); + await gltfLoader.load(); + await waitForLoaderProcess(gltfLoader, scene); + return gltfLoader; + } + + function mockRenderResources(primitive) { + const count = defined(primitive.indices) + ? primitive.indices.count + : primitive.attributes[0].count; + + return { + attributes: [], + shaderBuilder: new ShaderBuilder(), + attributeIndex: 1, + count: count, + model: { + type: ModelType.TILE_GLTF, + statistics: new ModelStatistics(), + modelMatrix: new Matrix4(), + content: { + tileset: { + debugShowBoundingVolume: true, + }, + }, + }, + runtimeNode: { + node: {}, + }, + alphaOptions: { + pass: Pass.GAUSSIAN_SPLATS, + }, + runtimePrimitive: {}, + renderStateOptions: { + cull: { + enabled: true, + }, + depthMask: false, + depthTest: { + enabled: false, + }, + blending: BlendingState.PRE_MULTIPLIED_ALPHA_BLEND, + }, + uniformMap: { + u_splatScale: 1.0, + u_splatAttributeTexture: {}, + }, + }; + } + + beforeAll(function () { + scene = createScene(); + context = createContext(); + }); + + afterAll(function () { + scene.destroyForSpecs(); + context.destroyForSpecs(); + }); + + afterEach(function () { + const gltfLoadersLength = gltfLoaders.length; + for (let i = 0; i < gltfLoadersLength; ++i) { + const gltfLoader = gltfLoaders[i]; + if (!gltfLoader.isDestroyed()) { + gltfLoader.destroy(); + } + } + gltfLoaders.length = 0; + ResourceCache.clearForSpecs(); + }); + + it("configures the render resources for Gaussian splats", function () { + return loadGltf(gaussianSplatUncompressed, { + generateGaussianSplatTexture: true, + }).then(function (gltfLoader) { + const components = gltfLoader.components; + const node = components.nodes[0]; + const primitive = node.primitives[0]; + const renderResources = mockRenderResources(primitive); + + const mockFrameState = createFrameState(context, scene.camera, 1); + + const shaderBuilder = renderResources.shaderBuilder; + + const originalCount = renderResources.count; + GaussianSplatTexturePipelineStage.process( + renderResources, + primitive, + mockFrameState, + ); + + ShaderBuilderTester.expectHasVertexDefines(shaderBuilder, [ + "HAS_GAUSSIAN_SPLATS", + "HAS_SPLAT_TEXTURE", + "DEBUG_BOUNDING_VOLUMES", + ]); + + ShaderBuilderTester.expectHasFragmentDefines(shaderBuilder, [ + "HAS_GAUSSIAN_SPLATS", + "HAS_SPLAT_TEXTURE", + "DEBUG_BOUNDING_VOLUMES", + ]); + + ShaderBuilderTester.expectHasVertexUniforms(shaderBuilder, [ + "uniform float u_splatScale;", + "uniform highp usampler2D u_splatAttributeTexture;", + ]); + + ShaderBuilderTester.expectHasVaryings(shaderBuilder, [ + "vec4 v_splatColor;", + "vec2 v_vertPos;", + ]); + + expect(renderResources.count).toEqual(4); + expect(renderResources.instanceCount).toEqual(originalCount); + expect(renderResources.primitiveType).toEqual( + PrimitiveType.TRIANGLE_STRIP, + ); + }); + }); + }, + "WebGL", +); From eaabc5ac34c92e267824d43345962eeeaa18e3ff Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Fri, 7 Feb 2025 16:03:51 -0600 Subject: [PATCH 94/97] add gaussian splat attributes --- .../Scene/VertexAttributeSemanticSpec.js | 27 ++++++++++++++++++- 1 file changed, 26 insertions(+), 1 deletion(-) diff --git a/packages/engine/Specs/Scene/VertexAttributeSemanticSpec.js b/packages/engine/Specs/Scene/VertexAttributeSemanticSpec.js index 3806abc4facd..744adf05c807 100644 --- a/packages/engine/Specs/Scene/VertexAttributeSemanticSpec.js +++ b/packages/engine/Specs/Scene/VertexAttributeSemanticSpec.js @@ -11,9 +11,22 @@ describe("Scene/VertexAttributeSemantic", function () { VertexAttributeSemantic.JOINTS, VertexAttributeSemantic.WEIGHTS, VertexAttributeSemantic.FEATURE_ID, + VertexAttributeSemantic.SCALE, + VertexAttributeSemantic.ROTATION, ]; - const hasSetIndex = [false, false, false, true, true, true, true, true]; + const hasSetIndex = [ + false, + false, + false, + true, + true, + true, + true, + true, + true, + true, + ]; const semanticsLength = semantics.length; for (let i = 0; i < semanticsLength; ++i) { @@ -51,6 +64,8 @@ describe("Scene/VertexAttributeSemantic", function () { "_FEATURE_ID_0", "_FEATURE_ID_1", "_OTHER", + "_SCALE", + "_ROTATION", ]; const expectedSemantics = [ @@ -68,6 +83,8 @@ describe("Scene/VertexAttributeSemantic", function () { VertexAttributeSemantic.FEATURE_ID, VertexAttributeSemantic.FEATURE_ID, undefined, + VertexAttributeSemantic.SCALE, + VertexAttributeSemantic.ROTATION, ]; const semanticsLength = gltfSemantics.length; @@ -137,6 +154,8 @@ describe("Scene/VertexAttributeSemantic", function () { VertexAttributeSemantic.JOINTS, VertexAttributeSemantic.WEIGHTS, VertexAttributeSemantic.FEATURE_ID, + VertexAttributeSemantic.SCALE, + VertexAttributeSemantic.ROTATION, ]; const expectedShaderTypes = [ @@ -148,6 +167,8 @@ describe("Scene/VertexAttributeSemantic", function () { "ivec4", "vec4", "int", + "vec3", + "vec4", ]; const semanticsLength = semantics.length; @@ -180,6 +201,8 @@ describe("Scene/VertexAttributeSemantic", function () { VertexAttributeSemantic.JOINTS, VertexAttributeSemantic.WEIGHTS, VertexAttributeSemantic.FEATURE_ID, + VertexAttributeSemantic.SCALE, + VertexAttributeSemantic.ROTATION, ]; const expectedVariableName = [ @@ -191,6 +214,8 @@ describe("Scene/VertexAttributeSemantic", function () { "joints", "weights", "featureId", + "scale", + "rotation", ]; const semanticsLength = semantics.length; From 3982c9dbbf14ab13dd698fe8a02bdc4ad05313c8 Mon Sep 17 00:00:00 2001 From: keyboardspecialist Date: Fri, 7 Feb 2025 16:10:18 -0600 Subject: [PATCH 95/97] changes summary --- CHANGES.md | 9 +++++++++ 1 file changed, 9 insertions(+) diff --git a/CHANGES.md b/CHANGES.md index 60a35a7f0cfe..a43951bc38ea 100644 --- a/CHANGES.md +++ b/CHANGES.md @@ -1,5 +1,14 @@ # Change Log +## 1.127 - 2025-??-?? + +### @cesium/engine + +#### Additions :tada: + +- Gaussian Splatting support for 3D Tiles 1.1 using [this draft glTF extension](https://github.com/KhronosGroup/glTF/issues/2454). +- PixelFormat added for integer textures + ## 1.126 - 2025-02-03 ### @cesium/engine From 76c4e7dc7b3f5a6a0374b3e9825e0acda7b9a515 Mon Sep 17 00:00:00 2001 From: "Adam N. Morris" Date: Tue, 18 Feb 2025 17:09:57 -0600 Subject: [PATCH 96/97] Cleaned up how stuff is exposed via wasm. --- package.json | 2 +- .../Source/Scene/GaussianSplatSorter.js | 18 --------------- .../Source/ThirdParty/wasm_splats_bg.wasm | Bin 43378 -> 28528 bytes .../Source/Workers/gaussianSplatSorter.js | 21 ++++-------------- .../Workers/gaussianSplatTextureGenerator.js | 4 ++-- 5 files changed, 7 insertions(+), 38 deletions(-) diff --git a/package.json b/package.json index 896f1b278493..1a96d8bd4724 100644 --- a/package.json +++ b/package.json @@ -53,7 +53,7 @@ "dependencies": { "@cesium/engine": "^13.1.0", "@cesium/widgets": "^10.1.0", - "@cesium/wasm-splats": "file:./temp_wasm/pkg" + "@cesium/wasm-splats": "file:///home/amorris/Projects/cesium-wasm-utils/wasm-splats/pkg" }, "devDependencies": { "@playwright/test": "^1.41.1", diff --git a/packages/engine/Source/Scene/GaussianSplatSorter.js b/packages/engine/Source/Scene/GaussianSplatSorter.js index 6c33cfb902f8..a82ff1aa09df 100644 --- a/packages/engine/Source/Scene/GaussianSplatSorter.js +++ b/packages/engine/Source/Scene/GaussianSplatSorter.js @@ -41,24 +41,6 @@ GaussianSplatSorter._getSorterTaskProcessor = function () { return GaussianSplatSorter._sorterTaskProcessor; }; -GaussianSplatSorter.radixSort = function (parameters) { - const sorterTaskProcessor = GaussianSplatSorter._getSorterTaskProcessor(); - if (defined(GaussianSplatSorter._error)) { - throw GaussianSplatSorter._error; - } - - if (!GaussianSplatSorter._taskProcessorReady) { - return; - } - - return sorterTaskProcessor.scheduleTask(parameters, [ - parameters.primitive.attributes, - parameters.primitive.modelView, - parameters.primitive.count, - parameters.sortType, - ]); -}; - GaussianSplatSorter.radixSortIndexes = function (parameters) { const sorterTaskProcessor = GaussianSplatSorter._getSorterTaskProcessor(); if (defined(GaussianSplatSorter._error)) { diff --git a/packages/engine/Source/ThirdParty/wasm_splats_bg.wasm b/packages/engine/Source/ThirdParty/wasm_splats_bg.wasm index ade947342f4942ce2770813e2bb7907c1956206e..df51e186a5be24d3aaa93482428fbc99e32921ee 100644 GIT binary patch literal 28528 zcmeI5dyHK7b>Ht}Ub{2Hy(E|9l1oaz8B;PTiR8W?iXHPRrDR5t=scRHaT01Dv*bRs zOYRPrRO5JQR|%9vX#|-GTtH}z!f4gjPTj_6)xbrVRt*$15C5Se`ol$C#7G6iO&q{M zltQTb`JUgsv$IP|PMQarq9k_i{XOpQch32pbAIQX-?=lv%*NAU5Cq}tp?fOY+6wd$ zZao!m*(KC{NUh4jQ$g@lksE6YRP|K27Op)NudUI@?N-(tJXKJy>_T;*KSs9E^#DVx zF)$wieZjP!gIO0p#mD^Eb^)M|`=VVa{+KW768%)6FTOr|>A=N{ z*Jl@3=P$KZFK)Eg7gsM`Ty0$s_Nwie+Adw3y|%E>TE93kJUcnp8X6uQ8=jwBn3@WD z)aQur!!s907Z%26M@MIe=US7)Bl8P8I&8Gs7e_|tC#L6T$6Dia6B9ENt)RDSmX+4( zrS|2E6XWy4bMwQ)lOxk}Ev`XTeTF-;TwiQoo_(g>T3c9P$eGpo?78vj;i1|2g{iUe znc<14)@-n^3p!0cKQcEnF*YK9iR z+ZS6m+O74~_1410_RQ=`D=5Vmo{YnCREUD0FAU)NVj&1>2TJuYCS_gJ94yqPoW+aiebE`7=B{$QeU(crZ;ci z4yw9_)$*;VUh+Uj!9kCD8@R5mx8`TsGZz=uTdm;Uq`OM>!Q|fVs_TpM?aRRf`?~8c zw-zs5ZU+zcbytd|A36w+x7KIct&1C1R%Y54vkt-7(e;`6#Tyql*4En>FU?%r*jSud z-M9#WTQ^!8!TA0z`n7IcQLmve?CYvEXdm4{|CyDQwYgyQ@WtKKzBu3VZTEC9<3@XC zy&df7d4CwBVYTsr=JW@q&VFcm`hyRD+wgavNKYO4o8S5P6KB5b`@j9Zqwa5i_{j^s z|LIr4C!akY^=yS7e_xO$%gxGh)JSx8`@aj)KMF4t&ZYr(zwyqGUZ|Ika93SwhUtx~ zjbi%T&)*JCL_xFXT)mLq+bGkqxZEhv=bP`mQ+}dw))mu|3)9NxrDm|anTA(s3fs%+ zw=XryRaZ`cXRk=@D)&Gio`#_p!ltBaVU2g(so{^EXSb zc)lJuF3a2(E^r?H#AZCldRKpjDY!)1n26Ye0c|jBTqQLMo}X)YEqdr18B!hzuiEufkxR6 zDdN?d!J|MOru~q@h#3qT@uGT|Etsk+&Bz79i=jMTdSr=@WXT1CT09pwN-lytRKs$7 zXwHZ>iW)u22Zjcvl+n1BVJ-;53`aZIGyygcDa&b+_Pa7TxbV?I2ILV3?nN!U!9%eg z8>i;%3Y|5Wky_o1wl}VdjUv{Dzw?60Xb(gU7NZf>f@)BW&ZdmnZpJC>vb+vdLHp`u zzvf|Q&BN_AXXromnv3J}H7~+Qj1EL_Wrq*MHbK7X&4M@yIq>r~!t|DBhei}_b-1^f zhIEQI+s(pN$(Ohq9E*$zXTf{6a#ab7t(x%H8b&Vk7qMv7hIXZVc7uZzgAXf^&y^>l z0gaP1BVe-SXDPK71DW(i=U^~v=+7IBzY1xBei5b_>fA|ea$e_dNm8K?1}FjV^jvMir!f8w8EwGuREJfBg-3JVgd|?coo|*08$GH{OkwJ- zzX3jx>p`Iu&o_}YaWM+fmCSOi}n8_;vz?3rVl6np1r9MKG^Z#8G2_J_Ui|GqqkeY#bvzY#in}sDTkB?n#g4E{O zpb@xATF@rQL={$=g|c=;V(U>pph{X*tZ-iA2dz;f(qK=RM554@@W;G z&suctbo-17x3U&pJKbJZ;iara*G{)DsPNgWMb}QZS5)|1)}m{t+iexTn6>EI>Gqlm zU&>l^?R0y!yXDI&s7A>BdUxRs6;z{^*SiZ}RY5gs`AT=;O%+t5malaezM+C@)bjQ2 z!doh+MlIj$F5LV%T2!N!x7Csto>Plz)bfG~&+?&yuAP=otMGi*qHCwyXH>YAwdmUE z_Oc2uWi7gPx_v=~&t@&UcDlWy!soIUT|3=wtMJ9FMb}QZ*HrjY)}m{t+m}^%HEYqe z)9novem!f^wbSjZD!iVx=-TP_rV3xlT6FDn`-Tc%%UX2pbbCvMuV*c~cDmh^1bs7W z(Y4b}5{pLYTawwzzKNk>%ceg015!HddnefPCCtl9Y#^o$vpNp5$6R4N;vzqJX z&6|>4ZNia@&+}OH#JVSY%sdX-!uJmX<_8MSY4QLVVfERC2wO zQRQ1GKK19x$`Ul^dUUA~K&K$Z{9!Kb2$({6B(@rl*2|0<%i{hxHoeTs*hN5d#+Yn)-U=;yepRKQmld?!D8i!I{sT`@$c)ZMvC#{*!{HO9q5K8yfv|g+90sJ~ z5|4__n(8HkMa`ZvvPp6yx47hrqDwLLbm0!VU`G|-gD&#Nb{Mz-wwo>{RNAhM@N!bj zz=eXMOR;L3KDC%xk|{$n$CPyidxUxB|r%8S76=y9d}!u@0wvOX3h(iA@;O1o#w=slyu^}P~R`3O+==lKW~U&Z|}Mw z7}-L45urZk<;-=VCgyv(G9_u%C_oV7nQFPk&4%WH|Gn(_&X?&rDoAO zV^X7-fr+?*9xH(j17&mK4G~j%loo<84+WtCWtCBAaa zBk)z8HPDV;1p$Mw8?ru}JM*P=BdW(Na|mY^&cboreT<+abe2~O$A=w0LjiSIi*8*^ z$1t515HCUy*e>!^i#-QLOSXD!SowhT)R6FBoQ4=|M&m;Dmy5_z`XF}Mt8gC9X0w73 z)+D-E1E$haqXfLTj<$ir5&%sFPNld7UQUumQHVq!X;y>#kL1%ZOncs=Qmt1{-^kT?r| zuRvAkq@Y@Xnt>mI0ysue2EZw&?spsOPJxn z%wYP2dy{!3uYilqfdy;20O>;=%0NQ> zNDrX$MVT1Xbb8=>ix8X!MCrg)B8>%&++*D#XSA%^Uf07owy&XjTxrwq5s~X{djs~) z(RFNsT2PD+FinGC6l#+#=>#N7%U7F(hK;~sMxbqFrS)KSFzIbQq8;mzcenM>GadSB zgW9zo(wv=k);r4ncRtgQ}TCpA_zaByLe-*>x7GjS1ff`5) z%i4irbD`PuP2_;oJGqhR3fo{~7hnK5#^br%D3?QmF{i~$`lFJ3wMm zJ?y=sZHU0hKPEf>W^|qjX-o#wfZ)G{@ALtYw7xccz_FaXRuK-p22W(1K#d%FRC*rw zV<>jqd!JC0u`l<{xi=fT;~``LO3mwW$r0xC1vO(fy=Jy*^K=BOf)%!QoK)rHY7&f& zfqdJjFQg|y$;S~wKm|KJRP^q#NnBy+eBB@DRoFcPPGoE%^Ar5!f`F%B0Z)Mrpsqtb zs7r{m<79WAmcUN5y^E6_*_I69sts0CbE22&fC!{Ah7`?py0}eq z;LK?*b%^}>z|IyVtX6-o5cY0yG&_WaaUAuY#t#P%ad8vTQ7+7Nf~NrBI2TIJSN8i$ zEkDZ@-zUvpV^#%*biANCi`=81qzc6{={x*`EC5?pd(a3B_=27F6{P}CFo%&BO?M(X zp6xY$MFe0Cu|_!9TH$~Bq74WnsYY)~sxu05%xIQXT5LD87=G>#ZBJccpSlAAh}i`J zQn?L5EQMQfy-bAJ3+P56ratOb4pgHs;9E{`Thnc$0RQ#ymv7(#m3rXW69o}kT&gmYNl>EN#KyAESyh+G(4}%yz9AyK#qJFaSuf7%AR$oDRtc{F6Au z;FpkOs#VW45r}U6sHv1m}}b{A=9wix)#mj!$mx{Qyt!AtPZ}_KaRq9 z3oPY^L}y_z<}_nZj=MMZV#%I&fm5^aU~UO_mni|ObWA&jkQqp5bSb|pb?%CtJC4<| ze#9KIyQp)ArJU6%5biRcOnaVf?DFcVCE|dVii{FmA77V^Lim6n z$=mEM<;P_txuCGcCm2~W0L`fDw1BTvRU$!zf=hLPt#jn$xHJN8w3%0IIBZs-4$0+R z5SHK*-d1Q-nzSs(L=hfZM7xsFNq3>$N&bQkW&NE$-V_!68NMjy4?jgGAC1=5BQcEH z$ww4Bb7)Ldb$O`|6Xd@~n5ofOB}ge%2#O^TELi4@Rc?}UW=NUB6x5Z&2)Mqsl=R5} zf`74Y8)3xo;y#QIkH=-?n{3+g24tiFd6zYxWI^n^jD4?wlmQ%@ z;zxzArx8j?VG%?+JC*QSSYC%_=yYNjY118@s7VfJt+gLD#1#-+qp7P%9|6C%3rU=? zvcNwp%w}vfU`_tl3uKLBnQ94!~5}@3g^J|1b(+944w|TU19; z%XyBYvjW3K+KPNO5nYvCBv!!i;zXV_9_=^Y_Aw>w?KtCOxQ*w?T2Sr}+M$3E65_n& z$qeuzv0&v>_?(FDtOa=2vmn7iU|@#3WG!&$M6MFsFkGUn1qHw3gAtrks&-{9 zXs|qM!NX2BFRK{}%q^=^xjlK}k8+&Yn(R5h<4Lp_%7?iPZH2iRfiO4qnN0@t5=&-K zL-qIKup|vdb|5Aw^i}Gm4DwhAV`8ephp&iwS=3XO7N*?IaQ)$+519iIOc?TIw1HbO83M_U-u)RvXz0g5h6 zju}N8o-0^cDHK_;$vy&=q7VFSNi95HQ4%4ez+fGv9i8Qj&c+R3Wz=JEgma3DHpVvb z00lytWvPnCNgE_eP(d}oEFV@N6#mZ@;ZX_a6OJ3|vr@KChGA3uu)vC#HsWrruB;;&p=$rp&D( zvGES(_okE&9kLdj9AbUSmb`IQLLMW|QcC2BMp>R{1(7orJ;K zaxYMnkV|7}_maIpv6IbYa-gUqKnX;XG#J7p4Rw|wfF9h1K=tJ)ihWA$Sri)kgt-D7 z)!)1!pefZfb$VQ(B^xGsM6JoBQEmthG(1szI-HJ~JDn0+CY`d2t>pwQN0-v)p1-X= zCzg_acnU8kBb2EV7o8*wU7U=y3so3KweS>&-cXKVq~!AXHH?K|)YY61>upe`|B~Gh z>qKnJa6wv23(0w(v|C7P$=}j=%of{ux}OU?Y@O4W{@!iwY{xLD(zDCB9IuB_!BYwY z$ka;&eKZP$^CwB(Nn!UkrX?64v4W)~J8Y#~(~7R2Zy2*X|GB#kz~`V%-(~l-6DGF4kSRZQThjQZ95+G6cpilm#ekBeQDE zUhJ}Yv{v@Cxj`Mv$=jOVO3G|fgW2y_nImt8k&K~V3h^cUh5_$KXZ7C>Z7i#i*%i!# zFGOQgd0rbK5p2%@px&lN>56p#@vL(vo&_?glM%+*3^s~cWLT>joxU1<`w{b=OpuAt z$nccib&?Gx`CbA?Z~esWApKDCJyM&<7AyBFVJ^8uT;4-+`|p%o5@uQbyGZVdyGpK% z+*(I+2QtY;JiUrD8Ik1HB)LL)x8$PpcZ-yH@joBo#rw^vh)#bKnY9mT6rDX7g-x@U z{3eu!=@-$=rvie3N9ufU-cajOC3h=U_TFXUwAk;#)Tl)QSEustn8SkP?G#1v^R-6U-KjQ zC-VuhpE>NngCJQBn`Tf>|~Oq7hAsR6{O`ja9I zNJnY#Bz_>O670<(DM*+p=O-?jb`b-FsU>u$sstba=$X!pEY~Bl-vV2_k{)n zmtq=iCOtgwn}j1^bbhE`Zo$x(`-l!ZGb?oq-UXA6O!B|y)70f9t? zXzl$Bq(1(PpCNc8)C?vB4{-_7hn7B{O=n}P%8#u@OrJ-uX?SW)qkR~zFjioVEo$2| zXPosFOJ**4q={vGYXTW{?0B{(hqfzd!>B%nVA*cu!L&mqTkt54AZSl9HnXv8cgkEO zf`-ZWqDGSM3;xf>QQ{D!?;hs|L2R3IoN`qni>2?j!HAmJRT{k%;V9XDS_f-RZ?y4jsgsfhbwSDBZ#Pk zDJ=Vm!@Xq|ICt-9IEW6YKEZC&!9lEBKs$=44eY9J`>>MRhhkM!E~H?^KDT2JGqC;M zrOh`IZoEb!9jc*q5_*J_j-xP5VlD?fW>MvyFrz>*$f zF`L5SvXWU9_B%2M{Da{l5jU@Vu^wG;!NNL7&h}~}d}jYk(UC(DRDb(ObbM>?k3m+V z1&59Y?-SEB2abmh7YS82AUSK|4To01$iK%$c1uv|UKigVdWBMFLF#TmimI+xixMvP zi1+J`w-W|jU75FoTxvR~s-p=S2e|YrxuFg}G^&1_UKA6rH?sy|`xn0>AT#0C&oobR zbMwLvJ6(g|sb-=`*~x#(h62~KYRMo?@4T~h;YS9WF70bK66uUT?SJRedb_zl-!zhZ z(;!D~%O!r-IF>5=xkE?xjD0RiPB)K9`RuPY-sgJBdA;BDf*(3wH{eth2+B|intPKU zBuhcY6*XlI8aC{W#oR-qTq6dm<*DkZhplHHTTee*Pt*w5dTO>F{n>WXukED9p0k&~ zY7)Cz)=*Q!zO1lMg`#6}X`G_N(307t+-L2^nlRt$fcW^5I{@f~bM*r*Ar57P2(LDd zyZw0To-9`z_qpB^(L;@XT~0K>R0`yPE|o?@m!pmM>*5-Fbx9g0bm?ypVrlH-uNp%V zcjN-L{T_GUqs^nZ1c%)*&gy-=?($MHwWW9gy&=n|#(lktI4lv>!s4C+K~RUKla$8fQz>a-G9 zq7@?5>$KjZ)=qZI9ug^By>IsPUU#RcJQ{}Rc!%i;y#mpaa z2RtUtlkViL<~T(Iy!oJe@K*Emi_Hhz1229Gg52*3(j30kJmn668ZA8V5D(OZX>&vm zoO!W1@nA*lK!E+vPIe@$*Vo-&zd7ID;#>(H~F}EJ2=1Y%IoRPx5D*C zS)OAh{awzBlrKgzG0b&>3|r|j*R$LvM^P(r5BJTY-d?7(smHMDE9oBu%Os8oq`I|n zk`F`J7|Qu;R?>SEfvBXtZ4`?EP#V7YmxXRLb8-0S?6z9BS~T^{tig?7?OQ3 zU8NgIKL%-1gAmz}?D0q%02PodY5*_iBQ(2RN6mUrXqp!AfRa+9AD zw;IzuCpm+#nW^Zg>$E;y7kE3k|8)Y3p@Ty>f}NIN<+R8-K0U2KEh$j!VCL(GPgT?=a3| z7~-t@J+d{`DllD?6bWkJyXW?nul3nEG1%FEW?NT17b z#*|1u-6;r@{`BSTGL!YEujJ)}GM4tIuWgq(?+5d|Jm{Qk^g+%6WwH;t{W1^--7y)6 zgYJED&#>B#D=AesCs+@Ej!t19uf@+*RF|q+UWJ#t-I3EZ|2VIi<+TN;^{ zXR}}treS&8z|LK3Hps%A{<5ocKr#v71!S7H&< z`WeC=Jy~4dq9J}uyz`SsI07mqKY$MzuW)c>K22FUg=A~}K$_?*OUcZxgq`1`jXh58 zhwzGd>GUO*e@q;-x8no#;sYrQ5s#;IhY!RHnD{_gF+{nNUJ)Qrlr4;=u&AEz60xVt3jB60R&j7QO9B6EJ)^bEVk#4 z7?`AsJ0dPv!7h0qs@!5&^A1!RVB68+XN@QP{&MbC^AHmRzx5Pp`}LeU?$A%G^1=@T z%b}OG^2U?d#Z?B~A-v0Xp8OG#c43=CAH_`c%*6sJ;)dRe84kUL3(Wt@ziQIW4g3gC zx}l6QoU3ryXfx{uQ;51 zhYo0=>`pM_L52p*-~8bYm>{XOVD%%W_bYt0X^vG{300O6RI>L4pmS_=CW4IlYw;O{ z5&;vR2us=yTX~P9hMv~q-L10@%exBDyHSkeQbPOg?TG{pMw~dM5c4Nc1pgtcRnNq6)|L#z9nI}3RA+7U}{mlOuNM7 z$NAM~e~a0ed;vWalRWPW5-f<9GYJL7=)5|jVEH%3trYBhhXOmIcnO-tF7apEMPM;j zSGsRE7_5u>hP#$06Vy6_lOTP2YV~g15!E`FZ&M=zKMC-jIJ{!pR zY>&cVaKX8Hg;*Kqsp_Sz*5=3Gke~J(PpFa{1KwWE3iRMDtVr+aM({M=^An`D_tN8) zP7kJiIiFTA-26lag9@)?EfW9q&3s;s^m0Bx4+=lMSxFAUmtZ&4q)=)YDMhM5R&{|J5A@aLE=Nkw;?jEwD2m*86rK$GrO~^sUzv5UH&>%3> zw3Pg@m;sW{;eQ66lAQaHH;kq}J4kSSFrAVu*h(!t$d`WUfPs3kmG7#sA@88s`|o{h zF}@y5ai-~SQC|9p!*p&Z=h*U);JS zSh9>%mMdcP5=^fVOfTLSq6VU*XhTLeJsW+w`1M`?m*?J z?Q5*2PP@#!PFb-ZqVWX|uO^}&aHU7$$!MMtl%(JfX}=M3Nc&-{s&rSKHHC)evawtHlQ%hzD z9pzu-wP{erBH^e{%(B-`dEuqcRI+uICHO{eZ|v0pM~RpNH>4wK;r0P+Kp!$|{1=CD zumL9atzkG}asb_a^AQi&=Y9;K}g>QdDI; zdnWzTdvyM1k$;)O%a2Dg&RpHI5l6eMn)~H-5NNqgr$v=I zgftk>Jnp|Phu$^iLA4u7;K06@x6AiGa#QA&2Rh3CtT1VoBBX$S$5_Vx(pz3~AN19h zoCKgrNH}J&zyLvwnR2siFzGa=r$r*G2bBp`4yw&}?_^zYlp% zNfZHd1%f#L&?Wo+D)>uTAR_TyF*lToLG_-ycd+I#s22nUgmA)W8e=f_o36#h{dNq0*HDrk{Kt{gD!H^6jcrQU%*riwxB1L&MN4H@kwCd2R zf3rkle*T81gpv`vUlt)eLd*j0#E;=?Aj2g&qwF!T1;>_be&+}4p*A>v_Hmm8o>-EdGkl!!U62I%jI!Eb}EIl?&?xS{cAZ z4JVH?`i{;(xhV6#oWBFCFO4ea@3iR!AHK)6Ai=uIA+26{;m{WK!_eoc;JX%hm0I&O zVR&V>!mD?Ed4&X%&UfhWi}fE>YjHFmHI5`^H(Gddb6uCBKNM*hmE9|LB$lXcj<>kAEy{E2d3+EiU<97$YrfK7 zd;T^rXY-K^1=aL9lE~6m_^{G@wA~$ZXs<;^o$D1N|7}rHnEo59{GOs^z*}d}3Ryiq zCCvu|iMAze>1F_Zuhf`+s}`0Ld{v?m4lB1K^g={KGGHSneO}i*^0oi)!aw*&fBcWX zLLx5&dgM?3`7eIvm7n_0pFc-luc^;R{>LwU{$KybkH7v$zeBFSdc5_?zyI%k?)QK7 zKmOY-b=l99yYr-y3<86ukUUoXQZXzm(*>xM&oAH_1^6AqrklTOQVC-y4tZ79m6OH3bFv25?%X>9gH7d#YTwvZ~S*H;3?O{4TFy&pmWZ#+t` zWQ77>QtHd8!RV$(K1AX>{TR0nQziD0kediYzlh=yj)JM~;4(!Q~;hm12<1Y%JYjGs$?N2BIXGnp_5011|mk`?xe4 zCXY6ItW0h7`S;*pKPZ`1A}nP{n|+fEU$e%1G4yh*C|~-g_ka8pJ4aCUGB1BBaX|Cg zD4O37B)+;!b+syXHesVH^3z}aC|QNV8w&aF{O~%YTZ3d9S_DwiE$?4KjX+Tp5g5FO zo~Zu&h<(!wO5|Bs_H{2&`oeDpB7bC35R0KWEF?Pz_hp%7v<|D}6w+@+UlpUs zZu+%g)!s`z^sQ$vf zGndz%Zk?Io2Q1bXH_n`1zqZl7cI8ZaZEa=l^33Au#u6ggYpctv zYu8s#tt_ryyK(B$>a{Z~i?e6+l&%}=b9S%F^_lf&&djZ?x2$%NAHg`&UY}WPZ=BKn zOnYtp^!i4?za;oLpTAfRf*!7ax$xMJK^Q#1-_PGs{_^q(?gzWdCwH}fh_ar``|G~Bs|*`;+66=R_$p6zwIA8l zuCm(CuWrt)EY7>9*XCO*7dIDM*PZ`alf2=|+Qwpgacy-YtKW@i_5&Rk`P~jJ%%6bo zJ&gMS{sePg{x0qtyUJR(y!{F8@7q=GZhvxDyUN||-%a_!uIE+mZvP(2D2~p2DtEVk z@2+;0yW78SSG&sH?cY!N0Dn0?E8O4PRbJawUf)#~FH1o4=Wp&Re=;l2wA-zxue4k9 zuD#~kGs`W9ShdzSE-zkjYYXmskSNEBUTeAQmls!BZn5oHF*l2xu3c}1lg#Zf$k5wcb8s60#v)_&0G9hz`fYAlSooZe?wQ-;QyMtD9@fV6fhrySBcuxY=5H z#;vWpnFR#g&9?Y?BR9XkcI8TIo`?8X$o}eF{{j$L+BmiG%m$#3o}QdA&`~>r_eLQJ zKFS=w590!IuzGD}#a&rjToqhbW;QlF)upvMpu1}uEWv`CS#@i(ORc%Kn{Tzb(3J(4 zyX>y7U0az)c4lnFuB~p&EVLXVH?tu;7gwEzGwnBbhM#0wn4aPP)a=m0%);2n=+xNQ z?84CO%;Xq9Lo_lyKQ}TtKR(sblU;hreilkt1i_QVBzTbcF`&r0E(PNjW?X-~K^o*9YCxi>nvcV6Ds0kZnH+PBQ+>Oepz#x5q!Q{rJp{?Z-RM{{qj8 zpZw1ou^{{s(2Rk>VmkeJ)kX$T5WL2mlI{P(RnKg+=M4*c2o&C?UUZm)X#`U@P5LS8 zUxh!>NaN^VUOveEA^xN*rL(1%^}PCOe~?Z;#-DWR+{~4kxy3fZ0yP#^^pjFSyPO!^ z?T3)u+O@Wradz$6>iovTu6?;Bt?d>!K#3-meMf47;02!L5`2NH*6o8IY<%$PnfBb} zR@bULwbEK>JGZVMYin6H}8@Q&ZE^fH=+c(+oY$W7AXxKiYF;eQo~Q z9GW_+tjw%lx;AsE6&9qfgBne9Eoqliv(Lmu>4Ujr!>6alP7k{i9hKm~as1TK@Trl> zlcno3D|9QNeMe7^)VekDKChA8iJ`H%nTdtbv6+*>`96NQ>JmS%w$PfB7~{BX~MgOrR)UEx7)45IkKX!g3Y$44w`s`g9PkKOL^~Q&=ZWk98GUrv{%t zc-pcPE~}UoRA62IUA0C^-PiD{Diusr`w4!cbyY>JdenRk_Dirx1-^!~YT{`0Q);8= z9|S+?gZ3c!2_Lja^vO?#pHw?nqdzSV6`q+pxBKkbXXcjH7S6TS&Th6hme$UlU28oP z+>y3+`NCXl!_w|ixqT{UdC!hbPAtxzY|YM(j-DJJn{5U4Z5gI!W@aX)7RRS2#wSPT zPmcKv!@h=#mp9L!ooX%2E{rTJPRvbCOwEnW2m5kWY_{5GCt6bz(<5V(lar$pGvjj$ z!LTwM@KwxRUR(sWsnNOV`PRtj_{8YK^y17+(5F139YCL1YM-BbuH9N+T%^j`wT0Ez z+PU`mvvafKlQSo$PEO5CPmV5}91Z$&jf0G{F-}w)Jeg zwXwF*T0GmHom*`M)xz3^;;yhKDn~&u7zT)?{XwA|1Uq(BdxJuuP!1LBEf^TtZ-Ft6&N6*3dzH)C=zP}I_cNU@tip63$7@BAc!$P6Lf52A=gKCw?Vv+xg zJ<-Lm*<25GhbY+N@!baO%Ny`xd-m+&MynMZ zY2>36A3U`qAN9=ALi>F1-ktfl^R1YxZMV**Nwl(l;p}X? zy|EdbHgRhM@tXxULOC*KumE-NOfAJhJ*w$J(R;`dURj~mN^^{0zjr4F2TnBrlxh%|z#J<2Ku2jzs?cvc`T5JC(4JH2TFY#>xtl14J4H`ATUc9%o2>gib(_cqMoK*=S^&r(K>I-;*xH!%a8Si zkVdE5!P|BlPNduAZnsOWY~2pjZH-I~YV^d4Xh^$l6pRqbC|JmX0XrkJjT^MgHh>9er{_`=I)(7J@MsLd6CKuI7K&!H2}-<>JKd}d$32Q} zn84KAU`&4^*MmSQoo>QuqGAN1t03D%$D!D|MjnkqM{G2Mr^rLZ%9%@^{WGqQBnwim zk33(RZ1Bt(cDbHx)h0~fxgLsF4u=y#ap=^Fa$`B>!Gpj zTp#7RzJTt4n7j}GObNpr*Q+wm^%1O`{mWq_d=g?TC10kPBz7R$EG7T-wc;|?+9xhG z>C}}|K^(YhQj`*;p(-QIKv_C0arJRThvc?m5pitv1`GNp!lkF7+Zlx)D zcCvk2fp4WLdUmqi&Zm4=0mZ0u-^mC5SOLW-<<)%P`wA#VDc{QnUROXdN_j0Gxb|gI z6r+?kl#&HLs}#j3<$6BwIRzA>l;`t-FDjrIrF>a|8)-n#PRdsl_)?mpXD8d&6nHUB z(X*57>k7P-rs&zpc2j|u(-b{B*}kd3H_{Y6JK0`Q;8vQVXD8dY75G+~qGu=DcNMsu zrs&zp_Qwi*Cr#0_lkNKoyqc!y*~#|00^dth^z3B2CT@5wP0_QH?XyaGBTdn>lkIa# zxz3LbRz90J7Kt#hEVC88iJ@V`mM=QETce78b}Ldrk^V;Cx;O)$;&Ew z?bDD(J28e!Bvp>1TYgx%gxGZ)S6X=SsvDECdam7#q66d zB6M}Uzxqmqhz*CT5{?L{*0;Ui%5*v-j`zq90kj4EeY}To=vp*@vE7hkOuZq8%GhGa(Ogy21~0{VNKr8E zURfDw8eyXFycJsU^r}cfFDqyzEUfc;OAaU`LhS0WX@5Z82~GY&%|zsidwA@tUNV zfeQwOmr~7?KCzft;wkH7)>Fon-iu|yL)e8iGYY*SoS`BPqEqTIOr8upg_0GDY%XJ_ zyS=ITth6S0HoZxWUYV4d*=hU`uzIuh#T=rcPWvHNmf}eDbuqXC#ReJcPeZ${AE^k! zI88;6m@Tt+G&*3%g`VYvhAsWQtL#Cpm~`{nCSMyUvnte88{UqsY`6LBI~_oq^!Ta1Xy2c8%rF+y$qSYt_Ov9O+GH*%?qu>p{Gg0BA(@21EFprt z{nQ1>y*YalW}nGs1c;zDF~l#QIio3^93Zj1qGQRw1VJ zSl@0+6|Ptd;iY(=tD_%%dza#2*K;^J8t>BMaJ*lSBCZJ@)p$sc{qX@kTs)vhBfeLU z!FVtU;vM`IQ3pjgcm~tE*9|}3+>M0X>Gmu)``r$AFC_kO6wJU>jDqI(WGuSfeI?hA z?tn>(%bj$_tD^KW=@rteO0Q<=y>8%4UzIlYJ>CradPv{DOy~eI3fw#r1g@7Bl&KeY zR*m!nnvjLdoz%!u>!kLPdaqKWZfaq;H8z?-p)Z!{0R&~(nd@Ocoj-y@{?;Em>eu0YpGp+J)Y zb@jA)SOxBXq4|J&-~~sn2X45gyZ`g#Xugo4tN9Qme6!Shqj}WP!XbV>??^dx;{|tE zl^!FJ%8tL#yx-lg!XBiDsoxz`$_esO+h*kRG7IP}y`%-$=_YVuZisaZ+>)TWS?a3;mm83zAOA( zyBVCujkJ+mdp+EUL!=xQ%pV1($w5XLc*PConOBnouDa63%P2aym-i-A8(t!GMa7UQ zmE`{lR$LW;j-Xtj4JTnZZJY!BEROSxGxt zrbyPwDD7lLovc|Wt7#{z*2!AhN!&CZ62S4DjM7d<>SQ(Tr1JPqM%Kw%+R2Dc)=*Kt zlM$Va)XA!K64xLg0N=wP**aPEofInYf^6V}p#lj;-$|<4-8Tqj-bz9bDAys&bx^r7j{5gv77#7>* zhNhGl3p*3H9Pe}uG)&xZJ5Xg9Dj0Rk_+fidj7&bUtX7&OX489_O*fMAGDgVl&)y6g zGZ?$FYOeov0v{%TF_GL*Kq(Efy_f}LLXh}!7H%k9Pi|%5BKn;4+gZ4wa6Nf73u8hg zuVsOqvL9&nZ=@}G>mj+3g?Gw+=u2MA!o8|&AbB|p@0116m)y$2y>yrK+gW(0EQr43 z)hul3L&+PR1aMrOqri8Idvl zd*!fdtEes{i8&K~V`f$8e=Syq(%t2xXbYE2m9=In(*ei|k2ia;c<^7S~#STL~&r($J#Lq%ybkTeUlkCVcR=FuQDpe!=#L`G?(*7Kq(sT05~Wx6JV zJW6gdS*FpO;hAAArGXRWZO2u5FKCK#kjUr${mP|fEq-X_QtM3&f)-vYS3q?6%0}|( z&s?vf$>B|TBJPrADR{E(EM+MS^<7ecK}(p-(R-dSq_B=8M@YeN1JbHjlD9tnMnyJ+ zJ(rVDUn{O+GclnSHb7mCiA;fBy@78hYBL!V@Yr<*eAoq^Mm$FMF*KU5-7S+qVd)$c zvl?%nxjUg|_FSW^fwupWgq0x7LpFfPr>Rw-7h%1?Ff$1`T|DK@!B4Ql51z#|Oh>{F zX)&AckQN1UL`OB96gpl4A&_0S<66jwR|6I`99Y2eJnBSs;G|@-$(XA1XfjCv05uxg^&y5%BBbAqVy3S zgt?$2dI6I`3{opRZJ&aPA;;v5PW&ZCC}f1nkPjn)V|Pcyh()LLk62<@?J)+qTWO}o zR=k>2FKL=g_C|~}Oh!yb9t&KIum?Kal8*$=gJ8>xL(N(S0+u`+xgz*W<{I`2O!f67 zBd$iBJ3~kk7d1?cTm9j?)B<1q+V)n9&CdcNVMjbhu9;4xl@XA#!!y7qOH@> z2ir{|R-j27n+CpQq#E2>n?&b|E1w1nrP}i%Uw>4$ z9c3~BL#l(o!(P8j7Z zgQOFdp9&)GgwdzPq|^;#pcJuEI_VXKD_OWGOGn_bT!BE(L?kmi;FR(s*+dJ}-lSde zE8yb5!yRn00PceWq?hFbiO~jPDFiHC(^edxTW%A!)2{EQoyC* z-PJaNwqlO}vPM-8aL}YG%{=Z}y^cD~;YMRj1Z!lA2@DJ> zwBu)m-c#z4vmE%gfJCDz?3Wt45YZ=JOiuG_k@vW;29pHrq_MSd{ZN_4*QyWLDeMW-9+Oad`e691KC0s@8+=XxZBg!eln+h$vVtdCd7<6$knn% z+p>XR)ykhhD6s7-Lst`@QUS=j9`a)H5MA<%av`8%!>CZnuQeIR6_-!<`Q33tGM;203qbe(MqBMB3Y|Sb1*@h^xhnrrJba>tQn3Kj?cE z>}5E|Jx@nKl@X+HuC01qoC9ZubBROv*RPUZ1%&RJ%Ft!J%|GX zzGxfgN)mzik)5FzNymJ|>&tXR1faawFbAp?`q#ov41y$Ok(=UbGis#trFzLwYR4K3 z-}X;+o35g#?tlPf<{*G8yAa@>!?bn1G=$j;=!PMh-tR>YSR*jxQx5Z5*K1sa{(AV! z6u3Yo9(ekrAVP{ud~2bnPAo{{QH+b24AS3>mkbh2WE*YF&5uGy9y|bROuZKrk zPfuFU5cTwEu?8~7JtH6(n5GzDD_W6C9aK$? z2!Kv77_5X)z0CptO(DeKXSg!asxnO&A}?Px_FC(gv<5JwOve9rm#@&KScRd?<=MasnV?dyl}OKJHT~>tD?6E*Dg5fxycc8E87A$QH}eh0Nz1b zpaogS4ZG{xjDY!MbJ7Cv#GY)s(xXm)ZJC?uy|j3n#YnBO3V%5mGi+xaG6~z2wMZVn z_DZp>;_xnYb^2TTzoW2lm0oHZ5}ks;Xw!^6P29b)R}k;{DR5$|H86>oJ7-EjDjm~~ zDzv1-K7-}#t=xGlb>7&cmgd9fkiJEoH!S5ePPQN~R&0vs?N_JaWp@Ws2fG8MoC@Z&0qaXd2bxh@@-Mb8SRepcyH&v4M!-ATWeM{Y zzfg#vZk(tk-zEgRA*A${*p9Z_X&f7c6bCi2F({2I*qe|0ZW>of^ycGUP2)<5-YhO+ zP+bMq#VGbsF&cZf~^8~wf zc*S0(5Zii8nG{$LWxQ}7;3V-2q%x9RP`t`7J+hs(BqOeqB6FphcI8G0xJ0`_wJEbP zB8k8>+RQ5y4$3Ojj#HWMxFz`2z=8-#(uyV~^6-!%+?5SadJFAM{1)-rdL1EEv zh!w{CPD0_yZ|#uk5#@MaT7=+5A2UB*9>4_oKO)T3=#)+yXx}{;Yk)9TwnCdGX#+av z4=@GwWM>alUtewv$pC_Wv2NoqqIyvuMxz;(p=~!Jv4~eqGFQ8YL7CVD-n6|!ppgLR zT~T}D1(ELx_PrcZ25`XyKO%f1i4aP1i@?(979TH#(X&o1bTUzmr0JGS#54|Stfh}) zQ3VKBZOSUtN5C(2A&yh8Y!?k9j50PHFeZro92l^vq$1rz#ipRqYN{$cXxI#mgAkSU zofLfSPoogRp`m(e{j>h(1+^y6h3J&PaFJAz-bO zFy*d=8;=A7@MM_mypnuhAsru)1N=bWwnLocs!rrk3k22? z+R-UCyji^gq>OlEp9d5x#n^6Y=NZzeB2n=qXK?TmR1pmj%lqXBh5j=^xSxOk0QX6_ zMG(r-yhRYA`y~<^F&%&v#2{b4kuJU{Le{*OT8KiiX=LJxeCB~>3j{ky1B?&kbp$AZXq*N?7^fl5 z(heX8w{@WQd=&PiEQ3;qKKN&DYda)CjdEuIO+u?S=tngkhXIF&^FF}+8bo+9JvJe) z9kAgo;!(tvgeU`ns@F)4N|B_V3Z+Ioa3+VZg<2CTgRNeM-|H_;<$Az_59v&f=+%dC zc_aE8m$l;|oumP8w`#LU4LQturOc(vCSlsf$2A%Mg_}Y0Q;na4s&FA{Y3X-lTf!}; za8;WC=}8(IyBf2OW)E|ik>xjoD0s702#8}sQ@43dE{Izr`ZG2&_3L%tGyW%=t1-zQoj|jY?y{gOTI(6o(q4Ea8EbvdZ(gK(D73%7!-KC zF(_P5<0PnL2VU5?8q~fLMFoG{5fnmDer8GI*Pay~p`^MfneAf89~=1SQKbT#7;yxF zW^6;<+BgiFqhv->uF(m*f~6cLW&d*W;umfz&*A09y@)6+8+*PJ$1>gzv8qv;F36~1 zhnEa5hlm0Zs4%LL&dl0ZHRfSeR-<{#ZBTYbj5?_gqZ5Mh_Kiud{E9 zey9TE-LKu`O>)kYqoJ>MJB*4RQ`pm{t;8Uz1;qJlGHY*yW?j-1HtL7SVi&x!{y_LPlZEImlZE2D zoGg^?GFb?_lLf)Wc6dD`5SUAWS%AXSHg#jukDLjuv9i)ONF77mPhGsxolbEK=CtqL zFJ!Vvw)H;=z4*ZXcz43o239*D-@S-*l8|2RnGpP;MBjVfUiAHLm~k#!RNsMf2k+!u z4l^?Pcj4T_cja8ond%+R9ZESD_T0v~b#X4^cL(R*g{90X^s5n0A#dxHFcDs7LS#RZ zC}m6=h8XoG1E}n6nj}naVTB$G@GbANS1r;7$xWH2`Z2|)a+qbd7Z0T*TbA0)gO7!T z6)v$hv?IVeNxny$zBw697EJ9JA4tCMGr*Qw+oIqg1$i$%5**-x&vd^`C@E?TFcT<^ ztPo0&Llv+28hpvDLG&nVXG};r$Rps*Q=kQ5d7Zx!hGDAX%cj*dL1c>rCKbZLmXTri z9Xr5|ld})W!lT{{*;}tS{F|~`Whb$aV-Zj+lWO(|Yn47i0h?9J43Oc%fQlL)Yij3# z%s3Mdsg1{GPNW3J|119)K#ocEQY1=l5|nINgMYR;2E6?3H~}(AVoyI>cXKnOyc*{u z%wt#xIw+co0obVai$V;Yj*{R5xD^nU^xpgnyl`lc+}1HhRC7W)`Y{;DL_Mh8m)8nm z<6NyNzmthY_&S|*8u+NmPPEXWN*N>G^psYp{a0aB;%1!alxPCuyz%oP^HUL#qJ-93EB z6j@3GB?agwEt}PycEIGJ&B?;#`!D!m`l9Ksb6>gDHf}=+q1s;EDiO zx<525Rt!M26#%m2V*nQKfTb)P0j)HNt-mWr!SoF96ofw=Hqp)-eyYoj$Aa45zB^1P#9e=vi0glM&>7+`{!oy8My%k+k->!bMaoD8<7cTH z0z+Bs{(S7AELJNO-Yh5YNESQ6wDq#NTvFp-HJKyU1OqEfz-e}W?Kv-|ynj*deTiRL zhtEppf^iD!r`mYh%Of3t23RqS{4O1f5i3xxku2=&5Ly8X8KG&y8V-P7?v+e4v*n+K zQ5o*@yjN*l53x=q?BKm(>Ki0$dT7FAh&U4Im*DY4By=PJaWZdZ)R%M^Pl1eUV1h!* zp#yQ%IJ9~vhl>3*+qu%XNZA~p7Sce$^QoZpe2R4^;}X*@s4Yd@@TJ>EP~u`taC@Gi?{9StO3SYfGT{uiRnRLZvg`6>&Lk+TiB!w3>embaK z@PkD=q0D@7yM#Ym0tqEp+RV6;=fC9J34J@E3%{B+Wvvia8{H^JMIl3?rlj|iSGDPo z))oWbrvSZv69tIM7J>TyaGu&sY^3g^q51;^nl*{Cj&7}9QNDT+O!5`Vsd{3~g7~eF z_0{aQmZJK_Xu#{twe>(N@Mx6KdbTM80T4(06{1tgtqiKC$rJHUxfiqgkmCWc4y zv%_jOJ2VCn#59?F(W7BgLAUL*<^X~$84L1!~%@)i?*4pp+DHW}G~ z+oz>kdruFG+yabDlqkth@>9GLYxN2Gy7o6AYH+FY*R%|V&%ZGY<_Vaw_ro;!2LSMA zY_T>IM5lmI`x>=N)=(q^HMOs^xgoILHE4HE4UWxoLFn{X^Pq~4?comfC zj1d9|L|n%Z@@u0Kh$e>9I0+y5WJQ9MFgc6QO@eB_paR>**^-bSA^xRbS51En$yJz+ z7AP#mgTU0e4Wj3h<7Jcj}xd0ak;f@ld)299$6`~o`)OR~gK~HyS>VtPS zz^wE&?zA;fc89)*AzEnQ8RS%BUXF6C&|YwI z^>dK2oZNx|DvCNB{dALaVNY;`v7CI3<$hw`PfX4QSx&x8e1+;d9>#L=Ig83UAj=vN z>ew%RV0}+swTQ#ri0@m(L?^m3y^%rkKVvUcW zXse!ZRI@oli#kgFO{MRCn{>9keC?ge7i!7hdZ+TtS}W$%d=29xdGPM77~KAfH4l0P z&~aVMcFy-kG1WU_ig(1wyZ7_{b{yGvWylucY1DYtw)%QBUOC82R#+t5S3%sso zU;uC==)2jBqhoId2Qkn??3 z#0Xw4T?yhHz}ip;9og3Pa#AB+d5*^Ydbqg!z96`EHJ)@mcCwm{_HmVqdXRCOT5F(cp^4?QuI& ztT-go1jc%`;asoLt-`K!EWl7@7p5nT6y)@*fF})H9h^K#Ud@K9Qx9+$EFzsUe-+Sa zm2&DwR36tETHS9*e-QG0irVG+Ksh9x#)A|2a^gNGi)>dXo*dmOTpuB;hmxQN6A6In zi*SjE?27~eQZ0i3ixHbomQAxyK78w;=Tmg99~P+M3>I3$2BFCgF^oeXbvv+|Tz|(Z z52bsmgr{{2oE#TpStuYKAxfCX-6=uI2g*R8*U z@PD_6_H6Y|m}cXQ&ci|F8oRBSF8qaHTI&<SpZ{c4AJ_oi4f*ivS zbQm5%Fd#utcLVSr44(>!Ob}QV5*(=@K&jp#2#~40CI`4*r6UVujR)L=v*#U<8RdwR z8DlC2q?Z+tb-#2Dcbr zbvju=MK~7oN`n|p(o{9;b_k?e{17~D#%UNI$Y-U#kZcFgFWS}rGOEsOWtqD-Er6}8 z02;-tWUdFYSqmnv>sg79@xVN|vJxM+x5BaoPWWga7-3gh^08R8yVzp28`V%!8&C_9cZ? zCh_-h`8E@uo&Mq=kJ{P-AbGzK`r??`BZHCK4cdFjy_B_R{ zL4B%g(8XCb`&4P4ZWU^{9(Uq#yE^Eg^&rav{2RbemYrl7dAx6z(KC0Y2>5QUXams6 zHAt?}$GOo-20pn2cQ;pI0~C>K54ny%-nW-r*gyyAWG`-05 z`gV`oCoJyHN7J``M1!$C`RKu?`i6ZYAZ*Q9Msgg33t-Ol zM0wTiY%EMg-(nt_d<*fy?NP*No0Y}CqWD*cuekkbd`i0fHdFnMa(sszJ0mhHEnU&!)1Rd&qr{B{*)^hY2y$y$t&JtvN^R4(GC13@F7uKm2C876PW)iV4Lhb-=3qxRdkHrvgI5Kn=5Tt*%Dv!mG%qko)zm(1^ zB;9VLfrM#$@?w?(=O-^KpcxMQpWMmBsf-}~(Mkp1puGK7<7 z2=%C+qJ9`1?-crPY}SZQ)ed)?>U#%TwWB)| zXa2LIyaYw>Kg+Kbxe}hv{p^<1N|H5mG@A`*9Z7mIWD_j)J6-W!7JTw5Q9ABZ|J@(sczq<1PM9Eyy4>b#|e)~-Aw=3a5iq>B9w1L(d zxod`2Ad*}XQgyFFbHI>V6H=L&7*bhg!l@3=uwh8b0w1_UJ-!y$%CUsY&3yDO-jN#s z;a9#se!y>!c3SobBOqDbXSZPLh$2vDrj=n^iG$L;dKqfsV$)3%yH+I*=CzI0Zb6e@ z3=(KJt6Q97S_PHWbBD2_t*u&Qw_DIEHO(&NRM(yC)y96rb)_-x>c|u61Cw}-f{Eyg z;mFA85M}=9=kP`p8z0efaY{mmL_$aP8Xu9B zdYFFh{CZ=y2_0;$@vyJ9@e`V6*ZV>`;A^Qi9#*x7bxL!~ZkMIzt$EkGsk!hj zYBuMgT6MS#Js8>`WCm-WJjv{8&<8Lyt_+L=w?K;81XUoY3r=po4 zs0>v~_y?B6tRJ6 z>7+sn|8iyPC_=CKw42BpG@=s|Bcycgol3}l<+PS@au38oG0(XD#JZih)@UH&*>xRU%U!`JEDg+*v7>-I1M^ z_T-7BE>AyutZ-NtFlSk>%2NC@^T1k8943)DtF^3E5jz(#*tLwrGPj)7+WX<>47|XU z*;HbqPuJ|EGl=L8rnLLFm>O_!fmPF5yj$A^IOYb!Ysw_Wk9S?9fjdtA=pOHuCgl)5 zI37cB$-AZZx`Lf`X7xMnFPsAJTo<8hzR-lc*$Du9gP!DhZkStIuB9|2x3s)$X|U^- z-jlketLQlOQB$rxUAOd}JKfUNVYjF2mfqv`;FgBHCC)KFwH2hGL+QF>lv&AMSCw0O zFP)S5DL2vH)Gb|fd!KJ+Z3#0p21D>UofzfQ9}QG`bD{n+3t=xw0^Fk|s3ffK*ygS- z4pTK<@a}2@3|*uVvuk(?0N{u55>sC3TKTNY5@Qjp2xIUt+-l>k^S5s6o)RfC0bjO> zEsGDj6RK`QcDL+EThmin1!gX9iGeh?<$(mZ#SGpNgV%an9wtcJV(?l+P3A@w-FB&y zEgD-Ut(x1~K?@9v8k56<9Kzc84vvf#3teY0`(5cL1M3G_N-lKI^nRL-)A& zY^X*dFCz>i3m;(ar0ZdPfVrHg*avV1`>jOuB6S9r86JPYg|s{vjEnN(4Bj$4DK`$# zeK}zJ>-{-bu%FQnJl5CSF?e9C29iiK{r*0tmb}08E2(g;p!dvwsfzf+bbt$sakbtj z-9Wp1T_b@YlJBU93}yoeA+2?S@1>E6)=b{$=3q%6;)ZdS1eA*}7g=FDN`EMxJfbw) zyuiJ$=2y>@iDQQ|K(Oh%0|@SQ&cw(lM!sb3b-SvbUCb#)*<-^x5Y9a9s^mnm>`pb* zk4=Q!p{!>IBk_*tq22)|u{vFbu-047y`GJqcdu_lwh-Z^f=M}F4PS-HYEfaMb5Zrb zORWANd}dDcA00lu6aD|M_{^N>KRSGRC;Fc|K6~!ude7ZG%%VMaXIw97fcj3IPyb77ZAo52nWDCt-8H-iUAF2sGA+>0<^UuN2U2LC- z-<>FZtoj}FT0a!fEMSM91^hqxt;RZU6(!+Mgc7D~`qVAsV5;c1(}b3aP}4qkq+zHu z_Q5&!@ugV;vfy6v=N9$tK8{&-gf>B}%OFHe7g#n3V@!AUBU_MmHJZwzo$I{&W6FV_ zz-zRqSwiucU35tTuyVLDlio(>R79z_v$ClBmzhB;S6A%>QNNZxUgv5Iy&u zETp@gn7DNJAA8b5i}<@`v_DXLa=9NN-G5A{y)A``5s-ebrXFUB0gt>9I!YYlP01!T zVaLfpM#dzr(r9|1sggGvv3)opYNjK*A+XgeD3K7A1Q-SgHiEjF!MV~j`nD~e1@vp< zJ!TmRF*dH+8>;P6nEjpI(vT2)XtVjPMnJtHqBO)2&s*hOaL(D`e)F zjj*0wq=g!zKuEKpU^eEF#=M?w+X8ooMwD5krz0Ms98G#{&kz_vNi&P&2$7+$rn98> zKBPC}>neUF5)UcD-bVCBOjWpH?Z=2L9oteKRLZAw%dW2n03>2CF0x$=M97&dqn$N< zNIizeU_A}8x&Lsw8H>2+VSFb?^%#ne=~0c3(*_p+7v0e}cg2U@F@7I%$N7C&z+a(e zc%X2K!Kh)2Xyw1)=%jA3lX3DSwu&8e;H~H$c9HFN{G-r4WP_51-h4H5I)t2VzWk$* zh&Ss&aP6`D-xz!XkxIgBn2I+(?m7yf$;If^?KwWrEG_3pYW(_7MuM#?psCB z^T&M*eDQ$)xbFsJ5e06zoIz_X9iJHmdtEyNp5$;r~tO0 zWMO#E*cmfF&^aNEu%Rl@=LGAkv=pl4RhllGf>BV(HtH}VgHH)H_nNBHghma9%er#o zxQHpZ!AcUl-Q`HYr2w6Ir$T3&+mZJLw#}`dx#y9tAK^&Oj}YUkw$aDmg5>SvFCF_v zI`*Q6<}M9-uRo;G>&KpluAaeJ$T{!qmLeknB8>p5B7jVuK>*C{AOOwqJOMNu)ovvK z-JA{yh3HBnfJTP^cx%9iJnAv)mVHdpZd?a1a=ck&2vL~pW0xcdbJh+4Umiu+d5(r4`*7q+7k2kL>_(LZycy zG$2xk4#7SUf-67i>o(a5o)H|Gv>E6KeXwm`lnKZHanj1bR>>2xh9b#>=%iX4vrvsc zc*0az4n;W+&^Sp|rycvz+sLvHx1x(!)KfZ@Emw` zr82nLxlJ!kpg zh}XAx&Hugmf#!p64qKmfAA;9=!gmSrGwdgacgD-8Lp$D)-y7>&;&nqK{ge>$XoD2` z=B!VSnevtn7Hr@QCUw3Rbq zro#-2dvt5WZfXJfyTzITMlKMmVk{WwigQbL?-=o-8O3wH7SWe|7;HC`=i?ba^5b9d zwhSdffUFV3X}ZmB5T2>V0SfFImI$UB746YT?vI^}5h2O=u^+`Hqq|O@<2?+gGo<4~ z+0*TsrUlKtuI~(b*C3OaVUIW$@!%j(XYiczIWl-$+sGBc4l+(<=}nvyW1i>{(L2a{ zw~@C49+_I0xqIQ!8^4eW78**fXMsA{i0f__J=AWYhGYS?^@GPgoVf>8-9f4|J`r}A z9aNjzY7vLNqupP&C!~f=CXfC1wNk@!+eTG0aAaEIhm(P`uNF5|`(SfOwX>R<)yBs# zsaId?vO6!uwM8kBJkJut;(^uHr=`rHT6~{sbIk+3wgY$87SXAlXf0W*l!u_U)yQaA zjgWf59jL{JRO8VmduiUU52Cw!R4|O=EyY3rxyN=0#bzIAC4c;V?PdZ z9*y{*9>v(<9Nd|r9n};Eg;Q9Zc4DQuTc)U%x!IJm?+lA1`_612hP1ZLW}rHsalnAv z=k7g?ur4@943wj5P;~%Gv?BGOfriXPF?;yD7PSmnEkh~?fN&fibIOWnZ8u-U_V(=I z?l%bcfR-Qj(|D6zEqdpB7=CArKNLd?CHDQWY;}*du;0bn%kseT;UJg_m>~-8oj_$q z5Vyz3p{rA0jQRak4BPIPK=)r6}<*Yd#pbd;N^e zcCjHNWrA_yj5Da3VFvz8uIHeycF*7*reKf@JB1O|nlVVzCaP9@4VnRbufe5MILz<#nGl66Z~|KSl{=!qu%6@8NAD34g{vlcpJo5C??(^k>{Nb-R+q}?*!f>Lqq zh}nf@;^9NnHDc3ys4Lvn=*=&(H6_`_8ci7s8vzn%mLd`Ar(q0+$tjq{=KhVz8oOtr zB-|7-bkKmiBh6~rk$l-CRyj90lqr&Pe-9+Db96}t85Z0?^4V-x%rFRd{HQ`ZkE8Jy zdv-6{U>GsIjnVIw4aWk@y6teXh`{~zM?=o{;rw{}>a4QfADm_956(P0t7M~2ZL)|j938GDLMbTkz56<@xXp2-h%+}E=jE-X8o4!GPfwI}3; z0;+7F_}Og6aI(cCi@rZ>lw+NbB!fl&ewn)v^UK6E!9EctBAiU;8aZb8NI9$*Y#Bad zA1=$3tpH`1JgA*G+%Sc{ITay?lOCl(JdI|vs*5S>x(GV?)>|Lv^3_C_0{9Ccxa|inDR%(MD&ztIz+sI$Tzx|?lJ9W;vp0}?r{UWg; zcnczW{D*t#c#7#K`&gnYa3idF<3h&q6UnoN8JMkb2~uKQ48`EOaC(@7o)dv-@kB^YeRn(A^0dl@I_vd z+ga!x((c}Ka4%}XiKwCXU%Qo6>A$$^J((H?CY>1NA*9K#M8_Vu8C#96An(ym=rSE3 z-xG!Fl01#jcoCl;ycpF6jdL42w=ifLiRqc++B9--Yos+M+)2i$DTL3UHPzT-yP8O$ zp|Ez3ZjT5(zY^DI8t@ISMx72K9UHn^nxD#m{}mJg_~($kQ(?zZ>{?9QkACjgzVO?> z@rD28-@L_r6*3(D(J#I5(jWZF-~Z+_nQD0S|NJLk{cr#IFWmmuf0*WY{WHJ*+h6!s zzxPl7@l|EnMJ3yBJZPl-vAz`>PuIRtVzZ#kQAka57P_iEWiHg*3J=w#$B=EBW^rAj z?lT|>=aHDwB#4sm^h)rQVp+0!6vzW_RqQ%u)y?$51xEuM-dy>l%R#X_bZ-7>9199C z;fRupX`sj#o`7;i6APFy+Yo{2V%G{#jopfBPE%Y3#>!q&79Te;Y3t%8`(o26T0?LO zEGpHtyfPDc(I$G?|C$;~5@IXc4Yi0HKCom$z+4ZhK)^4{z6Hysk1R<}@MqVzV@l$K z$YSWah!ZKuUvY8IK{xfXAT!Oq&J+ z@gsW%<4M+x-R;1ZF?@-(b&M1VY|_M-`jj>86~LEcMES6~u7&kYY^_1jE8Gcgt0UrY z)uQ%&MH)bXu`Rk*6FHkeAS=9cZ>^t}?uRG&iyA#!gN@oPe@~TNSH~(ynEC+&Nf_xx z9MGm}7z2~-nGYYj^%t)&K&dN8vYkZ%|DY znA=KG_{1Aye@+zjVO$(0D`c!n)_dz9ZyThhY6L6GYItxN13~0?Kz#oW7Jz9XsuWY& zqfy*fTlN>eFk|*kER;X&?)|p~KVvQ=-%f9_Dx|k%VeoFbwMy4z6~5Y?K|6)h8M8Sb z%#43mO}+l-($s$!Vf%YU8ZqHOz!bSRtJl5~7A2qi{XpV5GTB>@!r^jl+!?sSS7b#h z!&)=YV^v=mlW#kDl_+*ZwUf_q+t2ceAoz(uQa@Tj%=3)~a{7GRa)2q_@y~Y~fA^36q(a(@`e8cuZ=xR%fpC@5%BJB>zfTe+%ncAxZ8*e zjZDixMQQ&Nywv{QBAY<>00p+*ZX7W|a_#dsgJf9ORiefJmID7G@F768D~Llxe%*@L zwL1Z(n93)A%=}t(93-rg4(|wPwwDy5xGk~sdOfVEJ#!q?s=P6s>&;$v)N23zfe;|B z-3)5~_`r$t>la!lW-qL7Y%Fb_IKFXtvwivEiT3*X>iqfHrM1lyo9)@T)z-0RXQs|h zO&q(twz9VV%-XTlrM1h?9y_;o`NZnd+zFM^b8}9-e=(@XW5RuFo4lo2yInEg<+D?O&wbzr)`> zKf?AmW}i8Gr8RFjXfO%ipzR}35NJ%Fncci_Z1dvkEXXpH&MquHdvp|25u+a^YX+eJ~gP&v{q7S^gJ!-fK&4?PWJ^-rYX*><~i;bObB;M(i1J-gC!kYH+RY0 z()!x=_|5s*)zE~LT+v#XSY$*yl zQfe68sBbEpg*CRSI}49&OaB1j2XpCXct4a2e{fs+&k(*Zm;ST7i=VRk72cBzf0*~t zZQ*?SN4BLaoKF`&c!uj-tZRrZ<(_7op70#!h zBb%8PwRwDL{n*sv z==8$a*xcOg=;X-A=;8_EwK=jOq>qgpA3J{Xq!pZBU%S%UXrD0ky$SRE)oLSH1-?UJ z5cKn$UtQlsxVxpbE9)z0jE&a(<&DjyE3MV%-1>%_UBvisbFHPdb8cZ{{o=)zh>ZV= z>0ckuKL_}iH;-*Tw+ZCq$ET+Za!ex0iou>nAin%p3>Y8>YnNA7-Np5#HNkapc5~Bf zIayR4(B0)tRNkVSU32Sm%dPpgTWGa;kQIeDf8IT_etC5PLYg%Vd3kMfcCqD`y)%zsHgo&^41E_vGgv)A6Wh~D4Z2!5KnGkd7Pd!0Xxk@U$<{&w-_ZOw(& z#my57s}~GTqm^kxcoqe`LhFVUfLol!Kv;OhU6e$3Klxsh?CyxWu(Wn|9m+Zn4ufyd z{zKIN6&jSje7oZB=@y@Twp+YY{vT3a^mL(xdHoy%g7IleOM~g=o`d*PfP!EFpO19$ zb39dMv%O%wutIdfn*OWuCmg9B{g;I$Blq$r8F3GP`}k9N<&|8K ztU17+Y@qqsi?j1fZI}gYEUvCULtLZYFuXrxZ0puhh;(3X{qowv<|D3sz9kvvmNw}V zNecUn#01AE>!{-?o*K9JzBhg^N`L-*D>o`nueKK3&TU9|Je{JX*HP?EqgmHla(ELb_9VlGBz?kGBE<_k4%rujGP=D z866!R8yz2=7@ZuQ8l4`U89g~RGB!FkHa0#sF*Z3iH8wpqGj?)(WPEgdYysmbZdnaPt=BU7VO zV^ibs;pEiR)btcgH$5^vIz2W$K0Pr#IXyK!Jv}pha%N;^bY^U3d}ab(otl}RnVC6x z5)e<){7I@lNwJeeZLVM5m~T0gj2aM(C3pBCx3CVCt*y6ZY9T5XTAS^qHBW_Gvj)Nc z*ym~Rf8!~=c@$hpMs3WloulIR>_$5s*^CB!$|8c**9BRmEg$Ol<2}Vwy2$5jtu3TA zoF`smnWg*ko^GtAO*ackh@dEBf#9>05iS1)&%WT}Jr_6D7cS2;5s0d*fOvWKTq`VU zHW1WFnr}&%9-DivP?GqYKQMZHX5#pWJKT|gjv<{qHZpo_Wcs13spn@i``jxp;vGO*EFY;CmG=3B?w&s}V79_hVsd9}S{lb8Pww2sKN diff --git a/packages/engine/Source/Workers/gaussianSplatSorter.js b/packages/engine/Source/Workers/gaussianSplatSorter.js index 1e8525b41c0d..9146aca33213 100644 --- a/packages/engine/Source/Workers/gaussianSplatSorter.js +++ b/packages/engine/Source/Workers/gaussianSplatSorter.js @@ -1,11 +1,7 @@ import createTaskProcessorWorker from "./createTaskProcessorWorker.js"; import defined from "../Core/defined.js"; -import { - initSync, - radix_sort_gaussians_attrs, - radix_sort_gaussians_indexes, -} from "@cesium/wasm-splats"; +import { initSync, radix_sort_gaussians_indexes } from "@cesium/wasm-splats"; //load built wasm modules for sorting. Ensure we can load webassembly and we support SIMD. async function initWorker(parameters, transferableObjects) { @@ -17,8 +13,6 @@ async function initWorker(parameters, transferableObjects) { } } -const TEXTURE_WIDTH = 2048; - function generateGaussianSortWorker(parameters, transferableObjects) { // Handle initialization const wasmConfig = parameters.webAssemblyConfig; @@ -28,27 +22,20 @@ function generateGaussianSortWorker(parameters, transferableObjects) { const { primitive, sortType } = parameters; - if (sortType === "Attribute") { - return radix_sort_gaussians_attrs( - primitive.attributes, - primitive.modelView, - primitive.count, - ); - } else if (sortType === "Index") { + if (sortType === "Index") { return radix_sort_gaussians_indexes( primitive.positions, primitive.modelView, - TEXTURE_WIDTH, primitive.count, ); - } else if (sortType === "SIMD Index") { + } /* else if (sortType === "SIMD Index") { return radix_sort_gaussians_indexes( primitive.positions, primitive.modelView, TEXTURE_WIDTH, primitive.count, ); - } + }*/ } export default createTaskProcessorWorker(generateGaussianSortWorker); diff --git a/packages/engine/Source/Workers/gaussianSplatTextureGenerator.js b/packages/engine/Source/Workers/gaussianSplatTextureGenerator.js index 08d23b985825..ddb4e04dc5cc 100644 --- a/packages/engine/Source/Workers/gaussianSplatTextureGenerator.js +++ b/packages/engine/Source/Workers/gaussianSplatTextureGenerator.js @@ -1,7 +1,7 @@ import createTaskProcessorWorker from "./createTaskProcessorWorker.js"; import defined from "../Core/defined.js"; -import { initSync, generate_texture_from_attrs } from "@cesium/wasm-splats"; +import { initSync, generate_splat_texture } from "@cesium/wasm-splats"; //load built wasm modules for sorting. Ensure we can load webassembly and we support SIMD. async function initWorker(parameters, transferableObjects) { @@ -21,7 +21,7 @@ async function generateSplatTextureWorker(parameters, transferableObjects) { } const { attributes, count } = parameters; - const result = generate_texture_from_attrs( + const result = generate_splat_texture( attributes.positions, attributes.scales, attributes.rotations, From 3983d5a4562ab2ccc1c5daa0edffe613e3c8b823 Mon Sep 17 00:00:00 2001 From: "Adam N. Morris" Date: Thu, 20 Feb 2025 13:24:59 -0600 Subject: [PATCH 97/97] Removed temp wasm files and used npm package instead --- package.json | 2 +- packages/engine/.gitignore | 3 +- .../Source/ThirdParty/wasm_splats_bg.wasm | Bin 28528 -> 0 bytes temp_wasm/pkg/README.md | 84 --- temp_wasm/pkg/package.json | 19 - temp_wasm/pkg/wasm_splats.d.ts | 75 --- temp_wasm/pkg/wasm_splats.js | 530 ------------------ temp_wasm/pkg/wasm_splats_bg.js | 451 --------------- temp_wasm/pkg/wasm_splats_bg.wasm | Bin 43378 -> 0 bytes temp_wasm/pkg/wasm_splats_bg.wasm.d.ts | 27 - 10 files changed, 3 insertions(+), 1188 deletions(-) delete mode 100644 packages/engine/Source/ThirdParty/wasm_splats_bg.wasm delete mode 100644 temp_wasm/pkg/README.md delete mode 100644 temp_wasm/pkg/package.json delete mode 100644 temp_wasm/pkg/wasm_splats.d.ts delete mode 100644 temp_wasm/pkg/wasm_splats.js delete mode 100644 temp_wasm/pkg/wasm_splats_bg.js delete mode 100644 temp_wasm/pkg/wasm_splats_bg.wasm delete mode 100644 temp_wasm/pkg/wasm_splats_bg.wasm.d.ts diff --git a/package.json b/package.json index 1a96d8bd4724..aba5a2f54a39 100644 --- a/package.json +++ b/package.json @@ -53,7 +53,7 @@ "dependencies": { "@cesium/engine": "^13.1.0", "@cesium/widgets": "^10.1.0", - "@cesium/wasm-splats": "file:///home/amorris/Projects/cesium-wasm-utils/wasm-splats/pkg" + "@cesium/wasm-splats": "^0.1.0-alpha" }, "devDependencies": { "@playwright/test": "^1.41.1", diff --git a/packages/engine/.gitignore b/packages/engine/.gitignore index 5eb1f9b8e9cc..9d62e771abce 100644 --- a/packages/engine/.gitignore +++ b/packages/engine/.gitignore @@ -5,8 +5,9 @@ Specs/SpecList.js Source/Shaders/**/*.js Source/ThirdParty/Shaders/**/*.js Source/ThirdParty/_commonjsHelpers* +Source/ThirdParty/wasm_splats_bg.wasm Source/ThirdParty/draco_decoder.wasm Source/ThirdParty/Workers/draco_decoder_nodejs.js Source/ThirdParty/Workers/pako_inflate.min.js Source/ThirdParty/Workers/pako_deflate.min.js -Source/ThirdParty/Workers/z-worker-pako.js \ No newline at end of file +Source/ThirdParty/Workers/z-worker-pako.js diff --git a/packages/engine/Source/ThirdParty/wasm_splats_bg.wasm b/packages/engine/Source/ThirdParty/wasm_splats_bg.wasm deleted file mode 100644 index df51e186a5be24d3aaa93482428fbc99e32921ee..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 28528 zcmeI5dyHK7b>Ht}Ub{2Hy(E|9l1oaz8B;PTiR8W?iXHPRrDR5t=scRHaT01Dv*bRs zOYRPrRO5JQR|%9vX#|-GTtH}z!f4gjPTj_6)xbrVRt*$15C5Se`ol$C#7G6iO&q{M zltQTb`JUgsv$IP|PMQarq9k_i{XOpQch32pbAIQX-?=lv%*NAU5Cq}tp?fOY+6wd$ zZao!m*(KC{NUh4jQ$g@lksE6YRP|K27Op)NudUI@?N-(tJXKJy>_T;*KSs9E^#DVx zF)$wieZjP!gIO0p#mD^Eb^)M|`=VVa{+KW768%)6FTOr|>A=N{ z*Jl@3=P$KZFK)Eg7gsM`Ty0$s_Nwie+Adw3y|%E>TE93kJUcnp8X6uQ8=jwBn3@WD z)aQur!!s907Z%26M@MIe=US7)Bl8P8I&8Gs7e_|tC#L6T$6Dia6B9ENt)RDSmX+4( zrS|2E6XWy4bMwQ)lOxk}Ev`XTeTF-;TwiQoo_(g>T3c9P$eGpo?78vj;i1|2g{iUe znc<14)@-n^3p!0cKQcEnF*YK9iR z+ZS6m+O74~_1410_RQ=`D=5Vmo{YnCREUD0FAU)NVj&1>2TJuYCS_gJ94yqPoW+aiebE`7=B{$QeU(crZ;ci z4yw9_)$*;VUh+Uj!9kCD8@R5mx8`TsGZz=uTdm;Uq`OM>!Q|fVs_TpM?aRRf`?~8c zw-zs5ZU+zcbytd|A36w+x7KIct&1C1R%Y54vkt-7(e;`6#Tyql*4En>FU?%r*jSud z-M9#WTQ^!8!TA0z`n7IcQLmve?CYvEXdm4{|CyDQwYgyQ@WtKKzBu3VZTEC9<3@XC zy&df7d4CwBVYTsr=JW@q&VFcm`hyRD+wgavNKYO4o8S5P6KB5b`@j9Zqwa5i_{j^s z|LIr4C!akY^=yS7e_xO$%gxGh)JSx8`@aj)KMF4t&ZYr(zwyqGUZ|Ika93SwhUtx~ zjbi%T&)*JCL_xFXT)mLq+bGkqxZEhv=bP`mQ+}dw))mu|3)9NxrDm|anTA(s3fs%+ zw=XryRaZ`cXRk=@D)&Gio`#_p!ltBaVU2g(so{^EXSb zc)lJuF3a2(E^r?H#AZCldRKpjDY!)1n26Ye0c|jBTqQLMo}X)YEqdr18B!hzuiEufkxR6 zDdN?d!J|MOru~q@h#3qT@uGT|Etsk+&Bz79i=jMTdSr=@WXT1CT09pwN-lytRKs$7 zXwHZ>iW)u22Zjcvl+n1BVJ-;53`aZIGyygcDa&b+_Pa7TxbV?I2ILV3?nN!U!9%eg z8>i;%3Y|5Wky_o1wl}VdjUv{Dzw?60Xb(gU7NZf>f@)BW&ZdmnZpJC>vb+vdLHp`u zzvf|Q&BN_AXXromnv3J}H7~+Qj1EL_Wrq*MHbK7X&4M@yIq>r~!t|DBhei}_b-1^f zhIEQI+s(pN$(Ohq9E*$zXTf{6a#ab7t(x%H8b&Vk7qMv7hIXZVc7uZzgAXf^&y^>l z0gaP1BVe-SXDPK71DW(i=U^~v=+7IBzY1xBei5b_>fA|ea$e_dNm8K?1}FjV^jvMir!f8w8EwGuREJfBg-3JVgd|?coo|*08$GH{OkwJ- zzX3jx>p`Iu&o_}YaWM+fmCSOi}n8_;vz?3rVl6np1r9MKG^Z#8G2_J_Ui|GqqkeY#bvzY#in}sDTkB?n#g4E{O zpb@xATF@rQL={$=g|c=;V(U>pph{X*tZ-iA2dz;f(qK=RM554@@W;G z&suctbo-17x3U&pJKbJZ;iara*G{)DsPNgWMb}QZS5)|1)}m{t+iexTn6>EI>Gqlm zU&>l^?R0y!yXDI&s7A>BdUxRs6;z{^*SiZ}RY5gs`AT=;O%+t5malaezM+C@)bjQ2 z!doh+MlIj$F5LV%T2!N!x7Csto>Plz)bfG~&+?&yuAP=otMGi*qHCwyXH>YAwdmUE z_Oc2uWi7gPx_v=~&t@&UcDlWy!soIUT|3=wtMJ9FMb}QZ*HrjY)}m{t+m}^%HEYqe z)9novem!f^wbSjZD!iVx=-TP_rV3xlT6FDn`-Tc%%UX2pbbCvMuV*c~cDmh^1bs7W z(Y4b}5{pLYTawwzzKNk>%ceg015!HddnefPCCtl9Y#^o$vpNp5$6R4N;vzqJX z&6|>4ZNia@&+}OH#JVSY%sdX-!uJmX<_8MSY4QLVVfERC2wO zQRQ1GKK19x$`Ul^dUUA~K&K$Z{9!Kb2$({6B(@rl*2|0<%i{hxHoeTs*hN5d#+Yn)-U=;yepRKQmld?!D8i!I{sT`@$c)ZMvC#{*!{HO9q5K8yfv|g+90sJ~ z5|4__n(8HkMa`ZvvPp6yx47hrqDwLLbm0!VU`G|-gD&#Nb{Mz-wwo>{RNAhM@N!bj zz=eXMOR;L3KDC%xk|{$n$CPyidxUxB|r%8S76=y9d}!u@0wvOX3h(iA@;O1o#w=slyu^}P~R`3O+==lKW~U&Z|}Mw z7}-L45urZk<;-=VCgyv(G9_u%C_oV7nQFPk&4%WH|Gn(_&X?&rDoAO zV^X7-fr+?*9xH(j17&mK4G~j%loo<84+WtCWtCBAaa zBk)z8HPDV;1p$Mw8?ru}JM*P=BdW(Na|mY^&cboreT<+abe2~O$A=w0LjiSIi*8*^ z$1t515HCUy*e>!^i#-QLOSXD!SowhT)R6FBoQ4=|M&m;Dmy5_z`XF}Mt8gC9X0w73 z)+D-E1E$haqXfLTj<$ir5&%sFPNld7UQUumQHVq!X;y>#kL1%ZOncs=Qmt1{-^kT?r| zuRvAkq@Y@Xnt>mI0ysue2EZw&?spsOPJxn z%wYP2dy{!3uYilqfdy;20O>;=%0NQ> zNDrX$MVT1Xbb8=>ix8X!MCrg)B8>%&++*D#XSA%^Uf07owy&XjTxrwq5s~X{djs~) z(RFNsT2PD+FinGC6l#+#=>#N7%U7F(hK;~sMxbqFrS)KSFzIbQq8;mzcenM>GadSB zgW9zo(wv=k);r4ncRtgQ}TCpA_zaByLe-*>x7GjS1ff`5) z%i4irbD`PuP2_;oJGqhR3fo{~7hnK5#^br%D3?QmF{i~$`lFJ3wMm zJ?y=sZHU0hKPEf>W^|qjX-o#wfZ)G{@ALtYw7xccz_FaXRuK-p22W(1K#d%FRC*rw zV<>jqd!JC0u`l<{xi=fT;~``LO3mwW$r0xC1vO(fy=Jy*^K=BOf)%!QoK)rHY7&f& zfqdJjFQg|y$;S~wKm|KJRP^q#NnBy+eBB@DRoFcPPGoE%^Ar5!f`F%B0Z)Mrpsqtb zs7r{m<79WAmcUN5y^E6_*_I69sts0CbE22&fC!{Ah7`?py0}eq z;LK?*b%^}>z|IyVtX6-o5cY0yG&_WaaUAuY#t#P%ad8vTQ7+7Nf~NrBI2TIJSN8i$ zEkDZ@-zUvpV^#%*biANCi`=81qzc6{={x*`EC5?pd(a3B_=27F6{P}CFo%&BO?M(X zp6xY$MFe0Cu|_!9TH$~Bq74WnsYY)~sxu05%xIQXT5LD87=G>#ZBJccpSlAAh}i`J zQn?L5EQMQfy-bAJ3+P56ratOb4pgHs;9E{`Thnc$0RQ#ymv7(#m3rXW69o}kT&gmYNl>EN#KyAESyh+G(4}%yz9AyK#qJFaSuf7%AR$oDRtc{F6Au z;FpkOs#VW45r}U6sHv1m}}b{A=9wix)#mj!$mx{Qyt!AtPZ}_KaRq9 z3oPY^L}y_z<}_nZj=MMZV#%I&fm5^aU~UO_mni|ObWA&jkQqp5bSb|pb?%CtJC4<| ze#9KIyQp)ArJU6%5biRcOnaVf?DFcVCE|dVii{FmA77V^Lim6n z$=mEM<;P_txuCGcCm2~W0L`fDw1BTvRU$!zf=hLPt#jn$xHJN8w3%0IIBZs-4$0+R z5SHK*-d1Q-nzSs(L=hfZM7xsFNq3>$N&bQkW&NE$-V_!68NMjy4?jgGAC1=5BQcEH z$ww4Bb7)Ldb$O`|6Xd@~n5ofOB}ge%2#O^TELi4@Rc?}UW=NUB6x5Z&2)Mqsl=R5} zf`74Y8)3xo;y#QIkH=-?n{3+g24tiFd6zYxWI^n^jD4?wlmQ%@ z;zxzArx8j?VG%?+JC*QSSYC%_=yYNjY118@s7VfJt+gLD#1#-+qp7P%9|6C%3rU=? zvcNwp%w}vfU`_tl3uKLBnQ94!~5}@3g^J|1b(+944w|TU19; z%XyBYvjW3K+KPNO5nYvCBv!!i;zXV_9_=^Y_Aw>w?KtCOxQ*w?T2Sr}+M$3E65_n& z$qeuzv0&v>_?(FDtOa=2vmn7iU|@#3WG!&$M6MFsFkGUn1qHw3gAtrks&-{9 zXs|qM!NX2BFRK{}%q^=^xjlK}k8+&Yn(R5h<4Lp_%7?iPZH2iRfiO4qnN0@t5=&-K zL-qIKup|vdb|5Aw^i}Gm4DwhAV`8ephp&iwS=3XO7N*?IaQ)$+519iIOc?TIw1HbO83M_U-u)RvXz0g5h6 zju}N8o-0^cDHK_;$vy&=q7VFSNi95HQ4%4ez+fGv9i8Qj&c+R3Wz=JEgma3DHpVvb z00lytWvPnCNgE_eP(d}oEFV@N6#mZ@;ZX_a6OJ3|vr@KChGA3uu)vC#HsWrruB;;&p=$rp&D( zvGES(_okE&9kLdj9AbUSmb`IQLLMW|QcC2BMp>R{1(7orJ;K zaxYMnkV|7}_maIpv6IbYa-gUqKnX;XG#J7p4Rw|wfF9h1K=tJ)ihWA$Sri)kgt-D7 z)!)1!pefZfb$VQ(B^xGsM6JoBQEmthG(1szI-HJ~JDn0+CY`d2t>pwQN0-v)p1-X= zCzg_acnU8kBb2EV7o8*wU7U=y3so3KweS>&-cXKVq~!AXHH?K|)YY61>upe`|B~Gh z>qKnJa6wv23(0w(v|C7P$=}j=%of{ux}OU?Y@O4W{@!iwY{xLD(zDCB9IuB_!BYwY z$ka;&eKZP$^CwB(Nn!UkrX?64v4W)~J8Y#~(~7R2Zy2*X|GB#kz~`V%-(~l-6DGF4kSRZQThjQZ95+G6cpilm#ekBeQDE zUhJ}Yv{v@Cxj`Mv$=jOVO3G|fgW2y_nImt8k&K~V3h^cUh5_$KXZ7C>Z7i#i*%i!# zFGOQgd0rbK5p2%@px&lN>56p#@vL(vo&_?glM%+*3^s~cWLT>joxU1<`w{b=OpuAt z$nccib&?Gx`CbA?Z~esWApKDCJyM&<7AyBFVJ^8uT;4-+`|p%o5@uQbyGZVdyGpK% z+*(I+2QtY;JiUrD8Ik1HB)LL)x8$PpcZ-yH@joBo#rw^vh)#bKnY9mT6rDX7g-x@U z{3eu!=@-$=rvie3N9ufU-cajOC3h=U_TFXUwAk;#)Tl)QSEustn8SkP?G#1v^R-6U-KjQ zC-VuhpE>NngCJQBn`Tf>|~Oq7hAsR6{O`ja9I zNJnY#Bz_>O670<(DM*+p=O-?jb`b-FsU>u$sstba=$X!pEY~Bl-vV2_k{)n zmtq=iCOtgwn}j1^bbhE`Zo$x(`-l!ZGb?oq-UXA6O!B|y)70f9t? zXzl$Bq(1(PpCNc8)C?vB4{-_7hn7B{O=n}P%8#u@OrJ-uX?SW)qkR~zFjioVEo$2| zXPosFOJ**4q={vGYXTW{?0B{(hqfzd!>B%nVA*cu!L&mqTkt54AZSl9HnXv8cgkEO zf`-ZWqDGSM3;xf>QQ{D!?;hs|L2R3IoN`qni>2?j!HAmJRT{k%;V9XDS_f-RZ?y4jsgsfhbwSDBZ#Pk zDJ=Vm!@Xq|ICt-9IEW6YKEZC&!9lEBKs$=44eY9J`>>MRhhkM!E~H?^KDT2JGqC;M zrOh`IZoEb!9jc*q5_*J_j-xP5VlD?fW>MvyFrz>*$f zF`L5SvXWU9_B%2M{Da{l5jU@Vu^wG;!NNL7&h}~}d}jYk(UC(DRDb(ObbM>?k3m+V z1&59Y?-SEB2abmh7YS82AUSK|4To01$iK%$c1uv|UKigVdWBMFLF#TmimI+xixMvP zi1+J`w-W|jU75FoTxvR~s-p=S2e|YrxuFg}G^&1_UKA6rH?sy|`xn0>AT#0C&oobR zbMwLvJ6(g|sb-=`*~x#(h62~KYRMo?@4T~h;YS9WF70bK66uUT?SJRedb_zl-!zhZ z(;!D~%O!r-IF>5=xkE?xjD0RiPB)K9`RuPY-sgJBdA;BDf*(3wH{eth2+B|intPKU zBuhcY6*XlI8aC{W#oR-qTq6dm<*DkZhplHHTTee*Pt*w5dTO>F{n>WXukED9p0k&~ zY7)Cz)=*Q!zO1lMg`#6}X`G_N(307t+-L2^nlRt$fcW^5I{@f~bM*r*Ar57P2(LDd zyZw0To-9`z_qpB^(L;@XT~0K>R0`yPE|o?@m!pmM>*5-Fbx9g0bm?ypVrlH-uNp%V zcjN-L{T_GUqs^nZ1c%)*&gy-=?($MHwWW9gy&=n|#(lktI4lv>!s4C+K~RUKla$8fQz>a-G9 zq7@?5>$KjZ)=qZI9ug^By>IsPUU#RcJQ{}Rc!%i;y#mpaa z2RtUtlkViL<~T(Iy!oJe@K*Emi_Hhz1229Gg52*3(j30kJmn668ZA8V5D(OZX>&vm zoO!W1@nA*lK!E+vPIe@$*Vo-&zd7ID;#>(H~F}EJ2=1Y%IoRPx5D*C zS)OAh{awzBlrKgzG0b&>3|r|j*R$LvM^P(r5BJTY-d?7(smHMDE9oBu%Os8oq`I|n zk`F`J7|Qu;R?>SEfvBXtZ4`?EP#V7YmxXRLb8-0S?6z9BS~T^{tig?7?OQ3 zU8NgIKL%-1gAmz}?D0q%02PodY5*_iBQ(2RN6mUrXqp!AfRa+9AD zw;IzuCpm+#nW^Zg>$E;y7kE3k|8)Y3p@Ty>f}NIN<+R8-K0U2KEh$j!VCL(GPgT?=a3| z7~-t@J+d{`DllD?6bWkJyXW?nul3nEG1%FEW?NT17b z#*|1u-6;r@{`BSTGL!YEujJ)}GM4tIuWgq(?+5d|Jm{Qk^g+%6WwH;t{W1^--7y)6 zgYJED&#>B#D=AesCs+@Ej!t19uf@+*RF|q+UWJ#t-I3EZ|2VIi<+TN;^{ zXR}}treS&8z|LK3Hps%A{<5ocKr#v71!S7H&< z`WeC=Jy~4dq9J}uyz`SsI07mqKY$MzuW)c>K22FUg=A~}K$_?*OUcZxgq`1`jXh58 zhwzGd>GUO*e@q;-x8no#;sYrQ5s#;IhY!RHnD{_gF+{nNUJ)Qrlr4;=u&AEz60xVt3jB60R&j7QO9B6EJ)^bEVk#4 z7?`AsJ0dPv!7h0qs@!5&^A1!RVB68+XN@QP{&MbC^AHmRzx5Pp`}LeU?$A%G^1=@T z%b}OG^2U?d#Z?B~A-v0Xp8OG#c43=CAH_`c%*6sJ;)dRe84kUL3(Wt@ziQIW4g3gC zx}l6QoU3ryXfx{uQ;51 zhYo0=>`pM_L52p*-~8bYm>{XOVD%%W_bYt0X^vG{300O6RI>L4pmS_=CW4IlYw;O{ z5&;vR2us=yTX~P9hMv~q-L10@%exBDyHSkeQbPOg?TG{pMw~dM5c4Nc1pgtcRnNq6)|L#z9nI}3RA+7U}{mlOuNM7 z$NAM~e~a0ed;vWalRWPW5-f<9GYJL7=)5|jVEH%3trYBhhXOmIcnO-tF7apEMPM;j zSGsRE7_5u>hP#$06Vy6_lOTP2YV~g15!E`FZ&M=zKMC-jIJ{!pR zY>&cVaKX8Hg;*Kqsp_Sz*5=3Gke~J(PpFa{1KwWE3iRMDtVr+aM({M=^An`D_tN8) zP7kJiIiFTA-26lag9@)?EfW9q&3s;s^m0Bx4+=lMSxFAUmtZ&4q)=)YDMhM5R&{|J5A@aLE=Nkw;?jEwD2m*86rK$GrO~^sUzv5UH&>%3> zw3Pg@m;sW{;eQ66lAQaHH;kq}J4kSSFrAVu*h(!t$d`WUfPs3kmG7#sA@88s`|o{h zF}@y5ai-~SQC|9p!*p&Z=h*U);JS zSh9>%mMdcP5=^fVOfTLSq6VU*XhTLeJsW+w`1M`?m*?J z?Q5*2PP@#!PFb-ZqVWX|uO^}&aHU7$$!MMtl%(JfX}=M3Nc&-{s&rSKHHC)evawtHlQ%hzD z9pzu-wP{erBH^e{%(B-`dEuqcRI+uICHO{eZ|v0pM~RpNH>4wK;r0P+Kp!$|{1=CD zumL9atzkG}asb_a^AQi&=Y9;K}g>QdDI; zdnWzTdvyM1k$;)O%a2Dg&RpHI5l6eMn)~H-5NNqgr$v=I zgftk>Jnp|Phu$^iLA4u7;K06@x6AiGa#QA&2Rh3CtT1VoBBX$S$5_Vx(pz3~AN19h zoCKgrNH}J&zyLvwnR2siFzGa=r$r*G2bBp`4yw&}?_^zYlp% zNfZHd1%f#L&?Wo+D)>uTAR_TyF*lToLG_-ycd+I#s22nUgmA)W8e=f_o36#h{dNq0*HDrk{Kt{gD!H^6jcrQU%*riwxB1L&MN4H@kwCd2R zf3rkle*T81gpv`vUlt)eLd*j0#E;=?Aj2g&qwF!T1;>_be&+}4p*A>v_Hmm8o>-EdGkl!!U62I%jI!Eb}EIl?&?xS{cAZ z4JVH?`i{;(xhV6#oWBFCFO4ea@3iR!AHK)6Ai=uIA+26{;m{WK!_eoc;JX%hm0I&O zVR&V>!mD?Ed4&X%&UfhWi}fE>YjHFmHI5`^H(Gddb6uCBKNM*hmE9|LB$lXcj<>kAEy{E2d3+EiU<97$YrfK7 zd;T^rXY-K^1=aL9lE~6m_^{G@wA~$ZXs<;^o$D1N|7}rHnEo59{GOs^z*}d}3Ryiq zCCvu|iMAze>1F_Zuhf`+s}`0Ld{v?m4lB1K^g={KGGHSneO}i*^0oi)!aw*&fBcWX zLLx5&dgM?3`7eIvm7n_0pFc-luc^;R{>LwU{$KybkH7v$zeBFSdc5_?zyI%k?)QK7 zKmOY-b=l99yYr-y3<86ukUUoXQZXzm(*>xM&oAH_1^6AqrklTOQVC-y4tZ79m6OH3bFv25?%X>9gH7d#YTwvZ~S*H;3?O{4TFy&pmWZ#+t` zWQ77>QtHd8!RV$(K1AX>{TR0nQziD0kediYzlh=yj)JM~;4(!Q~;hm12<1Y%JYjGs$?N2BIXGnp_5011|mk`?xe4 zCXY6ItW0h7`S;*pKPZ`1A}nP{n|+fEU$e%1G4yh*C|~-g_ka8pJ4aCUGB1BBaX|Cg zD4O37B)+;!b+syXHesVH^3z}aC|QNV8w&aF{O~%YTZ3d9S_DwiE$?4KjX+Tp5g5FO zo~Zu&h<(!wO5|Bs_H{2&`oeDpB7bC35R0KWEF?Pz_hp%7v<|D}6w+@+UlpUs zZu+%g)!s`z^sQ$vf zGndz%Zk?Io2Q1bXH_n`1zqZl7cI8ZaZEa=l^33Au#u6ggYpctv zYu8s#tt_ryyK(B$>a{Z~i?e6+l&%}=b9S%F^_lf&&djZ?x2$%NAHg`&UY}WPZ=BKn zOnYtp^!i4?za;oLpTAfRf*!7ax$xMJK^Q#1-_PGs{_^q(?gzWdCwH}fh_ar``|G~Bs|*`;+66=R_$p6zwIA8l zuCm(CuWrt)EY7>9*XCO*7dIDM*PZ`alf2=|+Qwpgacy-YtKW@i_5&Rk`P~jJ%%6bo zJ&gMS{sePg{x0qtyUJR(y!{F8@7q=GZhvxDyUN||-%a_!uIE+mZvP(2D2~p2DtEVk z@2+;0yW78SSG&sH?cY!N0Dn0?E8O4PRbJawUf)#~FH1o4=Wp&Re=;l2wA-zxue4k9 zuD#~kGs`W9ShdzSE-zkjYYXmskSNEBUTeAQmls!BZn5oHF*l2xu3c}1lg#Zf$k5wcb8s60#v)_&0G9hz`fYAlSooZe?wQ-;QyMtD9@fV6fhrySBcuxY=5H z#;vWpnFR#g&9?Y?BR9XkcI8TIo`?8X$o}eF{{j$L+BmiG%m$#3o}QdA&`~>r_eLQJ zKFS=w590!IuzGD}#a&rjToqhbW;QlF)upvMpu1}uEWv`CS#@i(ORc%Kn{Tzb(3J(4 zyX>y7U0az)c4lnFuB~p&EVLXVH?tu;7gwEzGwnBbhM#0wn4aPP)a=m0%);2n=+xNQ z?84CO%;Xq9Lo_lyKQ}TtKR(sblU;hreilkt1i_QVBzTbcF`&r0E(PNjW?X-~K^o*9YCxi>nvcV6Ds0kZnH+PBQ+>Oepz#x5q!Q{rJp{?Z-RM{{qj8 zpZw1ou^{{s(2Rk>VmkeJ)kX$T5WL2mlI{P(RnKg+=M4*c2o&C?UUZm)X#`U@P5LS8 zUxh!>NaN^VUOveEA^xN*rL(1%^}PCOe~?Z;#-DWR+{~4kxy3fZ0yP#^^pjFSyPO!^ z?T3)u+O@Wradz$6>iovTu6?;Bt?d>!K#3-meMf47;02!L5`2NH*6o8IY<%$PnfBb} zR@bULwbEK>JGZVMYin6H}8@Q&ZE^fH=+c(+oY$W7AXxKiYF;eQo~Q z9GW_+tjw%lx;AsE6&9qfgBne9Eoqliv(Lmu>4Ujr!>6alP7k{i9hKm~as1TK@Trl> zlcno3D|9QNeMe7^)VekDKChA8iJ`H%nTdtbv6+*>`96NQ>JmS%w$Pf - -

wasm-pack-template

- - A template for kick starting a Rust and WebAssembly project using wasm-pack. - -

- Build Status -

- -

- Tutorial - | - Chat -

- - Built with πŸ¦€πŸ•Έ by The Rust and WebAssembly Working Group -
- -## About - -[**πŸ“š Read this template tutorial! πŸ“š**][template-docs] - -This template is designed for compiling Rust libraries into WebAssembly and -publishing the resulting package to NPM. - -Be sure to check out [other `wasm-pack` tutorials online][tutorials] for other -templates and usages of `wasm-pack`. - -[tutorials]: https://rustwasm.github.io/docs/wasm-pack/tutorials/index.html -[template-docs]: https://rustwasm.github.io/docs/wasm-pack/tutorials/npm-browser-packages/index.html - -## 🚴 Usage - -### πŸ‘ Use `cargo generate` to Clone this Template - -[Learn more about `cargo generate` here.](https://github.com/ashleygwilliams/cargo-generate) - -``` -cargo generate --git https://github.com/rustwasm/wasm-pack-template.git --name my-project -cd my-project -``` - -### πŸ› οΈ Build with `wasm-pack build` - -``` -wasm-pack build -``` - -### πŸ”¬ Test in Headless Browsers with `wasm-pack test` - -``` -wasm-pack test --headless --firefox -``` - -### 🎁 Publish to NPM with `wasm-pack publish` - -``` -wasm-pack publish -``` - -## πŸ”‹ Batteries Included - -* [`wasm-bindgen`](https://github.com/rustwasm/wasm-bindgen) for communicating - between WebAssembly and JavaScript. -* [`console_error_panic_hook`](https://github.com/rustwasm/console_error_panic_hook) - for logging panic messages to the developer console. -* `LICENSE-APACHE` and `LICENSE-MIT`: most Rust projects are licensed this way, so these are included for you - -## License - -Licensed under either of - -* Apache License, Version 2.0, ([LICENSE-APACHE](LICENSE-APACHE) or http://www.apache.org/licenses/LICENSE-2.0) -* MIT license ([LICENSE-MIT](LICENSE-MIT) or http://opensource.org/licenses/MIT) - -at your option. - -### Contribution - -Unless you explicitly state otherwise, any contribution intentionally -submitted for inclusion in the work by you, as defined in the Apache-2.0 -license, shall be dual licensed as above, without any additional terms or -conditions. diff --git a/temp_wasm/pkg/package.json b/temp_wasm/pkg/package.json deleted file mode 100644 index 5205fe4dfab5..000000000000 --- a/temp_wasm/pkg/package.json +++ /dev/null @@ -1,19 +0,0 @@ -{ - "name": "@cesium/wasm-splats", - "type": "module", - "collaborators": [ - "Jason Sobotka ", - "Adam Morris " - ], - "version": "0.1.0", - "files": [ - "wasm_splats_bg.wasm", - "wasm_splats.js", - "wasm_splats.d.ts" - ], - "main": "wasm_splats.js", - "types": "wasm_splats.d.ts", - "sideEffects": [ - "./snippets/*" - ] -} \ No newline at end of file diff --git a/temp_wasm/pkg/wasm_splats.d.ts b/temp_wasm/pkg/wasm_splats.d.ts deleted file mode 100644 index 8ed0745c2246..000000000000 --- a/temp_wasm/pkg/wasm_splats.d.ts +++ /dev/null @@ -1,75 +0,0 @@ -/* tslint:disable */ -/* eslint-disable */ -export function generate_texture_from_attrs(positions: Float32Array, scales: Float32Array, rots: Float32Array, colors: Uint8Array, count: number): TextureData; -export function radix_sort_simd(data: GSplatData): void; -export function radix_sort_gaussians_attrs(positions: Float32Array, scales: Float32Array, rotations: Float32Array, colors: Uint8Array, model_view: Float32Array, count: number): Array; -export function radix_sort_gaussians_indexes(positions: Float32Array, model_view: Float32Array, texture_width: number, count: number): Uint32Array; -export function generate_splat_texture_from_attrs(positions: Float32Array, scales: Float32Array, rotations: Float32Array, colors: Uint8Array, count: number): object; -export class GSplatData { - free(): void; - constructor(positions: Float32Array, scales: Float32Array, rotations: Float32Array, colors: Uint8Array, model_view: Float32Array, count: number); - static fromFloat32Arrays(positions: Float32Array, scales: Float32Array, rotations: Float32Array, colors: Uint8Array, model_view: Float32Array, count: number): GSplatData; - getPositions(): Float32Array; - getScales(): Float32Array; - getRotations(): Float32Array; - getColors(): Uint8Array; -} -export class TextureData { - private constructor(); - free(): void; - static new(data: Uint32Array, width: number, height: number): TextureData; - readonly data: Uint32Array; - readonly width: number; - readonly height: number; -} - -export type InitInput = RequestInfo | URL | Response | BufferSource | WebAssembly.Module; - -export interface InitOutput { - readonly memory: WebAssembly.Memory; - readonly __wbg_texturedata_free: (a: number, b: number) => void; - readonly texturedata_data: (a: number) => [number, number]; - readonly texturedata_width: (a: number) => number; - readonly texturedata_height: (a: number) => number; - readonly texturedata_new: (a: number, b: number, c: number, d: number) => number; - readonly generate_texture_from_attrs: (a: any, b: any, c: any, d: any, e: number) => [number, number, number]; - readonly __wbg_gsplatdata_free: (a: number, b: number) => void; - readonly gsplatdata_new: (a: number, b: number, c: number, d: number, e: number, f: number, g: number, h: number, i: number, j: number, k: number) => number; - readonly gsplatdata_fromFloat32Arrays: (a: any, b: any, c: any, d: any, e: any, f: number) => [number, number, number]; - readonly gsplatdata_getPositions: (a: number) => any; - readonly gsplatdata_getScales: (a: number) => any; - readonly gsplatdata_getRotations: (a: number) => any; - readonly gsplatdata_getColors: (a: number) => any; - readonly radix_sort_simd: (a: number) => [number, number]; - readonly radix_sort_gaussians_attrs: (a: any, b: any, c: any, d: any, e: any, f: number) => [number, number, number]; - readonly radix_sort_gaussians_indexes: (a: any, b: any, c: number, d: number) => [number, number, number]; - readonly generate_splat_texture_from_attrs: (a: any, b: any, c: any, d: any, e: number) => [number, number, number]; - readonly __wbindgen_exn_store: (a: number) => void; - readonly __externref_table_alloc: () => number; - readonly __wbindgen_export_2: WebAssembly.Table; - readonly __wbindgen_free: (a: number, b: number, c: number) => void; - readonly __wbindgen_malloc: (a: number, b: number) => number; - readonly __externref_table_dealloc: (a: number) => void; - readonly __wbindgen_start: () => void; -} - -export type SyncInitInput = BufferSource | WebAssembly.Module; -/** -* Instantiates the given `module`, which can either be bytes or -* a precompiled `WebAssembly.Module`. -* -* @param {{ module: SyncInitInput }} module - Passing `SyncInitInput` directly is deprecated. -* -* @returns {InitOutput} -*/ -export function initSync(module: { module: SyncInitInput } | SyncInitInput): InitOutput; - -/** -* If `module_or_path` is {RequestInfo} or {URL}, makes a request and -* for everything else, calls `WebAssembly.instantiate` directly. -* -* @param {{ module_or_path: InitInput | Promise }} module_or_path - Passing `InitInput` directly is deprecated. -* -* @returns {Promise} -*/ -export default function __wbg_init (module_or_path?: { module_or_path: InitInput | Promise } | InitInput | Promise): Promise; diff --git a/temp_wasm/pkg/wasm_splats.js b/temp_wasm/pkg/wasm_splats.js deleted file mode 100644 index 3ffc97fbdbe3..000000000000 --- a/temp_wasm/pkg/wasm_splats.js +++ /dev/null @@ -1,530 +0,0 @@ -let wasm; - -function addToExternrefTable0(obj) { - const idx = wasm.__externref_table_alloc(); - wasm.__wbindgen_export_2.set(idx, obj); - return idx; -} - -function handleError(f, args) { - try { - return f.apply(this, args); - } catch (e) { - const idx = addToExternrefTable0(e); - wasm.__wbindgen_exn_store(idx); - } -} - -const cachedTextDecoder = (typeof TextDecoder !== 'undefined' ? new TextDecoder('utf-8', { ignoreBOM: true, fatal: true }) : { decode: () => { throw Error('TextDecoder not available') } } ); - -if (typeof TextDecoder !== 'undefined') { cachedTextDecoder.decode(); }; - -let cachedUint8ArrayMemory0 = null; - -function getUint8ArrayMemory0() { - if (cachedUint8ArrayMemory0 === null || cachedUint8ArrayMemory0.byteLength === 0) { - cachedUint8ArrayMemory0 = new Uint8Array(wasm.memory.buffer); - } - return cachedUint8ArrayMemory0; -} - -function getStringFromWasm0(ptr, len) { - ptr = ptr >>> 0; - return cachedTextDecoder.decode(getUint8ArrayMemory0().subarray(ptr, ptr + len)); -} - -let cachedUint32ArrayMemory0 = null; - -function getUint32ArrayMemory0() { - if (cachedUint32ArrayMemory0 === null || cachedUint32ArrayMemory0.byteLength === 0) { - cachedUint32ArrayMemory0 = new Uint32Array(wasm.memory.buffer); - } - return cachedUint32ArrayMemory0; -} - -function getArrayU32FromWasm0(ptr, len) { - ptr = ptr >>> 0; - return getUint32ArrayMemory0().subarray(ptr / 4, ptr / 4 + len); -} - -let WASM_VECTOR_LEN = 0; - -function passArray32ToWasm0(arg, malloc) { - const ptr = malloc(arg.length * 4, 4) >>> 0; - getUint32ArrayMemory0().set(arg, ptr / 4); - WASM_VECTOR_LEN = arg.length; - return ptr; -} - -function takeFromExternrefTable0(idx) { - const value = wasm.__wbindgen_export_2.get(idx); - wasm.__externref_table_dealloc(idx); - return value; -} -/** - * @param {Float32Array} positions - * @param {Float32Array} scales - * @param {Float32Array} rots - * @param {Uint8Array} colors - * @param {number} count - * @returns {TextureData} - */ -export function generate_texture_from_attrs(positions, scales, rots, colors, count) { - const ret = wasm.generate_texture_from_attrs(positions, scales, rots, colors, count); - if (ret[2]) { - throw takeFromExternrefTable0(ret[1]); - } - return TextureData.__wrap(ret[0]); -} - -let cachedFloat32ArrayMemory0 = null; - -function getFloat32ArrayMemory0() { - if (cachedFloat32ArrayMemory0 === null || cachedFloat32ArrayMemory0.byteLength === 0) { - cachedFloat32ArrayMemory0 = new Float32Array(wasm.memory.buffer); - } - return cachedFloat32ArrayMemory0; -} - -function passArrayF32ToWasm0(arg, malloc) { - const ptr = malloc(arg.length * 4, 4) >>> 0; - getFloat32ArrayMemory0().set(arg, ptr / 4); - WASM_VECTOR_LEN = arg.length; - return ptr; -} - -function passArray8ToWasm0(arg, malloc) { - const ptr = malloc(arg.length * 1, 1) >>> 0; - getUint8ArrayMemory0().set(arg, ptr / 1); - WASM_VECTOR_LEN = arg.length; - return ptr; -} - -function _assertClass(instance, klass) { - if (!(instance instanceof klass)) { - throw new Error(`expected instance of ${klass.name}`); - } -} -/** - * @param {GSplatData} data - */ -export function radix_sort_simd(data) { - _assertClass(data, GSplatData); - const ret = wasm.radix_sort_simd(data.__wbg_ptr); - if (ret[1]) { - throw takeFromExternrefTable0(ret[0]); - } -} - -/** - * @param {Float32Array} positions - * @param {Float32Array} scales - * @param {Float32Array} rotations - * @param {Uint8Array} colors - * @param {Float32Array} model_view - * @param {number} count - * @returns {Array} - */ -export function radix_sort_gaussians_attrs(positions, scales, rotations, colors, model_view, count) { - const ret = wasm.radix_sort_gaussians_attrs(positions, scales, rotations, colors, model_view, count); - if (ret[2]) { - throw takeFromExternrefTable0(ret[1]); - } - return takeFromExternrefTable0(ret[0]); -} - -/** - * @param {Float32Array} positions - * @param {Float32Array} model_view - * @param {number} texture_width - * @param {number} count - * @returns {Uint32Array} - */ -export function radix_sort_gaussians_indexes(positions, model_view, texture_width, count) { - const ret = wasm.radix_sort_gaussians_indexes(positions, model_view, texture_width, count); - if (ret[2]) { - throw takeFromExternrefTable0(ret[1]); - } - return takeFromExternrefTable0(ret[0]); -} - -/** - * @param {Float32Array} positions - * @param {Float32Array} scales - * @param {Float32Array} rotations - * @param {Uint8Array} colors - * @param {number} count - * @returns {object} - */ -export function generate_splat_texture_from_attrs(positions, scales, rotations, colors, count) { - const ret = wasm.generate_splat_texture_from_attrs(positions, scales, rotations, colors, count); - if (ret[2]) { - throw takeFromExternrefTable0(ret[1]); - } - return takeFromExternrefTable0(ret[0]); -} - -const GSplatDataFinalization = (typeof FinalizationRegistry === 'undefined') - ? { register: () => {}, unregister: () => {} } - : new FinalizationRegistry(ptr => wasm.__wbg_gsplatdata_free(ptr >>> 0, 1)); - -export class GSplatData { - - static __wrap(ptr) { - ptr = ptr >>> 0; - const obj = Object.create(GSplatData.prototype); - obj.__wbg_ptr = ptr; - GSplatDataFinalization.register(obj, obj.__wbg_ptr, obj); - return obj; - } - - __destroy_into_raw() { - const ptr = this.__wbg_ptr; - this.__wbg_ptr = 0; - GSplatDataFinalization.unregister(this); - return ptr; - } - - free() { - const ptr = this.__destroy_into_raw(); - wasm.__wbg_gsplatdata_free(ptr, 0); - } - /** - * @param {Float32Array} positions - * @param {Float32Array} scales - * @param {Float32Array} rotations - * @param {Uint8Array} colors - * @param {Float32Array} model_view - * @param {number} count - */ - constructor(positions, scales, rotations, colors, model_view, count) { - const ptr0 = passArrayF32ToWasm0(positions, wasm.__wbindgen_malloc); - const len0 = WASM_VECTOR_LEN; - const ptr1 = passArrayF32ToWasm0(scales, wasm.__wbindgen_malloc); - const len1 = WASM_VECTOR_LEN; - const ptr2 = passArrayF32ToWasm0(rotations, wasm.__wbindgen_malloc); - const len2 = WASM_VECTOR_LEN; - const ptr3 = passArray8ToWasm0(colors, wasm.__wbindgen_malloc); - const len3 = WASM_VECTOR_LEN; - const ptr4 = passArrayF32ToWasm0(model_view, wasm.__wbindgen_malloc); - const len4 = WASM_VECTOR_LEN; - const ret = wasm.gsplatdata_new(ptr0, len0, ptr1, len1, ptr2, len2, ptr3, len3, ptr4, len4, count); - this.__wbg_ptr = ret >>> 0; - GSplatDataFinalization.register(this, this.__wbg_ptr, this); - return this; - } - /** - * @param {Float32Array} positions - * @param {Float32Array} scales - * @param {Float32Array} rotations - * @param {Uint8Array} colors - * @param {Float32Array} model_view - * @param {number} count - * @returns {GSplatData} - */ - static fromFloat32Arrays(positions, scales, rotations, colors, model_view, count) { - const ret = wasm.gsplatdata_fromFloat32Arrays(positions, scales, rotations, colors, model_view, count); - if (ret[2]) { - throw takeFromExternrefTable0(ret[1]); - } - return GSplatData.__wrap(ret[0]); - } - /** - * @returns {Float32Array} - */ - getPositions() { - const ret = wasm.gsplatdata_getPositions(this.__wbg_ptr); - return ret; - } - /** - * @returns {Float32Array} - */ - getScales() { - const ret = wasm.gsplatdata_getScales(this.__wbg_ptr); - return ret; - } - /** - * @returns {Float32Array} - */ - getRotations() { - const ret = wasm.gsplatdata_getRotations(this.__wbg_ptr); - return ret; - } - /** - * @returns {Uint8Array} - */ - getColors() { - const ret = wasm.gsplatdata_getColors(this.__wbg_ptr); - return ret; - } -} - -const TextureDataFinalization = (typeof FinalizationRegistry === 'undefined') - ? { register: () => {}, unregister: () => {} } - : new FinalizationRegistry(ptr => wasm.__wbg_texturedata_free(ptr >>> 0, 1)); - -export class TextureData { - - static __wrap(ptr) { - ptr = ptr >>> 0; - const obj = Object.create(TextureData.prototype); - obj.__wbg_ptr = ptr; - TextureDataFinalization.register(obj, obj.__wbg_ptr, obj); - return obj; - } - - __destroy_into_raw() { - const ptr = this.__wbg_ptr; - this.__wbg_ptr = 0; - TextureDataFinalization.unregister(this); - return ptr; - } - - free() { - const ptr = this.__destroy_into_raw(); - wasm.__wbg_texturedata_free(ptr, 0); - } - /** - * @returns {Uint32Array} - */ - get data() { - const ret = wasm.texturedata_data(this.__wbg_ptr); - var v1 = getArrayU32FromWasm0(ret[0], ret[1]).slice(); - wasm.__wbindgen_free(ret[0], ret[1] * 4, 4); - return v1; - } - /** - * @returns {number} - */ - get width() { - const ret = wasm.texturedata_width(this.__wbg_ptr); - return ret >>> 0; - } - /** - * @returns {number} - */ - get height() { - const ret = wasm.texturedata_height(this.__wbg_ptr); - return ret >>> 0; - } - /** - * @param {Uint32Array} data - * @param {number} width - * @param {number} height - * @returns {TextureData} - */ - static new(data, width, height) { - const ptr0 = passArray32ToWasm0(data, wasm.__wbindgen_malloc); - const len0 = WASM_VECTOR_LEN; - const ret = wasm.texturedata_new(ptr0, len0, width, height); - return TextureData.__wrap(ret); - } -} - -async function __wbg_load(module, imports) { - if (typeof Response === 'function' && module instanceof Response) { - if (typeof WebAssembly.instantiateStreaming === 'function') { - try { - return await WebAssembly.instantiateStreaming(module, imports); - - } catch (e) { - if (module.headers.get('Content-Type') != 'application/wasm') { - console.warn("`WebAssembly.instantiateStreaming` failed because your server does not serve Wasm with `application/wasm` MIME type. Falling back to `WebAssembly.instantiate` which is slower. Original error:\n", e); - - } else { - throw e; - } - } - } - - const bytes = await module.arrayBuffer(); - return await WebAssembly.instantiate(bytes, imports); - - } else { - const instance = await WebAssembly.instantiate(module, imports); - - if (instance instanceof WebAssembly.Instance) { - return { instance, module }; - - } else { - return instance; - } - } -} - -function __wbg_get_imports() { - const imports = {}; - imports.wbg = {}; - imports.wbg.__wbg_buffer_61b7ce01341d7f88 = function(arg0) { - const ret = arg0.buffer; - return ret; - }; - imports.wbg.__wbg_length_65d1cd11729ced11 = function(arg0) { - const ret = arg0.length; - return ret; - }; - imports.wbg.__wbg_length_81a294bd2038fd26 = function(arg0) { - const ret = arg0.length; - return ret; - }; - imports.wbg.__wbg_length_9d7c41656543fe86 = function(arg0) { - const ret = arg0.length; - return ret; - }; - imports.wbg.__wbg_new_254fa9eac11932ae = function() { - const ret = new Array(); - return ret; - }; - imports.wbg.__wbg_new_3ff5b33b1ce712df = function(arg0) { - const ret = new Uint8Array(arg0); - return ret; - }; - imports.wbg.__wbg_new_688846f374351c92 = function() { - const ret = new Object(); - return ret; - }; - imports.wbg.__wbg_new_b9ea1588c9985b80 = function(arg0) { - const ret = new Float32Array(arg0); - return ret; - }; - imports.wbg.__wbg_newwithbyteoffsetandlength_5910bdf845a168eb = function(arg0, arg1, arg2) { - const ret = new Uint32Array(arg0, arg1 >>> 0, arg2 >>> 0); - return ret; - }; - imports.wbg.__wbg_newwithbyteoffsetandlength_ba35896968751d91 = function(arg0, arg1, arg2) { - const ret = new Uint8Array(arg0, arg1 >>> 0, arg2 >>> 0); - return ret; - }; - imports.wbg.__wbg_newwithbyteoffsetandlength_f113a96374814bb2 = function(arg0, arg1, arg2) { - const ret = new Float32Array(arg0, arg1 >>> 0, arg2 >>> 0); - return ret; - }; - imports.wbg.__wbg_newwithlength_1761a9eb039ca429 = function(arg0) { - const ret = new Uint32Array(arg0 >>> 0); - return ret; - }; - imports.wbg.__wbg_newwithlength_34ce8f1051e74449 = function(arg0) { - const ret = new Uint8Array(arg0 >>> 0); - return ret; - }; - imports.wbg.__wbg_newwithlength_ed665315b76ec334 = function(arg0) { - const ret = new Float32Array(arg0 >>> 0); - return ret; - }; - imports.wbg.__wbg_push_6edad0df4b546b2c = function(arg0, arg1) { - const ret = arg0.push(arg1); - return ret; - }; - imports.wbg.__wbg_set_23d69db4e5c66a6e = function(arg0, arg1, arg2) { - arg0.set(arg1, arg2 >>> 0); - }; - imports.wbg.__wbg_set_4474fae9281eafb1 = function(arg0, arg1, arg2) { - arg0.set(arg1, arg2 >>> 0); - }; - imports.wbg.__wbg_set_4e647025551483bd = function() { return handleError(function (arg0, arg1, arg2) { - const ret = Reflect.set(arg0, arg1, arg2); - return ret; - }, arguments) }; - imports.wbg.__wbg_set_d2ca640bc040b031 = function(arg0, arg1, arg2) { - arg0.set(arg1, arg2 >>> 0); - }; - imports.wbg.__wbindgen_init_externref_table = function() { - const table = wasm.__wbindgen_export_2; - const offset = table.grow(4); - table.set(0, undefined); - table.set(offset + 0, undefined); - table.set(offset + 1, null); - table.set(offset + 2, true); - table.set(offset + 3, false); - ; - }; - imports.wbg.__wbindgen_memory = function() { - const ret = wasm.memory; - return ret; - }; - imports.wbg.__wbindgen_number_new = function(arg0) { - const ret = arg0; - return ret; - }; - imports.wbg.__wbindgen_string_new = function(arg0, arg1) { - const ret = getStringFromWasm0(arg0, arg1); - return ret; - }; - imports.wbg.__wbindgen_throw = function(arg0, arg1) { - throw new Error(getStringFromWasm0(arg0, arg1)); - }; - - return imports; -} - -function __wbg_init_memory(imports, memory) { - -} - -function __wbg_finalize_init(instance, module) { - wasm = instance.exports; - __wbg_init.__wbindgen_wasm_module = module; - cachedFloat32ArrayMemory0 = null; - cachedUint32ArrayMemory0 = null; - cachedUint8ArrayMemory0 = null; - - - wasm.__wbindgen_start(); - return wasm; -} - -function initSync(module) { - if (wasm !== undefined) return wasm; - - - if (typeof module !== 'undefined') { - if (Object.getPrototypeOf(module) === Object.prototype) { - ({module} = module) - } else { - console.warn('using deprecated parameters for `initSync()`; pass a single object instead') - } - } - - const imports = __wbg_get_imports(); - - __wbg_init_memory(imports); - - if (!(module instanceof WebAssembly.Module)) { - module = new WebAssembly.Module(module); - } - - const instance = new WebAssembly.Instance(module, imports); - - return __wbg_finalize_init(instance, module); -} - -async function __wbg_init(module_or_path) { - if (wasm !== undefined) return wasm; - - - if (typeof module_or_path !== 'undefined') { - if (Object.getPrototypeOf(module_or_path) === Object.prototype) { - ({module_or_path} = module_or_path) - } else { - console.warn('using deprecated parameters for the initialization function; pass a single object instead') - } - } - - if (typeof module_or_path === 'undefined') { - module_or_path = new URL('wasm_splats_bg.wasm', import.meta.url); - } - const imports = __wbg_get_imports(); - - if (typeof module_or_path === 'string' || (typeof Request === 'function' && module_or_path instanceof Request) || (typeof URL === 'function' && module_or_path instanceof URL)) { - module_or_path = fetch(module_or_path); - } - - __wbg_init_memory(imports); - - const { instance, module } = await __wbg_load(await module_or_path, imports); - - return __wbg_finalize_init(instance, module); -} - -export { initSync }; -export default __wbg_init; diff --git a/temp_wasm/pkg/wasm_splats_bg.js b/temp_wasm/pkg/wasm_splats_bg.js deleted file mode 100644 index 5837290825bc..000000000000 --- a/temp_wasm/pkg/wasm_splats_bg.js +++ /dev/null @@ -1,451 +0,0 @@ -let wasm; -export function __wbg_set_wasm(val) { - wasm = val; -} - - -function addToExternrefTable0(obj) { - const idx = wasm.__externref_table_alloc(); - wasm.__wbindgen_export_2.set(idx, obj); - return idx; -} - -function handleError(f, args) { - try { - return f.apply(this, args); - } catch (e) { - const idx = addToExternrefTable0(e); - wasm.__wbindgen_exn_store(idx); - } -} - -const lTextDecoder = typeof TextDecoder === 'undefined' ? (0, module.require)('util').TextDecoder : TextDecoder; - -let cachedTextDecoder = new lTextDecoder('utf-8', { ignoreBOM: true, fatal: true }); - -cachedTextDecoder.decode(); - -let cachedUint8ArrayMemory0 = null; - -function getUint8ArrayMemory0() { - if (cachedUint8ArrayMemory0 === null || cachedUint8ArrayMemory0.byteLength === 0) { - cachedUint8ArrayMemory0 = new Uint8Array(wasm.memory.buffer); - } - return cachedUint8ArrayMemory0; -} - -function getStringFromWasm0(ptr, len) { - ptr = ptr >>> 0; - return cachedTextDecoder.decode(getUint8ArrayMemory0().subarray(ptr, ptr + len)); -} - -let cachedUint32ArrayMemory0 = null; - -function getUint32ArrayMemory0() { - if (cachedUint32ArrayMemory0 === null || cachedUint32ArrayMemory0.byteLength === 0) { - cachedUint32ArrayMemory0 = new Uint32Array(wasm.memory.buffer); - } - return cachedUint32ArrayMemory0; -} - -function getArrayU32FromWasm0(ptr, len) { - ptr = ptr >>> 0; - return getUint32ArrayMemory0().subarray(ptr / 4, ptr / 4 + len); -} - -let WASM_VECTOR_LEN = 0; - -function passArray32ToWasm0(arg, malloc) { - const ptr = malloc(arg.length * 4, 4) >>> 0; - getUint32ArrayMemory0().set(arg, ptr / 4); - WASM_VECTOR_LEN = arg.length; - return ptr; -} - -function takeFromExternrefTable0(idx) { - const value = wasm.__wbindgen_export_2.get(idx); - wasm.__externref_table_dealloc(idx); - return value; -} -/** - * @param {Float32Array} positions - * @param {Float32Array} scales - * @param {Float32Array} rots - * @param {Uint8Array} colors - * @param {number} count - * @returns {TextureData} - */ -export function generate_texture_from_attrs(positions, scales, rots, colors, count) { - const ret = wasm.generate_texture_from_attrs(positions, scales, rots, colors, count); - if (ret[2]) { - throw takeFromExternrefTable0(ret[1]); - } - return TextureData.__wrap(ret[0]); -} - -let cachedFloat32ArrayMemory0 = null; - -function getFloat32ArrayMemory0() { - if (cachedFloat32ArrayMemory0 === null || cachedFloat32ArrayMemory0.byteLength === 0) { - cachedFloat32ArrayMemory0 = new Float32Array(wasm.memory.buffer); - } - return cachedFloat32ArrayMemory0; -} - -function passArrayF32ToWasm0(arg, malloc) { - const ptr = malloc(arg.length * 4, 4) >>> 0; - getFloat32ArrayMemory0().set(arg, ptr / 4); - WASM_VECTOR_LEN = arg.length; - return ptr; -} - -function passArray8ToWasm0(arg, malloc) { - const ptr = malloc(arg.length * 1, 1) >>> 0; - getUint8ArrayMemory0().set(arg, ptr / 1); - WASM_VECTOR_LEN = arg.length; - return ptr; -} - -function _assertClass(instance, klass) { - if (!(instance instanceof klass)) { - throw new Error(`expected instance of ${klass.name}`); - } -} -/** - * @param {GSplatData} data - */ -export function radix_sort_simd(data) { - _assertClass(data, GSplatData); - const ret = wasm.radix_sort_simd(data.__wbg_ptr); - if (ret[1]) { - throw takeFromExternrefTable0(ret[0]); - } -} - -/** - * @param {Float32Array} positions - * @param {Float32Array} scales - * @param {Float32Array} rotations - * @param {Uint8Array} colors - * @param {Float32Array} model_view - * @param {number} count - * @returns {Array} - */ -export function radix_sort_gaussians_attrs(positions, scales, rotations, colors, model_view, count) { - const ret = wasm.radix_sort_gaussians_attrs(positions, scales, rotations, colors, model_view, count); - if (ret[2]) { - throw takeFromExternrefTable0(ret[1]); - } - return takeFromExternrefTable0(ret[0]); -} - -/** - * @param {Float32Array} positions - * @param {Float32Array} model_view - * @param {number} texture_width - * @param {number} count - * @returns {Uint32Array} - */ -export function radix_sort_gaussians_indexes(positions, model_view, texture_width, count) { - const ret = wasm.radix_sort_gaussians_indexes(positions, model_view, texture_width, count); - if (ret[2]) { - throw takeFromExternrefTable0(ret[1]); - } - return takeFromExternrefTable0(ret[0]); -} - -/** - * @param {Float32Array} positions - * @param {Float32Array} scales - * @param {Float32Array} rotations - * @param {Uint8Array} colors - * @param {number} count - * @returns {object} - */ -export function generate_splat_texture_from_attrs(positions, scales, rotations, colors, count) { - const ret = wasm.generate_splat_texture_from_attrs(positions, scales, rotations, colors, count); - if (ret[2]) { - throw takeFromExternrefTable0(ret[1]); - } - return takeFromExternrefTable0(ret[0]); -} - -const GSplatDataFinalization = (typeof FinalizationRegistry === 'undefined') - ? { register: () => {}, unregister: () => {} } - : new FinalizationRegistry(ptr => wasm.__wbg_gsplatdata_free(ptr >>> 0, 1)); - -export class GSplatData { - - static __wrap(ptr) { - ptr = ptr >>> 0; - const obj = Object.create(GSplatData.prototype); - obj.__wbg_ptr = ptr; - GSplatDataFinalization.register(obj, obj.__wbg_ptr, obj); - return obj; - } - - __destroy_into_raw() { - const ptr = this.__wbg_ptr; - this.__wbg_ptr = 0; - GSplatDataFinalization.unregister(this); - return ptr; - } - - free() { - const ptr = this.__destroy_into_raw(); - wasm.__wbg_gsplatdata_free(ptr, 0); - } - /** - * @param {Float32Array} positions - * @param {Float32Array} scales - * @param {Float32Array} rotations - * @param {Uint8Array} colors - * @param {Float32Array} model_view - * @param {number} count - */ - constructor(positions, scales, rotations, colors, model_view, count) { - const ptr0 = passArrayF32ToWasm0(positions, wasm.__wbindgen_malloc); - const len0 = WASM_VECTOR_LEN; - const ptr1 = passArrayF32ToWasm0(scales, wasm.__wbindgen_malloc); - const len1 = WASM_VECTOR_LEN; - const ptr2 = passArrayF32ToWasm0(rotations, wasm.__wbindgen_malloc); - const len2 = WASM_VECTOR_LEN; - const ptr3 = passArray8ToWasm0(colors, wasm.__wbindgen_malloc); - const len3 = WASM_VECTOR_LEN; - const ptr4 = passArrayF32ToWasm0(model_view, wasm.__wbindgen_malloc); - const len4 = WASM_VECTOR_LEN; - const ret = wasm.gsplatdata_new(ptr0, len0, ptr1, len1, ptr2, len2, ptr3, len3, ptr4, len4, count); - this.__wbg_ptr = ret >>> 0; - GSplatDataFinalization.register(this, this.__wbg_ptr, this); - return this; - } - /** - * @param {Float32Array} positions - * @param {Float32Array} scales - * @param {Float32Array} rotations - * @param {Uint8Array} colors - * @param {Float32Array} model_view - * @param {number} count - * @returns {GSplatData} - */ - static fromFloat32Arrays(positions, scales, rotations, colors, model_view, count) { - const ret = wasm.gsplatdata_fromFloat32Arrays(positions, scales, rotations, colors, model_view, count); - if (ret[2]) { - throw takeFromExternrefTable0(ret[1]); - } - return GSplatData.__wrap(ret[0]); - } - /** - * @returns {Float32Array} - */ - getPositions() { - const ret = wasm.gsplatdata_getPositions(this.__wbg_ptr); - return ret; - } - /** - * @returns {Float32Array} - */ - getScales() { - const ret = wasm.gsplatdata_getScales(this.__wbg_ptr); - return ret; - } - /** - * @returns {Float32Array} - */ - getRotations() { - const ret = wasm.gsplatdata_getRotations(this.__wbg_ptr); - return ret; - } - /** - * @returns {Uint8Array} - */ - getColors() { - const ret = wasm.gsplatdata_getColors(this.__wbg_ptr); - return ret; - } -} - -const TextureDataFinalization = (typeof FinalizationRegistry === 'undefined') - ? { register: () => {}, unregister: () => {} } - : new FinalizationRegistry(ptr => wasm.__wbg_texturedata_free(ptr >>> 0, 1)); - -export class TextureData { - - static __wrap(ptr) { - ptr = ptr >>> 0; - const obj = Object.create(TextureData.prototype); - obj.__wbg_ptr = ptr; - TextureDataFinalization.register(obj, obj.__wbg_ptr, obj); - return obj; - } - - __destroy_into_raw() { - const ptr = this.__wbg_ptr; - this.__wbg_ptr = 0; - TextureDataFinalization.unregister(this); - return ptr; - } - - free() { - const ptr = this.__destroy_into_raw(); - wasm.__wbg_texturedata_free(ptr, 0); - } - /** - * @returns {Uint32Array} - */ - get data() { - const ret = wasm.texturedata_data(this.__wbg_ptr); - var v1 = getArrayU32FromWasm0(ret[0], ret[1]).slice(); - wasm.__wbindgen_free(ret[0], ret[1] * 4, 4); - return v1; - } - /** - * @returns {number} - */ - get width() { - const ret = wasm.texturedata_width(this.__wbg_ptr); - return ret >>> 0; - } - /** - * @returns {number} - */ - get height() { - const ret = wasm.texturedata_height(this.__wbg_ptr); - return ret >>> 0; - } - /** - * @param {Uint32Array} data - * @param {number} width - * @param {number} height - * @returns {TextureData} - */ - static new(data, width, height) { - const ptr0 = passArray32ToWasm0(data, wasm.__wbindgen_malloc); - const len0 = WASM_VECTOR_LEN; - const ret = wasm.texturedata_new(ptr0, len0, width, height); - return TextureData.__wrap(ret); - } -} - -export function __wbg_buffer_61b7ce01341d7f88(arg0) { - const ret = arg0.buffer; - return ret; -}; - -export function __wbg_length_65d1cd11729ced11(arg0) { - const ret = arg0.length; - return ret; -}; - -export function __wbg_length_81a294bd2038fd26(arg0) { - const ret = arg0.length; - return ret; -}; - -export function __wbg_length_9d7c41656543fe86(arg0) { - const ret = arg0.length; - return ret; -}; - -export function __wbg_new_254fa9eac11932ae() { - const ret = new Array(); - return ret; -}; - -export function __wbg_new_3ff5b33b1ce712df(arg0) { - const ret = new Uint8Array(arg0); - return ret; -}; - -export function __wbg_new_688846f374351c92() { - const ret = new Object(); - return ret; -}; - -export function __wbg_new_b9ea1588c9985b80(arg0) { - const ret = new Float32Array(arg0); - return ret; -}; - -export function __wbg_newwithbyteoffsetandlength_5910bdf845a168eb(arg0, arg1, arg2) { - const ret = new Uint32Array(arg0, arg1 >>> 0, arg2 >>> 0); - return ret; -}; - -export function __wbg_newwithbyteoffsetandlength_ba35896968751d91(arg0, arg1, arg2) { - const ret = new Uint8Array(arg0, arg1 >>> 0, arg2 >>> 0); - return ret; -}; - -export function __wbg_newwithbyteoffsetandlength_f113a96374814bb2(arg0, arg1, arg2) { - const ret = new Float32Array(arg0, arg1 >>> 0, arg2 >>> 0); - return ret; -}; - -export function __wbg_newwithlength_1761a9eb039ca429(arg0) { - const ret = new Uint32Array(arg0 >>> 0); - return ret; -}; - -export function __wbg_newwithlength_34ce8f1051e74449(arg0) { - const ret = new Uint8Array(arg0 >>> 0); - return ret; -}; - -export function __wbg_newwithlength_ed665315b76ec334(arg0) { - const ret = new Float32Array(arg0 >>> 0); - return ret; -}; - -export function __wbg_push_6edad0df4b546b2c(arg0, arg1) { - const ret = arg0.push(arg1); - return ret; -}; - -export function __wbg_set_23d69db4e5c66a6e(arg0, arg1, arg2) { - arg0.set(arg1, arg2 >>> 0); -}; - -export function __wbg_set_4474fae9281eafb1(arg0, arg1, arg2) { - arg0.set(arg1, arg2 >>> 0); -}; - -export function __wbg_set_4e647025551483bd() { return handleError(function (arg0, arg1, arg2) { - const ret = Reflect.set(arg0, arg1, arg2); - return ret; -}, arguments) }; - -export function __wbg_set_d2ca640bc040b031(arg0, arg1, arg2) { - arg0.set(arg1, arg2 >>> 0); -}; - -export function __wbindgen_init_externref_table() { - const table = wasm.__wbindgen_export_2; - const offset = table.grow(4); - table.set(0, undefined); - table.set(offset + 0, undefined); - table.set(offset + 1, null); - table.set(offset + 2, true); - table.set(offset + 3, false); - ; -}; - -export function __wbindgen_memory() { - const ret = wasm.memory; - return ret; -}; - -export function __wbindgen_number_new(arg0) { - const ret = arg0; - return ret; -}; - -export function __wbindgen_string_new(arg0, arg1) { - const ret = getStringFromWasm0(arg0, arg1); - return ret; -}; - -export function __wbindgen_throw(arg0, arg1) { - throw new Error(getStringFromWasm0(arg0, arg1)); -}; - diff --git a/temp_wasm/pkg/wasm_splats_bg.wasm b/temp_wasm/pkg/wasm_splats_bg.wasm deleted file mode 100644 index ade947342f4942ce2770813e2bb7907c1956206e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 43378 zcmeIbdyrn|ecyM^d9SB7~{BX~MgOrR)UEx7)45IkKX!g3Y$44w`s`g9PkKOL^~Q&=ZWk98GUrv{%t zc-pcPE~}UoRA62IUA0C^-PiD{Diusr`w4!cbyY>JdenRk_Dirx1-^!~YT{`0Q);8= z9|S+?gZ3c!2_Lja^vO?#pHw?nqdzSV6`q+pxBKkbXXcjH7S6TS&Th6hme$UlU28oP z+>y3+`NCXl!_w|ixqT{UdC!hbPAtxzY|YM(j-DJJn{5U4Z5gI!W@aX)7RRS2#wSPT zPmcKv!@h=#mp9L!ooX%2E{rTJPRvbCOwEnW2m5kWY_{5GCt6bz(<5V(lar$pGvjj$ z!LTwM@KwxRUR(sWsnNOV`PRtj_{8YK^y17+(5F139YCL1YM-BbuH9N+T%^j`wT0Ez z+PU`mvvafKlQSo$PEO5CPmV5}91Z$&jf0G{F-}w)Jeg zwXwF*T0GmHom*`M)xz3^;;yhKDn~&u7zT)?{XwA|1Uq(BdxJuuP!1LBEf^TtZ-Ft6&N6*3dzH)C=zP}I_cNU@tip63$7@BAc!$P6Lf52A=gKCw?Vv+xg zJ<-Lm*<25GhbY+N@!baO%Ny`xd-m+&MynMZ zY2>36A3U`qAN9=ALi>F1-ktfl^R1YxZMV**Nwl(l;p}X? zy|EdbHgRhM@tXxULOC*KumE-NOfAJhJ*w$J(R;`dURj~mN^^{0zjr4F2TnBrlxh%|z#J<2Ku2jzs?cvc`T5JC(4JH2TFY#>xtl14J4H`ATUc9%o2>gib(_cqMoK*=S^&r(K>I-;*xH!%a8Si zkVdE5!P|BlPNduAZnsOWY~2pjZH-I~YV^d4Xh^$l6pRqbC|JmX0XrkJjT^MgHh>9er{_`=I)(7J@MsLd6CKuI7K&!H2}-<>JKd}d$32Q} zn84KAU`&4^*MmSQoo>QuqGAN1t03D%$D!D|MjnkqM{G2Mr^rLZ%9%@^{WGqQBnwim zk33(RZ1Bt(cDbHx)h0~fxgLsF4u=y#ap=^Fa$`B>!Gpj zTp#7RzJTt4n7j}GObNpr*Q+wm^%1O`{mWq_d=g?TC10kPBz7R$EG7T-wc;|?+9xhG z>C}}|K^(YhQj`*;p(-QIKv_C0arJRThvc?m5pitv1`GNp!lkF7+Zlx)D zcCvk2fp4WLdUmqi&Zm4=0mZ0u-^mC5SOLW-<<)%P`wA#VDc{QnUROXdN_j0Gxb|gI z6r+?kl#&HLs}#j3<$6BwIRzA>l;`t-FDjrIrF>a|8)-n#PRdsl_)?mpXD8d&6nHUB z(X*57>k7P-rs&zpc2j|u(-b{B*}kd3H_{Y6JK0`Q;8vQVXD8dY75G+~qGu=DcNMsu zrs&zp_Qwi*Cr#0_lkNKoyqc!y*~#|00^dth^z3B2CT@5wP0_QH?XyaGBTdn>lkIa# zxz3LbRz90J7Kt#hEVC88iJ@V`mM=QETce78b}Ldrk^V;Cx;O)$;&Ew z?bDD(J28e!Bvp>1TYgx%gxGZ)S6X=SsvDECdam7#q66d zB6M}Uzxqmqhz*CT5{?L{*0;Ui%5*v-j`zq90kj4EeY}To=vp*@vE7hkOuZq8%GhGa(Ogy21~0{VNKr8E zURfDw8eyXFycJsU^r}cfFDqyzEUfc;OAaU`LhS0WX@5Z82~GY&%|zsidwA@tUNV zfeQwOmr~7?KCzft;wkH7)>Fon-iu|yL)e8iGYY*SoS`BPqEqTIOr8upg_0GDY%XJ_ zyS=ITth6S0HoZxWUYV4d*=hU`uzIuh#T=rcPWvHNmf}eDbuqXC#ReJcPeZ${AE^k! zI88;6m@Tt+G&*3%g`VYvhAsWQtL#Cpm~`{nCSMyUvnte88{UqsY`6LBI~_oq^!Ta1Xy2c8%rF+y$qSYt_Ov9O+GH*%?qu>p{Gg0BA(@21EFprt z{nQ1>y*YalW}nGs1c;zDF~l#QIio3^93Zj1qGQRw1VJ zSl@0+6|Ptd;iY(=tD_%%dza#2*K;^J8t>BMaJ*lSBCZJ@)p$sc{qX@kTs)vhBfeLU z!FVtU;vM`IQ3pjgcm~tE*9|}3+>M0X>Gmu)``r$AFC_kO6wJU>jDqI(WGuSfeI?hA z?tn>(%bj$_tD^KW=@rteO0Q<=y>8%4UzIlYJ>CradPv{DOy~eI3fw#r1g@7Bl&KeY zR*m!nnvjLdoz%!u>!kLPdaqKWZfaq;H8z?-p)Z!{0R&~(nd@Ocoj-y@{?;Em>eu0YpGp+J)Y zb@jA)SOxBXq4|J&-~~sn2X45gyZ`g#Xugo4tN9Qme6!Shqj}WP!XbV>??^dx;{|tE zl^!FJ%8tL#yx-lg!XBiDsoxz`$_esO+h*kRG7IP}y`%-$=_YVuZisaZ+>)TWS?a3;mm83zAOA( zyBVCujkJ+mdp+EUL!=xQ%pV1($w5XLc*PConOBnouDa63%P2aym-i-A8(t!GMa7UQ zmE`{lR$LW;j-Xtj4JTnZZJY!BEROSxGxt zrbyPwDD7lLovc|Wt7#{z*2!AhN!&CZ62S4DjM7d<>SQ(Tr1JPqM%Kw%+R2Dc)=*Kt zlM$Va)XA!K64xLg0N=wP**aPEofInYf^6V}p#lj;-$|<4-8Tqj-bz9bDAys&bx^r7j{5gv77#7>* zhNhGl3p*3H9Pe}uG)&xZJ5Xg9Dj0Rk_+fidj7&bUtX7&OX489_O*fMAGDgVl&)y6g zGZ?$FYOeov0v{%TF_GL*Kq(Efy_f}LLXh}!7H%k9Pi|%5BKn;4+gZ4wa6Nf73u8hg zuVsOqvL9&nZ=@}G>mj+3g?Gw+=u2MA!o8|&AbB|p@0116m)y$2y>yrK+gW(0EQr43 z)hul3L&+PR1aMrOqri8Idvl zd*!fdtEes{i8&K~V`f$8e=Syq(%t2xXbYE2m9=In(*ei|k2ia;c<^7S~#STL~&r($J#Lq%ybkTeUlkCVcR=FuQDpe!=#L`G?(*7Kq(sT05~Wx6JV zJW6gdS*FpO;hAAArGXRWZO2u5FKCK#kjUr${mP|fEq-X_QtM3&f)-vYS3q?6%0}|( z&s?vf$>B|TBJPrADR{E(EM+MS^<7ecK}(p-(R-dSq_B=8M@YeN1JbHjlD9tnMnyJ+ zJ(rVDUn{O+GclnSHb7mCiA;fBy@78hYBL!V@Yr<*eAoq^Mm$FMF*KU5-7S+qVd)$c zvl?%nxjUg|_FSW^fwupWgq0x7LpFfPr>Rw-7h%1?Ff$1`T|DK@!B4Ql51z#|Oh>{F zX)&AckQN1UL`OB96gpl4A&_0S<66jwR|6I`99Y2eJnBSs;G|@-$(XA1XfjCv05uxg^&y5%BBbAqVy3S zgt?$2dI6I`3{opRZJ&aPA;;v5PW&ZCC}f1nkPjn)V|Pcyh()LLk62<@?J)+qTWO}o zR=k>2FKL=g_C|~}Oh!yb9t&KIum?Kal8*$=gJ8>xL(N(S0+u`+xgz*W<{I`2O!f67 zBd$iBJ3~kk7d1?cTm9j?)B<1q+V)n9&CdcNVMjbhu9;4xl@XA#!!y7qOH@> z2ir{|R-j27n+CpQq#E2>n?&b|E1w1nrP}i%Uw>4$ z9c3~BL#l(o!(P8j7Z zgQOFdp9&)GgwdzPq|^;#pcJuEI_VXKD_OWGOGn_bT!BE(L?kmi;FR(s*+dJ}-lSde zE8yb5!yRn00PceWq?hFbiO~jPDFiHC(^edxTW%A!)2{EQoyC* z-PJaNwqlO}vPM-8aL}YG%{=Z}y^cD~;YMRj1Z!lA2@DJ> zwBu)m-c#z4vmE%gfJCDz?3Wt45YZ=JOiuG_k@vW;29pHrq_MSd{ZN_4*QyWLDeMW-9+Oad`e691KC0s@8+=XxZBg!eln+h$vVtdCd7<6$knn% z+p>XR)ykhhD6s7-Lst`@QUS=j9`a)H5MA<%av`8%!>CZnuQeIR6_-!<`Q33tGM;203qbe(MqBMB3Y|Sb1*@h^xhnrrJba>tQn3Kj?cE z>}5E|Jx@nKl@X+HuC01qoC9ZubBROv*RPUZ1%&RJ%Ft!J%|GX zzGxfgN)mzik)5FzNymJ|>&tXR1faawFbAp?`q#ov41y$Ok(=UbGis#trFzLwYR4K3 z-}X;+o35g#?tlPf<{*G8yAa@>!?bn1G=$j;=!PMh-tR>YSR*jxQx5Z5*K1sa{(AV! z6u3Yo9(ekrAVP{ud~2bnPAo{{QH+b24AS3>mkbh2WE*YF&5uGy9y|bROuZKrk zPfuFU5cTwEu?8~7JtH6(n5GzDD_W6C9aK$? z2!Kv77_5X)z0CptO(DeKXSg!asxnO&A}?Px_FC(gv<5JwOve9rm#@&KScRd?<=MasnV?dyl}OKJHT~>tD?6E*Dg5fxycc8E87A$QH}eh0Nz1b zpaogS4ZG{xjDY!MbJ7Cv#GY)s(xXm)ZJC?uy|j3n#YnBO3V%5mGi+xaG6~z2wMZVn z_DZp>;_xnYb^2TTzoW2lm0oHZ5}ks;Xw!^6P29b)R}k;{DR5$|H86>oJ7-EjDjm~~ zDzv1-K7-}#t=xGlb>7&cmgd9fkiJEoH!S5ePPQN~R&0vs?N_JaWp@Ws2fG8MoC@Z&0qaXd2bxh@@-Mb8SRepcyH&v4M!-ATWeM{Y zzfg#vZk(tk-zEgRA*A${*p9Z_X&f7c6bCi2F({2I*qe|0ZW>of^ycGUP2)<5-YhO+ zP+bMq#VGbsF&cZf~^8~wf zc*S0(5Zii8nG{$LWxQ}7;3V-2q%x9RP`t`7J+hs(BqOeqB6FphcI8G0xJ0`_wJEbP zB8k8>+RQ5y4$3Ojj#HWMxFz`2z=8-#(uyV~^6-!%+?5SadJFAM{1)-rdL1EEv zh!w{CPD0_yZ|#uk5#@MaT7=+5A2UB*9>4_oKO)T3=#)+yXx}{;Yk)9TwnCdGX#+av z4=@GwWM>alUtewv$pC_Wv2NoqqIyvuMxz;(p=~!Jv4~eqGFQ8YL7CVD-n6|!ppgLR zT~T}D1(ELx_PrcZ25`XyKO%f1i4aP1i@?(979TH#(X&o1bTUzmr0JGS#54|Stfh}) zQ3VKBZOSUtN5C(2A&yh8Y!?k9j50PHFeZro92l^vq$1rz#ipRqYN{$cXxI#mgAkSU zofLfSPoogRp`m(e{j>h(1+^y6h3J&PaFJAz-bO zFy*d=8;=A7@MM_mypnuhAsru)1N=bWwnLocs!rrk3k22? z+R-UCyji^gq>OlEp9d5x#n^6Y=NZzeB2n=qXK?TmR1pmj%lqXBh5j=^xSxOk0QX6_ zMG(r-yhRYA`y~<^F&%&v#2{b4kuJU{Le{*OT8KiiX=LJxeCB~>3j{ky1B?&kbp$AZXq*N?7^fl5 z(heX8w{@WQd=&PiEQ3;qKKN&DYda)CjdEuIO+u?S=tngkhXIF&^FF}+8bo+9JvJe) z9kAgo;!(tvgeU`ns@F)4N|B_V3Z+Ioa3+VZg<2CTgRNeM-|H_;<$Az_59v&f=+%dC zc_aE8m$l;|oumP8w`#LU4LQturOc(vCSlsf$2A%Mg_}Y0Q;na4s&FA{Y3X-lTf!}; za8;WC=}8(IyBf2OW)E|ik>xjoD0s702#8}sQ@43dE{Izr`ZG2&_3L%tGyW%=t1-zQoj|jY?y{gOTI(6o(q4Ea8EbvdZ(gK(D73%7!-KC zF(_P5<0PnL2VU5?8q~fLMFoG{5fnmDer8GI*Pay~p`^MfneAf89~=1SQKbT#7;yxF zW^6;<+BgiFqhv->uF(m*f~6cLW&d*W;umfz&*A09y@)6+8+*PJ$1>gzv8qv;F36~1 zhnEa5hlm0Zs4%LL&dl0ZHRfSeR-<{#ZBTYbj5?_gqZ5Mh_Kiud{E9 zey9TE-LKu`O>)kYqoJ>MJB*4RQ`pm{t;8Uz1;qJlGHY*yW?j-1HtL7SVi&x!{y_LPlZEImlZE2D zoGg^?GFb?_lLf)Wc6dD`5SUAWS%AXSHg#jukDLjuv9i)ONF77mPhGsxolbEK=CtqL zFJ!Vvw)H;=z4*ZXcz43o239*D-@S-*l8|2RnGpP;MBjVfUiAHLm~k#!RNsMf2k+!u z4l^?Pcj4T_cja8ond%+R9ZESD_T0v~b#X4^cL(R*g{90X^s5n0A#dxHFcDs7LS#RZ zC}m6=h8XoG1E}n6nj}naVTB$G@GbANS1r;7$xWH2`Z2|)a+qbd7Z0T*TbA0)gO7!T z6)v$hv?IVeNxny$zBw697EJ9JA4tCMGr*Qw+oIqg1$i$%5**-x&vd^`C@E?TFcT<^ ztPo0&Llv+28hpvDLG&nVXG};r$Rps*Q=kQ5d7Zx!hGDAX%cj*dL1c>rCKbZLmXTri z9Xr5|ld})W!lT{{*;}tS{F|~`Whb$aV-Zj+lWO(|Yn47i0h?9J43Oc%fQlL)Yij3# z%s3Mdsg1{GPNW3J|119)K#ocEQY1=l5|nINgMYR;2E6?3H~}(AVoyI>cXKnOyc*{u z%wt#xIw+co0obVai$V;Yj*{R5xD^nU^xpgnyl`lc+}1HhRC7W)`Y{;DL_Mh8m)8nm z<6NyNzmthY_&S|*8u+NmPPEXWN*N>G^psYp{a0aB;%1!alxPCuyz%oP^HUL#qJ-93EB z6j@3GB?agwEt}PycEIGJ&B?;#`!D!m`l9Ksb6>gDHf}=+q1s;EDiO zx<525Rt!M26#%m2V*nQKfTb)P0j)HNt-mWr!SoF96ofw=Hqp)-eyYoj$Aa45zB^1P#9e=vi0glM&>7+`{!oy8My%k+k->!bMaoD8<7cTH z0z+Bs{(S7AELJNO-Yh5YNESQ6wDq#NTvFp-HJKyU1OqEfz-e}W?Kv-|ynj*deTiRL zhtEppf^iD!r`mYh%Of3t23RqS{4O1f5i3xxku2=&5Ly8X8KG&y8V-P7?v+e4v*n+K zQ5o*@yjN*l53x=q?BKm(>Ki0$dT7FAh&U4Im*DY4By=PJaWZdZ)R%M^Pl1eUV1h!* zp#yQ%IJ9~vhl>3*+qu%XNZA~p7Sce$^QoZpe2R4^;}X*@s4Yd@@TJ>EP~u`taC@Gi?{9StO3SYfGT{uiRnRLZvg`6>&Lk+TiB!w3>embaK z@PkD=q0D@7yM#Ym0tqEp+RV6;=fC9J34J@E3%{B+Wvvia8{H^JMIl3?rlj|iSGDPo z))oWbrvSZv69tIM7J>TyaGu&sY^3g^q51;^nl*{Cj&7}9QNDT+O!5`Vsd{3~g7~eF z_0{aQmZJK_Xu#{twe>(N@Mx6KdbTM80T4(06{1tgtqiKC$rJHUxfiqgkmCWc4y zv%_jOJ2VCn#59?F(W7BgLAUL*<^X~$84L1!~%@)i?*4pp+DHW}G~ z+oz>kdruFG+yabDlqkth@>9GLYxN2Gy7o6AYH+FY*R%|V&%ZGY<_Vaw_ro;!2LSMA zY_T>IM5lmI`x>=N)=(q^HMOs^xgoILHE4HE4UWxoLFn{X^Pq~4?comfC zj1d9|L|n%Z@@u0Kh$e>9I0+y5WJQ9MFgc6QO@eB_paR>**^-bSA^xRbS51En$yJz+ z7AP#mgTU0e4Wj3h<7Jcj}xd0ak;f@ld)299$6`~o`)OR~gK~HyS>VtPS zz^wE&?zA;fc89)*AzEnQ8RS%BUXF6C&|YwI z^>dK2oZNx|DvCNB{dALaVNY;`v7CI3<$hw`PfX4QSx&x8e1+;d9>#L=Ig83UAj=vN z>ew%RV0}+swTQ#ri0@m(L?^m3y^%rkKVvUcW zXse!ZRI@oli#kgFO{MRCn{>9keC?ge7i!7hdZ+TtS}W$%d=29xdGPM77~KAfH4l0P z&~aVMcFy-kG1WU_ig(1wyZ7_{b{yGvWylucY1DYtw)%QBUOC82R#+t5S3%sso zU;uC==)2jBqhoId2Qkn??3 z#0Xw4T?yhHz}ip;9og3Pa#AB+d5*^Ydbqg!z96`EHJ)@mcCwm{_HmVqdXRCOT5F(cp^4?QuI& ztT-go1jc%`;asoLt-`K!EWl7@7p5nT6y)@*fF})H9h^K#Ud@K9Qx9+$EFzsUe-+Sa zm2&DwR36tETHS9*e-QG0irVG+Ksh9x#)A|2a^gNGi)>dXo*dmOTpuB;hmxQN6A6In zi*SjE?27~eQZ0i3ixHbomQAxyK78w;=Tmg99~P+M3>I3$2BFCgF^oeXbvv+|Tz|(Z z52bsmgr{{2oE#TpStuYKAxfCX-6=uI2g*R8*U z@PD_6_H6Y|m}cXQ&ci|F8oRBSF8qaHTI&<SpZ{c4AJ_oi4f*ivS zbQm5%Fd#utcLVSr44(>!Ob}QV5*(=@K&jp#2#~40CI`4*r6UVujR)L=v*#U<8RdwR z8DlC2q?Z+tb-#2Dcbr zbvju=MK~7oN`n|p(o{9;b_k?e{17~D#%UNI$Y-U#kZcFgFWS}rGOEsOWtqD-Er6}8 z02;-tWUdFYSqmnv>sg79@xVN|vJxM+x5BaoPWWga7-3gh^08R8yVzp28`V%!8&C_9cZ? zCh_-h`8E@uo&Mq=kJ{P-AbGzK`r??`BZHCK4cdFjy_B_R{ zL4B%g(8XCb`&4P4ZWU^{9(Uq#yE^Eg^&rav{2RbemYrl7dAx6z(KC0Y2>5QUXams6 zHAt?}$GOo-20pn2cQ;pI0~C>K54ny%-nW-r*gyyAWG`-05 z`gV`oCoJyHN7J``M1!$C`RKu?`i6ZYAZ*Q9Msgg33t-Ol zM0wTiY%EMg-(nt_d<*fy?NP*No0Y}CqWD*cuekkbd`i0fHdFnMa(sszJ0mhHEnU&!)1Rd&qr{B{*)^hY2y$y$t&JtvN^R4(GC13@F7uKm2C876PW)iV4Lhb-=3qxRdkHrvgI5Kn=5Tt*%Dv!mG%qko)zm(1^ zB;9VLfrM#$@?w?(=O-^KpcxMQpWMmBsf-}~(Mkp1puGK7<7 z2=%C+qJ9`1?-crPY}SZQ)ed)?>U#%TwWB)| zXa2LIyaYw>Kg+Kbxe}hv{p^<1N|H5mG@A`*9Z7mIWD_j)J6-W!7JTw5Q9ABZ|J@(sczq<1PM9Eyy4>b#|e)~-Aw=3a5iq>B9w1L(d zxod`2Ad*}XQgyFFbHI>V6H=L&7*bhg!l@3=uwh8b0w1_UJ-!y$%CUsY&3yDO-jN#s z;a9#se!y>!c3SobBOqDbXSZPLh$2vDrj=n^iG$L;dKqfsV$)3%yH+I*=CzI0Zb6e@ z3=(KJt6Q97S_PHWbBD2_t*u&Qw_DIEHO(&NRM(yC)y96rb)_-x>c|u61Cw}-f{Eyg z;mFA85M}=9=kP`p8z0efaY{mmL_$aP8Xu9B zdYFFh{CZ=y2_0;$@vyJ9@e`V6*ZV>`;A^Qi9#*x7bxL!~ZkMIzt$EkGsk!hj zYBuMgT6MS#Js8>`WCm-WJjv{8&<8Lyt_+L=w?K;81XUoY3r=po4 zs0>v~_y?B6tRJ6 z>7+sn|8iyPC_=CKw42BpG@=s|Bcycgol3}l<+PS@au38oG0(XD#JZih)@UH&*>xRU%U!`JEDg+*v7>-I1M^ z_T-7BE>AyutZ-NtFlSk>%2NC@^T1k8943)DtF^3E5jz(#*tLwrGPj)7+WX<>47|XU z*;HbqPuJ|EGl=L8rnLLFm>O_!fmPF5yj$A^IOYb!Ysw_Wk9S?9fjdtA=pOHuCgl)5 zI37cB$-AZZx`Lf`X7xMnFPsAJTo<8hzR-lc*$Du9gP!DhZkStIuB9|2x3s)$X|U^- z-jlketLQlOQB$rxUAOd}JKfUNVYjF2mfqv`;FgBHCC)KFwH2hGL+QF>lv&AMSCw0O zFP)S5DL2vH)Gb|fd!KJ+Z3#0p21D>UofzfQ9}QG`bD{n+3t=xw0^Fk|s3ffK*ygS- z4pTK<@a}2@3|*uVvuk(?0N{u55>sC3TKTNY5@Qjp2xIUt+-l>k^S5s6o)RfC0bjO> zEsGDj6RK`QcDL+EThmin1!gX9iGeh?<$(mZ#SGpNgV%an9wtcJV(?l+P3A@w-FB&y zEgD-Ut(x1~K?@9v8k56<9Kzc84vvf#3teY0`(5cL1M3G_N-lKI^nRL-)A& zY^X*dFCz>i3m;(ar0ZdPfVrHg*avV1`>jOuB6S9r86JPYg|s{vjEnN(4Bj$4DK`$# zeK}zJ>-{-bu%FQnJl5CSF?e9C29iiK{r*0tmb}08E2(g;p!dvwsfzf+bbt$sakbtj z-9Wp1T_b@YlJBU93}yoeA+2?S@1>E6)=b{$=3q%6;)ZdS1eA*}7g=FDN`EMxJfbw) zyuiJ$=2y>@iDQQ|K(Oh%0|@SQ&cw(lM!sb3b-SvbUCb#)*<-^x5Y9a9s^mnm>`pb* zk4=Q!p{!>IBk_*tq22)|u{vFbu-047y`GJqcdu_lwh-Z^f=M}F4PS-HYEfaMb5Zrb zORWANd}dDcA00lu6aD|M_{^N>KRSGRC;Fc|K6~!ude7ZG%%VMaXIw97fcj3IPyb77ZAo52nWDCt-8H-iUAF2sGA+>0<^UuN2U2LC- z-<>FZtoj}FT0a!fEMSM91^hqxt;RZU6(!+Mgc7D~`qVAsV5;c1(}b3aP}4qkq+zHu z_Q5&!@ugV;vfy6v=N9$tK8{&-gf>B}%OFHe7g#n3V@!AUBU_MmHJZwzo$I{&W6FV_ zz-zRqSwiucU35tTuyVLDlio(>R79z_v$ClBmzhB;S6A%>QNNZxUgv5Iy&u zETp@gn7DNJAA8b5i}<@`v_DXLa=9NN-G5A{y)A``5s-ebrXFUB0gt>9I!YYlP01!T zVaLfpM#dzr(r9|1sggGvv3)opYNjK*A+XgeD3K7A1Q-SgHiEjF!MV~j`nD~e1@vp< zJ!TmRF*dH+8>;P6nEjpI(vT2)XtVjPMnJtHqBO)2&s*hOaL(D`e)F zjj*0wq=g!zKuEKpU^eEF#=M?w+X8ooMwD5krz0Ms98G#{&kz_vNi&P&2$7+$rn98> zKBPC}>neUF5)UcD-bVCBOjWpH?Z=2L9oteKRLZAw%dW2n03>2CF0x$=M97&dqn$N< zNIizeU_A}8x&Lsw8H>2+VSFb?^%#ne=~0c3(*_p+7v0e}cg2U@F@7I%$N7C&z+a(e zc%X2K!Kh)2Xyw1)=%jA3lX3DSwu&8e;H~H$c9HFN{G-r4WP_51-h4H5I)t2VzWk$* zh&Ss&aP6`D-xz!XkxIgBn2I+(?m7yf$;If^?KwWrEG_3pYW(_7MuM#?psCB z^T&M*eDQ$)xbFsJ5e06zoIz_X9iJHmdtEyNp5$;r~tO0 zWMO#E*cmfF&^aNEu%Rl@=LGAkv=pl4RhllGf>BV(HtH}VgHH)H_nNBHghma9%er#o zxQHpZ!AcUl-Q`HYr2w6Ir$T3&+mZJLw#}`dx#y9tAK^&Oj}YUkw$aDmg5>SvFCF_v zI`*Q6<}M9-uRo;G>&KpluAaeJ$T{!qmLeknB8>p5B7jVuK>*C{AOOwqJOMNu)ovvK z-JA{yh3HBnfJTP^cx%9iJnAv)mVHdpZd?a1a=ck&2vL~pW0xcdbJh+4Umiu+d5(r4`*7q+7k2kL>_(LZycy zG$2xk4#7SUf-67i>o(a5o)H|Gv>E6KeXwm`lnKZHanj1bR>>2xh9b#>=%iX4vrvsc zc*0az4n;W+&^Sp|rycvz+sLvHx1x(!)KfZ@Emw` zr82nLxlJ!kpg zh}XAx&Hugmf#!p64qKmfAA;9=!gmSrGwdgacgD-8Lp$D)-y7>&;&nqK{ge>$XoD2` z=B!VSnevtn7Hr@QCUw3Rbq zro#-2dvt5WZfXJfyTzITMlKMmVk{WwigQbL?-=o-8O3wH7SWe|7;HC`=i?ba^5b9d zwhSdffUFV3X}ZmB5T2>V0SfFImI$UB746YT?vI^}5h2O=u^+`Hqq|O@<2?+gGo<4~ z+0*TsrUlKtuI~(b*C3OaVUIW$@!%j(XYiczIWl-$+sGBc4l+(<=}nvyW1i>{(L2a{ zw~@C49+_I0xqIQ!8^4eW78**fXMsA{i0f__J=AWYhGYS?^@GPgoVf>8-9f4|J`r}A z9aNjzY7vLNqupP&C!~f=CXfC1wNk@!+eTG0aAaEIhm(P`uNF5|`(SfOwX>R<)yBs# zsaId?vO6!uwM8kBJkJut;(^uHr=`rHT6~{sbIk+3wgY$87SXAlXf0W*l!u_U)yQaA zjgWf59jL{JRO8VmduiUU52Cw!R4|O=EyY3rxyN=0#bzIAC4c;V?PdZ z9*y{*9>v(<9Nd|r9n};Eg;Q9Zc4DQuTc)U%x!IJm?+lA1`_612hP1ZLW}rHsalnAv z=k7g?ur4@943wj5P;~%Gv?BGOfriXPF?;yD7PSmnEkh~?fN&fibIOWnZ8u-U_V(=I z?l%bcfR-Qj(|D6zEqdpB7=CArKNLd?CHDQWY;}*du;0bn%kseT;UJg_m>~-8oj_$q z5Vyz3p{rA0jQRak4BPIPK=)r6}<*Yd#pbd;N^e zcCjHNWrA_yj5Da3VFvz8uIHeycF*7*reKf@JB1O|nlVVzCaP9@4VnRbufe5MILz<#nGl66Z~|KSl{=!qu%6@8NAD34g{vlcpJo5C??(^k>{Nb-R+q}?*!f>Lqq zh}nf@;^9NnHDc3ys4Lvn=*=&(H6_`_8ci7s8vzn%mLd`Ar(q0+$tjq{=KhVz8oOtr zB-|7-bkKmiBh6~rk$l-CRyj90lqr&Pe-9+Db96}t85Z0?^4V-x%rFRd{HQ`ZkE8Jy zdv-6{U>GsIjnVIw4aWk@y6teXh`{~zM?=o{;rw{}>a4QfADm_956(P0t7M~2ZL)|j938GDLMbTkz56<@xXp2-h%+}E=jE-X8o4!GPfwI}3; z0;+7F_}Og6aI(cCi@rZ>lw+NbB!fl&ewn)v^UK6E!9EctBAiU;8aZb8NI9$*Y#Bad zA1=$3tpH`1JgA*G+%Sc{ITay?lOCl(JdI|vs*5S>x(GV?)>|Lv^3_C_0{9Ccxa|inDR%(MD&ztIz+sI$Tzx|?lJ9W;vp0}?r{UWg; zcnczW{D*t#c#7#K`&gnYa3idF<3h&q6UnoN8JMkb2~uKQ48`EOaC(@7o)dv-@kB^YeRn(A^0dl@I_vd z+ga!x((c}Ka4%}XiKwCXU%Qo6>A$$^J((H?CY>1NA*9K#M8_Vu8C#96An(ym=rSE3 z-xG!Fl01#jcoCl;ycpF6jdL42w=ifLiRqc++B9--Yos+M+)2i$DTL3UHPzT-yP8O$ zp|Ez3ZjT5(zY^DI8t@ISMx72K9UHn^nxD#m{}mJg_~($kQ(?zZ>{?9QkACjgzVO?> z@rD28-@L_r6*3(D(J#I5(jWZF-~Z+_nQD0S|NJLk{cr#IFWmmuf0*WY{WHJ*+h6!s zzxPl7@l|EnMJ3yBJZPl-vAz`>PuIRtVzZ#kQAka57P_iEWiHg*3J=w#$B=EBW^rAj z?lT|>=aHDwB#4sm^h)rQVp+0!6vzW_RqQ%u)y?$51xEuM-dy>l%R#X_bZ-7>9199C z;fRupX`sj#o`7;i6APFy+Yo{2V%G{#jopfBPE%Y3#>!q&79Te;Y3t%8`(o26T0?LO zEGpHtyfPDc(I$G?|C$;~5@IXc4Yi0HKCom$z+4ZhK)^4{z6Hysk1R<}@MqVzV@l$K z$YSWah!ZKuUvY8IK{xfXAT!Oq&J+ z@gsW%<4M+x-R;1ZF?@-(b&M1VY|_M-`jj>86~LEcMES6~u7&kYY^_1jE8Gcgt0UrY z)uQ%&MH)bXu`Rk*6FHkeAS=9cZ>^t}?uRG&iyA#!gN@oPe@~TNSH~(ynEC+&Nf_xx z9MGm}7z2~-nGYYj^%t)&K&dN8vYkZ%|DY znA=KG_{1Aye@+zjVO$(0D`c!n)_dz9ZyThhY6L6GYItxN13~0?Kz#oW7Jz9XsuWY& zqfy*fTlN>eFk|*kER;X&?)|p~KVvQ=-%f9_Dx|k%VeoFbwMy4z6~5Y?K|6)h8M8Sb z%#43mO}+l-($s$!Vf%YU8ZqHOz!bSRtJl5~7A2qi{XpV5GTB>@!r^jl+!?sSS7b#h z!&)=YV^v=mlW#kDl_+*ZwUf_q+t2ceAoz(uQa@Tj%=3)~a{7GRa)2q_@y~Y~fA^36q(a(@`e8cuZ=xR%fpC@5%BJB>zfTe+%ncAxZ8*e zjZDixMQQ&Nywv{QBAY<>00p+*ZX7W|a_#dsgJf9ORiefJmID7G@F768D~Llxe%*@L zwL1Z(n93)A%=}t(93-rg4(|wPwwDy5xGk~sdOfVEJ#!q?s=P6s>&;$v)N23zfe;|B z-3)5~_`r$t>la!lW-qL7Y%Fb_IKFXtvwivEiT3*X>iqfHrM1lyo9)@T)z-0RXQs|h zO&q(twz9VV%-XTlrM1h?9y_;o`NZnd+zFM^b8}9-e=(@XW5RuFo4lo2yInEg<+D?O&wbzr)`> zKf?AmW}i8Gr8RFjXfO%ipzR}35NJ%Fncci_Z1dvkEXXpH&MquHdvp|25u+a^YX+eJ~gP&v{q7S^gJ!-fK&4?PWJ^-rYX*><~i;bObB;M(i1J-gC!kYH+RY0 z()!x=_|5s*)zE~LT+v#XSY$*yl zQfe68sBbEpg*CRSI}49&OaB1j2XpCXct4a2e{fs+&k(*Zm;ST7i=VRk72cBzf0*~t zZQ*?SN4BLaoKF`&c!uj-tZRrZ<(_7op70#!h zBb%8PwRwDL{n*sv z==8$a*xcOg=;X-A=;8_EwK=jOq>qgpA3J{Xq!pZBU%S%UXrD0ky$SRE)oLSH1-?UJ z5cKn$UtQlsxVxpbE9)z0jE&a(<&DjyE3MV%-1>%_UBvisbFHPdb8cZ{{o=)zh>ZV= z>0ckuKL_}iH;-*Tw+ZCq$ET+Za!ex0iou>nAin%p3>Y8>YnNA7-Np5#HNkapc5~Bf zIayR4(B0)tRNkVSU32Sm%dPpgTWGa;kQIeDf8IT_etC5PLYg%Vd3kMfcCqD`y)%zsHgo&^41E_vGgv)A6Wh~D4Z2!5KnGkd7Pd!0Xxk@U$<{&w-_ZOw(& z#my57s}~GTqm^kxcoqe`LhFVUfLol!Kv;OhU6e$3Klxsh?CyxWu(Wn|9m+Zn4ufyd z{zKIN6&jSje7oZB=@y@Twp+YY{vT3a^mL(xdHoy%g7IleOM~g=o`d*PfP!EFpO19$ zb39dMv%O%wutIdfn*OWuCmg9B{g;I$Blq$r8F3GP`}k9N<&|8K ztU17+Y@qqsi?j1fZI}gYEUvCULtLZYFuXrxZ0puhh;(3X{qowv<|D3sz9kvvmNw}V zNecUn#01AE>!{-?o*K9JzBhg^N`L-*D>o`nueKK3&TU9|Je{JX*HP?EqgmHla(ELb_9VlGBz?kGBE<_k4%rujGP=D z866!R8yz2=7@ZuQ8l4`U89g~RGB!FkHa0#sF*Z3iH8wpqGj?)(WPEgdYysmbZdnaPt=BU7VO zV^ibs;pEiR)btcgH$5^vIz2W$K0Pr#IXyK!Jv}pha%N;^bY^U3d}ab(otl}RnVC6x z5)e<){7I@lNwJeeZLVM5m~T0gj2aM(C3pBCx3CVCt*y6ZY9T5XTAS^qHBW_Gvj)Nc z*ym~Rf8!~=c@$hpMs3WloulIR>_$5s*^CB!$|8c**9BRmEg$Ol<2}Vwy2$5jtu3TA zoF`smnWg*ko^GtAO*ackh@dEBf#9>05iS1)&%WT}Jr_6D7cS2;5s0d*fOvWKTq`VU zHW1WFnr}&%9-DivP?GqYKQMZHX5#pWJKT|gjv<{qHZpo_Wcs13spn@i``jxp;vGO*EFY;CmG=3B?w&s}V79_hVsd9}S{lb8Pww2sKN diff --git a/temp_wasm/pkg/wasm_splats_bg.wasm.d.ts b/temp_wasm/pkg/wasm_splats_bg.wasm.d.ts deleted file mode 100644 index 291076313f5d..000000000000 --- a/temp_wasm/pkg/wasm_splats_bg.wasm.d.ts +++ /dev/null @@ -1,27 +0,0 @@ -/* tslint:disable */ -/* eslint-disable */ -export const memory: WebAssembly.Memory; -export const __wbg_texturedata_free: (a: number, b: number) => void; -export const texturedata_data: (a: number) => [number, number]; -export const texturedata_width: (a: number) => number; -export const texturedata_height: (a: number) => number; -export const texturedata_new: (a: number, b: number, c: number, d: number) => number; -export const generate_texture_from_attrs: (a: any, b: any, c: any, d: any, e: number) => [number, number, number]; -export const __wbg_gsplatdata_free: (a: number, b: number) => void; -export const gsplatdata_new: (a: number, b: number, c: number, d: number, e: number, f: number, g: number, h: number, i: number, j: number, k: number) => number; -export const gsplatdata_fromFloat32Arrays: (a: any, b: any, c: any, d: any, e: any, f: number) => [number, number, number]; -export const gsplatdata_getPositions: (a: number) => any; -export const gsplatdata_getScales: (a: number) => any; -export const gsplatdata_getRotations: (a: number) => any; -export const gsplatdata_getColors: (a: number) => any; -export const radix_sort_simd: (a: number) => [number, number]; -export const radix_sort_gaussians_attrs: (a: any, b: any, c: any, d: any, e: any, f: number) => [number, number, number]; -export const radix_sort_gaussians_indexes: (a: any, b: any, c: number, d: number) => [number, number, number]; -export const generate_splat_texture_from_attrs: (a: any, b: any, c: any, d: any, e: number) => [number, number, number]; -export const __wbindgen_exn_store: (a: number) => void; -export const __externref_table_alloc: () => number; -export const __wbindgen_export_2: WebAssembly.Table; -export const __wbindgen_free: (a: number, b: number, c: number) => void; -export const __wbindgen_malloc: (a: number, b: number) => number; -export const __externref_table_dealloc: (a: number) => void; -export const __wbindgen_start: () => void;