From 1e4d4291ff2b9f0fb53a8cedb026295fcd953053 Mon Sep 17 00:00:00 2001 From: Oliver Graf Date: Sat, 24 Feb 2024 18:14:44 +0100 Subject: [PATCH] remove sector buffer mapping check for exp port in r6, too #781 --- src/vhdl/mega65r6.vhdl | 4 ---- 1 file changed, 4 deletions(-) diff --git a/src/vhdl/mega65r6.vhdl b/src/vhdl/mega65r6.vhdl index 2539d675f..1c6f4e630 100644 --- a/src/vhdl/mega65r6.vhdl +++ b/src/vhdl/mega65r6.vhdl @@ -356,8 +356,6 @@ architecture Behavioral of container is signal slow_prefetched_data : unsigned(7 downto 0); signal slow_prefetched_request_toggle : std_logic; - signal sector_buffer_mapped : std_logic; - signal pmoda_dummy : std_logic_vector(7 downto 0) := (others => '1'); signal v_vga_hsync : std_logic; @@ -913,7 +911,6 @@ begin reset => iec_reset_drive, cpu_exrom => cpu_exrom, cpu_game => cpu_game, - sector_buffer_mapped => sector_buffer_mapped, irq_out => irq_out, nmi_out => nmi_out, @@ -1042,7 +1039,6 @@ begin irq => irq_combined, nmi => nmi_combined, restore_key => restore_key, - sector_buffer_mapped => sector_buffer_mapped, qspi_clock => qspi_clock, qspicsn => qspicsn,