windows-x64 #935
windows-x64.yml
on: workflow_run
windows-x64-python3
44s
windows-x64-cadical
10s
windows-x64-yosys
24m 46s
windows-x64-libpoly
14s
windows-x64-icestorm
6s
windows-x64-prjtrellis
14s
windows-x64-prjoxide
5s
windows-x64-dfu-util
11s
windows-x64-ecpprog
5s
windows-x64-openfpgaloader
3m 6s
windows-x64-avy
12s
windows-x64-cvc4
15s
windows-x64-yices
2m 23s
windows-x64-z3
23m 2s
windows-x64-mcy
6s
windows-x64-sby
12s
windows-x64-sby-gui
5s
windows-x64-gtkwave
12s
windows-x64-verilator
12s
windows-x64-iverilog
13s
windows-x64-ecpdap
11s
windows-x64-fujprog
4s
windows-x64-iceprogduino
5s
windows-x64-openocd
10s
windows-x64-icesprog
7s
windows-x64-utils
7s
windows-x64-system-resources
9s
windows-x64-nextpnr-generic
14s
windows-x64-nextpnr-ice40
19s
windows-x64-nextpnr-ecp5
28s
windows-x64-nextpnr-machxo2
19s
windows-x64-nextpnr-nexus
11s
windows-x64-nextpnr-gowin
17s
windows-x64-flask
16s
windows-x64-python-programmers
8s
windows-x64-pyhdl
2m 30s
windows-x64-mau
15s
windows-x64-scy
13s
windows-x64-default
3m 31s
Annotations
2 errors
windows-x64-pyhdl
Process completed with exit code 255.
|
windows-x64-yosys
Process completed with exit code 255.
|