diff --git a/.github/workflows/darwin-arm64.yml b/.github/workflows/darwin-arm64.yml index beb3e87..c58faa7 100644 --- a/.github/workflows/darwin-arm64.yml +++ b/.github/workflows/darwin-arm64.yml @@ -1779,6 +1779,80 @@ jobs: tag: bucket-darwin-arm64 artifacts: "darwin-arm64-pyhdl.tgz" token: ${{ secrets.GITHUB_TOKEN }} + darwin-arm64-mau: + runs-on: ubuntu-latest + continue-on-error: true + needs: darwin-arm64-python3 + steps: + - uses: actions/checkout@v3 + with: + repository: 'yosyshq/oss-cad-suite-build' + - name: Cache sources + id: cache-sources + uses: actions/cache@v3 + with: + path: _sources + key: cache-sources-mau + - name: Download previous build + run: | + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-mau.tgz" + if wget --spider "${URL}" 2>/dev/null; then + wget -qO- "${URL}" | tar xvfz - + else + echo "Previous version not found in bucket" + fi + - name: Download darwin-arm64-python3 + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python3.tgz" | tar xvfz - + - name: Build + run: ./builder.py build --arch=darwin-arm64 --target=mau --single --tar + - uses: ncipollo/release-action@v1 + if: hashFiles('darwin-arm64-mau.tgz') != '' + with: + allowUpdates: True + prerelease: True + omitBody: True + omitBodyDuringUpdate: True + omitNameDuringUpdate: True + tag: bucket-darwin-arm64 + artifacts: "darwin-arm64-mau.tgz" + token: ${{ secrets.GITHUB_TOKEN }} + darwin-arm64-scy: + runs-on: ubuntu-latest + continue-on-error: true + needs: darwin-arm64-python3 + steps: + - uses: actions/checkout@v3 + with: + repository: 'yosyshq/oss-cad-suite-build' + - name: Cache sources + id: cache-sources + uses: actions/cache@v3 + with: + path: _sources + key: cache-sources-scy + - name: Download previous build + run: | + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-scy.tgz" + if wget --spider "${URL}" 2>/dev/null; then + wget -qO- "${URL}" | tar xvfz - + else + echo "Previous version not found in bucket" + fi + - name: Download darwin-arm64-python3 + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python3.tgz" | tar xvfz - + - name: Build + run: ./builder.py build --arch=darwin-arm64 --target=scy --single --tar + - uses: ncipollo/release-action@v1 + if: hashFiles('darwin-arm64-scy.tgz') != '' + with: + allowUpdates: True + prerelease: True + omitBody: True + omitBodyDuringUpdate: True + omitNameDuringUpdate: True + tag: bucket-darwin-arm64 + artifacts: "darwin-arm64-scy.tgz" + token: ${{ secrets.GITHUB_TOKEN }} darwin-arm64-system-resources: runs-on: ubuntu-latest continue-on-error: true @@ -1815,7 +1889,7 @@ jobs: token: ${{ secrets.GITHUB_TOKEN }} darwin-arm64-default: runs-on: ubuntu-latest - needs: [ darwin-arm64-aiger, darwin-arm64-apicula, darwin-arm64-avy, darwin-arm64-bitwuzla, darwin-arm64-boolector, darwin-arm64-cvc4, darwin-arm64-cvc5, darwin-arm64-dfu-util, darwin-arm64-ecpdap, darwin-arm64-ecpprog, darwin-arm64-eqy, darwin-arm64-flask, darwin-arm64-fujprog, darwin-arm64-graphviz, darwin-arm64-gtkwave, darwin-arm64-iceprogduino, darwin-arm64-icesprog, darwin-arm64-icestorm, darwin-arm64-iverilog, darwin-arm64-mcy, darwin-arm64-nextpnr-ecp5, darwin-arm64-nextpnr-generic, darwin-arm64-nextpnr-gowin, darwin-arm64-nextpnr-ice40, darwin-arm64-nextpnr-machxo2, darwin-arm64-nextpnr-nexus, darwin-arm64-numpy, darwin-arm64-openfpgaloader, darwin-arm64-openocd, darwin-arm64-pono, darwin-arm64-prjoxide, darwin-arm64-prjtrellis, darwin-arm64-pyhdl, darwin-arm64-python-programmers, darwin-arm64-python3, darwin-arm64-sby, darwin-arm64-sby-gui, darwin-arm64-system-resources, darwin-arm64-utils, darwin-arm64-verilator, darwin-arm64-xdot, darwin-arm64-yices, darwin-arm64-yosys, darwin-arm64-z3 ] + needs: [ darwin-arm64-aiger, darwin-arm64-apicula, darwin-arm64-avy, darwin-arm64-bitwuzla, darwin-arm64-boolector, darwin-arm64-cvc4, darwin-arm64-cvc5, darwin-arm64-dfu-util, darwin-arm64-ecpdap, darwin-arm64-ecpprog, darwin-arm64-eqy, darwin-arm64-flask, darwin-arm64-fujprog, darwin-arm64-graphviz, darwin-arm64-gtkwave, darwin-arm64-iceprogduino, darwin-arm64-icesprog, darwin-arm64-icestorm, darwin-arm64-iverilog, darwin-arm64-mau, darwin-arm64-mcy, darwin-arm64-nextpnr-ecp5, darwin-arm64-nextpnr-generic, darwin-arm64-nextpnr-gowin, darwin-arm64-nextpnr-ice40, darwin-arm64-nextpnr-machxo2, darwin-arm64-nextpnr-nexus, darwin-arm64-numpy, darwin-arm64-openfpgaloader, darwin-arm64-openocd, darwin-arm64-pono, darwin-arm64-prjoxide, darwin-arm64-prjtrellis, darwin-arm64-pyhdl, darwin-arm64-python-programmers, darwin-arm64-python3, darwin-arm64-sby, darwin-arm64-sby-gui, darwin-arm64-scy, darwin-arm64-system-resources, darwin-arm64-utils, darwin-arm64-verilator, darwin-arm64-xdot, darwin-arm64-yices, darwin-arm64-yosys, darwin-arm64-z3 ] steps: - name: Get current date id: date @@ -1861,6 +1935,8 @@ jobs: run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-icestorm.tgz" | tar xvfz - - name: Download darwin-arm64-iverilog run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-iverilog.tgz" | tar xvfz - + - name: Download darwin-arm64-mau + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-mau.tgz" | tar xvfz - - name: Download darwin-arm64-mcy run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-mcy.tgz" | tar xvfz - - name: Download darwin-arm64-nextpnr-ecp5 @@ -1897,6 +1973,8 @@ jobs: run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-sby.tgz" | tar xvfz - - name: Download darwin-arm64-sby-gui run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-sby-gui.tgz" | tar xvfz - + - name: Download darwin-arm64-scy + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-scy.tgz" | tar xvfz - - name: Download darwin-arm64-system-resources run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-system-resources.tgz" | tar xvfz - - name: Download darwin-arm64-utils diff --git a/.github/workflows/darwin-x64.yml b/.github/workflows/darwin-x64.yml index 7a76fd8..d5469c6 100644 --- a/.github/workflows/darwin-x64.yml +++ b/.github/workflows/darwin-x64.yml @@ -1889,6 +1889,80 @@ jobs: tag: bucket-darwin-x64 artifacts: "darwin-x64-cocotb.tgz" token: ${{ secrets.GITHUB_TOKEN }} + darwin-x64-mau: + runs-on: ubuntu-latest + continue-on-error: true + needs: darwin-x64-python3 + steps: + - uses: actions/checkout@v3 + with: + repository: 'yosyshq/oss-cad-suite-build' + - name: Cache sources + id: cache-sources + uses: actions/cache@v3 + with: + path: _sources + key: cache-sources-mau + - name: Download previous build + run: | + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-mau.tgz" + if wget --spider "${URL}" 2>/dev/null; then + wget -qO- "${URL}" | tar xvfz - + else + echo "Previous version not found in bucket" + fi + - name: Download darwin-x64-python3 + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" | tar xvfz - + - name: Build + run: ./builder.py build --arch=darwin-x64 --target=mau --single --tar + - uses: ncipollo/release-action@v1 + if: hashFiles('darwin-x64-mau.tgz') != '' + with: + allowUpdates: True + prerelease: True + omitBody: True + omitBodyDuringUpdate: True + omitNameDuringUpdate: True + tag: bucket-darwin-x64 + artifacts: "darwin-x64-mau.tgz" + token: ${{ secrets.GITHUB_TOKEN }} + darwin-x64-scy: + runs-on: ubuntu-latest + continue-on-error: true + needs: darwin-x64-python3 + steps: + - uses: actions/checkout@v3 + with: + repository: 'yosyshq/oss-cad-suite-build' + - name: Cache sources + id: cache-sources + uses: actions/cache@v3 + with: + path: _sources + key: cache-sources-scy + - name: Download previous build + run: | + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-scy.tgz" + if wget --spider "${URL}" 2>/dev/null; then + wget -qO- "${URL}" | tar xvfz - + else + echo "Previous version not found in bucket" + fi + - name: Download darwin-x64-python3 + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" | tar xvfz - + - name: Build + run: ./builder.py build --arch=darwin-x64 --target=scy --single --tar + - uses: ncipollo/release-action@v1 + if: hashFiles('darwin-x64-scy.tgz') != '' + with: + allowUpdates: True + prerelease: True + omitBody: True + omitBodyDuringUpdate: True + omitNameDuringUpdate: True + tag: bucket-darwin-x64 + artifacts: "darwin-x64-scy.tgz" + token: ${{ secrets.GITHUB_TOKEN }} darwin-x64-system-resources: runs-on: ubuntu-latest continue-on-error: true @@ -1925,7 +1999,7 @@ jobs: token: ${{ secrets.GITHUB_TOKEN }} darwin-x64-default: runs-on: ubuntu-latest - needs: [ darwin-x64-aiger, darwin-x64-apicula, darwin-x64-avy, darwin-x64-bitwuzla, darwin-x64-boolector, darwin-x64-cocotb, darwin-x64-cvc4, darwin-x64-cvc5, darwin-x64-dfu-util, darwin-x64-ecpdap, darwin-x64-ecpprog, darwin-x64-eqy, darwin-x64-flask, darwin-x64-fujprog, darwin-x64-ghdl, darwin-x64-ghdl-yosys-plugin, darwin-x64-graphviz, darwin-x64-gtkwave, darwin-x64-iceprogduino, darwin-x64-icesprog, darwin-x64-icestorm, darwin-x64-iverilog, darwin-x64-mcy, darwin-x64-nextpnr-ecp5, darwin-x64-nextpnr-generic, darwin-x64-nextpnr-gowin, darwin-x64-nextpnr-ice40, darwin-x64-nextpnr-machxo2, darwin-x64-nextpnr-nexus, darwin-x64-numpy, darwin-x64-openfpgaloader, darwin-x64-openocd, darwin-x64-pono, darwin-x64-prjoxide, darwin-x64-prjtrellis, darwin-x64-pyhdl, darwin-x64-python-programmers, darwin-x64-python3, darwin-x64-sby, darwin-x64-sby-gui, darwin-x64-system-resources, darwin-x64-utils, darwin-x64-verilator, darwin-x64-xdot, darwin-x64-yices, darwin-x64-yosys, darwin-x64-z3 ] + needs: [ darwin-x64-aiger, darwin-x64-apicula, darwin-x64-avy, darwin-x64-bitwuzla, darwin-x64-boolector, darwin-x64-cocotb, darwin-x64-cvc4, darwin-x64-cvc5, darwin-x64-dfu-util, darwin-x64-ecpdap, darwin-x64-ecpprog, darwin-x64-eqy, darwin-x64-flask, darwin-x64-fujprog, darwin-x64-ghdl, darwin-x64-ghdl-yosys-plugin, darwin-x64-graphviz, darwin-x64-gtkwave, darwin-x64-iceprogduino, darwin-x64-icesprog, darwin-x64-icestorm, darwin-x64-iverilog, darwin-x64-mau, darwin-x64-mcy, darwin-x64-nextpnr-ecp5, darwin-x64-nextpnr-generic, darwin-x64-nextpnr-gowin, darwin-x64-nextpnr-ice40, darwin-x64-nextpnr-machxo2, darwin-x64-nextpnr-nexus, darwin-x64-numpy, darwin-x64-openfpgaloader, darwin-x64-openocd, darwin-x64-pono, darwin-x64-prjoxide, darwin-x64-prjtrellis, darwin-x64-pyhdl, darwin-x64-python-programmers, darwin-x64-python3, darwin-x64-sby, darwin-x64-sby-gui, darwin-x64-scy, darwin-x64-system-resources, darwin-x64-utils, darwin-x64-verilator, darwin-x64-xdot, darwin-x64-yices, darwin-x64-yosys, darwin-x64-z3 ] steps: - name: Get current date id: date @@ -1977,6 +2051,8 @@ jobs: run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-icestorm.tgz" | tar xvfz - - name: Download darwin-x64-iverilog run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-iverilog.tgz" | tar xvfz - + - name: Download darwin-x64-mau + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-mau.tgz" | tar xvfz - - name: Download darwin-x64-mcy run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-mcy.tgz" | tar xvfz - - name: Download darwin-x64-nextpnr-ecp5 @@ -2013,6 +2089,8 @@ jobs: run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-sby.tgz" | tar xvfz - - name: Download darwin-x64-sby-gui run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-sby-gui.tgz" | tar xvfz - + - name: Download darwin-x64-scy + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-scy.tgz" | tar xvfz - - name: Download darwin-x64-system-resources run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-system-resources.tgz" | tar xvfz - - name: Download darwin-x64-utils diff --git a/.github/workflows/linux-arm.yml b/.github/workflows/linux-arm.yml index c4d23b0..635f825 100644 --- a/.github/workflows/linux-arm.yml +++ b/.github/workflows/linux-arm.yml @@ -1887,6 +1887,80 @@ jobs: tag: bucket-linux-arm artifacts: "linux-arm-cocotb.tgz" token: ${{ secrets.GITHUB_TOKEN }} + linux-arm-mau: + runs-on: ubuntu-latest + continue-on-error: true + needs: linux-arm-python3 + steps: + - uses: actions/checkout@v3 + with: + repository: 'yosyshq/oss-cad-suite-build' + - name: Cache sources + id: cache-sources + uses: actions/cache@v3 + with: + path: _sources + key: cache-sources-mau + - name: Download previous build + run: | + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm/linux-arm-mau.tgz" + if wget --spider "${URL}" 2>/dev/null; then + wget -qO- "${URL}" | tar xvfz - + else + echo "Previous version not found in bucket" + fi + - name: Download linux-arm-python3 + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm/linux-arm-python3.tgz" | tar xvfz - + - name: Build + run: ./builder.py build --arch=linux-arm --target=mau --single --tar + - uses: ncipollo/release-action@v1 + if: hashFiles('linux-arm-mau.tgz') != '' + with: + allowUpdates: True + prerelease: True + omitBody: True + omitBodyDuringUpdate: True + omitNameDuringUpdate: True + tag: bucket-linux-arm + artifacts: "linux-arm-mau.tgz" + token: ${{ secrets.GITHUB_TOKEN }} + linux-arm-scy: + runs-on: ubuntu-latest + continue-on-error: true + needs: linux-arm-python3 + steps: + - uses: actions/checkout@v3 + with: + repository: 'yosyshq/oss-cad-suite-build' + - name: Cache sources + id: cache-sources + uses: actions/cache@v3 + with: + path: _sources + key: cache-sources-scy + - name: Download previous build + run: | + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm/linux-arm-scy.tgz" + if wget --spider "${URL}" 2>/dev/null; then + wget -qO- "${URL}" | tar xvfz - + else + echo "Previous version not found in bucket" + fi + - name: Download linux-arm-python3 + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm/linux-arm-python3.tgz" | tar xvfz - + - name: Build + run: ./builder.py build --arch=linux-arm --target=scy --single --tar + - uses: ncipollo/release-action@v1 + if: hashFiles('linux-arm-scy.tgz') != '' + with: + allowUpdates: True + prerelease: True + omitBody: True + omitBodyDuringUpdate: True + omitNameDuringUpdate: True + tag: bucket-linux-arm + artifacts: "linux-arm-scy.tgz" + token: ${{ secrets.GITHUB_TOKEN }} linux-arm-system-resources: runs-on: ubuntu-latest continue-on-error: true @@ -1923,7 +1997,7 @@ jobs: token: ${{ secrets.GITHUB_TOKEN }} linux-arm-default: runs-on: ubuntu-latest - needs: [ linux-arm-aiger, linux-arm-apicula, linux-arm-avy, linux-arm-bitwuzla, linux-arm-boolector, linux-arm-cocotb, linux-arm-cvc4, linux-arm-cvc5, linux-arm-dfu-util, linux-arm-ecpdap, linux-arm-ecpprog, linux-arm-eqy, linux-arm-flask, linux-arm-fujprog, linux-arm-graphviz, linux-arm-gtkwave, linux-arm-iceprogduino, linux-arm-icesprog, linux-arm-icestorm, linux-arm-iverilog, linux-arm-mcy, linux-arm-nextpnr-ecp5, linux-arm-nextpnr-generic, linux-arm-nextpnr-gowin, linux-arm-nextpnr-ice40, linux-arm-nextpnr-machxo2, linux-arm-nextpnr-nexus, linux-arm-numpy, linux-arm-openfpgaloader, linux-arm-openocd, linux-arm-pono, linux-arm-prjoxide, linux-arm-prjtrellis, linux-arm-pyhdl, linux-arm-python-programmers, linux-arm-python2, linux-arm-python3, linux-arm-sby, linux-arm-sby-gui, linux-arm-suprove, linux-arm-system-resources, linux-arm-utils, linux-arm-verilator, linux-arm-xdot, linux-arm-yices, linux-arm-yosys, linux-arm-z3 ] + needs: [ linux-arm-aiger, linux-arm-apicula, linux-arm-avy, linux-arm-bitwuzla, linux-arm-boolector, linux-arm-cocotb, linux-arm-cvc4, linux-arm-cvc5, linux-arm-dfu-util, linux-arm-ecpdap, linux-arm-ecpprog, linux-arm-eqy, linux-arm-flask, linux-arm-fujprog, linux-arm-graphviz, linux-arm-gtkwave, linux-arm-iceprogduino, linux-arm-icesprog, linux-arm-icestorm, linux-arm-iverilog, linux-arm-mau, linux-arm-mcy, linux-arm-nextpnr-ecp5, linux-arm-nextpnr-generic, linux-arm-nextpnr-gowin, linux-arm-nextpnr-ice40, linux-arm-nextpnr-machxo2, linux-arm-nextpnr-nexus, linux-arm-numpy, linux-arm-openfpgaloader, linux-arm-openocd, linux-arm-pono, linux-arm-prjoxide, linux-arm-prjtrellis, linux-arm-pyhdl, linux-arm-python-programmers, linux-arm-python2, linux-arm-python3, linux-arm-sby, linux-arm-sby-gui, linux-arm-scy, linux-arm-suprove, linux-arm-system-resources, linux-arm-utils, linux-arm-verilator, linux-arm-xdot, linux-arm-yices, linux-arm-yosys, linux-arm-z3 ] steps: - name: Get current date id: date @@ -1971,6 +2045,8 @@ jobs: run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm/linux-arm-icestorm.tgz" | tar xvfz - - name: Download linux-arm-iverilog run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm/linux-arm-iverilog.tgz" | tar xvfz - + - name: Download linux-arm-mau + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm/linux-arm-mau.tgz" | tar xvfz - - name: Download linux-arm-mcy run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm/linux-arm-mcy.tgz" | tar xvfz - - name: Download linux-arm-nextpnr-ecp5 @@ -2009,6 +2085,8 @@ jobs: run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm/linux-arm-sby.tgz" | tar xvfz - - name: Download linux-arm-sby-gui run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm/linux-arm-sby-gui.tgz" | tar xvfz - + - name: Download linux-arm-scy + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm/linux-arm-scy.tgz" | tar xvfz - - name: Download linux-arm-suprove run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm/linux-arm-suprove.tgz" | tar xvfz - - name: Download linux-arm-system-resources diff --git a/.github/workflows/linux-arm64.yml b/.github/workflows/linux-arm64.yml index a23bc86..9f2c06d 100644 --- a/.github/workflows/linux-arm64.yml +++ b/.github/workflows/linux-arm64.yml @@ -1887,6 +1887,80 @@ jobs: tag: bucket-linux-arm64 artifacts: "linux-arm64-cocotb.tgz" token: ${{ secrets.GITHUB_TOKEN }} + linux-arm64-mau: + runs-on: ubuntu-latest + continue-on-error: true + needs: linux-arm64-python3 + steps: + - uses: actions/checkout@v3 + with: + repository: 'yosyshq/oss-cad-suite-build' + - name: Cache sources + id: cache-sources + uses: actions/cache@v3 + with: + path: _sources + key: cache-sources-mau + - name: Download previous build + run: | + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-mau.tgz" + if wget --spider "${URL}" 2>/dev/null; then + wget -qO- "${URL}" | tar xvfz - + else + echo "Previous version not found in bucket" + fi + - name: Download linux-arm64-python3 + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" | tar xvfz - + - name: Build + run: ./builder.py build --arch=linux-arm64 --target=mau --single --tar + - uses: ncipollo/release-action@v1 + if: hashFiles('linux-arm64-mau.tgz') != '' + with: + allowUpdates: True + prerelease: True + omitBody: True + omitBodyDuringUpdate: True + omitNameDuringUpdate: True + tag: bucket-linux-arm64 + artifacts: "linux-arm64-mau.tgz" + token: ${{ secrets.GITHUB_TOKEN }} + linux-arm64-scy: + runs-on: ubuntu-latest + continue-on-error: true + needs: linux-arm64-python3 + steps: + - uses: actions/checkout@v3 + with: + repository: 'yosyshq/oss-cad-suite-build' + - name: Cache sources + id: cache-sources + uses: actions/cache@v3 + with: + path: _sources + key: cache-sources-scy + - name: Download previous build + run: | + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-scy.tgz" + if wget --spider "${URL}" 2>/dev/null; then + wget -qO- "${URL}" | tar xvfz - + else + echo "Previous version not found in bucket" + fi + - name: Download linux-arm64-python3 + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" | tar xvfz - + - name: Build + run: ./builder.py build --arch=linux-arm64 --target=scy --single --tar + - uses: ncipollo/release-action@v1 + if: hashFiles('linux-arm64-scy.tgz') != '' + with: + allowUpdates: True + prerelease: True + omitBody: True + omitBodyDuringUpdate: True + omitNameDuringUpdate: True + tag: bucket-linux-arm64 + artifacts: "linux-arm64-scy.tgz" + token: ${{ secrets.GITHUB_TOKEN }} linux-arm64-system-resources: runs-on: ubuntu-latest continue-on-error: true @@ -1923,7 +1997,7 @@ jobs: token: ${{ secrets.GITHUB_TOKEN }} linux-arm64-default: runs-on: ubuntu-latest - needs: [ linux-arm64-aiger, linux-arm64-apicula, linux-arm64-avy, linux-arm64-bitwuzla, linux-arm64-boolector, linux-arm64-cocotb, linux-arm64-cvc4, linux-arm64-cvc5, linux-arm64-dfu-util, linux-arm64-ecpdap, linux-arm64-ecpprog, linux-arm64-eqy, linux-arm64-flask, linux-arm64-fujprog, linux-arm64-graphviz, linux-arm64-gtkwave, linux-arm64-iceprogduino, linux-arm64-icesprog, linux-arm64-icestorm, linux-arm64-iverilog, linux-arm64-mcy, linux-arm64-nextpnr-ecp5, linux-arm64-nextpnr-generic, linux-arm64-nextpnr-gowin, linux-arm64-nextpnr-ice40, linux-arm64-nextpnr-machxo2, linux-arm64-nextpnr-nexus, linux-arm64-numpy, linux-arm64-openfpgaloader, linux-arm64-openocd, linux-arm64-pono, linux-arm64-prjoxide, linux-arm64-prjtrellis, linux-arm64-pyhdl, linux-arm64-python-programmers, linux-arm64-python2, linux-arm64-python3, linux-arm64-sby, linux-arm64-sby-gui, linux-arm64-suprove, linux-arm64-system-resources, linux-arm64-utils, linux-arm64-verilator, linux-arm64-xdot, linux-arm64-yices, linux-arm64-yosys, linux-arm64-z3 ] + needs: [ linux-arm64-aiger, linux-arm64-apicula, linux-arm64-avy, linux-arm64-bitwuzla, linux-arm64-boolector, linux-arm64-cocotb, linux-arm64-cvc4, linux-arm64-cvc5, linux-arm64-dfu-util, linux-arm64-ecpdap, linux-arm64-ecpprog, linux-arm64-eqy, linux-arm64-flask, linux-arm64-fujprog, linux-arm64-graphviz, linux-arm64-gtkwave, linux-arm64-iceprogduino, linux-arm64-icesprog, linux-arm64-icestorm, linux-arm64-iverilog, linux-arm64-mau, linux-arm64-mcy, linux-arm64-nextpnr-ecp5, linux-arm64-nextpnr-generic, linux-arm64-nextpnr-gowin, linux-arm64-nextpnr-ice40, linux-arm64-nextpnr-machxo2, linux-arm64-nextpnr-nexus, linux-arm64-numpy, linux-arm64-openfpgaloader, linux-arm64-openocd, linux-arm64-pono, linux-arm64-prjoxide, linux-arm64-prjtrellis, linux-arm64-pyhdl, linux-arm64-python-programmers, linux-arm64-python2, linux-arm64-python3, linux-arm64-sby, linux-arm64-sby-gui, linux-arm64-scy, linux-arm64-suprove, linux-arm64-system-resources, linux-arm64-utils, linux-arm64-verilator, linux-arm64-xdot, linux-arm64-yices, linux-arm64-yosys, linux-arm64-z3 ] steps: - name: Get current date id: date @@ -1971,6 +2045,8 @@ jobs: run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-icestorm.tgz" | tar xvfz - - name: Download linux-arm64-iverilog run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-iverilog.tgz" | tar xvfz - + - name: Download linux-arm64-mau + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-mau.tgz" | tar xvfz - - name: Download linux-arm64-mcy run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-mcy.tgz" | tar xvfz - - name: Download linux-arm64-nextpnr-ecp5 @@ -2009,6 +2085,8 @@ jobs: run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-sby.tgz" | tar xvfz - - name: Download linux-arm64-sby-gui run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-sby-gui.tgz" | tar xvfz - + - name: Download linux-arm64-scy + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-scy.tgz" | tar xvfz - - name: Download linux-arm64-suprove run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-suprove.tgz" | tar xvfz - - name: Download linux-arm64-system-resources diff --git a/.github/workflows/linux-riscv64.yml b/.github/workflows/linux-riscv64.yml index d33888e..cc9ed8e 100644 --- a/.github/workflows/linux-riscv64.yml +++ b/.github/workflows/linux-riscv64.yml @@ -1887,6 +1887,80 @@ jobs: tag: bucket-linux-riscv64 artifacts: "linux-riscv64-cocotb.tgz" token: ${{ secrets.GITHUB_TOKEN }} + linux-riscv64-mau: + runs-on: ubuntu-latest + continue-on-error: true + needs: linux-riscv64-python3 + steps: + - uses: actions/checkout@v3 + with: + repository: 'yosyshq/oss-cad-suite-build' + - name: Cache sources + id: cache-sources + uses: actions/cache@v3 + with: + path: _sources + key: cache-sources-mau + - name: Download previous build + run: | + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-riscv64/linux-riscv64-mau.tgz" + if wget --spider "${URL}" 2>/dev/null; then + wget -qO- "${URL}" | tar xvfz - + else + echo "Previous version not found in bucket" + fi + - name: Download linux-riscv64-python3 + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-riscv64/linux-riscv64-python3.tgz" | tar xvfz - + - name: Build + run: ./builder.py build --arch=linux-riscv64 --target=mau --single --tar + - uses: ncipollo/release-action@v1 + if: hashFiles('linux-riscv64-mau.tgz') != '' + with: + allowUpdates: True + prerelease: True + omitBody: True + omitBodyDuringUpdate: True + omitNameDuringUpdate: True + tag: bucket-linux-riscv64 + artifacts: "linux-riscv64-mau.tgz" + token: ${{ secrets.GITHUB_TOKEN }} + linux-riscv64-scy: + runs-on: ubuntu-latest + continue-on-error: true + needs: linux-riscv64-python3 + steps: + - uses: actions/checkout@v3 + with: + repository: 'yosyshq/oss-cad-suite-build' + - name: Cache sources + id: cache-sources + uses: actions/cache@v3 + with: + path: _sources + key: cache-sources-scy + - name: Download previous build + run: | + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-riscv64/linux-riscv64-scy.tgz" + if wget --spider "${URL}" 2>/dev/null; then + wget -qO- "${URL}" | tar xvfz - + else + echo "Previous version not found in bucket" + fi + - name: Download linux-riscv64-python3 + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-riscv64/linux-riscv64-python3.tgz" | tar xvfz - + - name: Build + run: ./builder.py build --arch=linux-riscv64 --target=scy --single --tar + - uses: ncipollo/release-action@v1 + if: hashFiles('linux-riscv64-scy.tgz') != '' + with: + allowUpdates: True + prerelease: True + omitBody: True + omitBodyDuringUpdate: True + omitNameDuringUpdate: True + tag: bucket-linux-riscv64 + artifacts: "linux-riscv64-scy.tgz" + token: ${{ secrets.GITHUB_TOKEN }} linux-riscv64-system-resources: runs-on: ubuntu-latest continue-on-error: true @@ -1923,7 +1997,7 @@ jobs: token: ${{ secrets.GITHUB_TOKEN }} linux-riscv64-default: runs-on: ubuntu-latest - needs: [ linux-riscv64-aiger, linux-riscv64-apicula, linux-riscv64-avy, linux-riscv64-bitwuzla, linux-riscv64-boolector, linux-riscv64-cocotb, linux-riscv64-cvc4, linux-riscv64-cvc5, linux-riscv64-dfu-util, linux-riscv64-ecpdap, linux-riscv64-ecpprog, linux-riscv64-eqy, linux-riscv64-flask, linux-riscv64-fujprog, linux-riscv64-graphviz, linux-riscv64-gtkwave, linux-riscv64-iceprogduino, linux-riscv64-icesprog, linux-riscv64-icestorm, linux-riscv64-iverilog, linux-riscv64-mcy, linux-riscv64-nextpnr-ecp5, linux-riscv64-nextpnr-generic, linux-riscv64-nextpnr-gowin, linux-riscv64-nextpnr-ice40, linux-riscv64-nextpnr-machxo2, linux-riscv64-nextpnr-nexus, linux-riscv64-numpy, linux-riscv64-openfpgaloader, linux-riscv64-openocd, linux-riscv64-pono, linux-riscv64-prjoxide, linux-riscv64-prjtrellis, linux-riscv64-pyhdl, linux-riscv64-python-programmers, linux-riscv64-python2, linux-riscv64-python3, linux-riscv64-sby, linux-riscv64-sby-gui, linux-riscv64-suprove, linux-riscv64-system-resources, linux-riscv64-utils, linux-riscv64-verilator, linux-riscv64-xdot, linux-riscv64-yices, linux-riscv64-yosys, linux-riscv64-z3 ] + needs: [ linux-riscv64-aiger, linux-riscv64-apicula, linux-riscv64-avy, linux-riscv64-bitwuzla, linux-riscv64-boolector, linux-riscv64-cocotb, linux-riscv64-cvc4, linux-riscv64-cvc5, linux-riscv64-dfu-util, linux-riscv64-ecpdap, linux-riscv64-ecpprog, linux-riscv64-eqy, linux-riscv64-flask, linux-riscv64-fujprog, linux-riscv64-graphviz, linux-riscv64-gtkwave, linux-riscv64-iceprogduino, linux-riscv64-icesprog, linux-riscv64-icestorm, linux-riscv64-iverilog, linux-riscv64-mau, linux-riscv64-mcy, linux-riscv64-nextpnr-ecp5, linux-riscv64-nextpnr-generic, linux-riscv64-nextpnr-gowin, linux-riscv64-nextpnr-ice40, linux-riscv64-nextpnr-machxo2, linux-riscv64-nextpnr-nexus, linux-riscv64-numpy, linux-riscv64-openfpgaloader, linux-riscv64-openocd, linux-riscv64-pono, linux-riscv64-prjoxide, linux-riscv64-prjtrellis, linux-riscv64-pyhdl, linux-riscv64-python-programmers, linux-riscv64-python2, linux-riscv64-python3, linux-riscv64-sby, linux-riscv64-sby-gui, linux-riscv64-scy, linux-riscv64-suprove, linux-riscv64-system-resources, linux-riscv64-utils, linux-riscv64-verilator, linux-riscv64-xdot, linux-riscv64-yices, linux-riscv64-yosys, linux-riscv64-z3 ] steps: - name: Get current date id: date @@ -1971,6 +2045,8 @@ jobs: run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-riscv64/linux-riscv64-icestorm.tgz" | tar xvfz - - name: Download linux-riscv64-iverilog run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-riscv64/linux-riscv64-iverilog.tgz" | tar xvfz - + - name: Download linux-riscv64-mau + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-riscv64/linux-riscv64-mau.tgz" | tar xvfz - - name: Download linux-riscv64-mcy run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-riscv64/linux-riscv64-mcy.tgz" | tar xvfz - - name: Download linux-riscv64-nextpnr-ecp5 @@ -2009,6 +2085,8 @@ jobs: run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-riscv64/linux-riscv64-sby.tgz" | tar xvfz - - name: Download linux-riscv64-sby-gui run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-riscv64/linux-riscv64-sby-gui.tgz" | tar xvfz - + - name: Download linux-riscv64-scy + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-riscv64/linux-riscv64-scy.tgz" | tar xvfz - - name: Download linux-riscv64-suprove run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-riscv64/linux-riscv64-suprove.tgz" | tar xvfz - - name: Download linux-riscv64-system-resources diff --git a/.github/workflows/linux-x64.yml b/.github/workflows/linux-x64.yml index d6eb8f3..e0ad006 100644 --- a/.github/workflows/linux-x64.yml +++ b/.github/workflows/linux-x64.yml @@ -2244,6 +2244,80 @@ jobs: tag: bucket-linux-x64 artifacts: "linux-x64-cocotb.tgz" token: ${{ secrets.GITHUB_TOKEN }} + linux-x64-mau: + runs-on: ubuntu-latest + continue-on-error: true + needs: linux-x64-python3 + steps: + - uses: actions/checkout@v3 + with: + repository: 'yosyshq/oss-cad-suite-build' + - name: Cache sources + id: cache-sources + uses: actions/cache@v3 + with: + path: _sources + key: cache-sources-mau + - name: Download previous build + run: | + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-mau.tgz" + if wget --spider "${URL}" 2>/dev/null; then + wget -qO- "${URL}" | tar xvfz - + else + echo "Previous version not found in bucket" + fi + - name: Download linux-x64-python3 + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3.tgz" | tar xvfz - + - name: Build + run: ./builder.py build --arch=linux-x64 --target=mau --single --tar + - uses: ncipollo/release-action@v1 + if: hashFiles('linux-x64-mau.tgz') != '' + with: + allowUpdates: True + prerelease: True + omitBody: True + omitBodyDuringUpdate: True + omitNameDuringUpdate: True + tag: bucket-linux-x64 + artifacts: "linux-x64-mau.tgz" + token: ${{ secrets.GITHUB_TOKEN }} + linux-x64-scy: + runs-on: ubuntu-latest + continue-on-error: true + needs: linux-x64-python3 + steps: + - uses: actions/checkout@v3 + with: + repository: 'yosyshq/oss-cad-suite-build' + - name: Cache sources + id: cache-sources + uses: actions/cache@v3 + with: + path: _sources + key: cache-sources-scy + - name: Download previous build + run: | + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-scy.tgz" + if wget --spider "${URL}" 2>/dev/null; then + wget -qO- "${URL}" | tar xvfz - + else + echo "Previous version not found in bucket" + fi + - name: Download linux-x64-python3 + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3.tgz" | tar xvfz - + - name: Build + run: ./builder.py build --arch=linux-x64 --target=scy --single --tar + - uses: ncipollo/release-action@v1 + if: hashFiles('linux-x64-scy.tgz') != '' + with: + allowUpdates: True + prerelease: True + omitBody: True + omitBodyDuringUpdate: True + omitNameDuringUpdate: True + tag: bucket-linux-x64 + artifacts: "linux-x64-scy.tgz" + token: ${{ secrets.GITHUB_TOKEN }} linux-x64-system-resources: runs-on: ubuntu-latest continue-on-error: true @@ -2280,7 +2354,7 @@ jobs: token: ${{ secrets.GITHUB_TOKEN }} linux-x64-default: runs-on: ubuntu-latest - needs: [ linux-x64-aiger, linux-x64-apicula, linux-x64-avy, linux-x64-bitwuzla, linux-x64-boolector, linux-x64-cocotb, linux-x64-cvc4, linux-x64-cvc5, linux-x64-dfu-util, linux-x64-ecpdap, linux-x64-ecpprog, linux-x64-eqy, linux-x64-flask, linux-x64-fujprog, linux-x64-ghdl, linux-x64-ghdl-yosys-plugin, linux-x64-graphviz, linux-x64-gtkwave, linux-x64-iceprogduino, linux-x64-icesprog, linux-x64-icestorm, linux-x64-iverilog, linux-x64-mcy, linux-x64-nextpnr-ecp5, linux-x64-nextpnr-generic, linux-x64-nextpnr-gowin, linux-x64-nextpnr-ice40, linux-x64-nextpnr-machxo2, linux-x64-nextpnr-nexus, linux-x64-numpy, linux-x64-openfpgaloader, linux-x64-openocd, linux-x64-pono, linux-x64-prjoxide, linux-x64-prjtrellis, linux-x64-pyhdl, linux-x64-python-programmers, linux-x64-python2, linux-x64-python3, linux-x64-sby, linux-x64-sby-gui, linux-x64-suprove, linux-x64-system-resources, linux-x64-utils, linux-x64-verilator, linux-x64-xdot, linux-x64-yices, linux-x64-yosys, linux-x64-z3 ] + needs: [ linux-x64-aiger, linux-x64-apicula, linux-x64-avy, linux-x64-bitwuzla, linux-x64-boolector, linux-x64-cocotb, linux-x64-cvc4, linux-x64-cvc5, linux-x64-dfu-util, linux-x64-ecpdap, linux-x64-ecpprog, linux-x64-eqy, linux-x64-flask, linux-x64-fujprog, linux-x64-ghdl, linux-x64-ghdl-yosys-plugin, linux-x64-graphviz, linux-x64-gtkwave, linux-x64-iceprogduino, linux-x64-icesprog, linux-x64-icestorm, linux-x64-iverilog, linux-x64-mau, linux-x64-mcy, linux-x64-nextpnr-ecp5, linux-x64-nextpnr-generic, linux-x64-nextpnr-gowin, linux-x64-nextpnr-ice40, linux-x64-nextpnr-machxo2, linux-x64-nextpnr-nexus, linux-x64-numpy, linux-x64-openfpgaloader, linux-x64-openocd, linux-x64-pono, linux-x64-prjoxide, linux-x64-prjtrellis, linux-x64-pyhdl, linux-x64-python-programmers, linux-x64-python2, linux-x64-python3, linux-x64-sby, linux-x64-sby-gui, linux-x64-scy, linux-x64-suprove, linux-x64-system-resources, linux-x64-utils, linux-x64-verilator, linux-x64-xdot, linux-x64-yices, linux-x64-yosys, linux-x64-z3 ] steps: - name: Get current date id: date @@ -2332,6 +2406,8 @@ jobs: run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-icestorm.tgz" | tar xvfz - - name: Download linux-x64-iverilog run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-iverilog.tgz" | tar xvfz - + - name: Download linux-x64-mau + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-mau.tgz" | tar xvfz - - name: Download linux-x64-mcy run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-mcy.tgz" | tar xvfz - - name: Download linux-x64-nextpnr-ecp5 @@ -2370,6 +2446,8 @@ jobs: run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-sby.tgz" | tar xvfz - - name: Download linux-x64-sby-gui run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-sby-gui.tgz" | tar xvfz - + - name: Download linux-x64-scy + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-scy.tgz" | tar xvfz - - name: Download linux-x64-suprove run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-suprove.tgz" | tar xvfz - - name: Download linux-x64-system-resources diff --git a/.github/workflows/windows-x64.yml b/.github/workflows/windows-x64.yml index 52e55c3..46b4695 100644 --- a/.github/workflows/windows-x64.yml +++ b/.github/workflows/windows-x64.yml @@ -1637,6 +1637,80 @@ jobs: tag: bucket-windows-x64 artifacts: "windows-x64-pyhdl.tgz" token: ${{ secrets.GITHUB_TOKEN }} + windows-x64-mau: + runs-on: ubuntu-latest + continue-on-error: true + needs: windows-x64-python3 + steps: + - uses: actions/checkout@v3 + with: + repository: 'yosyshq/oss-cad-suite-build' + - name: Cache sources + id: cache-sources + uses: actions/cache@v3 + with: + path: _sources + key: cache-sources-mau + - name: Download previous build + run: | + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-mau.tgz" + if wget --spider "${URL}" 2>/dev/null; then + wget -qO- "${URL}" | tar xvfz - + else + echo "Previous version not found in bucket" + fi + - name: Download windows-x64-python3 + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" | tar xvfz - + - name: Build + run: ./builder.py build --arch=windows-x64 --target=mau --single --tar + - uses: ncipollo/release-action@v1 + if: hashFiles('windows-x64-mau.tgz') != '' + with: + allowUpdates: True + prerelease: True + omitBody: True + omitBodyDuringUpdate: True + omitNameDuringUpdate: True + tag: bucket-windows-x64 + artifacts: "windows-x64-mau.tgz" + token: ${{ secrets.GITHUB_TOKEN }} + windows-x64-scy: + runs-on: ubuntu-latest + continue-on-error: true + needs: windows-x64-python3 + steps: + - uses: actions/checkout@v3 + with: + repository: 'yosyshq/oss-cad-suite-build' + - name: Cache sources + id: cache-sources + uses: actions/cache@v3 + with: + path: _sources + key: cache-sources-scy + - name: Download previous build + run: | + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-scy.tgz" + if wget --spider "${URL}" 2>/dev/null; then + wget -qO- "${URL}" | tar xvfz - + else + echo "Previous version not found in bucket" + fi + - name: Download windows-x64-python3 + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" | tar xvfz - + - name: Build + run: ./builder.py build --arch=windows-x64 --target=scy --single --tar + - uses: ncipollo/release-action@v1 + if: hashFiles('windows-x64-scy.tgz') != '' + with: + allowUpdates: True + prerelease: True + omitBody: True + omitBodyDuringUpdate: True + omitNameDuringUpdate: True + tag: bucket-windows-x64 + artifacts: "windows-x64-scy.tgz" + token: ${{ secrets.GITHUB_TOKEN }} windows-x64-system-resources: runs-on: ubuntu-latest continue-on-error: true @@ -1673,7 +1747,7 @@ jobs: token: ${{ secrets.GITHUB_TOKEN }} windows-x64-default: runs-on: ubuntu-latest - needs: [ windows-x64-apicula, windows-x64-avy, windows-x64-bitwuzla, windows-x64-boolector, windows-x64-cvc4, windows-x64-cvc5, windows-x64-dfu-util, windows-x64-ecpdap, windows-x64-ecpprog, windows-x64-eqy, windows-x64-flask, windows-x64-fujprog, windows-x64-gtkwave, windows-x64-iceprogduino, windows-x64-icesprog, windows-x64-icestorm, windows-x64-iverilog, windows-x64-mcy, windows-x64-nextpnr-ecp5, windows-x64-nextpnr-generic, windows-x64-nextpnr-gowin, windows-x64-nextpnr-ice40, windows-x64-nextpnr-machxo2, windows-x64-nextpnr-nexus, windows-x64-numpy, windows-x64-openfpgaloader, windows-x64-openocd, windows-x64-pono, windows-x64-prjoxide, windows-x64-prjtrellis, windows-x64-pyhdl, windows-x64-python-programmers, windows-x64-python3, windows-x64-sby, windows-x64-sby-gui, windows-x64-system-resources, windows-x64-utils, windows-x64-verilator, windows-x64-yices, windows-x64-yosys, windows-x64-z3 ] + needs: [ windows-x64-apicula, windows-x64-avy, windows-x64-bitwuzla, windows-x64-boolector, windows-x64-cvc4, windows-x64-cvc5, windows-x64-dfu-util, windows-x64-ecpdap, windows-x64-ecpprog, windows-x64-eqy, windows-x64-flask, windows-x64-fujprog, windows-x64-gtkwave, windows-x64-iceprogduino, windows-x64-icesprog, windows-x64-icestorm, windows-x64-iverilog, windows-x64-mau, windows-x64-mcy, windows-x64-nextpnr-ecp5, windows-x64-nextpnr-generic, windows-x64-nextpnr-gowin, windows-x64-nextpnr-ice40, windows-x64-nextpnr-machxo2, windows-x64-nextpnr-nexus, windows-x64-numpy, windows-x64-openfpgaloader, windows-x64-openocd, windows-x64-pono, windows-x64-prjoxide, windows-x64-prjtrellis, windows-x64-pyhdl, windows-x64-python-programmers, windows-x64-python3, windows-x64-sby, windows-x64-sby-gui, windows-x64-scy, windows-x64-system-resources, windows-x64-utils, windows-x64-verilator, windows-x64-yices, windows-x64-yosys, windows-x64-z3 ] steps: - name: Get current date id: date @@ -1715,6 +1789,8 @@ jobs: run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-icestorm.tgz" | tar xvfz - - name: Download windows-x64-iverilog run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-iverilog.tgz" | tar xvfz - + - name: Download windows-x64-mau + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-mau.tgz" | tar xvfz - - name: Download windows-x64-mcy run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-mcy.tgz" | tar xvfz - - name: Download windows-x64-nextpnr-ecp5 @@ -1751,6 +1827,8 @@ jobs: run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-sby.tgz" | tar xvfz - - name: Download windows-x64-sby-gui run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-sby-gui.tgz" | tar xvfz - + - name: Download windows-x64-scy + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-scy.tgz" | tar xvfz - - name: Download windows-x64-system-resources run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-system-resources.tgz" | tar xvfz - - name: Download windows-x64-utils diff --git a/default/rules/default.py b/default/rules/default.py index ad9075d..d66368e 100644 --- a/default/rules/default.py +++ b/default/rules/default.py @@ -47,6 +47,7 @@ 'utils', 'pyhdl', 'cocotb', + 'scy', ], branding = 'OSS CAD Suite', readme = 'README', @@ -74,6 +75,7 @@ 'sby', 'sby-gui', 'gtkwave', + 'scy', ], branding ='OSS CAD Formal', readme = 'README', @@ -100,6 +102,7 @@ 'sby', 'gtkwave', 'iverilog', + 'scy', ], branding ='OSS CAD Suite', readme = 'README', diff --git a/default/rules/mau.py b/default/rules/mau.py new file mode 100644 index 0000000..d5aba13 --- /dev/null +++ b/default/rules/mau.py @@ -0,0 +1,17 @@ +from src.base import SourceLocation, Target + +SourceLocation( + name = 'mau', + vcs = 'git', + location = 'https://github.com/YosysHQ/mau', + revision = 'origin/main', + license_file = 'COPYING', +) + +Target( + name = 'mau', + sources = [ 'mau' ], + dependencies = [ 'python3' ], + resources = [ 'python3' ], + patches = [ 'python3_package.sh' ], +) diff --git a/default/rules/scy.py b/default/rules/scy.py new file mode 100644 index 0000000..0ef762d --- /dev/null +++ b/default/rules/scy.py @@ -0,0 +1,17 @@ +from src.base import SourceLocation, Target + +SourceLocation( + name = 'scy', + vcs = 'git', + location = 'https://github.com/YosysHQ/scy', + revision = 'origin/main', + license_file = 'COPYING', +) + +Target( + name = 'scy', + sources = [ 'scy' ], + dependencies = [ 'python3' ], + resources = [ 'mau', 'python3' ], + patches = [ 'python3_package.sh' ], +) diff --git a/default/scripts/mau.sh b/default/scripts/mau.sh new file mode 100644 index 0000000..f3dbb94 --- /dev/null +++ b/default/scripts/mau.sh @@ -0,0 +1,6 @@ +source ${PATCHES_DIR}/python3_package.sh +python3_package_setup +python3_package_pip_install "setuptools" +pushd mau +python3_package_install +python3_package_pth "mau" diff --git a/default/scripts/scy.sh b/default/scripts/scy.sh new file mode 100644 index 0000000..fa7f587 --- /dev/null +++ b/default/scripts/scy.sh @@ -0,0 +1,8 @@ +source ${PATCHES_DIR}/python3_package.sh +python3_package_setup +pushd scy +sed -i 's,"yosys_mau",#"yosys_mau",g' pyproject.toml +python3_package_pip_install "." +mv ${OUTPUT_DIR}${INSTALL_PREFIX}/lib/python3.8/site-packages/bin ${OUTPUT_DIR}${INSTALL_PREFIX}/. +#mkdir -p ${OUTPUT_DIR}${INSTALL_PREFIX}/examples/scy +#cp -r example/* ${OUTPUT_DIR}${INSTALL_PREFIX}/examples/scy/.