From 69e2eb61009ef11cde715762d4d7c794ab1deaa3 Mon Sep 17 00:00:00 2001 From: Miodrag Milanovic Date: Mon, 29 Jan 2024 08:25:14 +0100 Subject: [PATCH] Update workflows --- .github/workflows/darwin-arm64.yml | 162 ++++++++++----------- .github/workflows/darwin-x64.yml | 174 +++++++++++------------ .github/workflows/linux-arm64.yml | 174 +++++++++++------------ .github/workflows/linux-x64.yml | 218 ++++++++++++++--------------- .github/workflows/windows-x64.yml | 134 +++++++++--------- src/base.py | 4 +- 6 files changed, 433 insertions(+), 433 deletions(-) diff --git a/.github/workflows/darwin-arm64.yml b/.github/workflows/darwin-arm64.yml index ffd64c0..a39877f 100644 --- a/.github/workflows/darwin-arm64.yml +++ b/.github/workflows/darwin-arm64.yml @@ -12,12 +12,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-python3 @@ -49,12 +49,12 @@ jobs: continue-on-error: true needs: darwin-arm64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-xdot @@ -87,12 +87,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-graphviz @@ -121,12 +121,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-yosys @@ -158,12 +158,12 @@ jobs: continue-on-error: true needs: darwin-arm64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-formal @@ -197,12 +197,12 @@ jobs: continue-on-error: true needs: darwin-arm64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-nextpnr-generic @@ -236,12 +236,12 @@ jobs: continue-on-error: true needs: darwin-arm64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-nextpnr-ice40 @@ -277,12 +277,12 @@ jobs: continue-on-error: true needs: darwin-arm64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-nextpnr-ecp5 @@ -318,12 +318,12 @@ jobs: continue-on-error: true needs: darwin-arm64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-nextpnr-machxo2 @@ -359,12 +359,12 @@ jobs: continue-on-error: true needs: darwin-arm64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-nextpnr-nexus @@ -400,12 +400,12 @@ jobs: continue-on-error: true needs: darwin-arm64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-nextpnr-gowin @@ -440,12 +440,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-icestorm @@ -474,12 +474,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-prjtrellis @@ -508,12 +508,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-prjoxide @@ -543,12 +543,12 @@ jobs: continue-on-error: true needs: darwin-arm64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-numpy @@ -582,12 +582,12 @@ jobs: continue-on-error: true needs: [ darwin-arm64-numpy, darwin-arm64-python3 ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-apicula @@ -622,12 +622,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-openfpgaloader @@ -656,12 +656,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-picosat @@ -691,12 +691,12 @@ jobs: continue-on-error: true needs: darwin-arm64-picosat steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-aiger @@ -727,12 +727,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-avy @@ -761,12 +761,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-lingeling @@ -795,12 +795,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-cadical @@ -829,12 +829,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-btor2tools @@ -864,12 +864,12 @@ jobs: continue-on-error: true needs: [ darwin-arm64-btor2tools, darwin-arm64-cadical, darwin-arm64-lingeling ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-bitwuzla @@ -907,12 +907,12 @@ jobs: continue-on-error: true needs: [ darwin-arm64-btor2tools, darwin-arm64-cadical, darwin-arm64-lingeling ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-boolector @@ -947,12 +947,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-cvc4 @@ -981,12 +981,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-libpoly @@ -1016,12 +1016,12 @@ jobs: continue-on-error: true needs: [ darwin-arm64-cadical, darwin-arm64-libpoly ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-cvc5 @@ -1056,12 +1056,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-yices @@ -1091,12 +1091,12 @@ jobs: continue-on-error: true needs: [ darwin-arm64-boolector, darwin-arm64-cvc5 ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-smt-switch @@ -1130,12 +1130,12 @@ jobs: continue-on-error: true needs: [ darwin-arm64-boolector, darwin-arm64-cvc5, darwin-arm64-smt-switch ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-pono @@ -1170,12 +1170,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-z3 @@ -1205,12 +1205,12 @@ jobs: continue-on-error: true needs: darwin-arm64-yosys steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-eqy @@ -1241,12 +1241,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-gtkwave @@ -1275,12 +1275,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-verilator @@ -1309,12 +1309,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-iverilog @@ -1344,12 +1344,12 @@ jobs: continue-on-error: true needs: darwin-arm64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-python-programmers @@ -1382,12 +1382,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-utils @@ -1417,12 +1417,12 @@ jobs: continue-on-error: true needs: darwin-arm64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-pyhdl @@ -1455,12 +1455,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-system-resources @@ -1492,7 +1492,7 @@ jobs: - name: Get current date id: date run: echo "date=$(date +'%Y-%m-%d')" >> $GITHUB_OUTPUT - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Download darwin-arm64-aiger diff --git a/.github/workflows/darwin-x64.yml b/.github/workflows/darwin-x64.yml index 05a5dd6..a48a6b2 100644 --- a/.github/workflows/darwin-x64.yml +++ b/.github/workflows/darwin-x64.yml @@ -12,12 +12,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-python3 @@ -49,12 +49,12 @@ jobs: continue-on-error: true needs: darwin-x64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-xdot @@ -87,12 +87,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-graphviz @@ -121,12 +121,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-yosys @@ -158,12 +158,12 @@ jobs: continue-on-error: true needs: darwin-x64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-formal @@ -196,12 +196,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-ghdl @@ -231,12 +231,12 @@ jobs: continue-on-error: true needs: [ darwin-x64-ghdl, darwin-x64-yosys ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-ghdl-yosys-plugin @@ -270,12 +270,12 @@ jobs: continue-on-error: true needs: darwin-x64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-nextpnr-generic @@ -309,12 +309,12 @@ jobs: continue-on-error: true needs: darwin-x64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-nextpnr-ice40 @@ -350,12 +350,12 @@ jobs: continue-on-error: true needs: darwin-x64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-nextpnr-ecp5 @@ -391,12 +391,12 @@ jobs: continue-on-error: true needs: darwin-x64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-nextpnr-machxo2 @@ -432,12 +432,12 @@ jobs: continue-on-error: true needs: darwin-x64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-nextpnr-nexus @@ -473,12 +473,12 @@ jobs: continue-on-error: true needs: darwin-x64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-nextpnr-gowin @@ -513,12 +513,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-icestorm @@ -547,12 +547,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-prjtrellis @@ -581,12 +581,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-prjoxide @@ -616,12 +616,12 @@ jobs: continue-on-error: true needs: darwin-x64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-numpy @@ -655,12 +655,12 @@ jobs: continue-on-error: true needs: [ darwin-x64-numpy, darwin-x64-python3 ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-apicula @@ -695,12 +695,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-openfpgaloader @@ -729,12 +729,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-picosat @@ -764,12 +764,12 @@ jobs: continue-on-error: true needs: darwin-x64-picosat steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-aiger @@ -800,12 +800,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-avy @@ -834,12 +834,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-lingeling @@ -868,12 +868,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-cadical @@ -902,12 +902,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-btor2tools @@ -937,12 +937,12 @@ jobs: continue-on-error: true needs: [ darwin-x64-btor2tools, darwin-x64-cadical, darwin-x64-lingeling ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-bitwuzla @@ -980,12 +980,12 @@ jobs: continue-on-error: true needs: [ darwin-x64-btor2tools, darwin-x64-cadical, darwin-x64-lingeling ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-boolector @@ -1020,12 +1020,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-cvc4 @@ -1054,12 +1054,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-libpoly @@ -1089,12 +1089,12 @@ jobs: continue-on-error: true needs: [ darwin-x64-cadical, darwin-x64-libpoly ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-cvc5 @@ -1129,12 +1129,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-yices @@ -1164,12 +1164,12 @@ jobs: continue-on-error: true needs: [ darwin-x64-boolector, darwin-x64-cvc5 ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-smt-switch @@ -1203,12 +1203,12 @@ jobs: continue-on-error: true needs: [ darwin-x64-boolector, darwin-x64-cvc5, darwin-x64-smt-switch ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-pono @@ -1243,12 +1243,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-z3 @@ -1278,12 +1278,12 @@ jobs: continue-on-error: true needs: darwin-x64-yosys steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-eqy @@ -1314,12 +1314,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-gtkwave @@ -1348,12 +1348,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-verilator @@ -1382,12 +1382,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-iverilog @@ -1417,12 +1417,12 @@ jobs: continue-on-error: true needs: darwin-x64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-python-programmers @@ -1455,12 +1455,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-utils @@ -1490,12 +1490,12 @@ jobs: continue-on-error: true needs: darwin-x64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-pyhdl @@ -1529,12 +1529,12 @@ jobs: continue-on-error: true needs: darwin-x64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-cocotb @@ -1567,12 +1567,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-system-resources @@ -1604,7 +1604,7 @@ jobs: - name: Get current date id: date run: echo "date=$(date +'%Y-%m-%d')" >> $GITHUB_OUTPUT - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Download darwin-x64-aiger diff --git a/.github/workflows/linux-arm64.yml b/.github/workflows/linux-arm64.yml index c06bd80..5d26c04 100644 --- a/.github/workflows/linux-arm64.yml +++ b/.github/workflows/linux-arm64.yml @@ -12,12 +12,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-python3 @@ -49,12 +49,12 @@ jobs: continue-on-error: true needs: linux-arm64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-xdot @@ -87,12 +87,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-graphviz @@ -121,12 +121,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-yosys @@ -158,12 +158,12 @@ jobs: continue-on-error: true needs: linux-arm64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-formal @@ -197,12 +197,12 @@ jobs: continue-on-error: true needs: linux-arm64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-nextpnr-generic @@ -236,12 +236,12 @@ jobs: continue-on-error: true needs: linux-arm64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-nextpnr-ice40 @@ -277,12 +277,12 @@ jobs: continue-on-error: true needs: linux-arm64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-nextpnr-ecp5 @@ -318,12 +318,12 @@ jobs: continue-on-error: true needs: linux-arm64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-nextpnr-machxo2 @@ -359,12 +359,12 @@ jobs: continue-on-error: true needs: linux-arm64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-nextpnr-nexus @@ -400,12 +400,12 @@ jobs: continue-on-error: true needs: linux-arm64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-nextpnr-gowin @@ -440,12 +440,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-icestorm @@ -474,12 +474,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-prjtrellis @@ -508,12 +508,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-prjoxide @@ -543,12 +543,12 @@ jobs: continue-on-error: true needs: linux-arm64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-numpy @@ -582,12 +582,12 @@ jobs: continue-on-error: true needs: [ linux-arm64-numpy, linux-arm64-python3 ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-apicula @@ -622,12 +622,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-openfpgaloader @@ -656,12 +656,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-picosat @@ -691,12 +691,12 @@ jobs: continue-on-error: true needs: linux-arm64-picosat steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-aiger @@ -727,12 +727,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-avy @@ -761,12 +761,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-lingeling @@ -795,12 +795,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-cadical @@ -829,12 +829,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-btor2tools @@ -864,12 +864,12 @@ jobs: continue-on-error: true needs: [ linux-arm64-btor2tools, linux-arm64-cadical, linux-arm64-lingeling ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-bitwuzla @@ -907,12 +907,12 @@ jobs: continue-on-error: true needs: [ linux-arm64-btor2tools, linux-arm64-cadical, linux-arm64-lingeling ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-boolector @@ -947,12 +947,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-cvc4 @@ -981,12 +981,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-libpoly @@ -1016,12 +1016,12 @@ jobs: continue-on-error: true needs: [ linux-arm64-cadical, linux-arm64-libpoly ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-cvc5 @@ -1056,12 +1056,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-yices @@ -1090,12 +1090,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-python2 @@ -1127,12 +1127,12 @@ jobs: continue-on-error: true needs: linux-arm64-python2 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-suprove @@ -1164,12 +1164,12 @@ jobs: continue-on-error: true needs: [ linux-arm64-boolector, linux-arm64-cvc5 ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-smt-switch @@ -1203,12 +1203,12 @@ jobs: continue-on-error: true needs: [ linux-arm64-boolector, linux-arm64-cvc5, linux-arm64-smt-switch ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-pono @@ -1243,12 +1243,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-z3 @@ -1278,12 +1278,12 @@ jobs: continue-on-error: true needs: linux-arm64-yosys steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-eqy @@ -1314,12 +1314,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-gtkwave @@ -1348,12 +1348,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-verilator @@ -1382,12 +1382,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-iverilog @@ -1417,12 +1417,12 @@ jobs: continue-on-error: true needs: linux-arm64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-python-programmers @@ -1455,12 +1455,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-utils @@ -1490,12 +1490,12 @@ jobs: continue-on-error: true needs: linux-arm64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-pyhdl @@ -1529,12 +1529,12 @@ jobs: continue-on-error: true needs: linux-arm64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-cocotb @@ -1567,12 +1567,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-system-resources @@ -1604,7 +1604,7 @@ jobs: - name: Get current date id: date run: echo "date=$(date +'%Y-%m-%d')" >> $GITHUB_OUTPUT - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Download linux-arm64-aiger diff --git a/.github/workflows/linux-x64.yml b/.github/workflows/linux-x64.yml index 9aa5eef..52d73c2 100644 --- a/.github/workflows/linux-x64.yml +++ b/.github/workflows/linux-x64.yml @@ -10,12 +10,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-abc @@ -44,12 +44,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-python3-native @@ -79,12 +79,12 @@ jobs: continue-on-error: true needs: linux-x64-python3-native steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-python3 @@ -116,12 +116,12 @@ jobs: continue-on-error: true needs: [ linux-x64-python3, linux-x64-python3-native ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-xdot @@ -154,12 +154,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-graphviz @@ -189,12 +189,12 @@ jobs: continue-on-error: true needs: linux-x64-abc steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-yosys @@ -226,12 +226,12 @@ jobs: continue-on-error: true needs: [ linux-x64-python3, linux-x64-python3-native ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-formal @@ -264,12 +264,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-ghdl @@ -299,12 +299,12 @@ jobs: continue-on-error: true needs: [ linux-x64-ghdl, linux-x64-yosys ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-ghdl-yosys-plugin @@ -337,12 +337,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-nextpnr-bba @@ -372,12 +372,12 @@ jobs: continue-on-error: true needs: [ linux-x64-nextpnr-bba, linux-x64-python3 ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-nextpnr-generic @@ -410,12 +410,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-icestorm @@ -445,12 +445,12 @@ jobs: continue-on-error: true needs: linux-x64-icestorm steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-icestorm-bba @@ -482,12 +482,12 @@ jobs: continue-on-error: true needs: [ linux-x64-icestorm-bba, linux-x64-nextpnr-bba, linux-x64-python3 ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-nextpnr-ice40 @@ -522,12 +522,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-prjtrellis-bba @@ -557,12 +557,12 @@ jobs: continue-on-error: true needs: [ linux-x64-nextpnr-bba, linux-x64-prjtrellis-bba, linux-x64-python3 ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-nextpnr-ecp5 @@ -598,12 +598,12 @@ jobs: continue-on-error: true needs: [ linux-x64-nextpnr-bba, linux-x64-prjtrellis-bba, linux-x64-python3 ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-nextpnr-machxo2 @@ -638,12 +638,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-prjoxide @@ -673,12 +673,12 @@ jobs: continue-on-error: true needs: linux-x64-prjoxide steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-prjoxide-bba @@ -710,12 +710,12 @@ jobs: continue-on-error: true needs: [ linux-x64-nextpnr-bba, linux-x64-prjoxide-bba, linux-x64-python3 ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-nextpnr-nexus @@ -751,12 +751,12 @@ jobs: continue-on-error: true needs: [ linux-x64-python3, linux-x64-python3-native ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-numpy @@ -790,12 +790,12 @@ jobs: continue-on-error: true needs: [ linux-x64-numpy, linux-x64-python3, linux-x64-python3-native ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-apicula @@ -831,12 +831,12 @@ jobs: continue-on-error: true needs: [ linux-x64-apicula, linux-x64-numpy, linux-x64-python3-native ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-apicula-bba @@ -872,12 +872,12 @@ jobs: continue-on-error: true needs: [ linux-x64-apicula-bba, linux-x64-nextpnr-bba, linux-x64-python3 ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-nextpnr-gowin @@ -912,12 +912,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-prjtrellis @@ -946,12 +946,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-openfpgaloader @@ -980,12 +980,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-picosat @@ -1015,12 +1015,12 @@ jobs: continue-on-error: true needs: linux-x64-picosat steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-aiger @@ -1051,12 +1051,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-avy @@ -1085,12 +1085,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-lingeling @@ -1119,12 +1119,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-cadical @@ -1153,12 +1153,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-btor2tools @@ -1187,12 +1187,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-symfpu @@ -1222,12 +1222,12 @@ jobs: continue-on-error: true needs: [ linux-x64-btor2tools, linux-x64-cadical, linux-x64-lingeling, linux-x64-symfpu ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-bitwuzla @@ -1265,12 +1265,12 @@ jobs: continue-on-error: true needs: [ linux-x64-btor2tools, linux-x64-cadical, linux-x64-lingeling ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-boolector @@ -1305,12 +1305,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-cvc4 @@ -1339,12 +1339,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-libpoly @@ -1374,12 +1374,12 @@ jobs: continue-on-error: true needs: [ linux-x64-cadical, linux-x64-libpoly, linux-x64-symfpu ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-cvc5 @@ -1414,12 +1414,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-yices @@ -1448,12 +1448,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-python2-native @@ -1483,12 +1483,12 @@ jobs: continue-on-error: true needs: linux-x64-python2-native steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-python2 @@ -1520,12 +1520,12 @@ jobs: continue-on-error: true needs: linux-x64-python2 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-suprove @@ -1557,12 +1557,12 @@ jobs: continue-on-error: true needs: [ linux-x64-boolector, linux-x64-cvc5 ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-smt-switch @@ -1596,12 +1596,12 @@ jobs: continue-on-error: true needs: [ linux-x64-boolector, linux-x64-cvc5, linux-x64-smt-switch ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-pono @@ -1636,12 +1636,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-z3 @@ -1671,12 +1671,12 @@ jobs: continue-on-error: true needs: linux-x64-yosys steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-eqy @@ -1707,12 +1707,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-gtkwave @@ -1741,12 +1741,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-verilator @@ -1775,12 +1775,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-iverilog @@ -1810,12 +1810,12 @@ jobs: continue-on-error: true needs: [ linux-x64-python3, linux-x64-python3-native ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-python-programmers @@ -1848,12 +1848,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-utils @@ -1883,12 +1883,12 @@ jobs: continue-on-error: true needs: [ linux-x64-python3, linux-x64-python3-native ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-pyhdl @@ -1922,12 +1922,12 @@ jobs: continue-on-error: true needs: [ linux-x64-python3, linux-x64-python3-native ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-cocotb @@ -1960,12 +1960,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-system-resources @@ -1997,7 +1997,7 @@ jobs: - name: Get current date id: date run: echo "date=$(date +'%Y-%m-%d')" >> $GITHUB_OUTPUT - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Download linux-x64-aiger diff --git a/.github/workflows/windows-x64.yml b/.github/workflows/windows-x64.yml index b7adc00..19a2900 100644 --- a/.github/workflows/windows-x64.yml +++ b/.github/workflows/windows-x64.yml @@ -12,12 +12,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-yosys @@ -48,12 +48,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-python3 @@ -85,12 +85,12 @@ jobs: continue-on-error: true needs: windows-x64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-formal @@ -124,12 +124,12 @@ jobs: continue-on-error: true needs: windows-x64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-nextpnr-generic @@ -163,12 +163,12 @@ jobs: continue-on-error: true needs: windows-x64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-nextpnr-ice40 @@ -204,12 +204,12 @@ jobs: continue-on-error: true needs: windows-x64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-nextpnr-ecp5 @@ -245,12 +245,12 @@ jobs: continue-on-error: true needs: windows-x64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-nextpnr-machxo2 @@ -286,12 +286,12 @@ jobs: continue-on-error: true needs: windows-x64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-nextpnr-nexus @@ -327,12 +327,12 @@ jobs: continue-on-error: true needs: windows-x64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-nextpnr-gowin @@ -367,12 +367,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-icestorm @@ -401,12 +401,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-prjtrellis @@ -435,12 +435,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-prjoxide @@ -469,12 +469,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-openfpgaloader @@ -503,12 +503,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-avy @@ -537,12 +537,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-lingeling @@ -571,12 +571,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-cadical @@ -605,12 +605,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-btor2tools @@ -640,12 +640,12 @@ jobs: continue-on-error: true needs: [ windows-x64-btor2tools, windows-x64-cadical, windows-x64-lingeling ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-bitwuzla @@ -683,12 +683,12 @@ jobs: continue-on-error: true needs: [ windows-x64-btor2tools, windows-x64-cadical, windows-x64-lingeling ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-boolector @@ -723,12 +723,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-cvc4 @@ -757,12 +757,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-libpoly @@ -792,12 +792,12 @@ jobs: continue-on-error: true needs: [ windows-x64-cadical, windows-x64-libpoly ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-cvc5 @@ -832,12 +832,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-yices @@ -867,12 +867,12 @@ jobs: continue-on-error: true needs: [ windows-x64-boolector, windows-x64-cvc5 ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-smt-switch @@ -906,12 +906,12 @@ jobs: continue-on-error: true needs: [ windows-x64-boolector, windows-x64-cvc5, windows-x64-smt-switch ] steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-pono @@ -946,12 +946,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-z3 @@ -981,12 +981,12 @@ jobs: continue-on-error: true needs: windows-x64-yosys steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-eqy @@ -1017,12 +1017,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-gtkwave @@ -1051,12 +1051,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-verilator @@ -1085,12 +1085,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-iverilog @@ -1119,12 +1119,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-utils @@ -1154,12 +1154,12 @@ jobs: continue-on-error: true needs: windows-x64-python3 steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-pyhdl @@ -1192,12 +1192,12 @@ jobs: runs-on: ubuntu-latest continue-on-error: true steps: - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources - uses: actions/cache@v3 + uses: actions/cache@v4 with: path: _sources key: cache-sources-system-resources @@ -1229,7 +1229,7 @@ jobs: - name: Get current date id: date run: echo "date=$(date +'%Y-%m-%d')" >> $GITHUB_OUTPUT - - uses: actions/checkout@v3 + - uses: actions/checkout@v4 with: repository: 'yosyshq/oss-cad-suite-build' - name: Download windows-x64-avy diff --git a/src/base.py b/src/base.py index 68f1ca0..c27381e 100644 --- a/src/base.py +++ b/src/base.py @@ -769,13 +769,13 @@ def generateYaml(target, build_arch, write_to_file): yaml_content +=" - name: Get current date\n" yaml_content +=" id: date\n" yaml_content +=" run: echo \"date=$(date +'%Y-%m-%d')\" >> $GITHUB_OUTPUT\n" - yaml_content +=" - uses: actions/checkout@v3\n" + yaml_content +=" - uses: actions/checkout@v4\n" yaml_content +=" with:\n" yaml_content +=" repository: 'yosyshq/oss-cad-suite-build'\n" if not target.top_package: yaml_content +=" - name: Cache sources\n" yaml_content +=" id: cache-sources\n" - yaml_content +=" uses: actions/cache@v3\n" + yaml_content +=" uses: actions/cache@v4\n" yaml_content +=" with:\n" yaml_content +=" path: _sources\n" yaml_content +=" key: cache-sources-{}".format(target.name) + "\n"