diff --git a/.github/workflows/darwin-arm64.yml b/.github/workflows/darwin-arm64.yml index 999f070..952d61c 100644 --- a/.github/workflows/darwin-arm64.yml +++ b/.github/workflows/darwin-arm64.yml @@ -14,7 +14,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -23,14 +23,14 @@ jobs: key: cache-sources-python3 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python3.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python3.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-arm64 --target=python3 --single --tar - uses: ncipollo/release-action@v1 @@ -51,7 +51,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -60,16 +60,16 @@ jobs: key: cache-sources-xdot - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-xdot.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-xdot.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-arm64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-arm64 --target=xdot --single --tar - uses: ncipollo/release-action@v1 @@ -89,7 +89,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -98,7 +98,7 @@ jobs: key: cache-sources-graphviz - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-graphviz.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-graphviz.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -123,7 +123,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -132,14 +132,14 @@ jobs: key: cache-sources-yosys - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-yosys.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-yosys.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-abc - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-abc.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-abc.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-arm64 --target=yosys --single --tar - uses: ncipollo/release-action@v1 @@ -160,7 +160,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -169,16 +169,16 @@ jobs: key: cache-sources-formal - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-formal.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-formal.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-arm64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-arm64 --target=formal --single --tar - uses: ncipollo/release-action@v1 @@ -199,7 +199,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -208,16 +208,16 @@ jobs: key: cache-sources-nextpnr-generic - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-nextpnr-generic.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-nextpnr-generic.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-arm64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-arm64 --target=nextpnr-generic --single --tar - uses: ncipollo/release-action@v1 @@ -238,7 +238,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -247,18 +247,18 @@ jobs: key: cache-sources-nextpnr-ice40 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-nextpnr-ice40.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-nextpnr-ice40.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-arm64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-icestorm-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-icestorm-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-icestorm-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-arm64 --target=nextpnr-ice40 --single --tar - uses: ncipollo/release-action@v1 @@ -279,7 +279,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -288,18 +288,18 @@ jobs: key: cache-sources-nextpnr-ecp5 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-nextpnr-ecp5.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-nextpnr-ecp5.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-arm64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-prjtrellis-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjtrellis-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjtrellis-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-arm64 --target=nextpnr-ecp5 --single --tar - uses: ncipollo/release-action@v1 @@ -320,7 +320,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -329,18 +329,18 @@ jobs: key: cache-sources-nextpnr-machxo2 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-nextpnr-machxo2.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-nextpnr-machxo2.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-arm64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-prjtrellis-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjtrellis-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjtrellis-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-arm64 --target=nextpnr-machxo2 --single --tar - uses: ncipollo/release-action@v1 @@ -361,7 +361,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -370,18 +370,18 @@ jobs: key: cache-sources-nextpnr-nexus - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-nextpnr-nexus.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-nextpnr-nexus.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-arm64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-prjoxide-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjoxide-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjoxide-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-arm64 --target=nextpnr-nexus --single --tar - uses: ncipollo/release-action@v1 @@ -402,7 +402,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -411,18 +411,18 @@ jobs: key: cache-sources-nextpnr-gowin - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-nextpnr-gowin.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-nextpnr-gowin.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-arm64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-apicula-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-apicula-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-apicula-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-arm64 --target=nextpnr-gowin --single --tar - uses: ncipollo/release-action@v1 @@ -443,7 +443,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -452,20 +452,20 @@ jobs: key: cache-sources-nextpnr-himbaechel - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-nextpnr-himbaechel.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-nextpnr-himbaechel.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-arm64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-apicula-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-apicula-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-apicula-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-arm64 --target=nextpnr-himbaechel --single --tar - uses: ncipollo/release-action@v1 @@ -485,7 +485,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -494,7 +494,7 @@ jobs: key: cache-sources-icestorm - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-icestorm.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-icestorm.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -519,7 +519,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -528,7 +528,7 @@ jobs: key: cache-sources-prjtrellis - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-prjtrellis.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-prjtrellis.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -553,7 +553,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -562,7 +562,7 @@ jobs: key: cache-sources-prjoxide - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-prjoxide.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-prjoxide.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -588,7 +588,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -597,16 +597,16 @@ jobs: key: cache-sources-apicula - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-apicula.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-apicula.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-arm64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-arm64 --target=apicula --single --tar - uses: ncipollo/release-action@v1 @@ -626,7 +626,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -635,7 +635,7 @@ jobs: key: cache-sources-openfpgaloader - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-openfpgaloader.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-openfpgaloader.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -660,7 +660,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -669,7 +669,7 @@ jobs: key: cache-sources-picosat - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-picosat.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-picosat.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -695,7 +695,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -704,14 +704,14 @@ jobs: key: cache-sources-aiger - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-aiger.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-aiger.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-arm64-picosat - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-picosat.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-picosat.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-arm64 --target=aiger --single --tar - uses: ncipollo/release-action@v1 @@ -731,7 +731,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -740,7 +740,7 @@ jobs: key: cache-sources-avy - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-avy.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-avy.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -765,7 +765,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -774,7 +774,7 @@ jobs: key: cache-sources-lingeling - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-lingeling.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-lingeling.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -799,7 +799,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -808,7 +808,7 @@ jobs: key: cache-sources-cadical - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-cadical.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-cadical.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -833,7 +833,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -842,7 +842,7 @@ jobs: key: cache-sources-btor2tools - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-btor2tools.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-btor2tools.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -868,7 +868,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -877,20 +877,20 @@ jobs: key: cache-sources-bitwuzla - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-bitwuzla.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-bitwuzla.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-arm64-btor2tools - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-btor2tools.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-btor2tools.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-cadical - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-cadical.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-cadical.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-lingeling - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-lingeling.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-lingeling.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-symfpu - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-symfpu.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-symfpu.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-arm64 --target=bitwuzla --single --tar - uses: ncipollo/release-action@v1 @@ -911,7 +911,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -920,18 +920,18 @@ jobs: key: cache-sources-boolector - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-boolector.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-boolector.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-arm64-btor2tools - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-btor2tools.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-btor2tools.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-cadical - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-cadical.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-cadical.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-lingeling - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-lingeling.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-lingeling.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-arm64 --target=boolector --single --tar - uses: ncipollo/release-action@v1 @@ -951,7 +951,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -960,7 +960,7 @@ jobs: key: cache-sources-cvc4 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-cvc4.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-cvc4.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -985,7 +985,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -994,7 +994,7 @@ jobs: key: cache-sources-libpoly - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-libpoly.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-libpoly.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1020,7 +1020,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1029,18 +1029,18 @@ jobs: key: cache-sources-cvc5 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-cvc5.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-cvc5.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-arm64-cadical - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-cadical.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-cadical.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-libpoly - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-libpoly.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-libpoly.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-symfpu - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-symfpu.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-symfpu.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-arm64 --target=cvc5 --single --tar - uses: ncipollo/release-action@v1 @@ -1060,7 +1060,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1069,7 +1069,7 @@ jobs: key: cache-sources-yices - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-yices.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-yices.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1095,7 +1095,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1104,16 +1104,16 @@ jobs: key: cache-sources-smt-switch - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-smt-switch.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-smt-switch.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-arm64-boolector - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-boolector.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-boolector.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-cvc5 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-cvc5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-cvc5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-arm64 --target=smt-switch --single --tar - uses: ncipollo/release-action@v1 @@ -1134,7 +1134,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1143,18 +1143,18 @@ jobs: key: cache-sources-pono - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-pono.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-pono.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-arm64-boolector - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-boolector.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-boolector.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-cvc5 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-cvc5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-cvc5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-smt-switch - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-smt-switch.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-smt-switch.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-arm64 --target=pono --single --tar - uses: ncipollo/release-action@v1 @@ -1174,7 +1174,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1183,7 +1183,7 @@ jobs: key: cache-sources-z3 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-z3.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-z3.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1209,7 +1209,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1218,14 +1218,14 @@ jobs: key: cache-sources-eqy - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-eqy.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-eqy.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-arm64-yosys - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-yosys.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-yosys.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-arm64 --target=eqy --single --tar - uses: ncipollo/release-action@v1 @@ -1245,7 +1245,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1254,7 +1254,7 @@ jobs: key: cache-sources-gtkwave - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-gtkwave.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-gtkwave.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1279,7 +1279,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1288,7 +1288,7 @@ jobs: key: cache-sources-verilator - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-verilator.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-verilator.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1313,7 +1313,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1322,7 +1322,7 @@ jobs: key: cache-sources-iverilog - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-iverilog.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-iverilog.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1348,7 +1348,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1357,16 +1357,16 @@ jobs: key: cache-sources-python-programmers - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python-programmers.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python-programmers.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-arm64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-arm64 --target=python-programmers --single --tar - uses: ncipollo/release-action@v1 @@ -1386,7 +1386,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1395,7 +1395,7 @@ jobs: key: cache-sources-utils - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-utils.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-utils.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1421,7 +1421,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1430,16 +1430,16 @@ jobs: key: cache-sources-pyhdl - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-pyhdl.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-pyhdl.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-arm64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-arm64 --target=pyhdl --single --tar - uses: ncipollo/release-action@v1 @@ -1459,7 +1459,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1468,7 +1468,7 @@ jobs: key: cache-sources-system-resources - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-system-resources.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-system-resources.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1496,75 +1496,75 @@ jobs: run: echo "date=$(date +'%Y-%m-%d')" >> $GITHUB_OUTPUT - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Download darwin-arm64-aiger - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-aiger.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-aiger.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-apicula - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-apicula.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-apicula.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-avy - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-avy.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-avy.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-bitwuzla - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-bitwuzla.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-bitwuzla.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-boolector - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-boolector.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-boolector.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-cvc4 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-cvc4.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-cvc4.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-cvc5 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-cvc5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-cvc5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-eqy - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-eqy.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-eqy.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-formal - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-formal.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-formal.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-graphviz - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-graphviz.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-graphviz.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-gtkwave - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-gtkwave.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-gtkwave.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-icestorm - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-icestorm.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-icestorm.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-iverilog - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-iverilog.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-iverilog.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-nextpnr-ecp5 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-nextpnr-ecp5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-nextpnr-ecp5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-nextpnr-generic - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-nextpnr-generic.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-nextpnr-generic.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-nextpnr-gowin - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-nextpnr-gowin.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-nextpnr-gowin.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-nextpnr-himbaechel - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-nextpnr-himbaechel.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-nextpnr-himbaechel.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-nextpnr-ice40 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-nextpnr-ice40.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-nextpnr-ice40.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-nextpnr-machxo2 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-nextpnr-machxo2.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-nextpnr-machxo2.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-nextpnr-nexus - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-nextpnr-nexus.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-nextpnr-nexus.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-openfpgaloader - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-openfpgaloader.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-openfpgaloader.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-pono - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-pono.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-pono.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-prjoxide - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-prjoxide.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-prjoxide.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-prjtrellis - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-prjtrellis.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-prjtrellis.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-pyhdl - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-pyhdl.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-pyhdl.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-python-programmers - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python-programmers.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python-programmers.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-system-resources - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-system-resources.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-system-resources.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-utils - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-utils.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-utils.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-verilator - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-verilator.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-verilator.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-xdot - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-xdot.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-xdot.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-yices - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-yices.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-yices.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-yosys - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-yosys.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-yosys.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-arm64-z3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-z3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-z3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-arm64 --target=default --single - uses: ncipollo/release-action@v1 diff --git a/.github/workflows/darwin-x64.yml b/.github/workflows/darwin-x64.yml index c5b0fda..1e4e699 100644 --- a/.github/workflows/darwin-x64.yml +++ b/.github/workflows/darwin-x64.yml @@ -14,7 +14,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -23,14 +23,14 @@ jobs: key: cache-sources-python3 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-x64 --target=python3 --single --tar - uses: ncipollo/release-action@v1 @@ -51,7 +51,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -60,16 +60,16 @@ jobs: key: cache-sources-xdot - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-xdot.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-xdot.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-x64 --target=xdot --single --tar - uses: ncipollo/release-action@v1 @@ -89,7 +89,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -98,7 +98,7 @@ jobs: key: cache-sources-graphviz - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-graphviz.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-graphviz.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -123,7 +123,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -132,14 +132,14 @@ jobs: key: cache-sources-yosys - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-yosys.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-yosys.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-abc - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-abc.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-abc.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-x64 --target=yosys --single --tar - uses: ncipollo/release-action@v1 @@ -160,7 +160,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -169,16 +169,16 @@ jobs: key: cache-sources-formal - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-formal.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-formal.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-x64 --target=formal --single --tar - uses: ncipollo/release-action@v1 @@ -198,7 +198,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -233,7 +233,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -251,7 +251,7 @@ jobs: - name: Download darwin-x64-ghdl run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-ghdl.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-yosys - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-yosys.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-yosys.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-x64 --target=ghdl-yosys-plugin --single --tar - uses: ncipollo/release-action@v1 @@ -272,7 +272,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -281,16 +281,16 @@ jobs: key: cache-sources-nextpnr-generic - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-nextpnr-generic.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-nextpnr-generic.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-x64 --target=nextpnr-generic --single --tar - uses: ncipollo/release-action@v1 @@ -311,7 +311,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -320,18 +320,18 @@ jobs: key: cache-sources-nextpnr-ice40 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-nextpnr-ice40.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-nextpnr-ice40.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-icestorm-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-icestorm-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-icestorm-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-x64 --target=nextpnr-ice40 --single --tar - uses: ncipollo/release-action@v1 @@ -352,7 +352,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -361,18 +361,18 @@ jobs: key: cache-sources-nextpnr-ecp5 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-nextpnr-ecp5.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-nextpnr-ecp5.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-prjtrellis-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjtrellis-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjtrellis-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-x64 --target=nextpnr-ecp5 --single --tar - uses: ncipollo/release-action@v1 @@ -393,7 +393,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -402,18 +402,18 @@ jobs: key: cache-sources-nextpnr-machxo2 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-nextpnr-machxo2.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-nextpnr-machxo2.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-prjtrellis-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjtrellis-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjtrellis-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-x64 --target=nextpnr-machxo2 --single --tar - uses: ncipollo/release-action@v1 @@ -434,7 +434,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -443,18 +443,18 @@ jobs: key: cache-sources-nextpnr-nexus - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-nextpnr-nexus.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-nextpnr-nexus.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-prjoxide-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjoxide-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjoxide-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-x64 --target=nextpnr-nexus --single --tar - uses: ncipollo/release-action@v1 @@ -475,7 +475,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -484,18 +484,18 @@ jobs: key: cache-sources-nextpnr-gowin - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-nextpnr-gowin.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-nextpnr-gowin.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-apicula-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-apicula-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-apicula-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-x64 --target=nextpnr-gowin --single --tar - uses: ncipollo/release-action@v1 @@ -516,7 +516,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -525,20 +525,20 @@ jobs: key: cache-sources-nextpnr-himbaechel - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-nextpnr-himbaechel.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-nextpnr-himbaechel.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-apicula-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-apicula-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-apicula-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-x64 --target=nextpnr-himbaechel --single --tar - uses: ncipollo/release-action@v1 @@ -558,7 +558,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -567,7 +567,7 @@ jobs: key: cache-sources-icestorm - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-icestorm.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-icestorm.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -592,7 +592,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -601,7 +601,7 @@ jobs: key: cache-sources-prjtrellis - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-prjtrellis.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-prjtrellis.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -626,7 +626,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -635,7 +635,7 @@ jobs: key: cache-sources-prjoxide - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-prjoxide.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-prjoxide.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -661,7 +661,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -670,16 +670,16 @@ jobs: key: cache-sources-apicula - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-apicula.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-apicula.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-x64 --target=apicula --single --tar - uses: ncipollo/release-action@v1 @@ -699,7 +699,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -708,7 +708,7 @@ jobs: key: cache-sources-openfpgaloader - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-openfpgaloader.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-openfpgaloader.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -733,7 +733,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -742,7 +742,7 @@ jobs: key: cache-sources-picosat - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-picosat.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-picosat.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -768,7 +768,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -777,14 +777,14 @@ jobs: key: cache-sources-aiger - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-aiger.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-aiger.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-x64-picosat - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-picosat.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-picosat.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-x64 --target=aiger --single --tar - uses: ncipollo/release-action@v1 @@ -804,7 +804,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -813,7 +813,7 @@ jobs: key: cache-sources-avy - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-avy.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-avy.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -838,7 +838,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -847,7 +847,7 @@ jobs: key: cache-sources-lingeling - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-lingeling.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-lingeling.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -872,7 +872,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -881,7 +881,7 @@ jobs: key: cache-sources-cadical - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-cadical.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-cadical.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -906,7 +906,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -915,7 +915,7 @@ jobs: key: cache-sources-btor2tools - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-btor2tools.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-btor2tools.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -941,7 +941,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -950,20 +950,20 @@ jobs: key: cache-sources-bitwuzla - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-bitwuzla.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-bitwuzla.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-x64-btor2tools - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-btor2tools.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-btor2tools.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-cadical - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-cadical.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-cadical.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-lingeling - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-lingeling.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-lingeling.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-symfpu - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-symfpu.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-symfpu.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-x64 --target=bitwuzla --single --tar - uses: ncipollo/release-action@v1 @@ -984,7 +984,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -993,18 +993,18 @@ jobs: key: cache-sources-boolector - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-boolector.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-boolector.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-x64-btor2tools - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-btor2tools.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-btor2tools.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-cadical - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-cadical.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-cadical.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-lingeling - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-lingeling.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-lingeling.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-x64 --target=boolector --single --tar - uses: ncipollo/release-action@v1 @@ -1024,7 +1024,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1033,7 +1033,7 @@ jobs: key: cache-sources-cvc4 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-cvc4.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-cvc4.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1058,7 +1058,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1067,7 +1067,7 @@ jobs: key: cache-sources-libpoly - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-libpoly.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-libpoly.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1093,7 +1093,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1102,18 +1102,18 @@ jobs: key: cache-sources-cvc5 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-cvc5.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-cvc5.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-x64-cadical - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-cadical.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-cadical.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-libpoly - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-libpoly.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-libpoly.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-symfpu - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-symfpu.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-symfpu.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-x64 --target=cvc5 --single --tar - uses: ncipollo/release-action@v1 @@ -1133,7 +1133,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1142,7 +1142,7 @@ jobs: key: cache-sources-yices - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-yices.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-yices.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1168,7 +1168,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1177,16 +1177,16 @@ jobs: key: cache-sources-smt-switch - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-smt-switch.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-smt-switch.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-x64-boolector - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-boolector.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-boolector.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-cvc5 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-cvc5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-cvc5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-x64 --target=smt-switch --single --tar - uses: ncipollo/release-action@v1 @@ -1207,7 +1207,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1216,18 +1216,18 @@ jobs: key: cache-sources-pono - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-pono.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-pono.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-x64-boolector - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-boolector.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-boolector.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-cvc5 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-cvc5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-cvc5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-smt-switch - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-smt-switch.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-smt-switch.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-x64 --target=pono --single --tar - uses: ncipollo/release-action@v1 @@ -1247,7 +1247,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1256,7 +1256,7 @@ jobs: key: cache-sources-z3 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-z3.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-z3.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1282,7 +1282,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1291,14 +1291,14 @@ jobs: key: cache-sources-eqy - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-eqy.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-eqy.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-x64-yosys - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-yosys.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-yosys.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-x64 --target=eqy --single --tar - uses: ncipollo/release-action@v1 @@ -1318,7 +1318,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1327,7 +1327,7 @@ jobs: key: cache-sources-gtkwave - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-gtkwave.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-gtkwave.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1352,7 +1352,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1361,7 +1361,7 @@ jobs: key: cache-sources-verilator - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-verilator.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-verilator.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1386,7 +1386,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1395,7 +1395,7 @@ jobs: key: cache-sources-iverilog - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-iverilog.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-iverilog.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1421,7 +1421,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1430,16 +1430,16 @@ jobs: key: cache-sources-python-programmers - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python-programmers.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python-programmers.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-x64 --target=python-programmers --single --tar - uses: ncipollo/release-action@v1 @@ -1459,7 +1459,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1468,7 +1468,7 @@ jobs: key: cache-sources-utils - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-utils.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-utils.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1494,7 +1494,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1503,16 +1503,16 @@ jobs: key: cache-sources-pyhdl - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-pyhdl.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-pyhdl.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-x64 --target=pyhdl --single --tar - uses: ncipollo/release-action@v1 @@ -1533,7 +1533,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1542,16 +1542,16 @@ jobs: key: cache-sources-cocotb - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-cocotb.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-cocotb.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download darwin-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-x64 --target=cocotb --single --tar - uses: ncipollo/release-action@v1 @@ -1571,7 +1571,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1580,7 +1580,7 @@ jobs: key: cache-sources-system-resources - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-system-resources.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-system-resources.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1608,81 +1608,81 @@ jobs: run: echo "date=$(date +'%Y-%m-%d')" >> $GITHUB_OUTPUT - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Download darwin-x64-aiger - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-aiger.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-aiger.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-apicula - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-apicula.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-apicula.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-avy - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-avy.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-avy.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-bitwuzla - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-bitwuzla.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-bitwuzla.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-boolector - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-boolector.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-boolector.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-cocotb - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-cocotb.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-cocotb.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-cvc4 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-cvc4.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-cvc4.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-cvc5 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-cvc5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-cvc5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-eqy - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-eqy.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-eqy.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-formal - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-formal.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-formal.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-ghdl run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-ghdl.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-ghdl-yosys-plugin run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-ghdl-yosys-plugin.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-graphviz - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-graphviz.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-graphviz.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-gtkwave - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-gtkwave.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-gtkwave.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-icestorm - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-icestorm.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-icestorm.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-iverilog - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-iverilog.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-iverilog.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-nextpnr-ecp5 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-nextpnr-ecp5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-nextpnr-ecp5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-nextpnr-generic - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-nextpnr-generic.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-nextpnr-generic.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-nextpnr-gowin - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-nextpnr-gowin.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-nextpnr-gowin.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-nextpnr-himbaechel - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-nextpnr-himbaechel.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-nextpnr-himbaechel.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-nextpnr-ice40 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-nextpnr-ice40.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-nextpnr-ice40.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-nextpnr-machxo2 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-nextpnr-machxo2.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-nextpnr-machxo2.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-nextpnr-nexus - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-nextpnr-nexus.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-nextpnr-nexus.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-openfpgaloader - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-openfpgaloader.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-openfpgaloader.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-pono - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-pono.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-pono.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-prjoxide - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-prjoxide.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-prjoxide.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-prjtrellis - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-prjtrellis.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-prjtrellis.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-pyhdl - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-pyhdl.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-pyhdl.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-python-programmers - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python-programmers.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python-programmers.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-system-resources - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-system-resources.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-system-resources.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-utils - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-utils.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-utils.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-verilator - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-verilator.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-verilator.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-xdot - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-xdot.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-xdot.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-yices - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-yices.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-yices.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-yosys - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-yosys.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-yosys.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download darwin-x64-z3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-z3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-x64/darwin-x64-z3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=darwin-x64 --target=default --single - uses: ncipollo/release-action@v1 diff --git a/.github/workflows/linux-arm64.yml b/.github/workflows/linux-arm64.yml index 1422488..1db6b1c 100644 --- a/.github/workflows/linux-arm64.yml +++ b/.github/workflows/linux-arm64.yml @@ -14,7 +14,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -23,14 +23,14 @@ jobs: key: cache-sources-python3 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-arm64 --target=python3 --single --tar - uses: ncipollo/release-action@v1 @@ -51,7 +51,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -60,16 +60,16 @@ jobs: key: cache-sources-xdot - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-xdot.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-xdot.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-arm64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-arm64 --target=xdot --single --tar - uses: ncipollo/release-action@v1 @@ -89,7 +89,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -98,7 +98,7 @@ jobs: key: cache-sources-graphviz - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-graphviz.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-graphviz.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -123,7 +123,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -139,7 +139,7 @@ jobs: echo "Previous version not found in bucket" fi - name: Download linux-x64-abc - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-abc.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-abc.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-arm64 --target=yosys --single --tar - uses: ncipollo/release-action@v1 @@ -160,7 +160,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -169,16 +169,16 @@ jobs: key: cache-sources-formal - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-formal.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-formal.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-arm64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-arm64 --target=formal --single --tar - uses: ncipollo/release-action@v1 @@ -199,7 +199,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -208,16 +208,16 @@ jobs: key: cache-sources-nextpnr-generic - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-generic.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-generic.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-arm64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-arm64 --target=nextpnr-generic --single --tar - uses: ncipollo/release-action@v1 @@ -238,7 +238,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -247,18 +247,18 @@ jobs: key: cache-sources-nextpnr-ice40 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-ice40.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-ice40.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-arm64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-icestorm-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-icestorm-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-icestorm-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-arm64 --target=nextpnr-ice40 --single --tar - uses: ncipollo/release-action@v1 @@ -279,7 +279,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -288,18 +288,18 @@ jobs: key: cache-sources-nextpnr-ecp5 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-ecp5.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-ecp5.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-arm64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-prjtrellis-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjtrellis-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjtrellis-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-arm64 --target=nextpnr-ecp5 --single --tar - uses: ncipollo/release-action@v1 @@ -320,7 +320,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -329,18 +329,18 @@ jobs: key: cache-sources-nextpnr-machxo2 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-machxo2.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-machxo2.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-arm64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-prjtrellis-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjtrellis-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjtrellis-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-arm64 --target=nextpnr-machxo2 --single --tar - uses: ncipollo/release-action@v1 @@ -361,7 +361,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -370,18 +370,18 @@ jobs: key: cache-sources-nextpnr-nexus - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-nexus.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-nexus.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-arm64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-prjoxide-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjoxide-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjoxide-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-arm64 --target=nextpnr-nexus --single --tar - uses: ncipollo/release-action@v1 @@ -402,7 +402,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -411,18 +411,18 @@ jobs: key: cache-sources-nextpnr-gowin - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-gowin.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-gowin.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-arm64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-apicula-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-apicula-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-apicula-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-arm64 --target=nextpnr-gowin --single --tar - uses: ncipollo/release-action@v1 @@ -443,7 +443,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -452,20 +452,20 @@ jobs: key: cache-sources-nextpnr-himbaechel - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-himbaechel.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-himbaechel.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-arm64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-apicula-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-apicula-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-apicula-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-arm64 --target=nextpnr-himbaechel --single --tar - uses: ncipollo/release-action@v1 @@ -485,7 +485,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -494,7 +494,7 @@ jobs: key: cache-sources-icestorm - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-icestorm.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-icestorm.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -519,7 +519,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -528,7 +528,7 @@ jobs: key: cache-sources-prjtrellis - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-prjtrellis.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-prjtrellis.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -553,7 +553,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -562,7 +562,7 @@ jobs: key: cache-sources-prjoxide - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-prjoxide.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-prjoxide.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -588,7 +588,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -597,16 +597,16 @@ jobs: key: cache-sources-apicula - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-apicula.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-apicula.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-arm64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-arm64 --target=apicula --single --tar - uses: ncipollo/release-action@v1 @@ -626,7 +626,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -635,7 +635,7 @@ jobs: key: cache-sources-openfpgaloader - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-openfpgaloader.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-openfpgaloader.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -660,7 +660,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -669,7 +669,7 @@ jobs: key: cache-sources-picosat - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-picosat.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-picosat.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -695,7 +695,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -704,14 +704,14 @@ jobs: key: cache-sources-aiger - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-aiger.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-aiger.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-arm64-picosat - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-picosat.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-picosat.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-arm64 --target=aiger --single --tar - uses: ncipollo/release-action@v1 @@ -731,7 +731,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -740,7 +740,7 @@ jobs: key: cache-sources-avy - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-avy.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-avy.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -765,7 +765,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -774,7 +774,7 @@ jobs: key: cache-sources-lingeling - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-lingeling.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-lingeling.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -799,7 +799,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -808,7 +808,7 @@ jobs: key: cache-sources-cadical - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cadical.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cadical.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -833,7 +833,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -842,7 +842,7 @@ jobs: key: cache-sources-btor2tools - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-btor2tools.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-btor2tools.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -868,7 +868,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -877,20 +877,20 @@ jobs: key: cache-sources-bitwuzla - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-bitwuzla.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-bitwuzla.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-arm64-btor2tools - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-btor2tools.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-btor2tools.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-cadical - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cadical.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cadical.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-lingeling - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-lingeling.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-lingeling.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-symfpu - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-symfpu.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-symfpu.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-arm64 --target=bitwuzla --single --tar - uses: ncipollo/release-action@v1 @@ -911,7 +911,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -920,18 +920,18 @@ jobs: key: cache-sources-boolector - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-boolector.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-boolector.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-arm64-btor2tools - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-btor2tools.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-btor2tools.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-cadical - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cadical.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cadical.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-lingeling - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-lingeling.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-lingeling.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-arm64 --target=boolector --single --tar - uses: ncipollo/release-action@v1 @@ -951,7 +951,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -960,7 +960,7 @@ jobs: key: cache-sources-cvc4 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cvc4.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cvc4.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -985,7 +985,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -994,7 +994,7 @@ jobs: key: cache-sources-libpoly - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-libpoly.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-libpoly.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1020,7 +1020,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1029,18 +1029,18 @@ jobs: key: cache-sources-cvc5 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cvc5.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cvc5.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-arm64-cadical - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cadical.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cadical.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-libpoly - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-libpoly.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-libpoly.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-symfpu - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-symfpu.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-symfpu.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-arm64 --target=cvc5 --single --tar - uses: ncipollo/release-action@v1 @@ -1060,7 +1060,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1069,7 +1069,7 @@ jobs: key: cache-sources-yices - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-yices.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-yices.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1094,7 +1094,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1103,14 +1103,14 @@ jobs: key: cache-sources-python2 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python2.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python2.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-python2-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python2-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python2-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-arm64 --target=python2 --single --tar - uses: ncipollo/release-action@v1 @@ -1131,7 +1131,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1140,14 +1140,14 @@ jobs: key: cache-sources-suprove - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-suprove.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-suprove.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-arm64-python2 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python2.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python2.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-arm64 --target=suprove --single --tar - uses: ncipollo/release-action@v1 @@ -1168,7 +1168,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1177,16 +1177,16 @@ jobs: key: cache-sources-smt-switch - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-smt-switch.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-smt-switch.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-arm64-boolector - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-boolector.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-boolector.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-cvc5 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cvc5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cvc5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-arm64 --target=smt-switch --single --tar - uses: ncipollo/release-action@v1 @@ -1207,7 +1207,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1216,18 +1216,18 @@ jobs: key: cache-sources-pono - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-pono.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-pono.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-arm64-boolector - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-boolector.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-boolector.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-cvc5 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cvc5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cvc5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-smt-switch - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-smt-switch.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-smt-switch.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-arm64 --target=pono --single --tar - uses: ncipollo/release-action@v1 @@ -1247,7 +1247,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1256,7 +1256,7 @@ jobs: key: cache-sources-z3 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-z3.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-z3.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1282,7 +1282,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1291,7 +1291,7 @@ jobs: key: cache-sources-eqy - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-eqy.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-eqy.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1318,7 +1318,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1327,7 +1327,7 @@ jobs: key: cache-sources-gtkwave - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-gtkwave.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-gtkwave.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1352,7 +1352,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1361,7 +1361,7 @@ jobs: key: cache-sources-verilator - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-verilator.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-verilator.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1386,7 +1386,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1395,7 +1395,7 @@ jobs: key: cache-sources-iverilog - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-iverilog.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-iverilog.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1421,7 +1421,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1430,16 +1430,16 @@ jobs: key: cache-sources-python-programmers - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python-programmers.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python-programmers.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-arm64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-arm64 --target=python-programmers --single --tar - uses: ncipollo/release-action@v1 @@ -1459,7 +1459,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1468,7 +1468,7 @@ jobs: key: cache-sources-utils - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-utils.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-utils.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1494,7 +1494,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1503,16 +1503,16 @@ jobs: key: cache-sources-pyhdl - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-pyhdl.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-pyhdl.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-arm64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-arm64 --target=pyhdl --single --tar - uses: ncipollo/release-action@v1 @@ -1533,7 +1533,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1542,16 +1542,16 @@ jobs: key: cache-sources-cocotb - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cocotb.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cocotb.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-arm64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-arm64 --target=cocotb --single --tar - uses: ncipollo/release-action@v1 @@ -1571,7 +1571,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1580,7 +1580,7 @@ jobs: key: cache-sources-system-resources - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-system-resources.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-system-resources.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1608,81 +1608,81 @@ jobs: run: echo "date=$(date +'%Y-%m-%d')" >> $GITHUB_OUTPUT - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Download linux-arm64-aiger - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-aiger.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-aiger.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-apicula - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-apicula.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-apicula.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-avy - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-avy.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-avy.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-bitwuzla - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-bitwuzla.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-bitwuzla.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-boolector - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-boolector.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-boolector.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-cocotb - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cocotb.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cocotb.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-cvc4 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cvc4.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cvc4.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-cvc5 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cvc5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-cvc5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-eqy - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-eqy.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-eqy.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-formal - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-formal.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-formal.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-graphviz - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-graphviz.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-graphviz.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-gtkwave - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-gtkwave.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-gtkwave.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-icestorm - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-icestorm.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-icestorm.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-iverilog - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-iverilog.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-iverilog.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-nextpnr-ecp5 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-ecp5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-ecp5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-nextpnr-generic - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-generic.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-generic.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-nextpnr-gowin - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-gowin.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-gowin.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-nextpnr-himbaechel - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-himbaechel.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-himbaechel.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-nextpnr-ice40 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-ice40.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-ice40.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-nextpnr-machxo2 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-machxo2.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-machxo2.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-nextpnr-nexus - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-nexus.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-nextpnr-nexus.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-openfpgaloader - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-openfpgaloader.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-openfpgaloader.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-pono - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-pono.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-pono.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-prjoxide - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-prjoxide.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-prjoxide.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-prjtrellis - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-prjtrellis.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-prjtrellis.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-pyhdl - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-pyhdl.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-pyhdl.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-python-programmers - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python-programmers.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python-programmers.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-python2 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python2.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python2.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-suprove - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-suprove.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-suprove.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-system-resources - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-system-resources.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-system-resources.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-utils - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-utils.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-utils.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-verilator - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-verilator.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-verilator.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-xdot - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-xdot.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-xdot.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-yices - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-yices.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-yices.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-yosys run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-yosys.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-arm64-z3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-z3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-arm64/linux-arm64-z3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-arm64 --target=default --single - uses: ncipollo/release-action@v1 diff --git a/.github/workflows/linux-x64.yml b/.github/workflows/linux-x64.yml index d4fc23c..be6a1b5 100644 --- a/.github/workflows/linux-x64.yml +++ b/.github/workflows/linux-x64.yml @@ -1,6 +1,9 @@ name: linux-x64 -on: 'push' +on: + workflow_dispatch: + schedule: + - cron: '30 0 * * *' jobs: linux-x64-abc: @@ -9,7 +12,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -18,7 +21,7 @@ jobs: key: cache-sources-abc - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-abc.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-abc.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -43,7 +46,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -52,7 +55,7 @@ jobs: key: cache-sources-python3-native - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -78,7 +81,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -87,14 +90,14 @@ jobs: key: cache-sources-python3 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-x64 --target=python3 --single --tar - uses: ncipollo/release-action@v1 @@ -115,7 +118,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -124,16 +127,16 @@ jobs: key: cache-sources-xdot - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-xdot.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-xdot.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-x64 --target=xdot --single --tar - uses: ncipollo/release-action@v1 @@ -153,7 +156,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -162,7 +165,7 @@ jobs: key: cache-sources-graphviz - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-graphviz.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-graphviz.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -188,7 +191,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -197,14 +200,14 @@ jobs: key: cache-sources-yosys - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-yosys.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-yosys.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-abc - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-abc.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-abc.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-x64 --target=yosys --single --tar - uses: ncipollo/release-action@v1 @@ -225,7 +228,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -234,16 +237,16 @@ jobs: key: cache-sources-formal - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-formal.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-formal.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-x64 --target=formal --single --tar - uses: ncipollo/release-action@v1 @@ -263,7 +266,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -272,7 +275,7 @@ jobs: key: cache-sources-ghdl - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-ghdl.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-ghdl.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -298,7 +301,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -307,16 +310,16 @@ jobs: key: cache-sources-ghdl-yosys-plugin - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-ghdl-yosys-plugin.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-ghdl-yosys-plugin.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-ghdl - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-ghdl.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-ghdl.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-yosys - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-yosys.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-yosys.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-x64 --target=ghdl-yosys-plugin --single --tar - uses: ncipollo/release-action@v1 @@ -336,7 +339,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -345,7 +348,7 @@ jobs: key: cache-sources-nextpnr-bba - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -371,7 +374,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -380,16 +383,16 @@ jobs: key: cache-sources-nextpnr-generic - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-generic.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-generic.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-x64 --target=nextpnr-generic --single --tar - uses: ncipollo/release-action@v1 @@ -409,7 +412,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -418,7 +421,7 @@ jobs: key: cache-sources-icestorm - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-icestorm.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-icestorm.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -444,7 +447,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -453,14 +456,14 @@ jobs: key: cache-sources-icestorm-bba - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-icestorm-bba.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-icestorm-bba.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-icestorm - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-icestorm.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-icestorm.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-x64 --target=icestorm-bba --single --tar - uses: ncipollo/release-action@v1 @@ -481,7 +484,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -490,18 +493,18 @@ jobs: key: cache-sources-nextpnr-ice40 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-ice40.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-ice40.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-icestorm-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-icestorm-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-icestorm-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-x64 --target=nextpnr-ice40 --single --tar - uses: ncipollo/release-action@v1 @@ -521,7 +524,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -530,7 +533,7 @@ jobs: key: cache-sources-prjtrellis-bba - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjtrellis-bba.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjtrellis-bba.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -556,7 +559,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -565,18 +568,18 @@ jobs: key: cache-sources-nextpnr-ecp5 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-ecp5.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-ecp5.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-prjtrellis-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjtrellis-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjtrellis-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-x64 --target=nextpnr-ecp5 --single --tar - uses: ncipollo/release-action@v1 @@ -597,7 +600,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -606,18 +609,18 @@ jobs: key: cache-sources-nextpnr-machxo2 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-machxo2.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-machxo2.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-prjtrellis-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjtrellis-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjtrellis-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-x64 --target=nextpnr-machxo2 --single --tar - uses: ncipollo/release-action@v1 @@ -637,7 +640,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -646,7 +649,7 @@ jobs: key: cache-sources-prjoxide - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjoxide.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjoxide.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -672,7 +675,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -681,14 +684,14 @@ jobs: key: cache-sources-prjoxide-bba - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjoxide-bba.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjoxide-bba.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-prjoxide - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjoxide.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjoxide.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-x64 --target=prjoxide-bba --single --tar - uses: ncipollo/release-action@v1 @@ -709,7 +712,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -718,18 +721,18 @@ jobs: key: cache-sources-nextpnr-nexus - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-nexus.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-nexus.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-prjoxide-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjoxide-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjoxide-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-x64 --target=nextpnr-nexus --single --tar - uses: ncipollo/release-action@v1 @@ -750,7 +753,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -759,16 +762,16 @@ jobs: key: cache-sources-apicula - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-apicula.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-apicula.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-x64 --target=apicula --single --tar - uses: ncipollo/release-action@v1 @@ -789,7 +792,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -798,16 +801,16 @@ jobs: key: cache-sources-apicula-bba - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-apicula-bba.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-apicula-bba.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-apicula - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-apicula.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-apicula.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-x64 --target=apicula-bba --single --tar - uses: ncipollo/release-action@v1 @@ -828,7 +831,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -837,18 +840,18 @@ jobs: key: cache-sources-nextpnr-gowin - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-gowin.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-gowin.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-apicula-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-apicula-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-apicula-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-x64 --target=nextpnr-gowin --single --tar - uses: ncipollo/release-action@v1 @@ -869,7 +872,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -878,20 +881,20 @@ jobs: key: cache-sources-nextpnr-himbaechel - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-himbaechel.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-himbaechel.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-apicula-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-apicula-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-apicula-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-x64 --target=nextpnr-himbaechel --single --tar - uses: ncipollo/release-action@v1 @@ -911,7 +914,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -920,7 +923,7 @@ jobs: key: cache-sources-prjtrellis - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjtrellis.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjtrellis.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -945,7 +948,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -954,7 +957,7 @@ jobs: key: cache-sources-openfpgaloader - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-openfpgaloader.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-openfpgaloader.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -979,7 +982,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -988,7 +991,7 @@ jobs: key: cache-sources-picosat - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-picosat.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-picosat.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1014,7 +1017,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1023,14 +1026,14 @@ jobs: key: cache-sources-aiger - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-aiger.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-aiger.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-picosat - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-picosat.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-picosat.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-x64 --target=aiger --single --tar - uses: ncipollo/release-action@v1 @@ -1050,7 +1053,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1059,7 +1062,7 @@ jobs: key: cache-sources-avy - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-avy.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-avy.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1084,7 +1087,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1093,7 +1096,7 @@ jobs: key: cache-sources-lingeling - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-lingeling.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-lingeling.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1118,7 +1121,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1127,7 +1130,7 @@ jobs: key: cache-sources-cadical - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-cadical.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-cadical.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1152,7 +1155,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1161,7 +1164,7 @@ jobs: key: cache-sources-btor2tools - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-btor2tools.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-btor2tools.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1186,7 +1189,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1195,7 +1198,7 @@ jobs: key: cache-sources-symfpu - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-symfpu.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-symfpu.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1221,7 +1224,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1230,20 +1233,20 @@ jobs: key: cache-sources-bitwuzla - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-bitwuzla.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-bitwuzla.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-btor2tools - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-btor2tools.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-btor2tools.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-cadical - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-cadical.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-cadical.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-lingeling - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-lingeling.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-lingeling.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-symfpu - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-symfpu.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-symfpu.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-x64 --target=bitwuzla --single --tar - uses: ncipollo/release-action@v1 @@ -1264,7 +1267,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1273,18 +1276,18 @@ jobs: key: cache-sources-boolector - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-boolector.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-boolector.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-btor2tools - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-btor2tools.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-btor2tools.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-cadical - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-cadical.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-cadical.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-lingeling - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-lingeling.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-lingeling.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-x64 --target=boolector --single --tar - uses: ncipollo/release-action@v1 @@ -1304,7 +1307,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1313,7 +1316,7 @@ jobs: key: cache-sources-cvc4 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-cvc4.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-cvc4.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1338,7 +1341,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1347,7 +1350,7 @@ jobs: key: cache-sources-libpoly - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-libpoly.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-libpoly.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1373,7 +1376,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1382,18 +1385,18 @@ jobs: key: cache-sources-cvc5 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-cvc5.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-cvc5.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-cadical - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-cadical.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-cadical.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-libpoly - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-libpoly.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-libpoly.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-symfpu - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-symfpu.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-symfpu.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-x64 --target=cvc5 --single --tar - uses: ncipollo/release-action@v1 @@ -1413,7 +1416,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1422,7 +1425,7 @@ jobs: key: cache-sources-yices - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-yices.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-yices.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1447,7 +1450,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1456,7 +1459,7 @@ jobs: key: cache-sources-python2-native - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python2-native.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python2-native.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1482,7 +1485,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1491,14 +1494,14 @@ jobs: key: cache-sources-python2 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python2.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python2.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-python2-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python2-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python2-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-x64 --target=python2 --single --tar - uses: ncipollo/release-action@v1 @@ -1519,7 +1522,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1528,14 +1531,14 @@ jobs: key: cache-sources-suprove - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-suprove.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-suprove.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-python2 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python2.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python2.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-x64 --target=suprove --single --tar - uses: ncipollo/release-action@v1 @@ -1556,7 +1559,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1565,16 +1568,16 @@ jobs: key: cache-sources-smt-switch - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-smt-switch.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-smt-switch.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-boolector - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-boolector.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-boolector.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-cvc5 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-cvc5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-cvc5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-x64 --target=smt-switch --single --tar - uses: ncipollo/release-action@v1 @@ -1595,7 +1598,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1604,18 +1607,18 @@ jobs: key: cache-sources-pono - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-pono.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-pono.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-boolector - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-boolector.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-boolector.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-cvc5 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-cvc5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-cvc5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-smt-switch - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-smt-switch.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-smt-switch.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-x64 --target=pono --single --tar - uses: ncipollo/release-action@v1 @@ -1635,7 +1638,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1644,7 +1647,7 @@ jobs: key: cache-sources-z3 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-z3.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-z3.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1670,7 +1673,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1679,14 +1682,14 @@ jobs: key: cache-sources-eqy - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-eqy.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-eqy.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-yosys - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-yosys.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-yosys.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-x64 --target=eqy --single --tar - uses: ncipollo/release-action@v1 @@ -1706,7 +1709,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1715,7 +1718,7 @@ jobs: key: cache-sources-gtkwave - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-gtkwave.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-gtkwave.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1740,7 +1743,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1749,7 +1752,7 @@ jobs: key: cache-sources-verilator - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-verilator.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-verilator.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1774,7 +1777,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1783,7 +1786,7 @@ jobs: key: cache-sources-iverilog - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-iverilog.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-iverilog.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1809,7 +1812,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1818,16 +1821,16 @@ jobs: key: cache-sources-python-programmers - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python-programmers.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python-programmers.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-x64 --target=python-programmers --single --tar - uses: ncipollo/release-action@v1 @@ -1847,7 +1850,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1856,7 +1859,7 @@ jobs: key: cache-sources-utils - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-utils.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-utils.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1882,7 +1885,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1891,16 +1894,16 @@ jobs: key: cache-sources-pyhdl - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-pyhdl.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-pyhdl.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-x64 --target=pyhdl --single --tar - uses: ncipollo/release-action@v1 @@ -1921,7 +1924,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1930,16 +1933,16 @@ jobs: key: cache-sources-cocotb - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-cocotb.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-cocotb.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-x64 --target=cocotb --single --tar - uses: ncipollo/release-action@v1 @@ -1959,7 +1962,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1968,7 +1971,7 @@ jobs: key: cache-sources-system-resources - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-system-resources.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-system-resources.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1996,85 +1999,85 @@ jobs: run: echo "date=$(date +'%Y-%m-%d')" >> $GITHUB_OUTPUT - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Download linux-x64-aiger - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-aiger.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-aiger.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-apicula - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-apicula.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-apicula.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-avy - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-avy.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-avy.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-bitwuzla - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-bitwuzla.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-bitwuzla.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-boolector - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-boolector.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-boolector.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-cocotb - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-cocotb.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-cocotb.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-cvc4 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-cvc4.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-cvc4.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-cvc5 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-cvc5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-cvc5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-eqy - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-eqy.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-eqy.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-formal - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-formal.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-formal.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-ghdl - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-ghdl.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-ghdl.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-ghdl-yosys-plugin - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-ghdl-yosys-plugin.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-ghdl-yosys-plugin.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-graphviz - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-graphviz.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-graphviz.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-gtkwave - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-gtkwave.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-gtkwave.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-icestorm - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-icestorm.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-icestorm.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-iverilog - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-iverilog.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-iverilog.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-ecp5 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-ecp5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-ecp5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-generic - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-generic.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-generic.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-gowin - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-gowin.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-gowin.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-himbaechel - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-himbaechel.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-himbaechel.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-ice40 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-ice40.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-ice40.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-machxo2 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-machxo2.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-machxo2.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-nexus - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-nexus.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-nexus.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-openfpgaloader - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-openfpgaloader.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-openfpgaloader.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-pono - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-pono.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-pono.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-prjoxide - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjoxide.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjoxide.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-prjtrellis - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjtrellis.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjtrellis.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-pyhdl - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-pyhdl.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-pyhdl.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python-programmers - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python-programmers.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python-programmers.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python2 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python2.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python2.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-suprove - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-suprove.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-suprove.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-system-resources - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-system-resources.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-system-resources.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-utils - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-utils.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-utils.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-verilator - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-verilator.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-verilator.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-xdot - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-xdot.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-xdot.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-yices - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-yices.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-yices.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-yosys - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-yosys.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-yosys.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-z3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-z3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-z3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=linux-x64 --target=default --single - uses: ncipollo/release-action@v1 diff --git a/.github/workflows/windows-x64.yml b/.github/workflows/windows-x64.yml index bd1e0db..d717b0a 100644 --- a/.github/workflows/windows-x64.yml +++ b/.github/workflows/windows-x64.yml @@ -14,7 +14,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -23,14 +23,14 @@ jobs: key: cache-sources-yosys - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-yosys.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-yosys.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-abc - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-abc.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-abc.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=windows-x64 --target=yosys --single --tar - uses: ncipollo/release-action@v1 @@ -50,7 +50,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -59,14 +59,14 @@ jobs: key: cache-sources-python3 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=windows-x64 --target=python3 --single --tar - uses: ncipollo/release-action@v1 @@ -87,7 +87,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -96,16 +96,16 @@ jobs: key: cache-sources-formal - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-formal.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-formal.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=windows-x64 --target=formal --single --tar - uses: ncipollo/release-action@v1 @@ -126,7 +126,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -135,16 +135,16 @@ jobs: key: cache-sources-nextpnr-generic - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-generic.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-generic.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=windows-x64 --target=nextpnr-generic --single --tar - uses: ncipollo/release-action@v1 @@ -165,7 +165,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -174,18 +174,18 @@ jobs: key: cache-sources-nextpnr-ice40 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-ice40.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-ice40.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-icestorm-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-icestorm-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-icestorm-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=windows-x64 --target=nextpnr-ice40 --single --tar - uses: ncipollo/release-action@v1 @@ -206,7 +206,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -215,18 +215,18 @@ jobs: key: cache-sources-nextpnr-ecp5 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-ecp5.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-ecp5.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-prjtrellis-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjtrellis-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjtrellis-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=windows-x64 --target=nextpnr-ecp5 --single --tar - uses: ncipollo/release-action@v1 @@ -247,7 +247,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -256,18 +256,18 @@ jobs: key: cache-sources-nextpnr-machxo2 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-machxo2.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-machxo2.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-prjtrellis-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjtrellis-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjtrellis-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=windows-x64 --target=nextpnr-machxo2 --single --tar - uses: ncipollo/release-action@v1 @@ -288,7 +288,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -297,18 +297,18 @@ jobs: key: cache-sources-nextpnr-nexus - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-nexus.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-nexus.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-prjoxide-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjoxide-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-prjoxide-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=windows-x64 --target=nextpnr-nexus --single --tar - uses: ncipollo/release-action@v1 @@ -329,7 +329,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -338,18 +338,18 @@ jobs: key: cache-sources-nextpnr-gowin - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-gowin.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-gowin.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-apicula-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-apicula-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-apicula-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=windows-x64 --target=nextpnr-gowin --single --tar - uses: ncipollo/release-action@v1 @@ -370,7 +370,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -379,20 +379,20 @@ jobs: key: cache-sources-nextpnr-himbaechel - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-himbaechel.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-himbaechel.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-apicula-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-apicula-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-apicula-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-nextpnr-bba - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=windows-x64 --target=nextpnr-himbaechel --single --tar - uses: ncipollo/release-action@v1 @@ -412,7 +412,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -421,7 +421,7 @@ jobs: key: cache-sources-icestorm - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-icestorm.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-icestorm.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -446,7 +446,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -455,7 +455,7 @@ jobs: key: cache-sources-prjtrellis - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-prjtrellis.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-prjtrellis.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -480,7 +480,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -489,7 +489,7 @@ jobs: key: cache-sources-prjoxide - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-prjoxide.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-prjoxide.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -515,7 +515,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -524,16 +524,16 @@ jobs: key: cache-sources-apicula - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-apicula.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-apicula.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=windows-x64 --target=apicula --single --tar - uses: ncipollo/release-action@v1 @@ -553,7 +553,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -562,7 +562,7 @@ jobs: key: cache-sources-openfpgaloader - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-openfpgaloader.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-openfpgaloader.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -587,7 +587,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -596,7 +596,7 @@ jobs: key: cache-sources-avy - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-avy.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-avy.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -621,7 +621,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -630,7 +630,7 @@ jobs: key: cache-sources-lingeling - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-lingeling.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-lingeling.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -655,7 +655,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -664,7 +664,7 @@ jobs: key: cache-sources-cadical - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-cadical.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-cadical.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -689,7 +689,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -698,7 +698,7 @@ jobs: key: cache-sources-btor2tools - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-btor2tools.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-btor2tools.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -724,7 +724,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -733,20 +733,20 @@ jobs: key: cache-sources-bitwuzla - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-bitwuzla.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-bitwuzla.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-symfpu - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-symfpu.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-symfpu.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-btor2tools - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-btor2tools.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-btor2tools.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-cadical - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-cadical.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-cadical.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-lingeling - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-lingeling.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-lingeling.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=windows-x64 --target=bitwuzla --single --tar - uses: ncipollo/release-action@v1 @@ -767,7 +767,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -776,18 +776,18 @@ jobs: key: cache-sources-boolector - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-boolector.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-boolector.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download windows-x64-btor2tools - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-btor2tools.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-btor2tools.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-cadical - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-cadical.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-cadical.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-lingeling - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-lingeling.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-lingeling.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=windows-x64 --target=boolector --single --tar - uses: ncipollo/release-action@v1 @@ -807,7 +807,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -816,7 +816,7 @@ jobs: key: cache-sources-cvc4 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-cvc4.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-cvc4.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -841,7 +841,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -850,7 +850,7 @@ jobs: key: cache-sources-libpoly - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-libpoly.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-libpoly.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -876,7 +876,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -885,18 +885,18 @@ jobs: key: cache-sources-cvc5 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-cvc5.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-cvc5.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-symfpu - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-symfpu.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-symfpu.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-cadical - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-cadical.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-cadical.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-libpoly - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-libpoly.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-libpoly.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=windows-x64 --target=cvc5 --single --tar - uses: ncipollo/release-action@v1 @@ -916,7 +916,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -925,7 +925,7 @@ jobs: key: cache-sources-yices - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-yices.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-yices.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -951,7 +951,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -960,16 +960,16 @@ jobs: key: cache-sources-smt-switch - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-smt-switch.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-smt-switch.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download windows-x64-boolector - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-boolector.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-boolector.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-cvc5 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-cvc5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-cvc5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=windows-x64 --target=smt-switch --single --tar - uses: ncipollo/release-action@v1 @@ -990,7 +990,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -999,18 +999,18 @@ jobs: key: cache-sources-pono - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-pono.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-pono.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download windows-x64-boolector - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-boolector.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-boolector.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-cvc5 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-cvc5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-cvc5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-smt-switch - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-smt-switch.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-smt-switch.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=windows-x64 --target=pono --single --tar - uses: ncipollo/release-action@v1 @@ -1030,7 +1030,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1039,7 +1039,7 @@ jobs: key: cache-sources-z3 - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-z3.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-z3.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1065,7 +1065,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1074,14 +1074,14 @@ jobs: key: cache-sources-eqy - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-eqy.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-eqy.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download windows-x64-yosys - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-yosys.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-yosys.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=windows-x64 --target=eqy --single --tar - uses: ncipollo/release-action@v1 @@ -1101,7 +1101,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1110,7 +1110,7 @@ jobs: key: cache-sources-gtkwave - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-gtkwave.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-gtkwave.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1135,7 +1135,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1144,7 +1144,7 @@ jobs: key: cache-sources-verilator - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-verilator.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-verilator.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1169,7 +1169,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1178,7 +1178,7 @@ jobs: key: cache-sources-iverilog - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-iverilog.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-iverilog.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1203,7 +1203,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1238,7 +1238,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1247,16 +1247,16 @@ jobs: key: cache-sources-pyhdl - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-pyhdl.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-pyhdl.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else echo "Previous version not found in bucket" fi - name: Download linux-x64-python3-native - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=windows-x64 --target=pyhdl --single --tar - uses: ncipollo/release-action@v1 @@ -1276,7 +1276,7 @@ jobs: steps: - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Cache sources id: cache-sources uses: actions/cache@v4 @@ -1285,7 +1285,7 @@ jobs: key: cache-sources-system-resources - name: Download previous build run: | - URL="https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-system-resources.tgz" + URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-system-resources.tgz" if wget --spider "${URL}" 2>/dev/null; then wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - else @@ -1313,67 +1313,67 @@ jobs: run: echo "date=$(date +'%Y-%m-%d')" >> $GITHUB_OUTPUT - uses: actions/checkout@v4 with: - repository: 'lushaylabs/oss-cad-suite-build' + repository: 'yosyshq/oss-cad-suite-build' - name: Download windows-x64-apicula - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-apicula.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-apicula.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-avy - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-avy.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-avy.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-bitwuzla - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-bitwuzla.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-bitwuzla.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-boolector - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-boolector.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-boolector.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-cvc4 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-cvc4.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-cvc4.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-cvc5 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-cvc5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-cvc5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-eqy - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-eqy.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-eqy.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-formal - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-formal.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-formal.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-gtkwave - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-gtkwave.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-gtkwave.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-icestorm - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-icestorm.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-icestorm.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-iverilog - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-iverilog.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-iverilog.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-nextpnr-ecp5 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-ecp5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-ecp5.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-nextpnr-generic - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-generic.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-generic.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-nextpnr-gowin - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-gowin.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-gowin.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-nextpnr-himbaechel - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-himbaechel.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-himbaechel.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-nextpnr-ice40 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-ice40.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-ice40.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-nextpnr-machxo2 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-machxo2.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-machxo2.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-nextpnr-nexus - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-nexus.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-nextpnr-nexus.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-openfpgaloader - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-openfpgaloader.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-openfpgaloader.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-pono - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-pono.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-pono.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-prjoxide - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-prjoxide.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-prjoxide.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-prjtrellis - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-prjtrellis.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-prjtrellis.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-pyhdl - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-pyhdl.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-pyhdl.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-python3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-system-resources - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-system-resources.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-system-resources.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-utils run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-utils.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-verilator - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-verilator.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-verilator.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-yices - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-yices.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-yices.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-yosys - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-yosys.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-yosys.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Download windows-x64-z3 - run: wget -qO- "https://github.com/lushaylabs/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-z3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - + run: wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-windows-x64/windows-x64-z3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - - name: Build run: ./builder.py build --arch=windows-x64 --target=default --single - uses: ncipollo/release-action@v1