From b73cf3c671792d0895dcf295db330bb7b08b1b0f Mon Sep 17 00:00:00 2001 From: Istvan-Zsolt Szekely Date: Fri, 1 Nov 2024 14:55:23 +0000 Subject: [PATCH] logger: Updated logger - Categorized verbosity levels - Updated projects and libraries - Set the default verbosity level none for test_programs Signed-off-by: Istvan-Zsolt Szekely --- library/drivers/common/scoreboard.sv | 8 +- library/drivers/common/watchdog.sv | 2 +- library/drivers/common/x_monitor.sv | 4 +- library/drivers/dmac/dma_trans.sv | 36 +++---- library/drivers/dmac/dmac_api.sv | 24 ++--- library/drivers/jesd/adi_jesd204_pkg.sv | 50 +++++----- library/drivers/xcvr/adi_xcvr_pkg.sv | 38 ++++---- library/regmaps/adi_peripheral_pkg.sv | 2 +- library/regmaps/adi_regmap_pkg.sv | 2 +- library/utilities/logger_pkg.sv | 14 ++- library/utilities/utils.svh | 2 + library/vip/adi/spi_vip/adi_spi_vip_pkg.sv | 4 +- library/vip/amd/m_axi_sequencer.sv | 4 +- library/vip/amd/m_axis_sequencer.sv | 36 +++---- testbenches/ip/axi_tdd/tests/test_program.sv | 4 +- testbenches/ip/base/tests/test_program.sv | 2 +- testbenches/ip/data_offload/do_scoreboard.sv | 24 ++--- testbenches/ip/data_offload/environment.sv | 2 +- .../ip/data_offload/tests/test_program.sv | 20 ++-- .../ip/data_offload_2/data_offload_pkg.sv | 2 +- .../ip/data_offload_2/do_scoreboard.sv | 8 +- testbenches/ip/data_offload_2/environment.sv | 2 +- .../ip/data_offload_2/tests/test_program.sv | 8 +- .../data_offload_2/tests/test_program_sync.sv | 8 +- .../ip/dma_loopback/tests/test_program.sv | 2 +- .../ip/dma_sg/tests/test_program_1d.sv | 2 +- .../ip/dma_sg/tests/test_program_2d.sv | 2 +- .../ip/dma_sg/tests/test_program_tr_queue.sv | 2 +- testbenches/ip/hbm/tests/test_program.sv | 2 +- .../ip/i3c_controller/tests/test_program.sv | 96 +++++++++---------- .../ip/jesd_loopback/tests/test_program.sv | 38 ++++---- .../jesd_loopback_64b/tests/test_program.sv | 2 +- .../ip/scoreboard/tests/test_program.sv | 32 +++---- .../ip/spi_engine/tests/test_program.sv | 44 ++++----- .../ip/spi_engine/tests/test_sleep_delay.sv | 38 ++++---- .../ip/util_pack/tests/test_program.sv | 12 +-- .../project/ad463x/tests/test_program.sv | 28 +++--- .../project/ad57xx/tests/test_program.sv | 32 +++---- .../project/ad738x/tests/test_program.sv | 28 +++--- .../project/ad7606x/tests/test_program.sv | 8 +- .../project/ad7616/tests/test_program_pi.sv | 10 +- .../project/ad7616/tests/test_program_si.sv | 28 +++--- .../project/ad9083/tests/test_program.sv | 2 +- .../ad_quadmxfe1_ebz/tests/test_dma.sv | 2 +- .../ad_quadmxfe1_ebz/tests/test_program.sv | 26 ++--- .../tests/test_program_64b66b.sv | 12 +-- .../project/adrv9001/tests/test_program.sv | 4 +- .../project/adrv9009/tests/test_program.sv | 4 +- .../project/fmcomms2/tests/test_program.sv | 4 +- .../project/mxfe/tests/test_program.sv | 26 ++--- .../project/pluto/tests/test_program.sv | 2 +- .../pulsar_adc_pmdz/tests/test_program.sv | 30 +++--- 52 files changed, 412 insertions(+), 412 deletions(-) diff --git a/library/drivers/common/scoreboard.sv b/library/drivers/common/scoreboard.sv index c971c0e3..640dabab 100644 --- a/library/drivers/common/scoreboard.sv +++ b/library/drivers/common/scoreboard.sv @@ -139,7 +139,7 @@ package scoreboard_pkg; this.source_byte_stream.push_front(source_byte); end this.source_byte_stream_size += this.source_monitor.mailbox.num(); - this.info($sformatf("Source transaction received, size: %d - %d", this.source_monitor.mailbox.num(), this.source_byte_stream_size), ADI_VERBOSITY_DEBUG); + this.info($sformatf("Source transaction received, size: %d - %d", this.source_monitor.mailbox.num(), this.source_byte_stream_size), ADI_VERBOSITY_MEDIUM); ->>source_transaction_event; this.source_monitor.put_key(); end @@ -170,7 +170,7 @@ package scoreboard_pkg; this.sink_byte_stream.push_front(sink_byte); end this.sink_byte_stream_size += this.sink_monitor.mailbox.num(); - this.info($sformatf("Sink transaction received, size: %d - %d", this.sink_monitor.mailbox.num(), this.sink_byte_stream_size), ADI_VERBOSITY_DEBUG); + this.info($sformatf("Sink transaction received, size: %d - %d", this.sink_monitor.mailbox.num(), this.sink_byte_stream_size), ADI_VERBOSITY_MEDIUM); ->>sink_transaction_event; this.sink_monitor.put_key(); end @@ -183,7 +183,7 @@ package scoreboard_pkg; logic [7:0] source_byte; logic [7:0] sink_byte; - this.info($sformatf("Started"), ADI_VERBOSITY_DEBUG); + this.info($sformatf("Started"), ADI_VERBOSITY_MEDIUM); forever begin : tx_path if (this.enabled == 0) @@ -198,7 +198,7 @@ package scoreboard_pkg; this.source_byte_stream_size--; sink_byte = this.sink_byte_stream.pop_back(); this.sink_byte_stream_size--; - this.info($sformatf("Source-sink data: exp %h - rcv %h", source_byte, sink_byte), ADI_VERBOSITY_DEBUG); + this.info($sformatf("Source-sink data: exp %h - rcv %h", source_byte, sink_byte), ADI_VERBOSITY_MEDIUM); if (source_byte != sink_byte) begin this.error($sformatf("Failed at: exp %h - rcv %h", source_byte, sink_byte)); end diff --git a/library/drivers/common/watchdog.sv b/library/drivers/common/watchdog.sv index 08991990..917f3a32 100644 --- a/library/drivers/common/watchdog.sv +++ b/library/drivers/common/watchdog.sv @@ -86,7 +86,7 @@ package watchdog_pkg; @this.stop_event; join_any disable fork; - this.info($sformatf("Watchdog timer reset. %s", this.message), ADI_VERBOSITY_DEBUG); + this.info($sformatf("Watchdog timer reset. %s", this.message), ADI_VERBOSITY_MEDIUM); end join_none endtask: start diff --git a/library/drivers/common/x_monitor.sv b/library/drivers/common/x_monitor.sv index 6a15fbc0..2852b789 100644 --- a/library/drivers/common/x_monitor.sv +++ b/library/drivers/common/x_monitor.sv @@ -130,7 +130,7 @@ package x_monitor_pkg; this.axi_byte_stream_size++; end end - this.info($sformatf("Caught an AXI4 transaction: %d", this.axi_byte_stream_size), ADI_VERBOSITY_DEBUG); + this.info($sformatf("Caught an AXI4 transaction: %d", this.axi_byte_stream_size), ADI_VERBOSITY_MEDIUM); this.transaction_captured(); #1step; #1step; @@ -214,7 +214,7 @@ package x_monitor_pkg; if (keep_beat[j+:1] || !this.agent.vif_proxy.C_XIL_AXI4STREAM_SIGNAL_SET[XIL_AXI4STREAM_SIGSET_POS_KEEP]) this.mailbox.put(axi_byte); end - this.info($sformatf("Caught an AXI4 stream transaction: %d", this.mailbox.num()), ADI_VERBOSITY_DEBUG); + this.info($sformatf("Caught an AXI4 stream transaction: %d", this.mailbox.num()), ADI_VERBOSITY_MEDIUM); this.transaction_captured(); #1step; this.mailbox.flush(); diff --git a/library/drivers/dmac/dma_trans.sv b/library/drivers/dmac/dma_trans.sv index 6b0ffb92..e7d0cc3d 100644 --- a/library/drivers/dmac/dma_trans.sv +++ b/library/drivers/dmac/dma_trans.sv @@ -107,13 +107,13 @@ package dma_trans_pkg; // // ----------------- virtual function void print(); - `INFO(("--------------------------"), ADI_VERBOSITY_DEBUG); - `INFO(("src_addr is 0x%h",src_addr), ADI_VERBOSITY_DEBUG); - `INFO(("dst_addr is 0x%h",dst_addr), ADI_VERBOSITY_DEBUG); - `INFO(("length is %0d",length), ADI_VERBOSITY_DEBUG); - `INFO(("first is %0d",first), ADI_VERBOSITY_DEBUG); - `INFO(("last is %0d",last), ADI_VERBOSITY_DEBUG); - `INFO(("skip is %0d",skip), ADI_VERBOSITY_DEBUG); + `INFO(("--------------------------"), ADI_VERBOSITY_MEDIUM); + `INFO(("src_addr is 0x%h",src_addr), ADI_VERBOSITY_MEDIUM); + `INFO(("dst_addr is 0x%h",dst_addr), ADI_VERBOSITY_MEDIUM); + `INFO(("length is %0d",length), ADI_VERBOSITY_MEDIUM); + `INFO(("first is %0d",first), ADI_VERBOSITY_MEDIUM); + `INFO(("last is %0d",last), ADI_VERBOSITY_MEDIUM); + `INFO(("skip is %0d",skip), ADI_VERBOSITY_MEDIUM); endfunction // ----------------- @@ -174,7 +174,7 @@ package dma_trans_pkg; // ----------------- virtual function void print(); super.print(); - `INFO(("partial length is %0d", reduced_length), ADI_VERBOSITY_DEBUG); + `INFO(("partial length is %0d", reduced_length), ADI_VERBOSITY_MEDIUM); endfunction // length resolution @@ -266,9 +266,9 @@ package dma_trans_pkg; // ----------------- virtual function void print(); super.print(); - `INFO(("ylength is %0d", ylength), ADI_VERBOSITY_DEBUG); - `INFO(("src_stride is 0x%0h", src_stride), ADI_VERBOSITY_DEBUG); - `INFO(("dst_stride is 0x%0h", dst_stride), ADI_VERBOSITY_DEBUG); + `INFO(("ylength is %0d", ylength), ADI_VERBOSITY_MEDIUM); + `INFO(("src_stride is 0x%0h", src_stride), ADI_VERBOSITY_MEDIUM); + `INFO(("dst_stride is 0x%0h", dst_stride), ADI_VERBOSITY_MEDIUM); endfunction // ----------------- @@ -348,8 +348,8 @@ package dma_trans_pkg; // ----------------- virtual function void print(); super.print(); - `INFO(("partial_segment_no is %0d", partial_segment_no), ADI_VERBOSITY_DEBUG); - `INFO(("reduced_length is %0d", reduced_length), ADI_VERBOSITY_DEBUG); + `INFO(("partial_segment_no is %0d", partial_segment_no), ADI_VERBOSITY_MEDIUM); + `INFO(("reduced_length is %0d", reduced_length), ADI_VERBOSITY_MEDIUM); endfunction // ----------------- @@ -372,7 +372,7 @@ package dma_trans_pkg; if (i != ylength-1) s.last = 0; sa[i] = s; - `INFO((" generating segment "), ADI_VERBOSITY_DEBUG); + `INFO((" generating segment "), ADI_VERBOSITY_MEDIUM); s.print(); end else begin ps = new(p); @@ -384,7 +384,7 @@ package dma_trans_pkg; ps.last = 1; sa[i] = ps; skip_segment = 1; - `INFO((" generating partial segment "), ADI_VERBOSITY_DEBUG); + `INFO((" generating partial segment "), ADI_VERBOSITY_MEDIUM); ps.print(); end end @@ -422,11 +422,11 @@ package dma_trans_pkg; // // ----------------- virtual function void print(); - `INFO(("transfer S"), ADI_VERBOSITY_DEBUG); + `INFO(("transfer S"), ADI_VERBOSITY_MEDIUM); for (int i=0; i 0) begin this.error($sformatf("Transfer length (%0d) must be multiple of largest interface (%0d)", t.length, p.DMA_LENGTH_ALIGN)); diff --git a/library/drivers/jesd/adi_jesd204_pkg.sv b/library/drivers/jesd/adi_jesd204_pkg.sv index 255a5726..bea6f505 100644 --- a/library/drivers/jesd/adi_jesd204_pkg.sv +++ b/library/drivers/jesd/adi_jesd204_pkg.sv @@ -124,22 +124,22 @@ package adi_jesd204_pkg; // // ----------------- virtual function void print(); - `INFO(("--------------------------"), ADI_VERBOSITY_DEBUG); - `INFO(("--Link parameters---------"), ADI_VERBOSITY_DEBUG); - `INFO(("--------------------------"), ADI_VERBOSITY_DEBUG); - `INFO(("L is %0d", L), ADI_VERBOSITY_DEBUG); - `INFO(("M is %0d", M), ADI_VERBOSITY_DEBUG); - `INFO(("F is %0d", F), ADI_VERBOSITY_DEBUG); - `INFO(("S is %0d", S), ADI_VERBOSITY_DEBUG); - `INFO(("K is %0d", K), ADI_VERBOSITY_DEBUG); - `INFO(("E is %0d", E), ADI_VERBOSITY_DEBUG); - `INFO(("N is %0d", N), ADI_VERBOSITY_DEBUG); - `INFO(("N' is %0d", NP), ADI_VERBOSITY_DEBUG); - `INFO(("CS is %0d", CS), ADI_VERBOSITY_DEBUG); - `INFO(("HD is %0d", HD), ADI_VERBOSITY_DEBUG); - `INFO(("SCR is %0d", SCR), ADI_VERBOSITY_DEBUG); - `INFO(("SUBCLASSV is %0d", SUBCLASSV), ADI_VERBOSITY_DEBUG); - `INFO(("ENCODING is %s", encoding_s[encoding]), ADI_VERBOSITY_DEBUG); + `INFO(("--------------------------"), ADI_VERBOSITY_MEDIUM); + `INFO(("--Link parameters---------"), ADI_VERBOSITY_MEDIUM); + `INFO(("--------------------------"), ADI_VERBOSITY_MEDIUM); + `INFO(("L is %0d", L), ADI_VERBOSITY_MEDIUM); + `INFO(("M is %0d", M), ADI_VERBOSITY_MEDIUM); + `INFO(("F is %0d", F), ADI_VERBOSITY_MEDIUM); + `INFO(("S is %0d", S), ADI_VERBOSITY_MEDIUM); + `INFO(("K is %0d", K), ADI_VERBOSITY_MEDIUM); + `INFO(("E is %0d", E), ADI_VERBOSITY_MEDIUM); + `INFO(("N is %0d", N), ADI_VERBOSITY_MEDIUM); + `INFO(("N' is %0d", NP), ADI_VERBOSITY_MEDIUM); + `INFO(("CS is %0d", CS), ADI_VERBOSITY_MEDIUM); + `INFO(("HD is %0d", HD), ADI_VERBOSITY_MEDIUM); + `INFO(("SCR is %0d", SCR), ADI_VERBOSITY_MEDIUM); + `INFO(("SUBCLASSV is %0d", SUBCLASSV), ADI_VERBOSITY_MEDIUM); + `INFO(("ENCODING is %s", encoding_s[encoding]), ADI_VERBOSITY_MEDIUM); endfunction // ----------------- @@ -411,15 +411,15 @@ package adi_jesd204_pkg; bit [31:0] val; this.bus.RegRead32(this.base_address + GetAddrs(JESD_RX_LINK_STATUS), val); if (link.encoding == enc8b10b) begin - this.info($sformatf("Link status : %s", rx_link_states_8b10b[`GET_JESD_RX_LINK_STATUS_STATUS_STATE(val)]), ADI_VERBOSITY_DEBUG); + this.info($sformatf("Link status : %s", rx_link_states_8b10b[`GET_JESD_RX_LINK_STATUS_STATUS_STATE(val)]), ADI_VERBOSITY_MEDIUM); end else begin - this.info($sformatf("Link status : %s", rx_link_states_64b66b[`GET_JESD_RX_LINK_STATUS_STATUS_STATE(val)]), ADI_VERBOSITY_DEBUG); + this.info($sformatf("Link status : %s", rx_link_states_64b66b[`GET_JESD_RX_LINK_STATUS_STATUS_STATE(val)]), ADI_VERBOSITY_MEDIUM); end // Check SYSREF alignment ERROR this.bus.RegRead32(this.base_address + GetAddrs(JESD_RX_SYSREF_STATUS), val); - this.info($sformatf("SYSREF captured : %s", `GET_JESD_RX_SYSREF_STATUS_SYSREF_DETECTED(val) ? "Yes" : "No"), ADI_VERBOSITY_DEBUG); - this.info($sformatf("SYSREF alignment error : %s", `GET_JESD_RX_SYSREF_STATUS_SYSREF_ALIGNMENT_ERROR(val) ? "Yes" : "No"), ADI_VERBOSITY_DEBUG); + this.info($sformatf("SYSREF captured : %s", `GET_JESD_RX_SYSREF_STATUS_SYSREF_DETECTED(val) ? "Yes" : "No"), ADI_VERBOSITY_MEDIUM); + this.info($sformatf("SYSREF alignment error : %s", `GET_JESD_RX_SYSREF_STATUS_SYSREF_ALIGNMENT_ERROR(val) ? "Yes" : "No"), ADI_VERBOSITY_MEDIUM); endtask : link_status_print @@ -551,16 +551,16 @@ package adi_jesd204_pkg; // There is no SYNC signal in 64b66b this.bus.RegRead32(this.base_address + GetAddrs(JESD_TX_LINK_STATUS), val); if (link.encoding == enc8b10b) begin - this.info($sformatf("Link status : %s", tx_link_states_8b10b[`GET_JESD_TX_LINK_STATUS_STATUS_STATE(val)]), ADI_VERBOSITY_DEBUG); - this.info($sformatf("SYNC~ : %s", `SET_JESD_TX_LINK_STATUS_STATUS_SYNC(val) ? "deasserted" : "asserted"), ADI_VERBOSITY_DEBUG); + this.info($sformatf("Link status : %s", tx_link_states_8b10b[`GET_JESD_TX_LINK_STATUS_STATUS_STATE(val)]), ADI_VERBOSITY_MEDIUM); + this.info($sformatf("SYNC~ : %s", `SET_JESD_TX_LINK_STATUS_STATUS_SYNC(val) ? "deasserted" : "asserted"), ADI_VERBOSITY_MEDIUM); end else begin - this.info($sformatf("Link status %s", tx_link_states_64b66b[`GET_JESD_TX_LINK_STATUS_STATUS_STATE(val)]), ADI_VERBOSITY_DEBUG); + this.info($sformatf("Link status %s", tx_link_states_64b66b[`GET_JESD_TX_LINK_STATUS_STATUS_STATE(val)]), ADI_VERBOSITY_MEDIUM); end // Check SYSREF alignment ERROR this.bus.RegRead32(this.base_address + GetAddrs(JESD_TX_SYSREF_STATUS), val); - this.info($sformatf("SYSREF captured : %s", `GET_JESD_TX_SYSREF_STATUS_SYSREF_DETECTED(val) ? "Yes" : "No"), ADI_VERBOSITY_DEBUG); - this.info($sformatf("SYSREF alignment error : %s", `GET_JESD_TX_SYSREF_STATUS_SYSREF_ALIGNMENT_ERROR(val) ? "Yes" : "No"), ADI_VERBOSITY_DEBUG); + this.info($sformatf("SYSREF captured : %s", `GET_JESD_TX_SYSREF_STATUS_SYSREF_DETECTED(val) ? "Yes" : "No"), ADI_VERBOSITY_MEDIUM); + this.info($sformatf("SYSREF alignment error : %s", `GET_JESD_TX_SYSREF_STATUS_SYSREF_ALIGNMENT_ERROR(val) ? "Yes" : "No"), ADI_VERBOSITY_MEDIUM); endtask : link_status_print diff --git a/library/drivers/xcvr/adi_xcvr_pkg.sv b/library/drivers/xcvr/adi_xcvr_pkg.sv index 7a8875f9..e31576c0 100644 --- a/library/drivers/xcvr/adi_xcvr_pkg.sv +++ b/library/drivers/xcvr/adi_xcvr_pkg.sv @@ -329,7 +329,7 @@ package adi_xcvr_pkg; xcvr_type.name(), num_lanes, qpll_enable - ), ADI_VERBOSITY_DEBUG); + ), ADI_VERBOSITY_MEDIUM); case (xcvr_type) GTXE2: begin @@ -504,7 +504,7 @@ package adi_xcvr_pkg; if (timeout == 0) begin this.error($sformatf("[%s] XCVR status: 0, PLL lock: %0d", name, ~pll_lock_n)); end else begin - this.info($sformatf("[%s] XCVR status: 1, PLL lock: %0d", name, ~pll_lock_n), ADI_VERBOSITY_DEBUG); + this.info($sformatf("[%s] XCVR status: 1, PLL lock: %0d", name, ~pll_lock_n), ADI_VERBOSITY_MEDIUM); end endtask : up @@ -622,7 +622,7 @@ package adi_xcvr_pkg; int f_fbdiv, f_fbdiv_45, f_refclk_div; int found = 0; - this.info($sformatf("Searching valid config for lane rate %0d ref clock %0d", lane_rate, ref_clk), ADI_VERBOSITY_DEBUG); + this.info($sformatf("Searching valid config for lane rate %0d ref clock %0d", lane_rate, ref_clk), ADI_VERBOSITY_MEDIUM); for (int fbdiv = 1; fbdiv <= 5; fbdiv++) begin for (int fbdiv_45 = 4; fbdiv_45 <= 5; fbdiv_45++) begin for (int refclk_div = 1; refclk_div <= 2; refclk_div++) begin @@ -631,7 +631,7 @@ package adi_xcvr_pkg; this.info($sformatf("Skipping CPLL vco %0d . Out of range, [ %0d - %0d ]", cpll_vco, p.cpll_vco_min, - p.cpll_vco_max), ADI_VERBOSITY_DEBUG); + p.cpll_vco_max), ADI_VERBOSITY_MEDIUM); continue; end for (int out_div_idx = 0; out_div_idx <= 3; out_div_idx++) begin @@ -653,13 +653,13 @@ package adi_xcvr_pkg; end if (found) begin - this.info($sformatf("Found cpll_vco : %0d", cpll_vco), ADI_VERBOSITY_DEBUG); - this.info($sformatf("Found cpll_fbdiv : %0d", f_fbdiv), ADI_VERBOSITY_DEBUG); - this.info($sformatf("Found cpll_fbdiv_45 : %0d", f_fbdiv_45), ADI_VERBOSITY_DEBUG); - this.info($sformatf("Found cpll_refclk_div : %0d", f_refclk_div), ADI_VERBOSITY_DEBUG); - this.info($sformatf("Found out_div : %0d", f_out_div), ADI_VERBOSITY_DEBUG); + this.info($sformatf("Found cpll_vco : %0d", cpll_vco), ADI_VERBOSITY_MEDIUM); + this.info($sformatf("Found cpll_fbdiv : %0d", f_fbdiv), ADI_VERBOSITY_MEDIUM); + this.info($sformatf("Found cpll_fbdiv_45 : %0d", f_fbdiv_45), ADI_VERBOSITY_MEDIUM); + this.info($sformatf("Found cpll_refclk_div : %0d", f_refclk_div), ADI_VERBOSITY_MEDIUM); + this.info($sformatf("Found out_div : %0d", f_out_div), ADI_VERBOSITY_MEDIUM); end else begin - this.info($sformatf("No valid config found for CPLL lane rate %0d ref clock %0d", lane_rate, ref_clk), ADI_VERBOSITY_DEBUG); + this.info($sformatf("No valid config found for CPLL lane rate %0d ref clock %0d", lane_rate, ref_clk), ADI_VERBOSITY_MEDIUM); success = 0; return; end @@ -734,12 +734,12 @@ package adi_xcvr_pkg; qpll_clkoutrate_min = 2; // Half rate endcase - this.info($sformatf("Searching valid config for lane rate %0d ref clock %0d", lane_rate, ref_clk), ADI_VERBOSITY_DEBUG); + this.info($sformatf("Searching valid config for lane rate %0d ref clock %0d", lane_rate, ref_clk), ADI_VERBOSITY_MEDIUM); foreach (p.qpll_fbdiv_drp[fbdiv]) begin : fbdiv_loop for (int refclk_div = 1; refclk_div <= 4; refclk_div++) begin qpll_vco = ref_clk * fbdiv / refclk_div; if (p.qpll_check_vco_range(qpll_vco, is_qpll1)) begin - this.info($sformatf("Skipping QPLL vco %0d . Out of range. fbdiv = %0d refclk_div = %0d", qpll_vco, fbdiv, refclk_div), ADI_VERBOSITY_DEBUG); + this.info($sformatf("Skipping QPLL vco %0d . Out of range. fbdiv = %0d refclk_div = %0d", qpll_vco, fbdiv, refclk_div), ADI_VERBOSITY_MEDIUM); continue; end for (qpll_clkoutrate = qpll_clkoutrate_min; qpll_clkoutrate <= 2; qpll_clkoutrate++) begin @@ -761,13 +761,13 @@ package adi_xcvr_pkg; end if (found) begin - this.info($sformatf("Found qpll_vco : %0d", qpll_vco), ADI_VERBOSITY_DEBUG); - this.info($sformatf("Found qpll_fbdiv : %0d", f_fbdiv), ADI_VERBOSITY_DEBUG); - this.info($sformatf("Found qpll_refclk_div : %0d", f_refclk_div), ADI_VERBOSITY_DEBUG); - this.info($sformatf("Found qpll_clkoutrate : %0d", qpll_clkoutrate), ADI_VERBOSITY_DEBUG); - this.info($sformatf("Found out_div : %0d", f_out_div), ADI_VERBOSITY_DEBUG); + this.info($sformatf("Found qpll_vco : %0d", qpll_vco), ADI_VERBOSITY_MEDIUM); + this.info($sformatf("Found qpll_fbdiv : %0d", f_fbdiv), ADI_VERBOSITY_MEDIUM); + this.info($sformatf("Found qpll_refclk_div : %0d", f_refclk_div), ADI_VERBOSITY_MEDIUM); + this.info($sformatf("Found qpll_clkoutrate : %0d", qpll_clkoutrate), ADI_VERBOSITY_MEDIUM); + this.info($sformatf("Found out_div : %0d", f_out_div), ADI_VERBOSITY_MEDIUM); end else begin - this.info($sformatf("No valid config found for QPLL%0d lane rate %0d ref clock %0d", is_qpll1, lane_rate, ref_clk), ADI_VERBOSITY_DEBUG); + this.info($sformatf("No valid config found for QPLL%0d lane rate %0d ref clock %0d", is_qpll1, lane_rate, ref_clk), ADI_VERBOSITY_MEDIUM); success = 0; return; end @@ -778,7 +778,7 @@ package adi_xcvr_pkg; if (qpll_enable) set_qpll_divs(ch_idx, is_qpll1, f_refclk_div, f_fbdiv, qpll_clkoutrate); else - this.info($sformatf("WARNING: Skipping QPLL configuration. Current AXI_XCVR does not have access to the CM ports"), ADI_VERBOSITY_DEBUG); + this.info($sformatf("WARNING: Skipping QPLL configuration. Current AXI_XCVR does not have access to the CM ports"), ADI_VERBOSITY_MEDIUM); end end diff --git a/library/regmaps/adi_peripheral_pkg.sv b/library/regmaps/adi_peripheral_pkg.sv index 360209f1..d947dc8f 100644 --- a/library/regmaps/adi_peripheral_pkg.sv +++ b/library/regmaps/adi_peripheral_pkg.sv @@ -75,7 +75,7 @@ package adi_peripheral_pkg; bit [31:0] val; this.bus.RegRead32(this.base_address + 'h0, val); {ver_major, ver_minor, ver_patch} = val; - this.info($sformatf("Found peripheral version: %0d.%0d.%s", ver_major, ver_minor, ver_patch), ADI_VERBOSITY_DEBUG); + this.info($sformatf("Found peripheral version: %0d.%0d.%s", ver_major, ver_minor, ver_patch), ADI_VERBOSITY_HIGH); endtask // ----------------- diff --git a/library/regmaps/adi_regmap_pkg.sv b/library/regmaps/adi_regmap_pkg.sv index 124534b4..c67e1cf3 100644 --- a/library/regmaps/adi_regmap_pkg.sv +++ b/library/regmaps/adi_regmap_pkg.sv @@ -75,7 +75,7 @@ package adi_regmap_pkg; ret[i]=1'b0; end - `INFO(("Setting reg %s[%0d:%0d] field %s with %h (%h)", register.name, msb, lsb, field, value, ret), ADI_VERBOSITY_DEBUG); + `INFO(("Setting reg %s[%0d:%0d] field %s with %h (%h)", register.name, msb, lsb, field, value, ret), ADI_VERBOSITY_HIGH); return ret; endfunction; diff --git a/library/utilities/logger_pkg.sv b/library/utilities/logger_pkg.sv index d623db33..04fb5d3e 100644 --- a/library/utilities/logger_pkg.sv +++ b/library/utilities/logger_pkg.sv @@ -37,14 +37,12 @@ package logger_pkg; - localparam int ADI_VERBOSITY_NONE = 0; // highest priority, test passed message, cannot be disabled - localparam int ADI_VERBOSITY_LOW = 100; // - localparam int ADI_VERBOSITY_MEDIUM = 200; // - localparam int ADI_VERBOSITY_HIGH = 300; // - localparam int ADI_VERBOSITY_FULL = 400; // - localparam int ADI_VERBOSITY_DEBUG = 500; // lowest priority, library level debug messages - - int verbosity = ADI_VERBOSITY_MEDIUM; + localparam int ADI_VERBOSITY_NONE = 0; // highest priority, test passed message, randomization state, cannot be disabled + localparam int ADI_VERBOSITY_LOW = 100; // test_program level debugging + localparam int ADI_VERBOSITY_MEDIUM = 200; // driver level debugging + localparam int ADI_VERBOSITY_HIGH = 300; // VIP, regmap, utilities level debugging + + int verbosity = ADI_VERBOSITY_HIGH; function void PrintInfo( input string inStr, diff --git a/library/utilities/utils.svh b/library/utilities/utils.svh index 43072171..b1255719 100644 --- a/library/utilities/utils.svh +++ b/library/utilities/utils.svh @@ -187,6 +187,8 @@ `define AXIS 1 `define FIFO 2 +`define RELATIVE_PATH(src) {"../../../../../../", src} + // Macros used in Simulation files during simulation `define INFO(m,v) \ PrintInfo($sformatf("%s", \ diff --git a/library/vip/adi/spi_vip/adi_spi_vip_pkg.sv b/library/vip/adi/spi_vip/adi_spi_vip_pkg.sv index 7e0845e5..b403a0ba 100644 --- a/library/vip/adi/spi_vip/adi_spi_vip_pkg.sv +++ b/library/vip/adi/spi_vip/adi_spi_vip_pkg.sv @@ -179,7 +179,7 @@ package adi_spi_vip_pkg; miso_reg = {miso_reg[SPI_VIP_DATA_DLENGTH-2:0], 1'b0}; end if (i == SPI_VIP_DATA_DLENGTH-1) begin - this.info($sformatf("[SPI VIP] MISO Tx end of transfer."), ADI_VERBOSITY_FULL); + this.info($sformatf("[SPI VIP] MISO Tx end of transfer."), ADI_VERBOSITY_HIGH); if (!using_default) begin // finally pop an item from the mailbox after a complete transfer miso_mbx.get(miso_reg); @@ -252,7 +252,7 @@ package adi_spi_vip_pkg; fork begin @(posedge this.stop_flag); - this.info($sformatf("[SPI VIP] Stop event triggered."), ADI_VERBOSITY_FULL); + this.info($sformatf("[SPI VIP] Stop event triggered."), ADI_VERBOSITY_HIGH); this.stop_flag = 0; end begin diff --git a/library/vip/amd/m_axi_sequencer.sv b/library/vip/amd/m_axi_sequencer.sv index 104db742..eb25855d 100644 --- a/library/vip/amd/m_axi_sequencer.sv +++ b/library/vip/amd/m_axi_sequencer.sv @@ -70,7 +70,7 @@ package m_axi_sequencer_pkg; static xil_axi_uint id =0; writer_s.get(1); - this.info($sformatf("writing to address %h value %h", addr , data), ADI_VERBOSITY_DEBUG); + this.info($sformatf("writing to address %h value %h", addr , data), ADI_VERBOSITY_HIGH); single_write_transaction_readback_api(.id(id), .addr(addr), @@ -99,7 +99,7 @@ package m_axi_sequencer_pkg; .Rdatabeat(DataBeat_for_read)); id++; data = DataBeat_for_read[0][0+:32]; - this.info($sformatf(" Reading data : %h @ 0x%h", data, addr), ADI_VERBOSITY_DEBUG); + this.info($sformatf(" Reading data : %h @ 0x%h", data, addr), ADI_VERBOSITY_HIGH); reader_s.put(1); diff --git a/library/vip/amd/m_axis_sequencer.sv b/library/vip/amd/m_axis_sequencer.sv index 8fa45b14..91fc876e 100644 --- a/library/vip/amd/m_axis_sequencer.sv +++ b/library/vip/amd/m_axis_sequencer.sv @@ -145,7 +145,7 @@ package m_axis_sequencer_pkg; if (enabled) this.error($sformatf("Sequencer must be disabled before configuring stop policy")); this.stop_policy = stop_policy; - this.info($sformatf("Disable policy configured"), ADI_VERBOSITY_DEBUG); + this.info($sformatf("Disable policy configured"), ADI_VERBOSITY_HIGH); endfunction: set_stop_policy // set data generation mode @@ -153,7 +153,7 @@ package m_axis_sequencer_pkg; if (enabled) this.error($sformatf("Sequencer must be disabled before configuring data generation mode")); this.data_gen_mode = data_gen_mode; - this.info($sformatf("Data generation mode configured"), ADI_VERBOSITY_DEBUG); + this.info($sformatf("Data generation mode configured"), ADI_VERBOSITY_HIGH); endfunction: set_data_gen_mode // set data generation mode @@ -161,19 +161,19 @@ package m_axis_sequencer_pkg; if (enabled) this.error($sformatf("Sequencer must be disabled before configuring descriptor generation mode")); this.descriptor_gen_mode = descriptor_gen_mode; - this.info($sformatf("Descriptor generation mode configured"), ADI_VERBOSITY_DEBUG); + this.info($sformatf("Descriptor generation mode configured"), ADI_VERBOSITY_HIGH); endfunction: set_descriptor_gen_mode // set data beat delay function void set_data_beat_delay(input int data_beat_delay); this.data_beat_delay = data_beat_delay; - this.info($sformatf("Data beat delay configured"), ADI_VERBOSITY_DEBUG); + this.info($sformatf("Data beat delay configured"), ADI_VERBOSITY_HIGH); endfunction: set_data_beat_delay // set descriptor delay function void set_descriptor_delay(input int descriptor_delay); this.descriptor_delay = descriptor_delay; - this.info($sformatf("Descriptor delay configured"), ADI_VERBOSITY_DEBUG); + this.info($sformatf("Descriptor delay configured"), ADI_VERBOSITY_HIGH); endfunction: set_descriptor_delay // set all bytes valid in a sample, sets keep to 1 @@ -201,7 +201,7 @@ package m_axis_sequencer_pkg; descriptor.gen_last = gen_last; descriptor.gen_sync = gen_sync; // this.info($sformatf("Updating generator with %0d bytes with last %0d, sync %0d", - // bytes_to_generate, gen_last, gen_sync), ADI_VERBOSITY_DEBUG); + // bytes_to_generate, gen_last, gen_sync), ADI_VERBOSITY_HIGH); descriptor_q.push_back(descriptor); this.queue_empty_sig = 0; @@ -238,11 +238,11 @@ package m_axis_sequencer_pkg; // generate transfer with transfer descriptors protected task generator(); - this.info($sformatf("generator start"), ADI_VERBOSITY_DEBUG); + this.info($sformatf("generator start"), ADI_VERBOSITY_HIGH); forever begin - this.info($sformatf("Waiting for enable"), ADI_VERBOSITY_DEBUG); + this.info($sformatf("Waiting for enable"), ADI_VERBOSITY_HIGH); @enable_ev; - this.info($sformatf("Enable found"), ADI_VERBOSITY_DEBUG); + this.info($sformatf("Enable found"), ADI_VERBOSITY_HIGH); fork begin fork begin @@ -287,13 +287,13 @@ package m_axis_sequencer_pkg; endtask: data_beat_delay_subroutine task start(); - this.info($sformatf("enable sequencer"), ADI_VERBOSITY_DEBUG); + this.info($sformatf("enable sequencer"), ADI_VERBOSITY_HIGH); enabled = 1; ->> enable_ev; endtask: start task stop(); - this.info($sformatf("disable sequencer"), ADI_VERBOSITY_DEBUG); + this.info($sformatf("disable sequencer"), ADI_VERBOSITY_HIGH); enabled = 0; byte_count = 0; ->> disable_ev; @@ -361,7 +361,7 @@ package m_axis_sequencer_pkg; int byte_per_beat; descriptor_t descriptor; - this.info($sformatf("packetize start"), ADI_VERBOSITY_DEBUG); + this.info($sformatf("packetize start"), ADI_VERBOSITY_HIGH); byte_per_beat = AXIS_VIP_DATA_WIDTH/8; descriptor = descriptor_q.pop_front(); @@ -420,7 +420,7 @@ package m_axis_sequencer_pkg; endcase end - this.info($sformatf("generating axis transaction"), ADI_VERBOSITY_DEBUG); + this.info($sformatf("generating axis transaction"), ADI_VERBOSITY_HIGH); trans = agent.driver.create_transaction(); trans.set_data(data); trans.set_id('h0); @@ -437,7 +437,7 @@ package m_axis_sequencer_pkg; trans.set_user_beat((tc == 0) & descriptor.gen_sync); ->> data_av_ev; - this.info($sformatf("waiting transfer to complete"), ADI_VERBOSITY_DEBUG); + this.info($sformatf("waiting transfer to complete"), ADI_VERBOSITY_HIGH); @beat_done; end ->> packet_done; @@ -445,11 +445,11 @@ package m_axis_sequencer_pkg; // packet sender function virtual protected task sender(); - this.info($sformatf("sender start"), ADI_VERBOSITY_DEBUG); + this.info($sformatf("sender start"), ADI_VERBOSITY_HIGH); forever begin - this.info($sformatf("Waiting for enable"), ADI_VERBOSITY_DEBUG); + this.info($sformatf("Waiting for enable"), ADI_VERBOSITY_HIGH); @enable_ev; - this.info($sformatf("Enable found"), ADI_VERBOSITY_DEBUG); + this.info($sformatf("Enable found"), ADI_VERBOSITY_HIGH); fork begin fork begin @@ -464,7 +464,7 @@ package m_axis_sequencer_pkg; end forever begin @data_av_ev; - this.info($sformatf("sending axis transaction"), ADI_VERBOSITY_DEBUG); + this.info($sformatf("sending axis transaction"), ADI_VERBOSITY_HIGH); agent.driver.send(trans); ->> beat_done; end diff --git a/testbenches/ip/axi_tdd/tests/test_program.sv b/testbenches/ip/axi_tdd/tests/test_program.sv index 7bf865f2..05324c7b 100644 --- a/testbenches/ip/axi_tdd/tests/test_program.sv +++ b/testbenches/ip/axi_tdd/tests/test_program.sv @@ -98,7 +98,7 @@ program test_program; #2ps; - setLoggerVerbosity(6); + setLoggerVerbosity(ADI_VERBOSITY_NONE); env.start(); start_clocks(); @@ -566,7 +566,7 @@ program test_program; stop_clocks(); - `INFO(("Testbench finished!"), ADI_VERBOSITY_DEBUG); + `INFO(("Testbench finished!"), ADI_VERBOSITY_NONE); $finish; end diff --git a/testbenches/ip/base/tests/test_program.sv b/testbenches/ip/base/tests/test_program.sv index fce3d006..ecb5a4ef 100644 --- a/testbenches/ip/base/tests/test_program.sv +++ b/testbenches/ip/base/tests/test_program.sv @@ -56,7 +56,7 @@ program test_program; current_process_random_state = current_process.get_randstate(); `INFO(("Randomization state: %s", current_process_random_state), ADI_VERBOSITY_NONE); - setLoggerVerbosity(ADI_VERBOSITY_MEDIUM); + setLoggerVerbosity(ADI_VERBOSITY_NONE); // Create environment env = new("Base Environment", diff --git a/testbenches/ip/data_offload/do_scoreboard.sv b/testbenches/ip/data_offload/do_scoreboard.sv index c052c509..5d7b3a70 100644 --- a/testbenches/ip/data_offload/do_scoreboard.sv +++ b/testbenches/ip/data_offload/do_scoreboard.sv @@ -111,11 +111,11 @@ package do_scoreboard_pkg; for (int j=0; j 0) begin - `INFO(("Caught a TX AXI4 stream transaction: %d", this.tx_sink_axis_ap.get_item_cnt()), ADI_VERBOSITY_DEBUG); + `INFO(("Caught a TX AXI4 stream transaction: %d", this.tx_sink_axis_ap.get_item_cnt()), ADI_VERBOSITY_LOW); this.tx_sink_axis_ap.get(transaction); // all bytes from a beat are valid num_bytes = transaction.get_data_width()/8; @@ -175,7 +175,7 @@ package do_scoreboard_pkg; forever begin if (this.rx_source_axis_ap.get_item_cnt() > 0) begin - `INFO(("Caught a RX AXI4 stream transaction: %d", this.rx_source_axis_ap.get_item_cnt()), ADI_VERBOSITY_DEBUG); + `INFO(("Caught a RX AXI4 stream transaction: %d", this.rx_source_axis_ap.get_item_cnt()), ADI_VERBOSITY_LOW); this.rx_source_axis_ap.get(transaction); // all bytes from a beat are valid num_bytes = transaction.get_data_width()/8; @@ -203,7 +203,7 @@ package do_scoreboard_pkg; this.rx_source_byte_stream_size--; sink_byte = this.rx_sink_byte_stream.pop_front(); this.rx_sink_byte_stream_size--; - `INFO(("Scoreboard RX sink/source data: exp %h - rcv %h", source_byte, sink_byte), ADI_VERBOSITY_DEBUG); + `INFO(("Scoreboard RX sink/source data: exp %h - rcv %h", source_byte, sink_byte), ADI_VERBOSITY_LOW); if (source_byte !== sink_byte) begin `ERROR(("RX Scoreboard failed at: exp %h - rcv %h", source_byte, sink_byte)); this.rx_error_cnt++; @@ -229,9 +229,9 @@ package do_scoreboard_pkg; this.tx_source_byte_stream_size--; sink_byte = this.tx_sink_byte_stream.pop_front(); this.tx_sink_byte_stream_size--; - `INFO(("Scoreboard TX sink/source data: exp %h - rcv %h", source_byte, sink_byte), ADI_VERBOSITY_DEBUG); + `INFO(("Scoreboard TX sink/source data: exp %h - rcv %h", source_byte, sink_byte), ADI_VERBOSITY_LOW); if (source_byte !== sink_byte) begin - `INFO(("TX Scoreboard failed at: exp %h - rcv %h", source_byte, sink_byte), ADI_VERBOSITY_DEBUG); + `INFO(("TX Scoreboard failed at: exp %h - rcv %h", source_byte, sink_byte), ADI_VERBOSITY_LOW); this.tx_error_cnt++; this.tx_comparison_cnt++; end else begin @@ -273,29 +273,29 @@ package do_scoreboard_pkg; function void post_tx_test(); if (this.enabled == 0) begin - `INFO(("Scoreboard was inactive."), ADI_VERBOSITY_DEBUG); + `INFO(("Scoreboard was inactive."), ADI_VERBOSITY_LOW); end else begin if (tx_comparison_cnt == 0) begin `FATAL(("TX scoreboard is empty! Check your interfaces or increase the runtime. Collected transactions are %d for source side and %d for sink side.", this.tx_source_byte_stream_size, this.tx_sink_byte_stream_size)); end else if (tx_error_cnt > 0) begin `FATAL(("ERROR: TX scoreboard has %d errors!", tx_error_cnt)); end else begin - `INFO(("TX scoreboard has passed. %d bytes were checked.", this.tx_comparison_cnt), ADI_VERBOSITY_DEBUG); - `INFO(("TX transfer size are %d bytes.", this.tx_all_transfer_size), ADI_VERBOSITY_DEBUG); + `INFO(("TX scoreboard has passed. %d bytes were checked.", this.tx_comparison_cnt), ADI_VERBOSITY_LOW); + `INFO(("TX transfer size are %d bytes.", this.tx_all_transfer_size), ADI_VERBOSITY_LOW); end end endfunction /* post_tx_test */ function void post_rx_test(); if (this.enabled == 0) begin - `INFO(("Scoreboard was inactive."), ADI_VERBOSITY_DEBUG); + `INFO(("Scoreboard was inactive."), ADI_VERBOSITY_LOW); end else begin if (rx_comparison_cnt == 0) begin `FATAL(("RX scoreboard is empty! Check your interfaces or increase the runtime. Collected transactions are %d for source side and %d for sink side.", this.rx_source_byte_stream_size, this.rx_sink_byte_stream_size)); end else if (rx_error_cnt > 0) begin `FATAL(("ERROR: RX scoreboard has %d errors!", rx_error_cnt)); end else begin - `INFO(("RX scoreboard has passed. %d bytes were checked.", this.rx_comparison_cnt), ADI_VERBOSITY_DEBUG); + `INFO(("RX scoreboard has passed. %d bytes were checked.", this.rx_comparison_cnt), ADI_VERBOSITY_LOW); end end endfunction /* post_rx_test */ diff --git a/testbenches/ip/data_offload/environment.sv b/testbenches/ip/data_offload/environment.sv index 617e8006..66c3fafe 100644 --- a/testbenches/ip/data_offload/environment.sv +++ b/testbenches/ip/data_offload/environment.sv @@ -123,7 +123,7 @@ package environment_pkg; ADC_TRANSACTION_FAIL: assert(rx_transaction.randomize()); rx_transaction.set_delay(adc_data_rate_ratio - 1); adc_src_axis_agent.driver.send(rx_transaction); - `INFO(("Sent new transaction to ADC driver"), ADI_VERBOSITY_DEBUG); + `INFO(("Sent new transaction to ADC driver"), ADI_VERBOSITY_LOW); #0; end else begin #1; diff --git a/testbenches/ip/data_offload/tests/test_program.sv b/testbenches/ip/data_offload/tests/test_program.sv index 295aae6d..3268193e 100644 --- a/testbenches/ip/data_offload/tests/test_program.sv +++ b/testbenches/ip/data_offload/tests/test_program.sv @@ -90,7 +90,7 @@ module test_program(); //========================================================================= - setLoggerVerbosity(250); + setLoggerVerbosity(ADI_VERBOSITY_NONE); `TH.`PLDDR_RST.inst.IF.assert_reset; #1; @@ -102,29 +102,29 @@ module test_program(); env.start(); #100 - `INFO(("Bring up IP from reset."), ADI_VERBOSITY_DEBUG); + `INFO(("Bring up IP from reset."), ADI_VERBOSITY_LOW); systemBringUp(); //do_set_transfer_length(`ADC_TRANSFER_LENGTH); do_set_transfer_length(`ADC_TRANSFER_LENGTH/64); // Start the ADC/DAC stubs - `INFO(("Call the run() ..."), ADI_VERBOSITY_DEBUG); + `INFO(("Call the run() ..."), ADI_VERBOSITY_LOW); env.run(); env.adc_src_axis_seq.start(); // Generate DMA transfers #100 - `INFO(("Start RX DMA ..."), ADI_VERBOSITY_DEBUG); + `INFO(("Start RX DMA ..."), ADI_VERBOSITY_LOW); rx_dma_transfer(`RX_DMA_BA, 32'h80000000, `ADC_TRANSFER_LENGTH); #10000 - `INFO(("Initialize the memory ..."), ADI_VERBOSITY_DEBUG); + `INFO(("Initialize the memory ..."), ADI_VERBOSITY_LOW); init_mem_64(32'h80000000, 1024); - `INFO(("Start TX DMA ..."), ADI_VERBOSITY_DEBUG); + `INFO(("Start TX DMA ..."), ADI_VERBOSITY_LOW); tx_dma_transfer(`TX_DMA_BA, 32'h80000000, 1024); #30000 @@ -171,9 +171,9 @@ module test_program(); task systemBringUp(); // bring up the Data Offload instances from reset - `INFO(("Bring up RX Data Offload"), ADI_VERBOSITY_DEBUG); + `INFO(("Bring up RX Data Offload"), ADI_VERBOSITY_LOW); env.mng.RegWrite32(`RX_DOFF_BA + `DO_ADDR_CONTROL_1, 32'h1); - `INFO(("Bring up TX Data Offload"), ADI_VERBOSITY_DEBUG); + `INFO(("Bring up TX Data Offload"), ADI_VERBOSITY_LOW); env.mng.RegWrite32(`TX_DOFF_BA + `DO_ADDR_CONTROL_1, 32'h1); // Enable tx oneshot mode @@ -181,9 +181,9 @@ module test_program(); // bring up the DMAC instances from reset - `INFO(("Bring up RX DMAC"), ADI_VERBOSITY_DEBUG); + `INFO(("Bring up RX DMAC"), ADI_VERBOSITY_LOW); env.mng.RegWrite32(`RX_DMA_BA + `DMAC_ADDR_CONTROL, 32'h1); - `INFO(("Bring up TX DMAC"), ADI_VERBOSITY_DEBUG); + `INFO(("Bring up TX DMAC"), ADI_VERBOSITY_LOW); env.mng.RegWrite32(`TX_DMA_BA + `DMAC_ADDR_CONTROL, 32'h1); endtask diff --git a/testbenches/ip/data_offload_2/data_offload_pkg.sv b/testbenches/ip/data_offload_2/data_offload_pkg.sv index 8651aad3..9b79fdb9 100644 --- a/testbenches/ip/data_offload_2/data_offload_pkg.sv +++ b/testbenches/ip/data_offload_2/data_offload_pkg.sv @@ -92,7 +92,7 @@ package data_offload_pkg; // Transfer length not divisble by 64 `FATAL(("data_offload: Attempted to set transfer_length %x mod 64 != 0!", length)); end - `INFO(("data_offload: Writing transfer length! %x", length), ADI_VERBOSITY_DEBUG); + `INFO(("data_offload: Writing transfer length! %x", length), ADI_VERBOSITY_LOW); this.bus.RegWrite32(this.base_address + `DO_ADDR_TRANSFER_LENGTH, length >> 6); endtask : set_transfer_length; diff --git a/testbenches/ip/data_offload_2/do_scoreboard.sv b/testbenches/ip/data_offload_2/do_scoreboard.sv index e15987c0..8a4f56a3 100644 --- a/testbenches/ip/data_offload_2/do_scoreboard.sv +++ b/testbenches/ip/data_offload_2/do_scoreboard.sv @@ -131,7 +131,7 @@ package do_scoreboard_pkg; forever begin if (this.src_axis_ap.get_item_cnt() > 0) begin - `INFO(("Caught a TX AXI4 stream transaction: %d", this.src_axis_ap.get_item_cnt()), ADI_VERBOSITY_DEBUG); + `INFO(("Caught a TX AXI4 stream transaction: %d", this.src_axis_ap.get_item_cnt()), ADI_VERBOSITY_LOW); this.src_axis_ap.get(transaction); // all bytes from a beat are valid num_bytes = transaction.get_data_width()/8; @@ -170,7 +170,7 @@ package do_scoreboard_pkg; forever begin if (this.dst_axis_ap.get_item_cnt() > 0) begin - `INFO(("Caught a RX AXI4 stream transaction: %d", this.dst_axis_ap.get_item_cnt()), ADI_VERBOSITY_DEBUG); + `INFO(("Caught a RX AXI4 stream transaction: %d", this.dst_axis_ap.get_item_cnt()), ADI_VERBOSITY_LOW); this.dst_axis_ap.get(transaction); // all bytes from a beat are valid num_bytes = transaction.get_data_width()/8; @@ -202,12 +202,12 @@ package do_scoreboard_pkg; function void post_test(); if (this.enabled == 0) begin - `INFO(("Scoreboard was inactive."), ADI_VERBOSITY_DEBUG); + `INFO(("Scoreboard was inactive."), ADI_VERBOSITY_LOW); end else begin if (this.do_mode == ONESHOT && this.byte_stream.size() > 0) begin `FATAL(("ERROR: Not all samples have arrived yet!")); end else begin - `INFO(("Scoreboard passed!"), ADI_VERBOSITY_DEBUG); + `INFO(("Scoreboard passed!"), ADI_VERBOSITY_LOW); end end endfunction /* post_tx_test */ diff --git a/testbenches/ip/data_offload_2/environment.sv b/testbenches/ip/data_offload_2/environment.sv index 1325a80a..f204d1fb 100644 --- a/testbenches/ip/data_offload_2/environment.sv +++ b/testbenches/ip/data_offload_2/environment.sv @@ -146,7 +146,7 @@ package environment_pkg; TRANSACTION_FAIL: assert(rx_transaction.randomize()); rx_transaction.set_delay(data_rate_ratio - 1); src_axis_agent.driver.send(rx_transaction); - `INFO(("Sent new transaction to ADC driver"), ADI_VERBOSITY_DEBUG); + `INFO(("Sent new transaction to ADC driver"), ADI_VERBOSITY_LOW); #0; end else begin #1; diff --git a/testbenches/ip/data_offload_2/tests/test_program.sv b/testbenches/ip/data_offload_2/tests/test_program.sv index f135b15d..a58d67f2 100644 --- a/testbenches/ip/data_offload_2/tests/test_program.sv +++ b/testbenches/ip/data_offload_2/tests/test_program.sv @@ -90,7 +90,7 @@ module test_program( //========================================================================= - setLoggerVerbosity(250); + setLoggerVerbosity(ADI_VERBOSITY_NONE); env.scoreboard.set_oneshot(`OFFLOAD_ONESHOT); env.scoreboard.set_path_type(`OFFLOAD_PATH_TYPE); @@ -109,13 +109,13 @@ module test_program( `endif #100 - `INFO(("Bring up IP from reset."), ADI_VERBOSITY_DEBUG); + `INFO(("Bring up IP from reset."), ADI_VERBOSITY_LOW); systemBringUp(); env.src_axis_seq.start(); // Start the ADC/DAC stubs - `INFO(("Call the run() ..."), ADI_VERBOSITY_DEBUG); + `INFO(("Call the run() ..."), ADI_VERBOSITY_LOW); env.run(); init_req <= 1'b1; @@ -182,7 +182,7 @@ module test_program( task systemBringUp(); // bring up the Data Offload instances from reset - `INFO(("Bring up TX Data Offload"), ADI_VERBOSITY_DEBUG); + `INFO(("Bring up TX Data Offload"), ADI_VERBOSITY_LOW); dut.set_oneshot(`OFFLOAD_ONESHOT); diff --git a/testbenches/ip/data_offload_2/tests/test_program_sync.sv b/testbenches/ip/data_offload_2/tests/test_program_sync.sv index 645d75f9..4955b720 100644 --- a/testbenches/ip/data_offload_2/tests/test_program_sync.sv +++ b/testbenches/ip/data_offload_2/tests/test_program_sync.sv @@ -81,7 +81,7 @@ module test_program_sync ( //========================================================================= - setLoggerVerbosity(250); + setLoggerVerbosity(ADI_VERBOSITY_NONE); env.scoreboard.set_oneshot(1); @@ -92,13 +92,13 @@ module test_program_sync ( env.start(); #100 - `INFO(("Bring up IP from reset."), ADI_VERBOSITY_DEBUG); + `INFO(("Bring up IP from reset."), ADI_VERBOSITY_LOW); systemBringUp(); env.src_axis_seq.start(); // Start the ADC/DAC stubs - `INFO(("Call the run() ..."), ADI_VERBOSITY_DEBUG); + `INFO(("Call the run() ..."), ADI_VERBOSITY_LOW); env.run(); init_req <= 1'b1; @@ -189,7 +189,7 @@ module test_program_sync ( task systemBringUp(); // bring up the Data Offload instances from reset - `INFO(("Bring up TX Data Offload"), ADI_VERBOSITY_DEBUG); + `INFO(("Bring up TX Data Offload"), ADI_VERBOSITY_LOW); dut.set_oneshot(0); dut.set_sync_config(1); // Hardware Sync diff --git a/testbenches/ip/dma_loopback/tests/test_program.sv b/testbenches/ip/dma_loopback/tests/test_program.sv index 00d5b5a0..0e609f33 100644 --- a/testbenches/ip/dma_loopback/tests/test_program.sv +++ b/testbenches/ip/dma_loopback/tests/test_program.sv @@ -66,7 +66,7 @@ program test_program; #2ps; - setLoggerVerbosity(6); + setLoggerVerbosity(ADI_VERBOSITY_NONE); env.start(); m_dmac_api = new("TX_DMA", env.mng, `TX_DMA_BA); diff --git a/testbenches/ip/dma_sg/tests/test_program_1d.sv b/testbenches/ip/dma_sg/tests/test_program_1d.sv index 4f82cc1f..12921e48 100644 --- a/testbenches/ip/dma_sg/tests/test_program_1d.sv +++ b/testbenches/ip/dma_sg/tests/test_program_1d.sv @@ -66,7 +66,7 @@ program test_program_1d; #2ps; - setLoggerVerbosity(6); + setLoggerVerbosity(ADI_VERBOSITY_NONE); env.start(); `TH.`DEVICE_CLK.inst.IF.start_clock(); diff --git a/testbenches/ip/dma_sg/tests/test_program_2d.sv b/testbenches/ip/dma_sg/tests/test_program_2d.sv index 7fe5db34..e585c255 100644 --- a/testbenches/ip/dma_sg/tests/test_program_2d.sv +++ b/testbenches/ip/dma_sg/tests/test_program_2d.sv @@ -66,7 +66,7 @@ program test_program_2d; #2ps; - setLoggerVerbosity(6); + setLoggerVerbosity(ADI_VERBOSITY_NONE); env.start(); `TH.`DEVICE_CLK.inst.IF.start_clock(); diff --git a/testbenches/ip/dma_sg/tests/test_program_tr_queue.sv b/testbenches/ip/dma_sg/tests/test_program_tr_queue.sv index 41665354..1fdbd096 100644 --- a/testbenches/ip/dma_sg/tests/test_program_tr_queue.sv +++ b/testbenches/ip/dma_sg/tests/test_program_tr_queue.sv @@ -66,7 +66,7 @@ program test_program_tr_queue; #2ps; - setLoggerVerbosity(6); + setLoggerVerbosity(ADI_VERBOSITY_NONE); env.start(); `TH.`DEVICE_CLK.inst.IF.start_clock(); diff --git a/testbenches/ip/hbm/tests/test_program.sv b/testbenches/ip/hbm/tests/test_program.sv index c9093889..a8480581 100644 --- a/testbenches/ip/hbm/tests/test_program.sv +++ b/testbenches/ip/hbm/tests/test_program.sv @@ -67,7 +67,7 @@ program test_program; #2ps; - setLoggerVerbosity(6); + setLoggerVerbosity(ADI_VERBOSITY_NONE); env.start(); `TH.`HBM_CLK.inst.IF.start_clock; diff --git a/testbenches/ip/i3c_controller/tests/test_program.sv b/testbenches/ip/i3c_controller/tests/test_program.sv index 9623dff1..1fe35528 100755 --- a/testbenches/ip/i3c_controller/tests/test_program.sv +++ b/testbenches/ip/i3c_controller/tests/test_program.sv @@ -203,11 +203,11 @@ endtask // Information //--------------------------------------------------------------------------- task print_cmdr (input int data); - `INFO(("[%t] Got CMDR error %d, length %d, sync %d", $time, data[23:20], data[19:8], data[7:0]), ADI_VERBOSITY_DEBUG); + `INFO(("[%t] Got CMDR error %d, length %d, sync %d", $time, data[23:20], data[19:8], data[7:0]), ADI_VERBOSITY_LOW); endtask task print_ibi(input int data); - `INFO(("[%t] Got IBI DA %b, MDB %b, Sync %d.", $time, data[23:17], data[15:08], data[7:0]), ADI_VERBOSITY_DEBUG); + `INFO(("[%t] Got IBI DA %b, MDB %b, Sync %d.", $time, data[23:17], data[15:08], data[7:0]), ADI_VERBOSITY_LOW); endtask //--------------------------------------------------------------------------- @@ -256,7 +256,7 @@ initial begin `TH.`MNG_AXI.inst.IF, `TH.`DDR_AXI.inst.IF); - setLoggerVerbosity(6); + setLoggerVerbosity(ADI_VERBOSITY_NONE); env.start(); env.sys_reset(); @@ -302,13 +302,13 @@ initial begin axi_read (`I3C_CONTROLLER_BA, `I3C_REGMAP_IRQ_PENDING, irq_pending); if (irq_pending & (1'b1 << `I3C_REGMAP_IRQ_CMDR_PENDING)) begin - `INFO(("[%t] NOTE: Got CMDR IRQ", $time), ADI_VERBOSITY_DEBUG); + `INFO(("[%t] NOTE: Got CMDR IRQ", $time), ADI_VERBOSITY_LOW); end else if (irq_pending & (1'b1 << `I3C_REGMAP_IRQ_IBI_PENDING)) begin - `INFO(("[%t] NOTE: Got IBI IRQ", $time), ADI_VERBOSITY_DEBUG); + `INFO(("[%t] NOTE: Got IBI IRQ", $time), ADI_VERBOSITY_LOW); end else if (irq_pending & (1'b1 << `I3C_REGMAP_IRQ_DAA_PENDING)) begin - `INFO(("[%t] NOTE: Got DAA IRQ", $time), ADI_VERBOSITY_DEBUG); + `INFO(("[%t] NOTE: Got DAA IRQ", $time), ADI_VERBOSITY_LOW); end else begin - `INFO(("[%t] NOTE: Got IRQ %h", $time, irq_pending), ADI_VERBOSITY_DEBUG); + `INFO(("[%t] NOTE: Got IRQ %h", $time, irq_pending), ADI_VERBOSITY_LOW); end end end @@ -317,12 +317,12 @@ end // Sanity test reg interface //--------------------------------------------------------------------------- task sanity_test(); - `INFO(("Sanity Started"), ADI_VERBOSITY_DEBUG); + `INFO(("Sanity Started"), ADI_VERBOSITY_LOW); axi_read_v (`I3C_CONTROLLER_BA, `I3C_REGMAP_VERSION, I3C_VERSION_CHECK); axi_read_v (`I3C_CONTROLLER_BA, `I3C_REGMAP_DEVICE_ID, I3C_DEVICE_ID_CHECK); axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_SCRATCH, I3C_SCRATCH_CHECK); axi_read_v (`I3C_CONTROLLER_BA, `I3C_REGMAP_SCRATCH, I3C_SCRATCH_CHECK); - `INFO(("Sanity Test Done"), ADI_VERBOSITY_DEBUG); + `INFO(("Sanity Test Done"), ADI_VERBOSITY_LOW); endtask //--------------------------------------------------------------------------- @@ -332,7 +332,7 @@ bit [31:0] cmdr_fifo_data = 0; bit [31:0] sdi_fifo_data = 0; task ccc_i3c_test; - `INFO(("CCC I3C Started"), ADI_VERBOSITY_DEBUG); + `INFO(("CCC I3C Started"), ADI_VERBOSITY_LOW); auto_ack <= 1'b1; @@ -343,7 +343,7 @@ task ccc_i3c_test; axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_IRQ_MASK, 32'h20); // Test #1, CCC without payload - `INFO(("CCC I3C Test #1"), ADI_VERBOSITY_DEBUG); + `INFO(("CCC I3C Test #1"), ADI_VERBOSITY_LOW); axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_CMD_FIFO, I3C_CCC_CMD_RSTDAA); // CCC, length 0 axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_CMD_FIFO, I3C_CCC_RSTDAA); @(posedge i3c_irq); @@ -354,7 +354,7 @@ task ccc_i3c_test; `FATAL(("CMD -> CMDR read length test FAILED")); // Test #2, CCC with length 1 write payload - `INFO(("CCC I3C Test #2"), ADI_VERBOSITY_DEBUG); + `INFO(("CCC I3C Test #2"), ADI_VERBOSITY_LOW); axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_SDO_FIFO, 32'h0000000b); // See DISEC spec. for +info axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_CMD_FIFO, I3C_CCC_CMD_DISEC); // CCC, length tx 1 axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_CMD_FIFO, I3C_CCC_DISEC); @@ -366,7 +366,7 @@ task ccc_i3c_test; `FATAL(("CMD -> CMDR write length test FAILED")); // Test #3, CCC with length 6 read payload but DA is unknown - `INFO(("CCC I3C Test #3"), ADI_VERBOSITY_DEBUG); + `INFO(("CCC I3C Test #3"), ADI_VERBOSITY_LOW); axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_CMD_FIFO, I3C_CMD_GETPID_UDA); // CCC, length rx 6 axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_CMD_FIFO, I3C_CCC_GETPID); wait (i3c_irq); @@ -377,7 +377,7 @@ task ccc_i3c_test; `FATAL(("#4: CMD -> CMDR UDA_ERROR assertion FAILED")); // Test #4, CCC with length 6 read payload, DA is known - `INFO(("CCC I3C Test #4"), ADI_VERBOSITY_DEBUG); + `INFO(("CCC I3C Test #4"), ADI_VERBOSITY_LOW); axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_CMD_FIFO, I3C_CMD_GETPID); // CCC, length rx 6 axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_CMD_FIFO, I3C_CCC_GETPID); wait (`DUT_I3C_WORD.st == `CMDW_MSG_RX); @@ -396,7 +396,7 @@ task ccc_i3c_test; `FATAL(("CMD -> CMDR read length test FAILED")); // Test #5, CCC with length 1 read payload, DA is known - `INFO(("CCC I3C Test #5"), ADI_VERBOSITY_DEBUG); + `INFO(("CCC I3C Test #5"), ADI_VERBOSITY_LOW); axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_CMD_FIFO, I3C_CMD_GETDCR); // CCC, length rx 1 axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_CMD_FIFO, I3C_CCC_GETDCR); wait (`DUT_I3C_WORD.st == `CMDW_MSG_RX); @@ -416,14 +416,14 @@ task ccc_i3c_test; // Clear all pending IRQs axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_IRQ_PENDING, irq_pending); - `INFO(("CCC I3C Test Done"), ADI_VERBOSITY_DEBUG); + `INFO(("CCC I3C Test Done"), ADI_VERBOSITY_LOW); endtask //--------------------------------------------------------------------------- // Private transfer I3C Test //--------------------------------------------------------------------------- task priv_i3c_test(); - `INFO(("Private Transfer I3C Started"), ADI_VERBOSITY_DEBUG); + `INFO(("Private Transfer I3C Started"), ADI_VERBOSITY_LOW); // Disable IBI axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_IBI_CONFIG, 2'b00); @@ -435,7 +435,7 @@ task priv_i3c_test(); axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_IRQ_MASK, 32'h20); // Test #1, controller does private write transfer that is ACK - `INFO(("PRIV I3C Test #1"), ADI_VERBOSITY_DEBUG); + `INFO(("PRIV I3C Test #1"), ADI_VERBOSITY_LOW); // Write SDO payload axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_SDO_FIFO, 32'hDEAD_BEEF); @@ -446,7 +446,7 @@ task priv_i3c_test(); `WAIT (`DUT_I3C_BIT_MOD.nop == 1, 100000); // Test #2, controller does private read transfer that is ACK - `INFO(("PRIV I3C Test #2"), ADI_VERBOSITY_DEBUG); + `INFO(("PRIV I3C Test #2"), ADI_VERBOSITY_LOW); // Change speed grade to 6.25 MHz axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_OPS, {2'b10, 4'd0, 1'b0}); @@ -464,7 +464,7 @@ task priv_i3c_test(); // Test #3, controller does private read transfer that is cancelled // at the first T-Bit - `INFO(("PRIV I3C Test #3"), ADI_VERBOSITY_DEBUG); + `INFO(("PRIV I3C Test #3"), ADI_VERBOSITY_LOW); // Write CMD instruction axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_CMD_FIFO, I3C_CMD_3); @@ -481,7 +481,7 @@ task priv_i3c_test(); // Test #4, controller does private write transfer to an unknown DA. // Expected result: return UDA_ERROR in receipt - `INFO(("PRIV I3C Test #4"), ADI_VERBOSITY_DEBUG); + `INFO(("PRIV I3C Test #4"), ADI_VERBOSITY_LOW); // Write SDO payload axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_SDO_FIFO, 32'hDEAD_BEEF); @@ -491,7 +491,7 @@ task priv_i3c_test(); wait (`DUT_I3C_BIT_MOD.nop == 1); // Test #5, controller does private read transfer that is NACK - `INFO(("PRIV I3C Test #5"), ADI_VERBOSITY_DEBUG); + `INFO(("PRIV I3C Test #5"), ADI_VERBOSITY_LOW); // Write SDO payload axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_SDO_FIFO, 32'hDEAD_BEEF); @@ -505,7 +505,7 @@ task priv_i3c_test(); // Test #6, controller does private read transfer that is ACK, // no broadcast address. - `INFO(("PRIV I3C Test #6"), ADI_VERBOSITY_DEBUG); + `INFO(("PRIV I3C Test #6"), ADI_VERBOSITY_LOW); // Write CMD instruction axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_CMD_FIFO, I3C_CMD_5); @@ -569,20 +569,20 @@ task priv_i3c_test(); // Clear all pending IRQs axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_IRQ_PENDING, irq_pending); - `INFO(("Private Transfer I3C Ended"), ADI_VERBOSITY_DEBUG); + `INFO(("Private Transfer I3C Ended"), ADI_VERBOSITY_LOW); endtask //--------------------------------------------------------------------------- // Private transfer I²C Test //--------------------------------------------------------------------------- task priv_i2c_test(); - `INFO(("Private Transfer I2C Started"), ADI_VERBOSITY_DEBUG); + `INFO(("Private Transfer I2C Started"), ADI_VERBOSITY_LOW); // Unmask CMDR interrupt axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_IRQ_MASK, 32'h20); // Test #1, controller does private write transfer that is ACK - `INFO(("PRIV I2C Test #1"), ADI_VERBOSITY_DEBUG); + `INFO(("PRIV I2C Test #1"), ADI_VERBOSITY_LOW); auto_ack <= 1'b1; // Write SDO payload @@ -598,7 +598,7 @@ task priv_i2c_test(); // Test #2, controller does private read transfer and ACKs all receiving // bytes. - `INFO(("PRIV I2C Test #2"), ADI_VERBOSITY_DEBUG); + `INFO(("PRIV I2C Test #2"), ADI_VERBOSITY_LOW); // Write CMD instruction axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_CMD_FIFO, I2C_CMD_2); @@ -617,7 +617,7 @@ task priv_i2c_test(); auto_ack <= 1'b1; // Test #3, controller does private write transfer that stalls for a while - `INFO(("PRIV I2C Test #3"), ADI_VERBOSITY_DEBUG); + `INFO(("PRIV I2C Test #3"), ADI_VERBOSITY_LOW); auto_ack <= 1'b1; // Write SDO payload @@ -664,7 +664,7 @@ task priv_i2c_test(); axi_read (`I3C_CONTROLLER_BA, `I3C_REGMAP_SDI_FIFO, sdi_fifo_data); end - `INFO(("Private Transfer I2C Ended"), ADI_VERBOSITY_DEBUG); + `INFO(("Private Transfer I2C Ended"), ADI_VERBOSITY_LOW); endtask //--------------------------------------------------------------------------- @@ -675,7 +675,7 @@ bit [31:0] ibi_fifo_data = 0; task ibi_i3c_test(); auto_ack <= 1'b0; - `INFO(("IBI I3C Test Started"), ADI_VERBOSITY_DEBUG); + `INFO(("IBI I3C Test Started"), ADI_VERBOSITY_LOW); // Unask IBI, CMDR interrupt axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_IRQ_MASK, 32'h60); @@ -687,7 +687,7 @@ task ibi_i3c_test(); // Expected result: the controller accepts the IBI by driving SCL, // obtains the DA and MDB from the IBI. - `INFO(("IBI I3C Test #1"), ADI_VERBOSITY_DEBUG); + `INFO(("IBI I3C Test #1"), ADI_VERBOSITY_LOW); write_ibi_da(DEVICE_DA1); @(posedge i3c_irq); @@ -702,7 +702,7 @@ task ibi_i3c_test(); // Expected result: the controller retrieves the DA and MDB from the IBI, // and continues the cmd transfer after resolving the IBI request. - `INFO(("IBI I3C Test #2"), ADI_VERBOSITY_DEBUG); + `INFO(("IBI I3C Test #2"), ADI_VERBOSITY_LOW); // Write SDO payload axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_SDO_FIFO, 32'hDEAD_BEEF); @@ -735,7 +735,7 @@ task ibi_i3c_test(); // Expected result: the controller rejects the IBI by NACKIng the, // request. No ibi is written to the FIFO. - `INFO(("IBI I3C Test #3"), ADI_VERBOSITY_DEBUG); + `INFO(("IBI I3C Test #3"), ADI_VERBOSITY_LOW); auto_ack <= 1'b0; write_ibi_da(START_DA); @@ -750,7 +750,7 @@ task ibi_i3c_test(); // after resolving the IBI request. #12000ns - `INFO(("IBI I3C Test #4"), ADI_VERBOSITY_DEBUG); + `INFO(("IBI I3C Test #4"), ADI_VERBOSITY_LOW); // Write SDO payload axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_SDO_FIFO, 32'hDEAD_BEEF); @@ -777,7 +777,7 @@ task ibi_i3c_test(); // ACKs the IBI and follows with a Stop. #12000ns - `INFO(("IBI I3C Test #5"), ADI_VERBOSITY_DEBUG); + `INFO(("IBI I3C Test #5"), ADI_VERBOSITY_LOW); auto_ack <= 1'b0; write_ibi_da(DEVICE_DA1+1); @@ -797,7 +797,7 @@ task ibi_i3c_test(); axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_IBI_CONFIG, 2'b10); #12000ns - `INFO(("IBI I3C Test #6"), ADI_VERBOSITY_DEBUG); + `INFO(("IBI I3C Test #6"), ADI_VERBOSITY_LOW); auto_ack <= 1'b0; write_ibi_da(DEVICE_DA1+1); @@ -816,14 +816,14 @@ task ibi_i3c_test(); // Clear all pending IRQs axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_IRQ_PENDING, irq_pending); - `INFO(("IBI I3C Test Done"), ADI_VERBOSITY_DEBUG); + `INFO(("IBI I3C Test Done"), ADI_VERBOSITY_LOW); endtask //--------------------------------------------------------------------------- // DAA I3C Test //--------------------------------------------------------------------------- task daa_i3c_test(); - `INFO(("DAA I3C Started"), ADI_VERBOSITY_DEBUG); + `INFO(("DAA I3C Started"), ADI_VERBOSITY_LOW); // Unmask the CMDR and DAA interrupt axi_write (`I3C_CONTROLLER_BA,`I3C_REGMAP_IRQ_MASK, 32'ha0); @@ -845,7 +845,7 @@ task daa_i3c_test(); `WAIT (`DUT_I3C_FRAMING.st == `CMDW_START, 10000); @(posedge i3c_irq); - `INFO(("GOT DAA IRQ"), ADI_VERBOSITY_DEBUG); + `INFO(("GOT DAA IRQ"), ADI_VERBOSITY_LOW); // Assert 2x32-bit in SDI FIFO (PID+BCR+DCR) if (`DUT_I3C_REGMAP.i_sdi_fifo.m_axis_level != 2) `FATAL(("Wrong SDI FIFO level")); @@ -855,7 +855,7 @@ task daa_i3c_test(); axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_IRQ_PENDING, 1'b1 << `I3C_REGMAP_IRQ_DAA_PENDING); if (~`DUT_I3C_REGMAP.up_irq_pending[`I3C_REGMAP_IRQ_DAA_PENDING]) `FATAL(("DAA IRQ should not have been cleared")); - `INFO(("Writing DA 1"), ADI_VERBOSITY_DEBUG); + `INFO(("Writing DA 1"), ADI_VERBOSITY_LOW); axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_SDO_FIFO, {24'h0, DEVICE_DA1, ~^DEVICE_DA1[6:0]}); axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_IRQ_PENDING, 1'b1 << `I3C_REGMAP_IRQ_DAA_PENDING); @@ -871,7 +871,7 @@ task daa_i3c_test(); axi_read (`I3C_CONTROLLER_BA, `I3C_REGMAP_SDI_FIFO, sdi_fifo_data); axi_read (`I3C_CONTROLLER_BA, `I3C_REGMAP_SDI_FIFO, sdi_fifo_data); - `INFO(("Writing DA 2"), ADI_VERBOSITY_DEBUG); + `INFO(("Writing DA 2"), ADI_VERBOSITY_LOW); axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_SDO_FIFO, {24'h0, DEVICE_DA2, ~^DEVICE_DA2[6:0]}); axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_IRQ_PENDING, 1'b1 << `I3C_REGMAP_IRQ_DAA_PENDING); @@ -888,7 +888,7 @@ task daa_i3c_test(); // Clear all pending IRQs axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_IRQ_PENDING, irq_pending); - `INFO(("DAA I3C Test Done"), ADI_VERBOSITY_DEBUG); + `INFO(("DAA I3C Test Done"), ADI_VERBOSITY_LOW); endtask //--------------------------------------------------------------------------- @@ -903,7 +903,7 @@ bit [3:0] dev_char_3 = 4'b0011; bit [31:0] dev_char_data = 0; task dev_char_i3c_test(); - `INFO(("Device Characteristics I3C Test Started"), ADI_VERBOSITY_DEBUG); + `INFO(("Device Characteristics I3C Test Started"), ADI_VERBOSITY_LOW); // Write DEV_CHAR of four devices axi_write(`I3C_CONTROLLER_BA, `I3C_REGMAP_DEV_CHAR, {DEVICE_DA1, 1'b1, 4'h0, dev_char_0}); axi_write(`I3C_CONTROLLER_BA, `I3C_REGMAP_DEV_CHAR, {DEVICE_DA2, 1'b1, 4'h0, dev_char_1}); @@ -913,17 +913,17 @@ task dev_char_i3c_test(); // Read first and check value axi_write(`I3C_CONTROLLER_BA, `I3C_REGMAP_DEV_CHAR, {DEVICE_DA1 , 9'h0}); axi_read (`I3C_CONTROLLER_BA, `I3C_REGMAP_DEV_CHAR, dev_char_data); - `INFO(("[%t] Got DEV_CHAR_0 %h", $time, dev_char_data), ADI_VERBOSITY_DEBUG); + `INFO(("[%t] Got DEV_CHAR_0 %h", $time, dev_char_data), ADI_VERBOSITY_LOW); if (dev_char_data[3:0] != dev_char_0 || dev_char_data[15:9] != DEVICE_DA1) `FATAL(("DEV_CHAR_0 FAILED")); // Read second and check value axi_write(`I3C_CONTROLLER_BA, `I3C_REGMAP_DEV_CHAR, {DEVICE_DA2, 9'h0}); axi_read (`I3C_CONTROLLER_BA, `I3C_REGMAP_DEV_CHAR, dev_char_data); - `INFO(("[%t] Got DEV_CHAR_0 %h", $time, dev_char_data), ADI_VERBOSITY_DEBUG); + `INFO(("[%t] Got DEV_CHAR_0 %h", $time, dev_char_data), ADI_VERBOSITY_LOW); if (dev_char_data[3:0] != dev_char_1 || dev_char_data[15:9] != DEVICE_DA2) `FATAL(("DEV_CHAR_0 FAILED")); - `INFO(("Device Characteristics I3C Test Done"), ADI_VERBOSITY_DEBUG); + `INFO(("Device Characteristics I3C Test Done"), ADI_VERBOSITY_LOW); endtask //--------------------------------------------------------------------------- @@ -937,7 +937,7 @@ task offload_i3c_test(); // Mask all interrupts axi_write (`I3C_CONTROLLER_BA, `I3C_REGMAP_IRQ_MASK, 32'h00); - `INFO(("Offload I3C Test Started"), ADI_VERBOSITY_DEBUG); + `INFO(("Offload I3C Test Started"), ADI_VERBOSITY_LOW); offload_trigger_l = 1'b1; #10ns offload_trigger_l = 1'b0; @@ -973,7 +973,7 @@ task offload_i3c_test(); offload_trigger_l = 1'b1; #10ns offload_trigger_l = 1'b0; - `INFO(("Offload I3C Test Done"), ADI_VERBOSITY_DEBUG); + `INFO(("Offload I3C Test Done"), ADI_VERBOSITY_LOW); endtask endprogram diff --git a/testbenches/ip/jesd_loopback/tests/test_program.sv b/testbenches/ip/jesd_loopback/tests/test_program.sv index 14e9fb0f..b455b544 100644 --- a/testbenches/ip/jesd_loopback/tests/test_program.sv +++ b/testbenches/ip/jesd_loopback/tests/test_program.sv @@ -88,7 +88,7 @@ program test_program; #2ps; - setLoggerVerbosity(6); + setLoggerVerbosity(ADI_VERBOSITY_NONE); env.start(); link = new; @@ -147,9 +147,9 @@ program test_program; arm_disarm_test(); - `INFO(("======================="), ADI_VERBOSITY_DEBUG); - `INFO((" TB DONE "), ADI_VERBOSITY_DEBUG); - `INFO(("======================="), ADI_VERBOSITY_DEBUG); + `INFO(("======================="), ADI_VERBOSITY_LOW); + `INFO((" TB DONE "), ADI_VERBOSITY_LOW); + `INFO(("======================="), ADI_VERBOSITY_LOW); env.stop(); $finish; @@ -161,9 +161,9 @@ program test_program; // ----------------- task jesd_link_test(); - `INFO(("======================="), ADI_VERBOSITY_DEBUG); - `INFO((" JESD TEST "), ADI_VERBOSITY_DEBUG); - `INFO(("======================="), ADI_VERBOSITY_DEBUG); + `INFO(("======================="), ADI_VERBOSITY_LOW); + `INFO((" JESD TEST "), ADI_VERBOSITY_LOW); + `INFO(("======================="), ADI_VERBOSITY_LOW); // ----------------------- // TX PHY INIT // ----------------------- @@ -299,9 +299,9 @@ program test_program; rx_xcvr.down(); tx_xcvr.down(); - `INFO(("======================="), ADI_VERBOSITY_DEBUG); - `INFO((" JESD LINK TEST DONE "), ADI_VERBOSITY_DEBUG); - `INFO(("======================="), ADI_VERBOSITY_DEBUG); + `INFO(("======================="), ADI_VERBOSITY_LOW); + `INFO((" JESD LINK TEST DONE "), ADI_VERBOSITY_LOW); + `INFO(("======================="), ADI_VERBOSITY_LOW); endtask : jesd_link_test @@ -330,9 +330,9 @@ program test_program; `SET_DAC_COMMON_REG_SYNC_STATUS_DAC_SYNC_STATUS(0)); env.mng.RegReadVerify32(`ADC_TPL_BA + GetAddrs(ADC_COMMON_REG_SYNC_STATUS), `SET_ADC_COMMON_REG_SYNC_STATUS_ADC_SYNC(0)); - `INFO(("======================="), ADI_VERBOSITY_DEBUG); - `INFO((" ARM-DISARM TEST DONE "), ADI_VERBOSITY_DEBUG); - `INFO(("======================="), ADI_VERBOSITY_DEBUG); + `INFO(("======================="), ADI_VERBOSITY_LOW); + `INFO((" ARM-DISARM TEST DONE "), ADI_VERBOSITY_LOW); + `INFO(("======================="), ADI_VERBOSITY_LOW); endtask : arm_disarm_test @@ -345,9 +345,9 @@ program test_program; bit [2:0] rx_out_clk_sel; bit [2:0] tx_out_clk_sel; - `INFO(("======================="), ADI_VERBOSITY_DEBUG); - `INFO((" PRBS TEST "), ADI_VERBOSITY_DEBUG); - `INFO(("======================="), ADI_VERBOSITY_DEBUG); + `INFO(("======================="), ADI_VERBOSITY_LOW); + `INFO((" PRBS TEST "), ADI_VERBOSITY_LOW); + `INFO(("======================="), ADI_VERBOSITY_LOW); // Disable gearbox path for 64b66b mode // PRBS is on the buffer path @@ -464,9 +464,9 @@ program test_program; end - `INFO(("======================="), ADI_VERBOSITY_DEBUG); - `INFO((" PRBS TEST DONE "), ADI_VERBOSITY_DEBUG); - `INFO(("======================="), ADI_VERBOSITY_DEBUG); + `INFO(("======================="), ADI_VERBOSITY_LOW); + `INFO((" PRBS TEST DONE "), ADI_VERBOSITY_LOW); + `INFO(("======================="), ADI_VERBOSITY_LOW); endtask : prbs_test diff --git a/testbenches/ip/jesd_loopback_64b/tests/test_program.sv b/testbenches/ip/jesd_loopback_64b/tests/test_program.sv index 9bf5db9d..463a3bbe 100644 --- a/testbenches/ip/jesd_loopback_64b/tests/test_program.sv +++ b/testbenches/ip/jesd_loopback_64b/tests/test_program.sv @@ -78,7 +78,7 @@ program test_program; #2ps; - setLoggerVerbosity(6); + setLoggerVerbosity(ADI_VERBOSITY_NONE); env.start(); `TH.`SYS_CLK.inst.IF.start_clock; diff --git a/testbenches/ip/scoreboard/tests/test_program.sv b/testbenches/ip/scoreboard/tests/test_program.sv index 87f94bcd..d3069c37 100644 --- a/testbenches/ip/scoreboard/tests/test_program.sv +++ b/testbenches/ip/scoreboard/tests/test_program.sv @@ -99,7 +99,7 @@ program test_program; //========================================================================= - setLoggerVerbosity(ADI_VERBOSITY_DEBUG); + setLoggerVerbosity(ADI_VERBOSITY_NONE); env.start(); env.sys_reset(); @@ -107,30 +107,30 @@ program test_program; // configure environment sequencers env.configure(`ADC_TRANSFER_LENGTH); - `INFO(("Bring up IP from reset."), ADI_VERBOSITY_DEBUG); + `INFO(("Bring up IP from reset."), ADI_VERBOSITY_LOW); systemBringUp(); //do_set_transfer_length(`ADC_TRANSFER_LENGTH); do_set_transfer_length(`ADC_TRANSFER_LENGTH/64); // Start the ADC/DAC stubs - `INFO(("Call the run() ..."), ADI_VERBOSITY_DEBUG); + `INFO(("Call the run() ..."), ADI_VERBOSITY_LOW); env.run(); env.adc_src_axis_seq_0.start(); // env.adc_src_axis_seq_1.start(); // Generate DMA transfers - `INFO(("Start RX DMA ..."), ADI_VERBOSITY_DEBUG); + `INFO(("Start RX DMA ..."), ADI_VERBOSITY_LOW); rx_dma_transfer(dmac_rx_0, 32'h80000000, `ADC_TRANSFER_LENGTH); // rx_dma_transfer(dmac_rx_1, 32'h80000000, `ADC_TRANSFER_LENGTH); env.scoreboard_rx0.wait_until_complete(); - `INFO(("Initialize the memory ..."), ADI_VERBOSITY_DEBUG); + `INFO(("Initialize the memory ..."), ADI_VERBOSITY_LOW); init_mem_64(32'h80000000, 1024); - `INFO(("Start TX DMA ..."), ADI_VERBOSITY_DEBUG); + `INFO(("Start TX DMA ..."), ADI_VERBOSITY_LOW); tx_dma_transfer(dmac_tx_0, 32'h80000000, 1024); // tx_dma_transfer(dmac_tx_1, 32'h80000000, 1024); @@ -148,14 +148,14 @@ program test_program; // bring up the Data Offload instances from reset - `INFO(("Bring up RX Data Offload 0"), ADI_VERBOSITY_DEBUG); + `INFO(("Bring up RX Data Offload 0"), ADI_VERBOSITY_LOW); do_rx_0.deassert_reset(); - `INFO(("Bring up TX Data Offload 0"), ADI_VERBOSITY_DEBUG); + `INFO(("Bring up TX Data Offload 0"), ADI_VERBOSITY_LOW); do_tx_0.deassert_reset(); - // `INFO(("Bring up RX Data Offload 1"), ADI_VERBOSITY_DEBUG); + // `INFO(("Bring up RX Data Offload 1"), ADI_VERBOSITY_LOW); // do_rx_1.deassert_reset(); - // `INFO(("Bring up TX Data Offload 1"), ADI_VERBOSITY_DEBUG); + // `INFO(("Bring up TX Data Offload 1"), ADI_VERBOSITY_LOW); // do_tx_1.deassert_reset(); // Enable tx oneshot mode @@ -165,14 +165,14 @@ program test_program; // bring up the DMAC instances from reset - `INFO(("Bring up RX DMAC 0"), ADI_VERBOSITY_DEBUG); + `INFO(("Bring up RX DMAC 0"), ADI_VERBOSITY_LOW); dmac_rx_0.enable_dma(); - `INFO(("Bring up TX DMAC 0"), ADI_VERBOSITY_DEBUG); + `INFO(("Bring up TX DMAC 0"), ADI_VERBOSITY_LOW); dmac_tx_0.enable_dma(); - // `INFO(("Bring up RX DMAC 1"), ADI_VERBOSITY_DEBUG); + // `INFO(("Bring up RX DMAC 1"), ADI_VERBOSITY_LOW); // dmac_rx_1.enable_dma(); - // `INFO(("Bring up TX DMAC 1"), ADI_VERBOSITY_DEBUG); + // `INFO(("Bring up TX DMAC 1"), ADI_VERBOSITY_LOW); // dmac_tx_1.enable_dma(); endtask @@ -209,11 +209,11 @@ program test_program; task init_mem_64( input longint unsigned addr, input int byte_length); - `INFO(("Initial address: %x", addr), ADI_VERBOSITY_DEBUG); + `INFO(("Initial address: %x", addr), ADI_VERBOSITY_LOW); for (int i=0; i 20 && captured_word !== reference_word) begin `ERROR(("Address 0x%h Expected 0x%h found 0x%h",current_address,reference_word,captured_word)); diff --git a/testbenches/project/fmcomms2/tests/test_program.sv b/testbenches/project/fmcomms2/tests/test_program.sv index 3651ed97..137b92b0 100644 --- a/testbenches/project/fmcomms2/tests/test_program.sv +++ b/testbenches/project/fmcomms2/tests/test_program.sv @@ -111,7 +111,7 @@ program test_program; #2ps; - setLoggerVerbosity(6); + setLoggerVerbosity(ADI_VERBOSITY_NONE); env.start(); //set source synchronous interface clock frequency @@ -474,7 +474,7 @@ program test_program; if (captured_word !== reference_word) begin `ERROR(("Address 0x%h Expected 0x%h found 0x%h",current_address,reference_word,captured_word)); end else begin - `INFO(("Address 0x%h Expected 0x%h found 0x%h",current_address,reference_word,captured_word), ADI_VERBOSITY_DEBUG); + `INFO(("Address 0x%h Expected 0x%h found 0x%h",current_address,reference_word,captured_word), ADI_VERBOSITY_LOW); end end end diff --git a/testbenches/project/mxfe/tests/test_program.sv b/testbenches/project/mxfe/tests/test_program.sv index 08828ed4..62866c42 100644 --- a/testbenches/project/mxfe/tests/test_program.sv +++ b/testbenches/project/mxfe/tests/test_program.sv @@ -79,7 +79,7 @@ program test_program; #2ps; - setLoggerVerbosity(6); + setLoggerVerbosity(ADI_VERBOSITY_NONE); env.start(); link = new; @@ -165,9 +165,9 @@ program test_program; input tx_bypass = 0, input tdd_enabled = 0); - `INFO(("======================="), ADI_VERBOSITY_DEBUG); - `INFO((" JESD TEST "+(use_dds ? "DDS" : "DMA")), ADI_VERBOSITY_DEBUG); - `INFO(("======================="), ADI_VERBOSITY_DEBUG); + `INFO(("======================="), ADI_VERBOSITY_LOW); + `INFO((" JESD TEST "+(use_dds ? "DDS" : "DMA")), ADI_VERBOSITY_LOW); + `INFO(("======================="), ADI_VERBOSITY_LOW); // ----------------------- // TX PHY INIT @@ -336,9 +336,9 @@ program test_program; rx_xcvr.down(); tx_xcvr.down(); - `INFO(("======================="), ADI_VERBOSITY_DEBUG); - `INFO((" JESD LINK TEST DONE "), ADI_VERBOSITY_DEBUG); - `INFO(("======================="), ADI_VERBOSITY_DEBUG); + `INFO(("======================="), ADI_VERBOSITY_LOW); + `INFO((" JESD LINK TEST DONE "), ADI_VERBOSITY_LOW); + `INFO(("======================="), ADI_VERBOSITY_LOW); endtask : jesd_link_test @@ -347,9 +347,9 @@ program test_program; // ----------------- task jesd_link_test_ext_sync(input use_dds = 1); - `INFO(("======================="), ADI_VERBOSITY_DEBUG); - `INFO((" JESD TEST EXT SYNC "+(use_dds ? "DDS" : "DMA")), ADI_VERBOSITY_DEBUG); - `INFO(("======================="), ADI_VERBOSITY_DEBUG); + `INFO(("======================="), ADI_VERBOSITY_LOW); + `INFO((" JESD TEST EXT SYNC "+(use_dds ? "DDS" : "DMA")), ADI_VERBOSITY_LOW); + `INFO(("======================="), ADI_VERBOSITY_LOW); // ----------------------- // TX PHY INIT // ----------------------- @@ -492,9 +492,9 @@ program test_program; rx_xcvr.down(); tx_xcvr.down(); - `INFO(("======================="), ADI_VERBOSITY_DEBUG); - `INFO((" JESD LINK TEST DONE "), ADI_VERBOSITY_DEBUG); - `INFO(("======================="), ADI_VERBOSITY_DEBUG); + `INFO(("======================="), ADI_VERBOSITY_LOW); + `INFO((" JESD LINK TEST DONE "), ADI_VERBOSITY_LOW); + `INFO(("======================="), ADI_VERBOSITY_LOW); endtask : jesd_link_test_ext_sync diff --git a/testbenches/project/pluto/tests/test_program.sv b/testbenches/project/pluto/tests/test_program.sv index 542f4b88..19414756 100644 --- a/testbenches/project/pluto/tests/test_program.sv +++ b/testbenches/project/pluto/tests/test_program.sv @@ -111,7 +111,7 @@ program test_program; #2ps; - setLoggerVerbosity(6); + setLoggerVerbosity(ADI_VERBOSITY_NONE); env.start(); // Set source synchronous interface clock frequency diff --git a/testbenches/project/pulsar_adc_pmdz/tests/test_program.sv b/testbenches/project/pulsar_adc_pmdz/tests/test_program.sv index d9c0a372..d652b53c 100755 --- a/testbenches/project/pulsar_adc_pmdz/tests/test_program.sv +++ b/testbenches/project/pulsar_adc_pmdz/tests/test_program.sv @@ -141,7 +141,7 @@ initial begin `TH.`MNG_AXI.inst.IF, `TH.`DDR_AXI.inst.IF); - setLoggerVerbosity(6); + setLoggerVerbosity(ADI_VERBOSITY_NONE); env.start(); //asserts all the resets for 100 ns @@ -178,7 +178,7 @@ task sanity_test; axi_read_v (`PULSAR_ADC_SPI_REGMAP_BA + GetAddrs(AXI_SPI_ENGINE_VERSION), pcore_version); axi_write (`PULSAR_ADC_SPI_REGMAP_BA + GetAddrs(AXI_SPI_ENGINE_SCRATCH), 32'hDEADBEEF); axi_read_v (`PULSAR_ADC_SPI_REGMAP_BA + GetAddrs(AXI_SPI_ENGINE_SCRATCH), 32'hDEADBEEF); - `INFO(("Sanity Test Done"), ADI_VERBOSITY_DEBUG); + `INFO(("Sanity Test Done"), ADI_VERBOSITY_LOW); endtask //--------------------------------------------------------------------------- @@ -196,7 +196,7 @@ task generate_transfer_cmd( axi_write (`PULSAR_ADC_SPI_REGMAP_BA + GetAddrs(AXI_SPI_ENGINE_CMD_FIFO), INST_CS_OFF); // SYNC command to generate interrupt axi_write (`PULSAR_ADC_SPI_REGMAP_BA + GetAddrs(AXI_SPI_ENGINE_CMD_FIFO), (INST_SYNC | sync_id)); - `INFO(("Transfer generation finished"), ADI_VERBOSITY_DEBUG); + `INFO(("Transfer generation finished"), ADI_VERBOSITY_LOW); endtask //--------------------------------------------------------------------------- @@ -214,24 +214,24 @@ initial begin // IRQ launched by Offload SYNC command if (irq_pending & 5'b10000) begin axi_read (`PULSAR_ADC_SPI_REGMAP_BA + GetAddrs(AXI_SPI_ENGINE_SYNC_ID), sync_id); - `INFO(("Offload SYNC %d IRQ. An offload transfer just finished", sync_id), ADI_VERBOSITY_DEBUG); + `INFO(("Offload SYNC %d IRQ. An offload transfer just finished", sync_id), ADI_VERBOSITY_LOW); end // IRQ launched by SYNC command if (irq_pending & 5'b01000) begin axi_read (`PULSAR_ADC_SPI_REGMAP_BA + GetAddrs(AXI_SPI_ENGINE_SYNC_ID), sync_id); - `INFO(("SYNC %d IRQ. FIFO transfer just finished", sync_id), ADI_VERBOSITY_DEBUG); + `INFO(("SYNC %d IRQ. FIFO transfer just finished", sync_id), ADI_VERBOSITY_LOW); end // IRQ launched by SDI FIFO if (irq_pending & 5'b00100) begin - `INFO(("SDI FIFO IRQ"), ADI_VERBOSITY_DEBUG); + `INFO(("SDI FIFO IRQ"), ADI_VERBOSITY_LOW); end // IRQ launched by SDO FIFO if (irq_pending & 5'b00010) begin - `INFO(("SDO FIFO IRQ"), ADI_VERBOSITY_DEBUG); + `INFO(("SDO FIFO IRQ"), ADI_VERBOSITY_LOW); end // IRQ launched by SDO FIFO if (irq_pending & 5'b00001) begin - `INFO(("CMD FIFO IRQ"), ADI_VERBOSITY_DEBUG); + `INFO(("CMD FIFO IRQ"), ADI_VERBOSITY_LOW); end // Clear all pending IRQs axi_write (`PULSAR_ADC_SPI_REGMAP_BA + GetAddrs(AXI_SPI_ENGINE_IRQ_PENDING), irq_pending); @@ -430,14 +430,14 @@ task offload_spi_test(); // Start the offload #100 axi_write (`PULSAR_ADC_SPI_REGMAP_BA + GetAddrs(AXI_SPI_ENGINE_OFFLOAD0_EN), `SET_AXI_SPI_ENGINE_OFFLOAD0_EN_OFFLOAD0_EN(1)); - `INFO(("Offload started"), ADI_VERBOSITY_DEBUG); + `INFO(("Offload started"), ADI_VERBOSITY_LOW); wait(offload_transfer_cnt == NUM_OF_TRANSFERS); axi_write (`PULSAR_ADC_SPI_REGMAP_BA + GetAddrs(AXI_SPI_ENGINE_OFFLOAD0_EN), `SET_AXI_SPI_ENGINE_OFFLOAD0_EN_OFFLOAD0_EN(0)); offload_status = 0; - `INFO(("Offload stopped"), ADI_VERBOSITY_DEBUG); + `INFO(("Offload stopped"), ADI_VERBOSITY_LOW); #2000 @@ -449,13 +449,13 @@ task offload_spi_test(); if (irq_pending == 'h0) begin `FATAL(("IRQ Test FAILED")); end else begin - `INFO(("IRQ Test PASSED"), ADI_VERBOSITY_DEBUG); + `INFO(("IRQ Test PASSED"), ADI_VERBOSITY_LOW); end if (offload_captured_word_arr [(NUM_OF_TRANSFERS) - 1:2] != offload_sdi_data_store_arr [(NUM_OF_TRANSFERS) - 1:2]) begin `ERROR(("Offload Test FAILED")); end else begin - `INFO(("Offload Test PASSED"), ADI_VERBOSITY_DEBUG); + `INFO(("Offload Test PASSED"), ADI_VERBOSITY_LOW); end endtask @@ -476,7 +476,7 @@ task fifo_spi_test(); axi_write (`PULSAR_ADC_PWM_GEN_BA + GetAddrs(AXI_PWM_GEN_REG_RSTN), `SET_AXI_PWM_GEN_REG_RSTN_RESET(1)); // PWM_GEN reset in regmap (ACTIVE HIGH) axi_write (`PULSAR_ADC_PWM_GEN_BA + GetAddrs(AXI_PWM_GEN_REG_PULSE_X_PERIOD), `SET_AXI_PWM_GEN_REG_PULSE_X_PERIOD_PULSE_X_PERIOD('d121)); // set PWM period axi_write (`PULSAR_ADC_PWM_GEN_BA + GetAddrs(AXI_PWM_GEN_REG_RSTN), `SET_AXI_PWM_GEN_REG_RSTN_LOAD_CONFIG(1)); // load AXI_PWM_GEN configuration - `INFO(("Axi_pwm_gen started"), ADI_VERBOSITY_DEBUG); + `INFO(("Axi_pwm_gen started"), ADI_VERBOSITY_LOW); // Enable SPI Engine axi_write (`PULSAR_ADC_SPI_REGMAP_BA + GetAddrs(AXI_SPI_ENGINE_ENABLE), `SET_AXI_SPI_ENGINE_ENABLE_ENABLE(0)); @@ -508,12 +508,12 @@ task fifo_spi_test(); axi_read (`PULSAR_ADC_SPI_REGMAP_BA + GetAddrs(AXI_SPI_ENGINE_SDI_FIFO_PEEK), sdi_fifo_data); end - `INFO(("sdi_fifo_data: %x; sdi_fifo_data_store %x", sdi_fifo_data, sdi_fifo_data_store), ADI_VERBOSITY_DEBUG); + `INFO(("sdi_fifo_data: %x; sdi_fifo_data_store %x", sdi_fifo_data, sdi_fifo_data_store), ADI_VERBOSITY_LOW); if (sdi_fifo_data != sdi_fifo_data_store) begin `ERROR(("Fifo Read Test FAILED")); end else begin - `INFO(("Fifo Read Test PASSED"), ADI_VERBOSITY_DEBUG); + `INFO(("Fifo Read Test PASSED"), ADI_VERBOSITY_LOW); end endtask