Skip to content

Commit

Permalink
el2_veer_wrapper: propagate excluding signals from el2_dbg.sv
Browse files Browse the repository at this point in the history
The signals are excluded from coverage in el2_dbg.sv,
we need to exclude them here, too.

Signed-off-by: Wojciech Sipak <[email protected]>
  • Loading branch information
wsipak committed Oct 3, 2024
1 parent e3d2c4d commit 8faf6d0
Showing 1 changed file with 27 additions and 0 deletions.
27 changes: 27 additions & 0 deletions design/el2_veer_wrapper.sv
Original file line number Diff line number Diff line change
Expand Up @@ -144,16 +144,25 @@ import el2_pkg::*;
// AXI Write Channels
output logic sb_axi_awvalid,
input logic sb_axi_awready,
/* exclude signals that are tied to constant value in dbg/el2_dbg.sv */
/*verilator coverage_off*/
output logic [pt.SB_BUS_TAG-1:0] sb_axi_awid,
/*verilator coverage_on*/
output logic [31:0] sb_axi_awaddr,
output logic [3:0] sb_axi_awregion,
/* exclude signals that are tied to constant value in dbg/el2_dbg.sv */
/*verilator coverage_off*/
output logic [7:0] sb_axi_awlen,
/*verilator coverage_on*/
output logic [2:0] sb_axi_awsize,
/* exclude signals that are tied to constant value in dbg/el2_dbg.sv */
/*verilator coverage_off*/
output logic [1:0] sb_axi_awburst,
output logic sb_axi_awlock,
output logic [3:0] sb_axi_awcache,
output logic [2:0] sb_axi_awprot,
output logic [3:0] sb_axi_awqos,
/*verilator coverage_on*/

output logic sb_axi_wvalid,
input logic sb_axi_wready,
Expand All @@ -169,19 +178,31 @@ import el2_pkg::*;
// AXI Read Channels
output logic sb_axi_arvalid,
input logic sb_axi_arready,
/* exclude signals that are tied to constant value in dbg/el2_dbg.sv */
/*verilator coverage_off*/
output logic [pt.SB_BUS_TAG-1:0] sb_axi_arid,
/*verilator coverage_on*/
output logic [31:0] sb_axi_araddr,
output logic [3:0] sb_axi_arregion,
/* exclude signals that are tied to constant value in dbg/el2_dbg.sv */
/*verilator coverage_off*/
output logic [7:0] sb_axi_arlen,
/*verilator coverage_on*/
output logic [2:0] sb_axi_arsize,
/* exclude signals that are tied to constant value in dbg/el2_dbg.sv */
/*verilator coverage_off*/
output logic [1:0] sb_axi_arburst,
output logic sb_axi_arlock,
output logic [3:0] sb_axi_arcache,
output logic [2:0] sb_axi_arprot,
output logic [3:0] sb_axi_arqos,
/*verilator coverage_on*/

input logic sb_axi_rvalid,
/* exclude signals that are tied to constant value in dbg/el2_dbg.sv */
/*verilator coverage_off*/
output logic sb_axi_rready,
/*verilator coverage_on*/
input logic [pt.SB_BUS_TAG-1:0] sb_axi_rid,
input logic [63:0] sb_axi_rdata,
input logic [1:0] sb_axi_rresp,
Expand Down Expand Up @@ -623,10 +644,16 @@ import el2_pkg::*;
// AXI Write Channels
wire sb_axi_awvalid;
wire sb_axi_awready;
/* exclude signals that are tied to constant value in dbg/el2_dbg.sv */
/*verilator coverage_off*/
wire [pt.SB_BUS_TAG-1:0] sb_axi_awid;
/*verilator coverage_on*/
wire [31:0] sb_axi_awaddr;
wire [3:0] sb_axi_awregion;
/* exclude signals that are tied to constant value in dbg/el2_dbg.sv */
/*verilator coverage_off*/
wire [7:0] sb_axi_awlen;
/*verilator coverage_on*/
wire [2:0] sb_axi_awsize;
wire [1:0] sb_axi_awburst;
wire sb_axi_awlock;
Expand Down

0 comments on commit 8faf6d0

Please sign in to comment.