You signed in with another tab or window. Reload to refresh your session.You signed out in another tab or window. Reload to refresh your session.You switched accounts on another tab or window. Reload to refresh your session.Dismiss alert
typedef enum logic [1:0] {
A = 3, B = 0, C, D
} state_t;
module top(input clk, output z);
state_t state = A;
always @(posedge clk) begin
case (state)
A: state <= B;
B: state <= C;
C: state <= D;
default: state <= A;
endcase
end
assign z = (state == B);
endmodule
with surelog -parse -elabuhdm a.sv, results in UHDM Tree that sets both enum values A and D to 3. Below is UHDM Tree fragment responsible for enum.
Incorrect complement of partly filled enums
Parsing SystemVerilog code (a.sv):
with
surelog -parse -elabuhdm a.sv
, results in UHDM Tree that sets bothenum
valuesA
andD
to3
. Below is UHDM Tree fragment responsible forenum
.The text was updated successfully, but these errors were encountered: