diff --git a/.github/workflow_metadata/pr_hash b/.github/workflow_metadata/pr_hash index 5ce3982..574adf8 100644 --- a/.github/workflow_metadata/pr_hash +++ b/.github/workflow_metadata/pr_hash @@ -1 +1 @@ -0498b952cc0e6254259daf7a40977490ef109005599f452d7c850f0399d1d571c41792c8008c4147c6cbbe088b49c43c \ No newline at end of file +8228e7ec4ec4d34b04381d60d78531d4272ad45a40e512452b4bba66638e4ed80982600151ac84f9ba2a11d22d72ff03 \ No newline at end of file diff --git a/.github/workflow_metadata/pr_timestamp b/.github/workflow_metadata/pr_timestamp index ed296b3..e0c8881 100644 --- a/.github/workflow_metadata/pr_timestamp +++ b/.github/workflow_metadata/pr_timestamp @@ -1 +1 @@ -1730913274 \ No newline at end of file +1731347747 \ No newline at end of file diff --git a/src/decompose/rtl/decompose.sv b/src/decompose/rtl/decompose.sv index d7ef9c7..3b148a0 100644 --- a/src/decompose/rtl/decompose.sv +++ b/src/decompose/rtl/decompose.sv @@ -69,8 +69,7 @@ module decompose output logic [63:0] w1_o, output logic buffer_en, - output logic decompose_done, - output logic w1_encode_done + output logic decompose_done ); @@ -263,8 +262,7 @@ module decompose .w1_encode_enable(verify ? &usehint_ready : &mod_ready), .r1_i(r1_mux), .w1_o(w1_o), - .buffer_en(buffer_en), - .w1_encode_done(w1_encode_done) + .buffer_en(buffer_en) ); diff --git a/src/decompose/rtl/decompose_w1_encode.sv b/src/decompose/rtl/decompose_w1_encode.sv index f8eeb08..beb5ff9 100644 --- a/src/decompose/rtl/decompose_w1_encode.sv +++ b/src/decompose/rtl/decompose_w1_encode.sv @@ -39,16 +39,13 @@ module decompose_w1_encode input wire [3:0][3:0] r1_i, output logic [63:0] w1_o, - output logic buffer_en, - - output logic w1_encode_done + output logic buffer_en ); localparam BUFFER_CYC = 4; //Enable counter logic [1:0] buffer_count; - logic [2:0] rounds_count; //Flags logic w1_en_reg; @@ -81,20 +78,7 @@ module decompose_w1_encode buffer_count <= buffer_count - 'h1; end - //Rounds counter - always_ff @(posedge clk or negedge reset_n) begin - if (!reset_n) - rounds_count <= 'h0; - else if (zeroize) - rounds_count <= 'h0; - else if (init_count_first) - rounds_count <= MLDSA_K-1; - else if ((rounds_count > 0)) - rounds_count <= rounds_count - 'h1; - end - assign buffer_en = w1_en_reg && (buffer_count == 'h0); - assign w1_encode_done = (rounds_count == 'h0); //r1 shift reg always_ff @(posedge clk or negedge reset_n) begin diff --git a/src/mldsa_top/rtl/mldsa_top.sv b/src/mldsa_top/rtl/mldsa_top.sv index d90dc54..1788d5c 100644 --- a/src/mldsa_top/rtl/mldsa_top.sv +++ b/src/mldsa_top/rtl/mldsa_top.sv @@ -588,8 +588,7 @@ decompose_inst ( .buffer_en(decomp_msg_valid), //TODO: check what high level controller requirement is - .decompose_done(decompose_done), - .w1_encode_done() + .decompose_done(decompose_done) ); skencode